【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 06

このエントリーをはてなブックマークに追加
1774ワット発電中さん

雑談歓迎です。ハメを外さない範囲でご自由にどうぞ。


前スレ
05 http://science4.2ch.net/test/read.cgi/denki/1139847266/
04 http://science4.2ch.net/test/read.cgi/denki/1129771211/
03 http://science4.2ch.net/test/read.cgi/denki/1119984464/
02 http://science3.2ch.net/test/read.cgi/denki/1104330395/
01 http://science3.2ch.net/test/read.cgi/denki/1081231226/


長くなりすぎなので他のリンクは >>2-10 あたりで


---- 他所の掲示板の話題をここに振ってるひとへ ----
技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。

2774ワット発電中さん:2006/08/07(月) 06:40:45 ID:KFH90zgE
3774ワット発電中さん:2006/08/07(月) 06:50:28 ID:KFH90zgE

◆フリーのツール(情報追加よろしく!)
ALTERA Quartus II Web Edition http://www.altera.co.jp/
XILINX ISE WebPack http://www.xilinx.co.jp/

◆評価基板とか(追加情報よろしく!)
Spartan-3 Starter Kit http://www.xilinx.co.jp/products/spartan3e/s3eboards.htm
CQ出版のシリーズ http://www.cqpub.co.jp/eda/

◆雑誌
Design Wave Magazine(DWM) http://www.cqpub.co.jp/dwm/
※基板が付録で付いている号はバックナンバー希少になりがち
Interface http://www.cqpub.co.jp/interface/


◆関連スレ

【Verilog】記述言語で論理設計 Project4【VHDL】
http://science4.2ch.net/test/read.cgi/denki/1143016023/

暇だからVHDLでも書こうかな (inプログラム板)
http://pc8.2ch.net/test/read.cgi/tech/1043858153/

【アスナる日記】DWM1月号付録の基板で実験したぜぃ【夢ヲチ】
http://science4.2ch.net/test/read.cgi/denki/1108190169/

オープン開発でゲームコンピュータを作るスレ
http://science4.2ch.net/test/read.cgi/denki/1124621751/

[レトロ] MSXに関する話題はここだ [懐古趣味]
http://science4.2ch.net/test/read.cgi/denki/1120122219/

4774ワット発電中さん:2006/08/07(月) 06:56:55 ID:KFH90zgE
5774ワット発電中さん:2006/08/07(月) 07:12:01 ID:KFH90zgE

◆Digi-Key (FPGA/CPLD オンライン購入)
ttp://www.digi-key.com/
ttp://jp.digikey.com/
XILINX はほぼOK
ALTERA は日本代理店を通さないと買えない商品あり
(送料に注意)


◆その他 (前スレの話題等)

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/694
ttp://akizukidenshi.com/catalog/items2.php?q=CPLD&s=score&p=1&r=1&page=#K-01457

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/699
ttp://japan.cnet.com/news/media/story/0,2000056023,20137847,00.htm
ttp://www2.nict.go.jp/w/w114/stsi/PubNtp/

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/714
ttp://optimize.ath.cx/mini_ezusb/cyclone.htm

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/721
ttp://www.hdl.co.jp/tuhan/ptuhan_acc.html

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/725
ttp://www.neko.ne.jp/~freewing/hardware/ft2232c_mpsse/

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/726
ttp://www.digilentinc.com/Products/Detail.cfm?Prod=JTAG-USB&Nav1=Products&Nav2=Cables

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/737
ttp://optimize.ath.cx/bee/miniezusb/index.html
ttp://www002.upp.so-net.ne.jp/uratan/xi/whyxsvf.txt
ttp://www.nahitech.com/nahitafu/fpgavhdl/fpgacfg/fpgacfg.html

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/766
ttp://www.xilinx.co.jp/japan/j_prs_rls/design_win/0412_marsrover_j.htm

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/830
ttp://www6.eie.eng.osaka-u.ac.jp/fpga/index.html

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/845
ttp://www.geocities.jp/kwhr0/hard/fm.html

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/947
ttp://www.datasheetcatalog.com/datasheets_pdf/7/4/L/S/74LS244.shtml

引用 http://science4.2ch.net/test/read.cgi/denki/1139847266/965
ttp://focus.ti.com/logic/docs/technologyfamily.tsp?templateId=5985&navigationId=11386


小分けでスマソ
6774ワット発電中さん:2006/08/07(月) 17:29:21 ID:VvYMFic6
スレ立て乙&天婦羅ごち>>1-4
7774ワット発電中さん:2006/08/09(水) 15:19:05 ID:ejrd0JFS
>>1
8774ワット発電中さん:2006/08/17(木) 23:48:09 ID:JOzxHVlk
スレがさっぱり進んでないのか・・・
9774ワット発電中さん:2006/08/18(金) 10:44:04 ID:S0r9YcjC
お前が来るのを待ってたんだよ。
10774ワット発電中さん:2006/08/18(金) 11:31:15 ID:4DXHHidj
早稲田実業の応援の女の子かわいい
11774ワット発電中さん:2006/08/18(金) 23:10:55 ID:0KQnAs/D
画に描いた餅。
テレビに映った娘。
12774ワット発電中さん:2006/08/23(水) 03:49:32 ID:5emwJDX0
EDKの8.2が出たけど、もう使ってる進んでるお友達はいるかな?
SDKとの連携ができるようになったみたいだけど
13 ◆YMO/ALTERA :2006/08/24(木) 22:24:10 ID:HwLZOqEd

あああファームも論理回路もバリバリやれる職場逝きてーぜ@はけん
14774ワット発電中さん:2006/08/28(月) 13:31:02 ID:TNMWABjj

今の環境で何が不満なの?
ウチにおいでよ、仕様打ち合わせからケース設計、回路設計、
基板設計、ソフト設計、デバッグ、そして納品まで、全部できるよ。
めちゃくちゃ忙しいんだ。手伝ってくれ。
ちなみにFPGAはXilinxね。マイコンはH8とPIC。
給与は応談。手取り25万でどうだ? 
15774ワット発電中さん:2006/08/28(月) 16:21:23 ID:TJaqrQRZ
こっちに少し分けてくれよ
16774ワット発電中さん:2006/08/29(火) 00:08:48 ID:mI4xxm2m
○LTER○はNASDAQから二回目の上場廃止警告を
うけましたね。A○○○○Aもうおわりかな?
17774ワット発電中さん:2006/08/29(火) 19:28:50 ID:aDtmHUBr
> 二回目の上場廃止警告
終わりかどうかは内容次第。
18774ワット発電中さん:2006/08/30(水) 09:15:09 ID:ytGmo2UF
>17
調査委員会の調査も難航しているみたいね。
今回の件はNASDAQメンバーだった証券アナリスト
の資料提出の要請を門前払いした寺本社の窓口
の対応のマズさによってそのアナリストが激怒し
NASDAQに寺を調査対象にするように強く進言した
ことに起因するんだよね。
だから、NASDAQもかなり本腰を入れて調査して
きてる。
寺もバカだよなぁ・・・・。
単なる証券アナリストのおせっかいと判断したんだろう?
殿様商売もほどほどにしないと
19774ワット発電中さん:2006/08/30(水) 11:17:53 ID:doj6W6rv
殿様商売といったいどういうj関係があるんだ?
殿様商売の意味を理解してないのか?
20774ワット発電中さん:2006/08/30(水) 13:50:38 ID:U80po7Of
>>18 の殿様のイメージ=志村けん
21774ワット発電中さん:2006/08/30(水) 19:24:18 ID:Rk+FYZjk
トンでもねぇ!!
あたしゃ神様だよ!?
22774ワット発電中さん:2006/08/30(水) 20:05:12 ID:EoUeUeb2
上場廃止したらまずいのか?
知名度はあるし、シェアもあるし。どうしても必要なら他市場へ上場って手もあるだろ。
うるさいアナルリストにケツの穴まさぐられなくて済むなら本望かもよ。
23774ワット発電中さん:2006/08/30(水) 21:51:46 ID:npO/p+Ln
上場廃止になったら株主大損だから経営陣のクビ飛ぶだろ
24774ワット発電中さん:2006/08/31(木) 22:18:09 ID:Q4tWMb5G
> 上場廃止になったら株主大損
そうか?
> 経営陣のクビ飛ぶ
飛んで困るのか?
藻前は経営陣の縁戚の友達のいとこか何かか?
25774ワット発電中さん:2006/09/03(日) 22:54:43 ID:Yi4tHlNd
横レスだが、経営陣はそれは避けようとするだろう、という事じゃまいかと
26774ワット発電中さん:2006/09/04(月) 21:30:35 ID:LimpZ3wo
「それ」はなんだ?
27774ワット発電中さん:2006/09/09(土) 21:33:21 ID:k9YS4Lbj
寺の関係者が躍起になってるな(w
まぁ、10月までストックオプション不正疑惑の結論を
伸ばすつもりだろうけどそう簡単にいくかな?
他の会社はCEOや役員がそっ首切られているど。
いつまでも安閑としてイラン無いだろう?
28774ワット発電中さん:2006/09/09(土) 21:35:43 ID:k9YS4Lbj
まぁ、寺はどうでもいい。
ISE8.2どうよ?
29774ワット発電中さん :2006/09/09(土) 22:13:25 ID:jvI/0fAV
あくてるの新しい広告を見たら、物産テクセルが代理店から消えてた。
ここ、やめたの?
30774ワット発電中さん:2006/09/09(土) 23:14:26 ID:iNYxA/AV
>>28
まずまず調子言い。
漢字も「表示」できるようになったし。
31774ワット発電中さん:2006/09/10(日) 12:47:04 ID:8yjwfFPE
>上場廃止になったら株主大損だから経営陣のクビ飛ぶだろ

上場廃止しても市場で売れなくなるだけですが


32774ワット発電中さん:2006/09/10(日) 20:04:10 ID:+6xDCEUF
>>31
世の中には、「上場」=「箔付け」「上場廃止」=「倒産」ぐらいにしか
考えてない人も多いということです。
27みたいに、「首切り」に固執するだけのループ君とかね。
33774ワット発電中さん:2006/09/11(月) 00:09:12 ID:TSnONTZe
WebPackデカすぎ
なんだよ1Gオーバーって

しかもSPARTAN-XLの合成できなくなってるしよ

まー探したらclassic版ってのフリーになって対応してたからよかったがな
34774ワット発電中さん:2006/09/11(月) 13:58:27 ID:o1vL+MOU
spartan3ってDigi-Keyで買えた気がする(というか前買った)んだけど、
今検索掛けても出てこないんだよね。
3Eに移行したってことなのかな・・・
3534:2006/09/11(月) 14:07:07 ID:o1vL+MOU
スマソ
Digi-Key USAで検索だったなorz
36774ワット発電中さん:2006/09/11(月) 21:52:59 ID:UFRXqz+H
>>29
代理店契約解消。
アクテル側から一方的に契約切られたらしい。
37774ワット発電中さん:2006/09/14(木) 01:27:07 ID:/L+bYW9C
>>36
何かバレたのか?派留が寺に切られた時みたいに。
38774ワット発電中さん:2006/09/14(木) 08:57:16 ID:Tr/7MmoG
>>37 寺に切られた時
ワロタ
39774ワット発電中さん:2006/09/14(木) 20:23:47 ID:DRik1KEV
> 何かバレたのか?派留が寺に切られた時みたいに。
kwsk
40774ワット発電中さん:2006/09/18(月) 21:34:01 ID:cuG3garV
Cyclone2を使わないといけなくなったんですが、これってSpartan3みたいに
コンフィグ中はI/Oピンをプルアップしないという設定は無いのでしょうか?
データシートを見る限りなさそうですが・・・。
41774ワット発電中さん:2006/09/19(火) 13:17:46 ID:1xgJeBOH
社長が盗聴機仕掛けたのが本社にバレ(ry
42774ワット発電中さん:2006/09/22(金) 01:55:52 ID:PJZCPjOX
パソコン世界最大手の米デルは21日、同社株が上場している米ナスダック市場から上場廃止勧告を受けた、と発表した。
米証券取引委員会(SEC)から財務報告に虚偽記載の疑いがあると指摘されたことに対応するため5〜7月期の決算報告が遅れ、
ナスダックの上場規定に違反する恐れが出ているから、という。
デルはナスダックの委員会による聞き取り調査を要請し、事情を説明する方針だという。

デルは今月11日、SECによる非公式な調査の結果、過去の会計・財務報告に虚偽記載の可能性があると発表。
決算報告の発表を見送り、デル自体も社外監査人でつくる監査委員会で独自調査を進めていると説明していた。

デルの株式は21日朝(日本時間同日夜)から売り注文が多く、
前日終値より2%前後安い水準の株価で取引されている。


ソース:asahi.com
http://www.asahi.com/digital/pc/TKY200609210493.html
ソースのソース:DELL Press Releases
http://www.dell.com/content/topics/global.aspx/corp/pressoffice/en/2006/2006_09_21_rr_000?c=us&l=en&s=corp
43774ワット発電中さん:2006/09/22(金) 09:50:08 ID:mCu+NyTC
下らん別話題をここに張るなボケ
44pkman:2006/09/22(金) 15:01:40 ID:VAg9KIDH
Please visit
http://www.PKLink.com
flash version V2 V4 chip
無料 交換 體驗
45774ワット発電中さん:2006/09/22(金) 15:41:32 ID:ujAizKl8
なにこのチャンコロパチコンZ80?
46774ワット発電中さん:2006/09/22(金) 20:22:33 ID:9bwAkc0N
> デルの株式は21日朝(日本時間同日夜)から売り注文が多く、
> 前日終値より2%前後安い水準の株価で取引されている。
2%かよ・・・公募売出より値引率低い orz
47774ワット発電中さん:2006/09/23(土) 03:49:58 ID:rDaqHxeX

ttp://www.msx.d4e.co.jp/info.html

これのゲームショウ展示品見てきた人いますか?
48774ワット発電中さん:2006/09/24(日) 02:12:45 ID:JZmDG8k6
>>40
遅レスでスマンがアルテラ系は黙って何にもしないとコンフィグ中は
I/Oピンはハイインピーダンスになる。
デバイスによりHSWAPピンと同じ機能ような機能を持っている
(ストラティクス?)ものもある。
信号によりHi又はLow固定で初期設定するのがあるので
私はいつも外部抵抗でHiまたはLowに吊っている。
49774ワット発電中さん:2006/09/24(日) 03:13:46 ID:uKi9MppV
>>48
あんたデータシート読み直した方がいいよ

>>40
その通り。できない。
50774ワット発電中さん:2006/09/24(日) 09:48:33 ID:tGnP8bZQ
「Alteraは」とか「Xilinxは」のようにおおきく括って思い込んでいると痛い目に遭うことがありますね。
デバイスを初めて使うときは先入観を取り払って、ちゃんとデータシートを見た方がよさそうです。
51774ワット発電中さん:2006/09/24(日) 10:40:45 ID:1081Cy4T
FPGAって個人でも色々と高度なことができそうだけど、
たいていPGAパッケージだから実際使える奴って限られてるよね。
IO少なくていいから手ハンダできる奴だしてくんないかなぁ。
52774ワット発電中さん:2006/09/24(日) 10:43:17 ID:1081Cy4T
↑BGAだた・・・
53774ワット発電中さん:2006/09/24(日) 10:59:04 ID:yl991iBx
俺は10k使ってるyo QFP144とか
54774ワット発電中さん:2006/09/24(日) 12:35:58 ID:S6AmydLV
BGAだから実際使えないってどういうことだ?手はんだできないってかい?
逆向きにして基板に貼ってはんだボールに手はんだして配線しろ
55774ワット発電中さん:2006/09/24(日) 13:31:43 ID:K44RYaKj
きがくるいそう
56774ワット発電中さん:2006/09/24(日) 14:24:49 ID:ovHJMWEC
そういう実装なら軽さんの夢の一点アースもやりやすいね
57774ワット発電中さん:2006/09/24(日) 20:31:16 ID:sIY6IOQY
>>50
どういう先入観かkwsk
58774ワット発電中さん:2006/09/24(日) 20:32:57 ID:t8Higqf7
FPGA初心者だけど、ALTERA(の書き込みソフト)って、未使用pinのアサインのデフォって、GNDなのな・・・
やたら消費電流が大きくて、どこぞかショートしてるのかと、半日ずーっとチェックしてた。
59774ワット発電中さん:2006/09/24(日) 21:30:08 ID:kFGVs9FE
未使用ピンに何かつなぐ方がおかしくない?
60774ワット発電中さん:2006/09/25(月) 00:31:20 ID:7ioDjL6I
ここで言う未使用とはロジックで使ってないI/Oピンの古都
61774ワット発電中さん:2006/09/25(月) 00:45:32 ID:mdsBDdHi
>>54
本気で言っているなら馬鹿だねw
62774ワット発電中さん:2006/09/25(月) 00:48:43 ID:rf3djvLN
>>58
仮にGND落ちでもいいんじゃない? 出力をHにしなければいい。
63774ワット発電中さん:2006/09/25(月) 20:54:57 ID:ocFF/iH7
>>60
ピンに名前は振って、entityとかにも記述して、でもarchitectureではドライブしてない端子、ということ?
58も60も言いたいことがよく判らない記述だけど。
64774ワット発電中さん:2006/09/25(月) 23:36:17 ID:ZfBYr2Lx
cycloneで未使用ピンをプルアップしようと思ったがデフォでは設定項目出てないのな
あちこちいじってたらチェックボックスが出現。わかりにくいぞアルテラめ。

もっともtclスクリプトで設定したほうが設計変更にも対応できてグーなんだけどね。
一度やり方を知ったら1ピンずつ設定なんてバカらしくてやってられねー
65774ワット発電中さん:2006/09/27(水) 11:18:27 ID:zPiHhKOc
66774ワット発電中さん:2006/09/27(水) 22:10:44 ID:Jv5rYCz2
1chipMSX 発売してるんだ!
67774ワット発電中さん:2006/09/29(金) 12:51:56 ID:qV7PoEjO
digikeyでザイリンクスのfpgaを注文して、サンハヤトのQFP-51という208ピンまで使えるQFP変換基板に載せてみたいのですが、

XC3S200-4PQG208C
名称 virtex
価格 2564円

XC3S250E-4PQG208C
名称 Spartan-3E
価格 1876円


同じパッケージで20万ゲートより25万ゲートの方が安いのですが、virtexとspartanってどのような違いがありますか?
というか、上の二つはどちらもspartanだったりします?
68774ワット発電中さん:2006/09/29(金) 15:30:36 ID:SFmm5gb8
型番を信用するなら上のはSpartan-3だよ
3Eとの違いは消費電力が大きいだったかな?
3Eは3のマイナーチェンジ
69774ワット発電中さん:2006/09/29(金) 21:01:44 ID:mhCSGHFd
>>68
Spartan3ですか
XC3S250Eのほうが買い徳のようですのでそちらにします
ありがとうございました
70774ワット発電中さん:2006/09/30(土) 01:23:11 ID:cgWCJT2D
>>67
何個買うのか知らんけど送料に注意
71774ワット発電中さん:2006/09/30(土) 14:45:48 ID:pit8cdXC
>>70
二千円ですね
あと手数料千円(今回はついでにほかの部品も買うと思うから必要なし)

うーん、、、
SPARTAN-3Eのデータシート見ながら、やっぱりオプティマイズさんのEZ-FPGA 7800円にしようか迷ってます
プリント基板に部品つけるだけで配線考えなくてすむからです
実はFPGA自体まったく使ったことないんですよぉ
といっても電源とJTAGとモードピン以外のピンは実質IOピンなんだろうから
それほど複雑な回路じゃないだろうから大丈夫か
72774ワット発電中さん:2006/09/30(土) 20:53:25 ID:w6T8mFWD
>71
最初は、確実に動作するであろうEZ-FPGAの方が良いと思うが。。。

ttp://optimize.ath.cx/shopv2_2/chuumon.html#ezfpga
>基板はFPGA、PLL、コンフィグROMチップがはんだ実装済みですので
>組み立てやすくなっています。

低速なIOピンの動作のみなら、手配線のスパゲティのグニャグニャでも良いし。
JTAGなんてのは、適当な単線で配線しても問題なし。

一番怖いのは、パスコンがらみの電源トラブルと、コンフィグROMからの高速ロード時かも。

目的と手段を間違えないよーにしようね!!
73774ワット発電中さん:2006/10/01(日) 20:12:24 ID:dsLuUdt5
>>72
>目的と手段を間違えないよーにしようね!!

こういうのがやってみたい!
http://crystal.freespace.jp/pgate1/nes/

nesのppu は5.37MHz
スパゲティでなんとかなる?
74774ワット発電中さん:2006/10/01(日) 20:40:42 ID:N1KXBgMZ
ムツゴロウの対決読んで俺は悟った
熊は危険だよ
小熊もいつかああなる
いまのうち始末しなかったらたいへんなことになる
75774ワット発電中さん:2006/10/01(日) 21:10:53 ID:pq2iG+DN
まあ落ち着け
いくら雛見沢でも熊はいない
76774ワット発電中さん:2006/10/02(月) 02:23:05 ID:CKkecKZ3
わずか体長50cmの小熊を殺すなんてむごすぎるだろ。
あと、小熊を撲殺するとかな。
ヒグマならともかくツキノワグマのしかも子熊に襲われる人間は食われてしまえ
77774ワット発電中さん:2006/10/02(月) 02:43:31 ID:DcgWrGin
どうやらこのスレには
触れられたくないものを持つ人間がいるらしい
いや、持たざるものか
78774ワット発電中さん:2006/10/02(月) 14:41:55 ID:KOz6oUm7
>>75
大きい熊が隣に座ってますがなにか
79774ワット発電中さん:2006/10/03(火) 18:51:14 ID:oKNwItPB
安定したようなのでISE6.3から8.2に移行
そしたら全然動かない。なぜ?と良くみればピンアサインがメタメタ
元のプロジェクトのUCFがコンバートされてなくて手動でプロジェクトに入れたら動いた
焦った... 8.2なかなか良いですね。結局7.Xは使わなかったな
80774ワット発電中さん:2006/10/06(金) 05:44:13 ID:LBW6YQ5u

--X---X---X---X---X---X---X---X-
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
X-X-X-X-X-X-X-X-X-X-X-X-X-X-X-X-

8167:2006/10/06(金) 16:12:35 ID:go4nLEgi
結局digikeyにしました。

開封してみたら2つ買った方の一つはピンが波うってる
もう片方はピンが2箇所ほどハの字に歪んでる。
本体端には傷のような白い染みも付いてる。
なんかB級品集めて入れられたような気がしないでもない。
コンフィグROMの袋には油のような変な液体(揮発性?)が入ってたのはナンだったのか。

変換基板にはなんとか搭載できたので、とりあえず使えれば良いんですが、
うちのPCにパラレルポートついてなかた
使えるか試せない><
CPLDがついてたトラ技も買ったまま休眠状態
82774ワット発電中さん:2006/10/06(金) 19:36:07 ID:LLOAasdF
>81
本当に動くデバイスだと良いですね。
ICが入っていた袋は、完全密封乾燥剤いりでしたか?
湿気ていて、フロー炉に入れたら割れたりしないかな?

>パラレルポート
SPARTAN-3Eのスタータキットには、
USBからの書き込みをサポートしたのがオンボードしてましたが。。。
83774ワット発電中さん:2006/10/06(金) 22:45:48 ID:2rrCXr25
>80
括弧に見えてきた。
84774ワット発電中さん:2006/10/07(土) 02:23:22 ID:V/1OoSSB
>>82
Digi-Key、下手するとDIPですら乾燥剤入りで、
アイスモナカ(wの梱包なんだけど、

中身が>>81みたいな状況もあるのか・・・
漏れの在庫、開けたくなくなってきた(w
85774ワット発電中さん:2006/10/07(土) 05:56:26 ID:dxfbAJpk
>>82
コンフィグromは乾燥剤入りの真空パック入りでした。

>湿気ていて、フロー炉に入れたら割れたりしないかな?
割れるなんてことあるのか。
乾燥剤はそのためなんですね。
86774ワット発電中さん:2006/10/07(土) 07:03:42 ID:lFvTw9Cw
割れるっちゅーか、ワイヤボンダが切れるんでしょ。<リフローの場合。
湿気吸っちゃったらベーキングしないといけない。

手半田だとそういうことはないかとおもた
87774ワット発電中さん:2006/10/07(土) 14:33:55 ID:f7m9Wsmy
>>86
いや、見事にひび割れがはいったことがあるよ。
88774ワット発電中さん:2006/10/08(日) 16:28:55 ID:Ar0GkV+h
内部の水分が膨張して割れるとかいう話があったな
89774ワット発電中さん:2006/10/08(日) 20:08:25 ID:rE63OEEu

すみません。教えてください。
某社のCPLDを使って火花放電器を作って遊んでいたのですが、
今日まで順当に動いていたのですが、突然動かなくなってしまいました。
見るとCPLDが熱くなっていました。テスターで電圧を測ると電源3.3Vが
1Vくらいしかありません。テスターでCPLDの電源端子を測るとほぼ0オーム
でした。こんなことって よくあるのでしょうか?
昨日までは動いていたのにぃ....。
こうなった原因は何か考えたのですが、頭よくないのでサッパリです。
入力端子からノイズが入ってCPLDの中を壊してしまったのだとは
思うんですが。入力と電源に入っているダイオード?が破壊されたのでしょうか。
0.5の144の張り替えはつらいです。


90774ワット発電中さん:2006/10/08(日) 20:17:40 ID:jpmZakYM
cpldで火花放電器を作ることってよくあることなのですか?
91774ワット発電中さん:2006/10/08(日) 20:37:32 ID:Lm6WkB41
どうやって作ったのか知りたいw
92774ワット発電中さん:2006/10/08(日) 20:40:14 ID:ZVF5aSui
どんな物を使っても、設計が悪いと壊れる。CPLDとてそれは同じ。
回路と写真UPすれば何か解答が得られるかも。
93774ワット発電中さん:2006/10/08(日) 20:40:41 ID:NS52p8Uu
うpうp
94774ワット発電中さん:2006/10/09(月) 21:06:20 ID:ombLLzR+
81ですが、パラレルポートの問題が解決しました!!!
スレーブシリアルモードはビットデータをDINから流し込むだけのようです。
これなら雑誌付録のマイコンから簡単にコンフィグできそうです。


ついでに質問なのですが、
消費電力が見当つきません。
xc3s250EでVccauxに0.5Aレギュレータじゃ足りない?
補助電源らしいけどクロックマネージャ(DCM)とかにも使われてるらしいからそれなりに食うのかも。
アドバイスお願い!!!!!!!!!!!!!!!!!!
Vccintは1Aのものを装着してます。

Vccintのパスコンは1uFと0.1uFどちらが良いんだろう。
基本クロックを21MhzとしてDCMで分周して動作させたい場合21Mhz付近の特性をみるのだと思うのですが、
1uFだと特性悪くなるようなので、0.1uFのほうが良いのかな。
1uFつけてる回路図もあったんだけど。
パスコンは変換基板の裏面に付ける予定です。
95774ワット発電中さん:2006/10/10(火) 07:55:23 ID:itj0KRV1
>>94
> ついでに質問なのですが、
> 消費電力が見当つきません。
> xc3s250EでVccauxに0.5Aレギュレータじゃ足りない?
> 補助電源らしいけどクロックマネージャ(DCM)とかにも使われてるらしいからそれなりに食うのかも。
> アドバイスお願い!!!!!!!!!!!!!!!!!!

こういうツールは参考にならない?
ttp://www.national.com/JPN/xilinx/power_expert.html
ナショセミのだけれど他のデバイスメーカーにも似たようなツールがあったと思う。
96774ワット発電中さん:2006/10/10(火) 10:07:36 ID:1svtCqqE
つーかそもそもISEにXPOWERてな消費電力推算のためのアプリがついてる。
97774ワット発電中さん:2006/10/10(火) 15:49:22 ID:6LNcRIPU
>>95
>>96
ありがとう
今xpowerを使ってみましたが3Eは対応してないそうです。xilinxのwebの電力推定サイトは使い方が解らなかったです。
>>96さんに紹介して頂いたサイトによるとMAX値はコア1A、AUX150mAという情報になってますね
別のサイトでは3EファミリーのMAXはAUX600mAとも
xc3s200を使ったスタータキットのレギュレータは写真みたところそれぞれ1A,0.5Aのものを使ってるらしいです
ということでコアに1A、AUXに500mAで当面試してみるという結論に達しました!
ご協力ありがとうございました!!!
98774ワット発電中さん:2006/10/11(水) 21:26:06 ID:CTELdvzF
さっさとうpしやがれ糞>>90
99774ワット発電中さん:2006/10/13(金) 05:28:04 ID:guqFde/U
age
100774ワット発電中さん:2006/10/13(金) 15:29:09 ID:guqFde/U
101774ワット発電中さん:2006/10/13(金) 19:10:24 ID:foeNDlY6
ここでMSXネタなんかやるなよ小僧
102774ワット発電中さん:2006/10/13(金) 22:46:47 ID:+f/4fO/V
めっきり寺の質問減ったな・・・。
時代の流れを感じる今日この頃。
やっぱ殿様商売は廃れる運命か
103774ワット発電中さん:2006/10/13(金) 23:41:56 ID:PAOMokXJ
寺って、なんだったかな
何か思い出しそうで思い出せない
104774ワット発電中さん:2006/10/13(金) 23:50:05 ID:/887BOQO
↑そのための、>>100 じゃないか?w
105774ワット発電中さん:2006/10/13(金) 23:50:47 ID:yXhn3I+J
>>101
やるにきまってるだろハゲ
106774ワット発電中さん:2006/10/14(土) 02:06:40 ID:jssrVowy
Alteraから宣伝メールがやってきて、その中にあった
Limited-Time Offer: Download ModelSim-Altera Web Edition

つまりはAlteraでもModelSim機能限定版を
Quartus2WEユーザ限定でダウンロード&使える ようにするよ

てみたいなんだが。XilinxのISE対抗て事か。
ttps://www.altera.com/support/software/download/eda_software/modelsim/msm-index.jsp

もひとつ、Cyclone2の20を載せたStarterKitが$150ですと。
ttp://www.altera.com/products/devkits/altera/kit-cyc2-2C20N.html

VGAコネクタが載っている とは書いてあるけど、DAコンバータは書いてないなぁ。
バッファのみのDA無しかな?
107106:2006/10/14(土) 02:16:55 ID:jssrVowy
>Cyclone2の20を載せたStarterKitが$150ですと。

ボード画像の下を見たら、 Development Board Schematic が有った orz
回路を見てもっと orz

VGAコネクタから抵抗ラダーDAか、その先は……FPGA直結かよ…
108774ワット発電中さん:2006/10/14(土) 02:30:36 ID:JgdP8i1L
ttps://www.altera.com/support/software/download/eda_software/modelsim/msm-index.jsp
がPage not Foundなんで聞くけど、機能限定ってどこまで限定なんだろ?
サイズとかの限定は止めて欲しいな。Alteraに関してはSE相当ならうれしいんだが。
ま、SE使ってるからどーでもいいか。。。なんてな
109774ワット発電中さん:2006/10/14(土) 22:42:33 ID:WNQY4eOV
>>106
GJ!!
110774ワット発電中さん :2006/10/14(土) 23:16:28 ID:YjlGHBu2
FPGAって電源の数が多くてイヤ
単電源でゲート数の多いのできない物か?
111774ワット発電中さん:2006/10/15(日) 00:12:21 ID:swYMZpv2
>>108

残念ながら、コードサイズ限定だよ…
Xilinxと同じで限定サイズを超えると、実行速度が1/10以下になる とかだろうなぁ。

限定機能の説明
ttp://www.altera.co.jp/products/software/products/model/eda-ms.html
「ModelSim-Altera Web Editionの動作性能は、ModelSim-Altera Editionの約半分であり、10,000 ラインの
使用制限があります。」
「シングル HDL シミュレーションのみ」 ← これは有償版のAltera版 ModelSimでも同じ制限有
だそうな。

ところで、
ttp://www.altera.co.jp/support/software/download/eda_software/modelsim/dnl-msim-61d.jsp
ホーム > サポート > デザイン・ソフトウェア > ダウンロード > 日本アルテラ - ModelSim-Altera 6.1d ソフトウェア
でもダメ?
112774ワット発電中さん:2006/10/15(日) 02:36:25 ID:hJV+VrQa
>>108,111
タダなんだから、いくらなんでも無制限って訳にはいかないだろう。
制限を越えても、動作速度が遅くなるだけで一応シミュレーションはできるはずじゃない?

10,000行のスペックはXilinx版と同じだね。
今までWeb Editionでは全くできなかった事を考えると、十分ありがたいよ。
最近ずっとXilinx使ってるから分からないんだけど、QuartusのWeb Edition 使ってる人達は
シミュレーションってどうしてるの?
Quartusのなかのシミュレータ使うのかな?

Web EditionでないAltera版っていつの間にか行数制限なくなってたんだね。
2年前は確か100,000行だった。
113774ワット発電中さん:2006/10/15(日) 03:01:14 ID:HAxSvjq3
つ[Icarus Verilog, GHDL]
114774ワット発電中さん:2006/10/15(日) 03:08:48 ID:2EZUSItw
んなもんつかわんでもクラックすればいいじゃん
でもやったことがわかれば善良な市民の俺は通報するけど
115774ワット発電中さん:2006/10/15(日) 03:43:41 ID:c0l65Qw4
>>113
そういう動くか動かないかわからないようなソフトウェアは使いたくない。
116774ワット発電中さん:2006/10/15(日) 04:16:29 ID:HAxSvjq3
つ[素直に購入]
117774ワット発電中さん:2006/10/16(月) 23:15:24 ID:dEzRpI3Y
アルテラのModelSimを入れてみたけど
送られてきたライセンスファイルが認識されない。
ザイリンクス版をアンインストールしなければだめなの?
118774ワット発電中さん:2006/10/17(火) 09:12:14 ID:SC9ZWbzR
環境変数LM_LICENSE_FILEにライセンスファイルのあるパスを ; で区切って指定しても駄目?

LM_LICENSE_FILE=D:\Xilinx\mode_xi.lic;D:\Altera\model_altera.lic

とか
119774ワット発電中さん:2006/10/17(火) 09:13:38 ID:SC9ZWbzR
>>117
俺はSE使ってるんでFPGA会社限定版はよく知らんけど(自慢)。
120774ワット発電中さん:2006/10/17(火) 13:27:55 ID:9aGytiiP
ファイル名変えたら駄目みたいだよ
121774ワット発電中さん:2006/10/18(水) 21:48:44 ID:RURUyklN
自己解決しました。
QuartausUのWeb License Updateで送られてくる
ライセンスファイルでは、駄目で
新たにライセンスを取得したらOKになりました。
122774ワット発電中さん:2006/10/19(木) 01:19:38 ID:kru1VM61
Spartan3Eキット検討してたが、1チプMSXに身を委ねた。
これはタイミング的にやられたかもしれんね。

CycloneIIのキットが出るんじゃん。
123774ワット発電中さん:2006/10/19(木) 01:21:23 ID:BAN1o9Gt
きっと。
124774ワット発電中さん:2006/10/19(木) 19:43:14 ID:7AcJEUUz
>>116
100万円するソフト買えない
125774ワット発電中さん:2006/10/20(金) 02:22:25 ID:ysGKIPb1
電脳網に漂ってるのをひらって割ればいい
126774ワット発電中さん:2006/10/20(金) 12:16:00 ID:ETGFGm9l
ど素人な質問ですみません。EPM7160STC100の書込みをプログラミング会社にお願いしたのですが、
その時に間違ってEPC1PC8用のプログラムデータを送ってしまい、そのままプログラムされて納品
されてきました。でもある人に聞くとプログラム時にエラーが出て書込みはできないと聞きました。
実際にはどうなのでしょうか?ちなみにライターはMAX+PLUSU&BPです。
127774ワット発電中さん:2006/10/20(金) 19:19:36 ID:eVLS5CVx
納品されたんなら書き込めたんだろ。
発注先に問い合わせもできないのか?
128774ワット発電中さん:2006/10/20(金) 22:11:33 ID:cg9ZiQE9
如何様にも対応するのがプロ
129774ワット発電中さん:2006/10/20(金) 23:08:57 ID:2lBCFxnP
イカサマかまして、対応するのもプロ
130774ワット発電中さん:2006/10/21(土) 13:57:47 ID:YTwXpza6
検収した受け入れ担当が馬鹿で禿げちゃびん
131774ワット発電中さん:2006/10/21(土) 20:16:10 ID:OEXukF0T
禿を差別する>>130に断固抗議するものであります。
132774ワット発電中さん:2006/10/21(土) 22:08:41 ID:dkKbMUYF
>126
書き込みのROMの種類とデバイスが一致しないと、エラーが発生して書き込みは出来ないです。つまり未書き込み状態のはずですよ。
133774ワット発電中さん:2006/10/22(日) 17:38:05 ID:xMN1QZWz
行き詰ってしまった…質問させてください。

XilinxのPLD「XCR3064」の44pinパッケージを
使って色々勉強中なのですが、コイツから5Vを
駆動させる方法がわかりません。

アンサー6717を参照して、その通りのVHDLを打っても、
特定のpin(34pinをdin、35pinをdout)はドレイン設定できますが、
他(1pinと2pin等)に移すと5V駆動できなかったり…

極端な話、outに割り当てられるハズの34pin全てを5V駆動
するには何を設定してやればいいのでしょうか?
ISEのオプション設定で何か足りないモノがあるのでしょうか??

データシートには36I/Oって書かれているのに
FixReportを見ると28I/Oしか無いって事になってるし…
ISEわけ分からない!
134774ワット発電中さん:2006/10/22(日) 18:01:53 ID:7FYKaQnd
>>133
お前の書いている方がわけわからないぞw
で、5Vにしたかったけど何Vになったんだよ?
それから、アドバイスがほしければ全ソース(*.vhdと*.ucf)を晒せ。
お前の書き方は用語の使い方が変だし、意味が通じにくい。
日本語で会話するよりもそのほうが正確だし早いだろ。

135774ワット発電中さん:2006/10/22(日) 19:19:31 ID:S2++SuZA
>>133
概要のページ見ただけだけど、そのデバイス、5Vトレラントってだけじゃないの?
136133:2006/10/22(日) 20:59:06 ID:xMN1QZWz
うぅ、分かり辛くて申し訳無い。

>>134
3.3V動作のPLDから5Vの外部駆動を動作させたかったので、
外にプルアップ抵抗を付けて外部と接続したが5Vにならず3.3Vまま…
VHDLはアンサーにあったものをそのまま書いて試してます。
ttp://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?getPagePath=6717
基本素人ゆえ分かり辛くてスマンです。
ucfは何処か晒せる場所を探しますわ…

>>135
5Vトレラントだから、外部にプルアップ付けて
ドレイン設定できれば良いなぁと思っていました。
137774ワット発電中さん:2006/10/22(日) 22:41:05 ID:FMashiSq
>外にプルアップ抵抗を付けて外部と接続したが5Vにならず3.3Vまま…
ッタリメーダヴァカ
138774ワット発電中さん:2006/10/23(月) 02:08:24 ID:jKM+kY11
>>137
ヌシは、オープンドレインは理解してるようだがな。
>>136
ザイリンクスは「ドレイン設定」って呼ぶの? なんか変。
アルテラは、普通に'0'と'Z'を出力してやればオープンドレインになったけどね。
別の何かの設定が居るのか・・・ザイリンクス使いにくそう。
139774ワット発電中さん:2006/10/25(水) 00:26:54 ID:qW0xVuow
>>133
とりあえずコレ見た。
ttp://direct.xilinx.com/bvdocs/publications/j_ds017.pdf

>他(1pinと2pin等)に移すと5V駆動できなかったり…
PLCCなら入力専用ピンですな。
>FixReportを見ると28I/Oしか無いって事になってるし…
入力専用とJTAGを勘定に入れると数は合う。
JTAG端子はPORT_ENでI/Oに切り替え可能。へー財はこんなことできるのか。

資料見た限りでは出力ピン構造が分からんからなんとも言えないが、
オープンドレインをプルアップして5V出力は寄生ダイオードが邪魔をして
できないのが普通だと思う。74HC07とかの構造見てみ?
寄生ダイオードなしで電源電圧以上掛けられるデバイスって少ないよね。
というわけで出力専用ならHCTで受ける、入出力したいなら3245を使う。
140774ワット発電中さん:2006/10/25(水) 11:13:12 ID:XgIIdK04
>>136

 ぐぐったら同じ事が書いてあった

 ttp://www.neko.ne.jp/~freewing/fpga/fpga_tips/
141133:2006/10/27(金) 02:28:29 ID:7mKyn+yS
>>137-140
反応が遅れて申し訳無いです。

PLDやら回路自体やらに触れてからまだ日も浅いので、
自分自身何が分からないのかも良く整理できていません。
もっと基礎を固めてみます。

やりたかった事については>>139さんが書いてくれたように
変換ICを使ってみようかと思います。

色々とありがとうございました〜。
142774ワット発電中さん:2006/10/27(金) 11:06:30 ID:Ly/4dOeS
CPLDに供給するクロックとして水晶発振器のつもりで水晶発振子を買ってしまいました。あとから発振子だけでは使えず、コンデンサと74HC04のようなNOTが必用だと知りました。このNOTはCPLDの中にNOT回路を設けて代用できますでしょうか?
143774ワット発電中さん:2006/10/27(金) 13:23:35 ID:aX2CmZ4U
この場合はインバータをアンプとして使うので、CPLD内の論理ではだめです。
また、74HC04ではなく74HCU04がいいです。
144774ワット発電中さん:2006/10/27(金) 13:36:22 ID:Ly/4dOeS
がびーん
ということはFPGAでも同じですか?
ちかくにICが手に入るショップがないんですよね。
145774ワット発電中さん:2006/10/27(金) 14:15:51 ID:ouUKnkeN

ものすごく精度を気にしない用途で
PLDのLCELLを何個か直列に接続してリングオシレータで発振しましたよって
レポートを何処かで読んだ記憶が・・・

素直に発振器買った方が利口だけど。
146774ワット発電中さん:2006/10/27(金) 14:51:47 ID:rxvnnREc
3.3Vの発振器って表面実装ばかりだね
147774ワット発電中さん:2006/10/27(金) 19:37:17 ID:5WH3Y7tQ
>>144
通販ぐらいやれよ。
>>145
MAX7256が付録で付いてきたとき、やってみましたよ。
4ns/1LC@3Vぐらいでした。電源電圧で結構変化します。
148774ワット発電中さん:2006/10/27(金) 19:51:36 ID:aX2CmZ4U
>>144
FPGAでも同じ。
ただ、I/Oバッファを自在に編集できるスキルがあればあるいは...
149774ワット発電中さん:2006/10/27(金) 20:18:13 ID:W5Z16Uh9
トランジスタとCRが少々あれば個人で使うような発振器なんてすぐできんべさ
150774ワット発電中さん:2006/10/27(金) 21:11:19 ID:ObYZF7Id
>>147-149
おなじNOTなのに発振に使える使えないの分かれ目はどこにあるのでしょうか?
151774ワット発電中さん:2006/10/27(金) 22:58:27 ID:iGEdhhFN
UはUnbefferedのU
152774ワット発電中さん:2006/10/28(土) 00:44:38 ID:EEh7ZniN
>CPLD内の論理ではだめです。

嘘です。やったことあるよ。VCOもできる...ってことでPLLもオケー。
CPLDだけど、具体例。↓
http://www.hdl.co.jp/max_xosc.html

>Unbeffered

unbufferd

苦情:
軽石さん、分からないことは無理して回答しなくて結構です。
153774ワット発電中さん:2006/10/28(土) 00:56:39 ID:EEh7ZniN
ちなみに、XC9532-15でもLC発振で80MHzくらい行きましたよ。
154774ワット発電中さん:2006/10/28(土) 01:02:21 ID:oSw9faNU
>>153
写真と回路きぼんぬ。
155774ワット発電中さん:2006/10/28(土) 01:05:00 ID:EEh7ZniN
まあまあ、ムキにならないで、軽石さん。
写真?ありません。4年も前の話。
ありふれた回路です。ググッて自分でやってみてください。
156774ワット発電中さん:2006/10/28(土) 01:15:24 ID:EEh7ZniN
と思ったけど、写真があった。1999年だったよorz。

http://radio.s56.xrea.com/radio/src/radio1104.jpg

PLL組んで、VGAのビデオカードのHDから、液晶パネル用のPixel clockが目的だったんですよ。
オシロ管面下がHD、上がロックさせたクロック。

80MHzはそのときの余興です。
157774ワット発電中さん:2006/10/28(土) 02:39:27 ID:OtCA/36l
さて、今度は軽さんが自分の作品の写真を貼る番になりましたw。
158774ワット発電中さん:2006/10/28(土) 09:41:41 ID:xlpDnlft
追い込み過ぎると、またどこかの掲示板で暴れ出すからそろそろヤメレ
159774ワット発電中さん:2006/10/28(土) 09:52:06 ID:iMIF5tRL
軽石謹製PICデバッグボードの写真うpキボンヌ
160774ワット発電中さん:2006/10/28(土) 10:43:05 ID:/LKO5F+u
ISEのWebPackこないだからダウンロードできなくね?
161774ワット発電中さん:2006/10/28(土) 10:49:24 ID:/LKO5F+u
あ、なんどもリロードしまくってたらダウンロードでけた
鯖がくそ重かっただけか・・
162774ワット発電中さん:2006/10/28(土) 11:09:35 ID:EnWn6dg+
軽さん、深夜の更新が続いたと思ったら、この体たらくですか。
仕事は二の次、blogが一番というのが軽さんらしいw。
これ、遠まわしにずる休みしましたって書いてるんだよね。
ネタがないとはいえ、blogで告白していいんだろうか。

>面白い映像はいくつかストックされている

動画の方は、”実はあるんだけど、難癖つけて出せない”といういつものパターンだね。
デバッグボード、公開きぼんぬ。実体あるならね。
たんにユニバーサル基板にPICとか挿しただけでひょ。


2006-10-26 倒れました。
(--;朝起きると謎の激痛が腹部を直撃。
最近経験した事のない痛みで苦しむ。
とりあえず安静の為寝る・・・・ひたすら寝る・・・・・・寝ていると痛みは感じない。
ふと目が覚めるとあれだけ痛かった痛みは何処か?(^o^)
いや、布団に入った時にはすでに激痛は無かった・・・・・ような気がする。(^^;
睡眠不足を解消するかのようにひたすら爆睡していました。
目覚めるとお腹が空いてしょうがないのでどんぶり飯をかっ込んで復活。

■動画
キャンペーンでもらったデジカメだが、編集ソフトで苦戦する。
映像の切った張ったは出来るだのが、音声のカットとかどうすりゃいいのかよくわからん。
面白い映像はいくつかストックされているが、関係ない音が入っているのでそれを消したいのだが、方法が判らない。
あと、よくある動画の中で公開したくない物体を隠す方法も調べないといかん。
静止画だと簡単な事も動画になると結構面倒。
163774ワット発電中さん:2006/10/28(土) 11:12:13 ID:EnWn6dg+
誤爆った。ごめそ
164774ワット発電中さん:2006/10/28(土) 12:24:45 ID:oSw9faNU
>>156
写真うp乙。
口だけの香具師が多いですけど、ちゃんとできる方がいるんですね。

安定度が気になりますが、いかがでしたか?
165774ワット発電中さん:2006/10/28(土) 14:07:51 ID:rkE0mENb
アポロだって捏造なんだ、そんな写真くらい簡単に捏造できるだろ、というヤツが現れないのが不思議。
166774ワット発電中さん:2006/10/28(土) 15:03:22 ID:FzbuRuSU
USBパラレル変換ケーブルでJTAGケーブルが使えるものってありますか
167774ワット発電中さん:2006/10/28(土) 15:34:40 ID:EnWn6dg+
>>165

軽石さん、みっともないよ。

>>164

やっぱりジタりますけど、液晶のクロックには十分でした。
一時期はやった海底天国のNEC液晶。
物は処分しちゃったのでもうないけれど。
168774ワット発電中さん:2006/10/29(日) 01:05:50 ID:4Usvd+49
>>166
×USBパラレル変換ケーブル
○USBプリンタポート変換ケーブル

普通の変換ケーブルはプリンタしか繋げない
169774ワット発電中さん:2006/10/29(日) 21:35:14 ID:6VDJGv/A
>>166

Alteraならこんなのが。ケースも互換かよ
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=46

Xilinxならこれ。純正Evaボードについてくるやつか
http://www.digilentinc.com/Products/Detail.cfm?Prod=JTAG-USB&Nav1=Products&Nav2=Cables
170774ワット発電中さん:2006/10/29(日) 21:57:37 ID:18E1ZC4C
>>168
やはり3000円位の変換ケーブルじゃだめなんだよね。サンクス
>>169
USBで使えるにしてはたしかに安いけど送料とか関税とか考えるとやっぱり高い。
171774ワット発電中さん:2006/10/30(月) 00:07:18 ID:KvViC1ZX
ノートだったらPCMCIAのRATOCとかのパラレルポートは使えるけど、そこまでしてもねぇ。
172774ワット発電中さん:2006/10/30(月) 00:11:12 ID:BVILcuq6
>>169

digilentincのJTAG-USBはどのボードについてくる?
これは専用ソフトでないと書けないはずだとおもうんだけど?

SPARTAN3のスターターについているのはパラレルのJTAG3じゃないか?

>>170
純正買うよりぜんぜんやすいんですけど?
1万円くらいで買えるんじゃないかな?
173774ワット発電中さん:2006/10/30(月) 04:23:20 ID:ezeWFYHp
CYCLONEでPCIする時、PINをダイオードクランプするけど何で、クランプする
必要あるの? PCIは3.3V CYCLONEのIOも3.3Vにするんだから必要ない
気がする。なんでだろ。5VのPCIはどの道できないだろうし。

174774ワット発電中さん:2006/10/30(月) 14:36:25 ID:HbeDrweV
>>173
PCIバスインターフェイスは厳密にはLVTTLやLVCMOSではないから。

以前にインターフェイス誌の付録にその屁理屈と解決策を書いていた
ような気がする。
175774ワット発電中さん:2006/10/30(月) 23:06:32 ID:GBkXEIR0
っ伝送線路 反射
176774ワット発電中さん:2006/10/30(月) 23:08:56 ID:MMY1w+pS
マイクロストリップの達人の出番だな
177774ワット発電中さん:2006/10/30(月) 23:13:57 ID:7o69372g
そこまで厳密にシミュできないから、オーバーシュートによる
破壊から保護するためにクランプしているんでしょ
178774ワット発電中さん:2006/10/31(火) 07:00:48 ID:PEasppL0
スパルタンだと3.3VI/Oでも5Vトレラント。Cycloneは3.3VI/Oで
ダイオードクランプでごまかし。って感じなのでしょうか?
 でもコンフィギュレーションの時はクランプできない。でもPCIは勝手に
アクセスしてくる。だからCycloneは壊れる。ってことにならない?
 CycloneでPCIって綱渡り的感じがするんだが、大丈夫なのだろうか?
179774ワット発電中さん:2006/10/31(火) 07:01:19 ID:qk3ERI+3
>オーバーシュートによる
俺も単純にそうオモタ
180774ワット発電中さん:2006/10/31(火) 19:19:10 ID:7q2vtxyN
> でもコンフィギュレーションの時はクランプできない。
16進数入力でもしてコンフィグしてるですか。
> でもPCIは勝手に アクセスしてくる。
スイッチ入れた瞬間からフルパワーで働くですか。
181774ワット発電中さん:2006/11/01(水) 00:02:20 ID:affWeVca
>180
意味不明。仮にコンフィギュレーションが1msecでもその間はハイインピーダンス
になるから、5Vとかがかかると壊れるでしょう。
>スイッチ入れた瞬間からフルパワーで働くですか。
普通はそうですよ。寝てるよなのもあるかもしれないが。
182774ワット発電中さん:2006/11/01(水) 01:10:25 ID:Nc5lff4N
>>175が言ってるのはPCIバスは反射波スイッチングだと言うことだと思うが。
手元のPCIバスの仕様書によると、反射波との合成によって、信号レベルは
5V系で最大-5.5V〜+11V、3.3V系で最大-3.5V〜7.1Vの範囲になるのだそうだ。
183774ワット発電中さん:2006/11/01(水) 04:34:56 ID:RjMe6Xdn
>182
 とすると、どんなFPGAでもバススイッチでもダイオードクランプ入れないと
駄目ってことになる。
 因みにコンテックのDIOボードはスパルタンの出力が直接エッジに出ていた。
スパルタンってダイオードクランプがついてるの?
184774ワット発電中さん:2006/11/03(金) 21:59:28 ID:PCVbjHg5
寺って何で代理店変わってデバイス値上げしてんの?
うちの購買のおっちゃんがめっちゃ起こってたべ。
やっぱ、社員の給料がべらぼうだから?
それとも直販にきりかえるつもにニカ?
185774ワット発電中さん:2006/11/04(土) 19:07:01 ID:rDRiAqtV
digikeyで買えば。ドル決済だけど。
186774ワット発電中さん :2006/11/09(木) 22:06:31 ID:NPzN3cGI
ザイリンクスのISE WebPACK Ver4.1かVer8.2iで、FPGAのゲート使用率を調べられないですか?
もしくは別のソフトでも良いので、自分の作成した回路の素子数がどの程度であるか知りたいのです。

自分が使っているFPGAはSpartan2-XC2S150-PQ208です。

よろしくお願いします。
187774ワット発電中さん:2006/11/09(木) 22:33:55 ID:aNzRf/JZ
>>186
合成すればレポートが出ると思うけど、、
188774ワット発電中さん :2006/11/09(木) 22:50:53 ID:NPzN3cGI
>>187さん
回答ありがとうございます。

レポートを見たら、記載されていました。
お恥ずかしい限りです。
189774ワット発電中さん:2006/11/10(金) 05:08:28 ID:2TLPJWbu
おめでと
190774ワット発電中さん:2006/11/11(土) 15:14:08 ID:09sbB8ug
手間がWebで変なことを始めた件について。
191774ワット発電中さん:2006/11/11(土) 17:22:06 ID:FmAcX43+
みんなでエジソン
192774ワット発電中さん:2006/11/15(水) 02:27:21 ID:S8tPRzX9
3年ぶりにFPGA触ります。量産でも使用するから真剣に選ばなくちゃて思ったら代理店が変わっているし、時代に取り残された感じ。Xilinxのおばちゃんの営業もALTERAのちょびヒゲの偉い人も居ないと聞いたけどどこかのメー
カーに転職したの?で、最近はどちらが勢いあるの?パルに親しみがあるのだけどALTERA扱っていないみたいだし、うちの会社はパルじゃないみたいだ
し。
193774ワット発電中さん:2006/11/15(水) 05:31:00 ID:nY23WxHk
>>192
量産する予定なら使用ピン数、処理内容から必要な機能わかるよね
それに、今は流派はっきりしているし値段も安定しているから
目的のモノが作れる一番安いのでいいんじゃないかな
194774ワット発電中さん:2006/11/15(水) 22:59:39 ID:6NKxR0CC
量産なら必要個数が組立時に納入できるかどうかの確認も忘れないようにな〜
195774ワット発電中さん:2006/11/16(木) 03:22:26 ID:0Ehpwbgw
処理速度ボチボチならPICでいいだろうし
 出回ってるプログラム手直しして納品って事が簡単にできるからね
196774ワット発電中さん:2006/11/16(木) 08:11:02 ID:yXnORmgn
軽さん?
197774ワット発電中さん:2006/11/17(金) 01:47:38 ID:DnvWXSbE
軽石さん!

ET戦利品レポートお待ちしております!。
198774ワット発電中さん:2006/11/17(金) 12:18:03 ID:F2YHnw2L
> 処理速度ボチボチならPICでいいだろうし

メンテできないので嫌われますが..
ましてや軽さんは、無償アセンブラはだめで、CCS−Cしか使えない人だし。
199774ワット発電中さん:2006/11/18(土) 00:35:41 ID:SuLHD1w9
軽さん相変わらず有名人だなぁ。

点プレの

> ---- 他所の掲示板の話題をここに振ってるひとへ ----
> 技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。

は、軽さんからFPGAインフォメーションを守るべく、告発しに来た人向けだったんだよなぁ。
今は平和だ。
200774ワット発電中さん:2006/11/18(土) 18:49:22 ID:ZZ7S48u4
ソフトCPUはどこがベスト?
201774ワット発電中さん:2006/11/18(土) 20:34:15 ID:2VIa4qHA
やわらか中央処理装置
202774ワット発電中さん:2006/11/19(日) 03:48:21 ID:TPDLEF1v
jisaku
203774ワット発電中さん:2006/11/19(日) 16:36:27 ID:yBxVB+NS
sflとか使ってる人いる?
velilogとかvhdlとかより取っ組みやすいし、
sflで書いたものをvelilogとかに変換できるから個人的にはいいと思ってる
204774ワット発電中さん:2006/11/19(日) 16:44:24 ID:5LcPWMXW
SFLなんて初めて聞いた。
RTLより上位レベルで記述する言語なのか。
よくわからんがSystemCとかSystemVerilogとかにしといた方が無難じゃね?
205774ワット発電中さん:2006/11/19(日) 20:14:15 ID:TPDLEF1v
Systemなんちゃらとかあんなだらだらとしたもので
どうやって納期に間に合わせろと?
206774ワット発電中さん:2006/11/19(日) 22:37:30 ID:Po6Lb3gi
パルテノンなんて久しぶりに思い出したぞ
207774ワット発電中さん:2006/11/19(日) 22:52:11 ID:DjVIOA5x
パルテノン=パルテクノロジーノンの略
ようするに、プログラマブルデバイスの内部構造なんぞ
しらんでも、開発できる言語でっせ!
おおうそだけどなW
208774ワット発電中さん:2006/11/20(月) 00:09:44 ID:45azY/kd
最近はフリーで使えるの?ぱるてのん。
1chipファミコンとか、どっかの学生がこれでやってるとか小耳に挟んだ。
209774ワット発電中さん:2006/11/20(月) 04:27:44 ID:/06h2LWb
パルテノン暫定版だったらメール出せばライセンスくれたはずだと思います
あとsflをvhdlとかvelilogに変換するコンパイラも無料でつかえます

いま卒研でsflでFPGAを設計してるけど、一度使いだしたらvhdlとか使いたくなくなる
ただsflって2種類のクロックとかある場合とかはvhdlとか使わないと駄目ぽい・・・・
FPGAのプリミティブ?(DLLとか)とか使うときも
はぁ・・・
210774ワット発電中さん:2006/11/20(月) 11:43:21 ID:jQt/uKBA
グローバルクロック1つだけってのは実用にならんな
211774ワット発電中さん:2006/11/21(火) 19:11:51 ID:SGTwp4rE
>>209
1つのクロックから異なる分周比のカウンタを使って2つのクロックを作り出せば問題無い. 

非同期な2つのクロックを混用する設計はしないし,やってはいけない.
どうしてもそうしたいなら2つのデバイスに分割して設計すれば良いだけ.
212774ワット発電中さん:2006/11/21(火) 19:43:42 ID:Ni8nCk+r
>>211
なんか教科書読んでる気分だがw

素直にVHDL使った方が得策だ、と言いたいわけだな。
213774ワット発電中さん:2006/11/21(火) 21:32:09 ID:LlUgFVGR
>>211
>非同期な2つのクロックを混用する設計はしないし,やってはいけない.

現実の実装で2つ以上の非同期クロックが使用されてるじゃん。
fpgaでの設計のみ許されない根拠とは一体?
いわゆる宗教上の理由ですか?
214774ワット発電中さん:2006/11/21(火) 21:32:16 ID:QwgDzD9m
>>211
それが理想なのだが、映像と音声を扱うとクロックが複数必要になったりするのが現実。
内部に取り込んだ後処理する基本クロックは一つというのは同意。

>1つのクロックから異なる分周比のカウンタを使って2つのクロックを作り出せば問題無い.

これでで作ったクロックを内部のD-FFに入れたらあかんぞ。
D-FFに入れるクロックはシステムクロックを使うのが基本だ。



215774ワット発電中さん:2006/11/21(火) 22:02:26 ID:9IqM0lUV
  ,j;;;;;j,. ---一、 `  ―--‐、_ l;;;;;;
 {;;;;;;ゝ T辷iフ i    f'辷jァ  !i;;;;;  マルチクロックはバグの温床・・・
  ヾ;;;ハ    ノ       .::!lリ;;r゙
   `Z;i   〈.,_..,.      ノ;;;;;;;;>  そんなふうに考えていた時期が
   ,;ぇハ、 、_,.ー-、_',.    ,f゙: Y;;f.   俺にもありました
   ~''戈ヽ   `二´    r'´:::. `!
216774ワット発電中さん:2006/11/21(火) 22:50:56 ID:U9EaFiG6
FPGAって多種クロックに対応してる作りなの?
FPGAのクロックドライバやクロック配線ってそこまで柔軟な作りになってるの?
217774ワット発電中さん:2006/11/22(水) 00:21:28 ID:Nxxl2nLI
>>214
>それが理想なのだが、映像と音声を扱うとクロックが複数必要になったりするのが現実。

映像系と音声系は独立してるから問題ないでしょう。
どうしても異なるクロック系で相互にデータをやり取りするときは,
メタステーブルに気をつけて受け側のクロックで同期化処理すれば
いいんだから。(もっとも何段FF重ねて受けてもメタステーブルの
可能性は完全に0にはならんのだが...........)

>>216
ある程度の規模のものならクロックドライバ/クロック配線系統は複数ある
218774ワット発電中さん:2006/11/22(水) 01:24:39 ID:YZJT7S91
単一クロック源でさえメタステーブル気にしないといかんのに
219774ワット発電中さん:2006/11/22(水) 01:43:06 ID:rw4lf7tz
fpgaのクロック系統は16系統とか32系統とか
いまや非同期の温床。
220774ワット発電中さん:2006/11/22(水) 01:53:03 ID:5SlcD6Id
>>218
I/Oだけでしょ。

>>219
非同期回避のための同期化でしょ。
221774ワット発電中さん:2006/11/22(水) 10:07:51 ID:e9dCywyT
>>216
おまいFPGAのデータシート嫁。
ちょっと大きなFGPAにはクロック入力は数本普通にある。

>>217
>映像系と音声系は独立してるから問題ないでしょう。
退避させるメモリとか普通一緒にしないか?
222774ワット発電中さん:2006/11/22(水) 20:22:40 ID:zOsV1bYc
退避させるメモリ?????
223774ワット発電中さん:2006/11/22(水) 20:34:58 ID:zOsV1bYc
ああ、送受信データのバッファ用のメモリの事か
退避させるメモリで一瞬悩んじゃった
224774ワット発電中さん:2006/11/22(水) 20:39:34 ID:zOsV1bYc
>>217
>>221
Xilinxのデータシート久しぶりに見た
エリア毎にクロック系統分割できるのか
規模がでかくなったんだなぁ
浦島太郎状態だ('A`)
225774ワット発電中さん:2006/11/23(木) 14:31:25 ID:nvAFmiGx
>>224
だいぶ取り残されてるな

デザインウェブおまけ基板のSpartan3でさえ
DCM(=PLL)2個にクロック入力8本に
乗算器4個だぞ
226774ワット発電中さん:2006/11/25(土) 15:44:21 ID:KrjtTh1h
無料期間中
Quartus II Web Edition Software v6.0 Service Pack 1
ModelSim-Altera Web Edition
227774ワット発電中さん:2006/11/25(土) 18:33:03 ID:8xIUi5dc
>>226
下はともかく、上は今まで通り
228774ワット発電中さん:2006/11/25(土) 22:28:31 ID:KPdhsV7l
76 :774ワット発電中さん :2006/11/23(木) 21:35:33 ID:L3cf4kwZ
それでhttp://science4.2ch.net/test/read.cgi/denki/1160676948/1はいつ敗北宣言するの?w
229774ワット発電中さん:2006/11/26(日) 11:04:13 ID:dz4LBqWA
>>228
MSXなんかアホしか買わんから期間限定なんだろ。
金出すアホにターゲット絞った販売→勝った奴アホ決定
このスレでMSXなんかの話題を持ってくんなアホ
230774ワット発電中さん:2006/11/26(日) 13:43:18 ID:PKfMyjRg
231774ワット発電中さん:2006/11/26(日) 19:12:14 ID:D0aYXgoy
それで>>229はいつ敗北宣言するの?w
232774ワット発電中さん:2006/11/27(月) 23:07:39 ID:vPrxnSjt
Virtex-5の総トランジスタ数ってどのくらい?
233774ワット発電中さん:2006/11/27(月) 23:42:37 ID:L2R4EUYY
> いつ敗北宣言するの?w

発送されてる段階で負けだよね。
あーみっともない。
234774ワット発電中さん:2006/11/27(月) 23:58:14 ID:5lW+7sDI
ああいうガラクタ買うやつの方が100倍みっともないんとちゃうか?
235774ワット発電中さん:2006/11/28(火) 00:30:07 ID:ETHvmqRM
一人で必死。みっともないもっともない。
賛同者がいないのによくがんばるねぇ。

駆るさんと同じで、能書ばっかでなんにもで(ry
236774ワット発電中さん:2006/11/28(火) 01:07:50 ID:vi/+5/dU
実はスパ3スターターを2つ買ったけど全く使えなかった
軽さん本人が買ってすぐ使えるFPGAボードがうらやましくて...
237774ワット発電中さん:2006/11/28(火) 08:27:10 ID:ETHvmqRM
駆るさんのお勧めは実行を伴っていないからねぇ。だから何でも簡単なわけで。
自分では全知全能の神と思ってるみたいだけど、実際はまともな文章もかけないアフ(ry
238774ワット発電中さん:2006/11/28(火) 12:40:51 ID:jjdH3tlg
言うは易し行い難し
239774ワット発電中さん:2006/11/30(木) 05:11:10 ID:ADHSxQyy
案ずるより産むが易し
240774ワット発電中さん:2006/11/30(木) 12:07:50 ID:XinUFrM8
獲らぬ狸の皮算用
241774ワット発電中さん:2006/11/30(木) 12:14:26 ID:XinUFrM8
ブタもおだてりゃ木に登る。

初心者の”ありがとうございます”中毒の軽石。
242774ワット発電中さん:2006/11/30(木) 13:50:23 ID:Ynd/RNxD


パラレル端子とシリアル端子は邪魔なレガシー
http://pc7.2ch.net/test/read.cgi/jisaku/1164634326/

243774ワット発電中さん:2006/12/02(土) 18:40:35 ID:OPo5tFIc
「D-SubとRS232を間違えるって」にちょっとワロタ
244774ワット発電中さん:2006/12/06(水) 02:51:48 ID:EIyikVXL
ttp://www.xilinx.com/products/silicon_solutions/fpgas/spartan_series/spartan3a_fpgas/s3a_product_table.htm
Spartan3A スパ3A やっとこ 正式発表

ttp://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?key=HW-SPAR3A-SK-UNI-G
3Aのスタータキットも発表

XC3S700A-FG484 搭載 $199
13248LC BlockRAM 360Kbits 乗算器20個 

値段がちょっと上がったな。199ドルてことは、2万5千円か?
245774ワット発電中さん:2006/12/09(土) 01:02:27 ID:kLy9okxv
いきなりですまんがツールとしての出来は
ISE>>>Quartus II
だよね?初心者の感想ですけど。
246774ワット発電中さん:2006/12/09(土) 15:20:59 ID:/mO7ydTG
>>245
寺から財に乗り換えたヲレは逆の感想ダナ。
財は統合化されていないので使いにくいが、安くてにはいるので無理して使ってるヨ。
247774ワット発電中さん:2006/12/09(土) 15:39:01 ID:TOKuLhrK
発想は逆でも結論は同じ?
248774ワット発電中さん:2006/12/09(土) 15:49:10 ID:2dxLBVG7
あまりかわらないというか…
普段焼きデータ作るとき以外はつかわないし…
249774ワット発電中さん:2006/12/10(日) 13:36:42 ID:24l8cHok
FPGAについて最近のニュースがわかるサイトありませんか?
250774ワット発電中さん:2006/12/10(日) 15:26:39 ID:yqqaWU6o
251774ワット発電中さん:2006/12/10(日) 16:25:25 ID:Kg6CS7In
>>245
ISEは処理もファイルもますます重くなった感がある
最近QuartusIIを使い始めたけど不自由は感じない
どっちも回路図viewerは使えんけど
252245:2006/12/10(日) 20:51:37 ID:nO62U6Pj
quartusの方が評判いいんですね
個人的にはiseのGUIでport文つくったりコアつくったりするのに感動したんですが。
アルテラは教育が貧弱で独学+CQ本だけどザイリンクスはトレーニングがしっかりしてるからそう感じているだけなのでしょうか・・・
253774ワット発電中さん:2006/12/11(月) 05:14:00 ID:PB4auvEP
どっちもコマンドライン用ツールの寄せ集めなんだけど
Quartusの方はそれをうまく隠してる
ISEはバラバラなのが時々見える
254774ワット発電中さん:2006/12/11(月) 05:33:57 ID:h0qFbzDB
皆さんエディタのタブサイズは3ですか、4ですか?
xilinxのISEのタブサイズ設定画面が見つからないんですよね。タブサイズ3ってのが気にくわない。
255774ワット発電中さん:2006/12/11(月) 05:37:55 ID:NMnblEj1
よん
256774ワット発電中さん:2006/12/11(月) 20:51:32 ID:WXRuj6it
256
257774ワット発電中さん:2006/12/12(火) 20:15:39 ID:i2co6uHa

納入時はTabをスペースに変換しないとバグるツールがあるらしい
258774ワット発電中さん:2006/12/13(水) 14:37:46 ID:KGpF+rUZ
すいませんSpartanIIのI/O bankのVccoをオープンにした場合
そのbankのI/OはHigh-Zになるんですか?
259774ワット発電中さん:2006/12/13(水) 21:40:39 ID:bO5dBKLE
>>258
それはないw
260774ワット発電中さん:2006/12/13(水) 21:47:12 ID:vAQkxVjN
聞きたいんですがSpartan IIIE XC3S500Eと Cyclone EP1C12Qって
どっちの方がでかいロジック組めますか?
要するに、SpartanIIIEスターターキットと1chip MSXなんですが。
ちなみにSpartan IIIEの方は持ってます。

261258:2006/12/14(木) 00:15:51 ID:rmOjqk4R
Vccoは内部でつながってるんですね・・配線するの1本だけでいいですか・・・
262774ワット発電中さん:2006/12/14(木) 01:10:45 ID:TyjbzHDO
>>260
コンパイルしてみればいいじゃないか。

sp3E500で40%のものがep1c12で30%、ep2c20で20%
ep2c20なら2万で教育用ボードが手に入る
263774ワット発電中さん:2006/12/14(木) 08:03:29 ID:2ORI4bsU
>>261
ダメ
少しアナログ回路の勉強しろ
264774ワット発電中さん:2006/12/15(金) 01:39:12 ID:orod+rnU
>>262

ありがとん。参考になりました。

265774ワット発電中さん:2006/12/16(土) 21:57:35 ID:u0qRrRSV
Spartan2に電源を入れてSpartan2の内部のリセット回路が動作する前のI/O端子の状態はどうなっているんですか?
266774ワット発電中さん:2006/12/16(土) 22:28:35 ID:Kzb9wOwL
Hi-Z
267774ワット発電中さん:2006/12/16(土) 22:59:33 ID:u0qRrRSV
>>266
ありがとうございました!
268774ワット発電中さん:2006/12/17(日) 01:22:45 ID:7QhYuczV
> Spartan2の内部のリセット回路が動作する前

この人が電源投入時のリセット関連端子をどのようにケアしようとしているのかが
気になる、、、、
269774ワット発電中さん:2006/12/17(日) 10:11:08 ID:+BzzMhuD
>>265 はなぜ今時Spartan2なのかそっちが気になる。


270774ワット発電中さん:2006/12/17(日) 17:13:46 ID:prE1P4m+
千石で買ったからです
271774ワット発電中さん:2006/12/17(日) 21:18:11 ID:/bkBRhlP
多くの日本人は在日について嘘の情報を教え込まれており、連中の本当の
正体を知りません。在日朝鮮人、韓国人が日本人から嫌われているのは
当たり前なんです。奴らは日本人が敗戦の悲しみをこらえ必死になって
祖国の再建を目指して努力していたときに、土地を不法に占拠したり、
日本女性を強姦したり「俺達は戦勝国の国民だ。」と威張り散らして警察
官にさえ暴行を加えた連中なんだから。

こんな連中を見ていた60代以上の日本人には在日を蔑む気持ちが芽生
えたのは当然の話。それを奴らはやがて、日本に秩序が戻り自衛隊も
できて自分達が思うように暴れることができなくなると「ウリたちは日本人
に差別され続けて生きてきたニダ。」などとお得意の捏造話を語るよう
になった。
こんな連中を日本国内において置くだけでもずいぶんお人よしなのだが、
奴らはそれを見てつけあがり被害者の振りをして要求すればたいていの
ことは押し通せるということに味を占めてしまったのだ。

こんなになってしまったのは、社会党を始め自民党の中にもいる売国議員
や朝日新聞、日教組などの捏造宣伝によるところも多い。

国民が在日の正体をしっかりと理解して反日的なマスコミの記事に批判的
に対処できるようにならなければならない。最も良いのは、朝日、中日、
西日本などの明らかに反日的な新聞は購読しないことだ。
272774ワット発電中さん:2006/12/18(月) 08:12:47 ID:YI/rDkGe
ISEとQ2でISEができがいいなんて言うやついるんだな。
今はだいぶマシになったがちょっと前はISEってひどかっただろ。
273774ワット発電中さん:2006/12/18(月) 11:18:07 ID:OlmS9m76
ISEでコンパイルとレイアウト完了したデータを
Q2から財のFPGAにJTAGで書き込んだり
その逆とか可能ですか?
274774ワット発電中さん:2006/12/18(月) 11:48:03 ID:K931+t4h
タブサイズ3は池沼
275774ワット発電中さん:2006/12/19(火) 21:30:49 ID:6voECYpt
プロセスウィンドウとか初心者がとっつきやすいイメージ>>ISE
276774ワット発電中さん:2006/12/20(水) 20:52:05 ID:k6uvIAji
XC9572使ってます。趣味の範囲で申し訳ないのですが、質問させてください。

入力UP, DOWN:in std_logic
出力OUT:out std_logic
があります。
論理動作としては、
UP | DOWN | OUT
0 | 0 | 0
0 | 1 | 0
1 | 0 | 1
1 | 1 | 0
となりますが、DOWN=1となったら、次のUP=1までOUT=0を保持させておきたいのですが、
これを実現するには
UPの立ち上がりとDOWNの立ち上がりに反応する回路が必要でした。
しかし、CPLDではこのような回路の合成は不可能でした。
どのようにしたらCPLDで実現できますでしょうか?
277774ワット発電中さん:2006/12/20(水) 21:33:36 ID:Scsryvlu
>>276
単に組み合わせ回路でいいのでは?
278774ワット発電中さん:2006/12/20(水) 21:39:51 ID:8TUhg3n2
>>276
最後の4行通りにするなら
UP | DOWN | OUT(n-1) | OUT(n)
の真理値表を書かないといけないのでは?
279774ワット発電中さん:2006/12/20(水) 22:00:19 ID:IWLg7DRw
UP | DOWN | OUT(n-1) | OUT(n)
0 | 0 | 0 | 0
0 | 1 | 0 | 0
1 | 0 | 0 | 1
1 | 1 | 0 | 0
0 | 0 | 1 | 0
0 | 1 | 1 | 0
1 | 0 | 1 | 1
1 | 1 | 1 | 0
280774ワット発電中さん:2006/12/20(水) 22:44:10 ID:qAbTytMA
真理値表ができたならそのまま素直に記述すればいいんじゃないのか?
281774ワット発電中さん:2006/12/20(水) 23:46:48 ID:sdyzGmyd
>>276
>UPの立ち上がりとDOWNの立ち上がりに反応する回路が必要でした。
なんだから、真理値表がまつがってない?
282774ワット発電中さん:2006/12/21(木) 00:34:08 ID:vfYzEg06
状態遷移図だろ
真理値表いうな
283774ワット発電中さん:2006/12/21(木) 01:05:17 ID:pMSeITfQ
284774ワット発電中さん:2006/12/21(木) 15:35:51 ID:tRw5X2h4
理解するのに時間かかりましたが・・

Port( a : in std_logic_vector( 23 downto 0 );
    o : out std_logic );

architecture Behavioral of test is

begin
 process ( a )
  variable tmp : integer range 0 to 3;
 begin
  tmp := 0;
  for i in 0 to 23 loop
   if ( a(i) = '1' ) then
    tmp := tmp + 1;
   end if;
  end loop;
  if ( tmp = 2 ) then
   o <= '1';
  else
   o <= '0';
  end if;
end process;

end Behavioral;
285774ワット発電中さん:2006/12/21(木) 16:40:27 ID:fQvUkC5Y
>>284
やめてください
286774ワット発電中さん:2006/12/21(木) 17:12:01 ID:fQvUkC5Y
>>284
a'high とか a'low使えってことですか・・・?
287774ワット発電中さん:2006/12/21(木) 23:18:33 ID:pMSeITfQ
> integer range 0 to 3;
rangeで制限してexitで抜けるより、rangeなしでそのままの方が小さくなる気がする。
Behavioralとかあるから、どうでもいいのかもしれないけど。




やめてください
288774ワット発電中さん:2006/12/22(金) 00:09:24 ID:a3QHumU5
母「おい、おまいら!!FPGAが届きますた。実験室に集合しる!」
父「詳細キボーヌ」
母「今日は寺ですが、何か?」
兄「寺キターーーーーーーーー」
妹「キターーーーーーーーーー」
姉「FPGAごときで騒ぐ奴は逝ってヨシ」
母「オマエモナー」
父 --------終了-------
兄 --------再開-------
妹「再開すなDQNが!それよりACTELキボンヌ」
母「AVRマイコン うp」
姉「↑誤爆?」
兄「Lattice age」
父「ほらよACTEL>家族」
妹「神降臨!!」
兄「LATTICE age」
母「糞Lattice ageんな!sageろ」
兄「Lattice age」
姉「Lattice age厨uzeeeeeeeeeeee!!」
母「ageって言ってればあがると思ってるヤシはDQN」
セールスマン「イタイ家族がいるのはこの家ですか?」
母「氏ね」
父「むしろゐ`」
兄「LATTICE age」
妹「兄 、 必 死 だ な ( 藁
289774ワット発電中さん:2006/12/22(金) 00:29:07 ID:BDOtDXGD
AVRで思い出したんだがフリーIPでDDRSDRAMのって無いかな?
SPA3EスターターキットのDDRをmicrobrase無しで使いたいんだが
290774ワット発電中さん:2006/12/22(金) 00:47:04 ID:BxjRC2eR
つOpenCores
291774ワット発電中さん:2006/12/22(金) 02:43:49 ID:fxbAJM75
つ 自作
292774ワット発電中さん:2006/12/22(金) 23:41:26 ID:f1a26Xmy
つMemory Interface Generator
ただでDDRできんぞ。詳しくは財のWEBで”MIG”で検索汁
293774ワット発電中さん:2006/12/22(金) 23:54:59 ID:U/upOYMB
SDRAMを使う場合ってランダムアクセスするときはキャッシュがあったほうが効率がけっこう違うんですか?
294289:2006/12/22(金) 23:59:13 ID:BDOtDXGD
おまいらの優しさに濡れた
ちょっとOpenCoresとMIGで検索してみる
295774ワット発電中さん:2006/12/23(土) 00:49:25 ID:Y+esLUep
>>289
Latticeのreference designsにある。
296774ワット発電中さん:2006/12/23(土) 11:56:57 ID:xriFKKj/
>>293
SDRAMと同じくらいキャッシュがあれば、最高の効率になるな。
297Socket774:2006/12/23(土) 12:41:37 ID:B5jTFK7z
>>296
財布の効率が低いぞ
298774ワット発電中さん:2006/12/23(土) 16:39:49 ID:hQMT6fSC
財布内の回転効率はいいぞ。
299774ワット発電中さん:2006/12/23(土) 16:45:59 ID:AG4glbw+
ダムを一気に放流して回転させたと思ったけど中々貯まらない状態
300774ワット発電中さん:2006/12/23(土) 20:45:13 ID:hQMT6fSC
俺の財布には溜まるぞ。



小銭が。重くてかなわん。
301774ワット発電中さん:2006/12/24(日) 16:52:33 ID:o31TWPrd
雑談ムードになっているところで質問
kwhr0さんのところにあるフリーIPのAVRをコンパイルしようとしているのですが、マクロselectorが無い とエラーが出ます。
Velirogのコンパイラ識別子にそんなのは無いみたいだし、ユーザマクロの昨日も見当たらないのですが、
これは論理合成ツールが違うとかそういう問題なんでしょうか?
ソフトはISE 8.2Webpack SP3を使ってます。

…こういうのってサポートしないって言ってたら、メールして聞いちゃだめなものですよね?
302774ワット発電中さん:2006/12/26(火) 21:34:19 ID:04pacOAa
FPGAって非同期の多クロックを扱うことってできるんですか?
303774ワット発電中さん:2006/12/27(水) 05:01:37 ID:Qks9ZEm3
はい
304774ワット発電中さん:2006/12/27(水) 13:17:27 ID:0Ie1UpMB
>>303
ありがとうございます。
floorplanerで見てFFのクロックにI/Oの信号や内部の信号が来ていたら、
他の信号に関係なくその信号でラッチされるということで大丈夫ですか?
305774ワット発電中さん:2006/12/28(木) 01:24:05 ID:aXiDPv3A
大丈夫です
306774ワット発電中さん:2006/12/29(金) 17:03:39 ID:itp2fLUs
ISE Foundation 8.2i 評価版をダウンロードしようとすると、
CDケース裏面の製品IDを聞かれるんですが何を入れるんですか?
評価版をDLしたいのでにCDケース裏面の製品IDなんて知ってるはずないんですが・・

ttp://www.xilinx.co.jp/ise_eval/index.htm
307774ワット発電中さん:2006/12/30(土) 00:25:51 ID:I+a4B2WV
オンラインでIDももらえばいいよ
308306:2006/12/30(土) 03:42:43 ID:IQwsXhts
どこでID貰えるんでしょうか?
Xilinxのアカウントは持っていてログインはしてますが、
それらしい所は見つかりません。
309774ワット発電中さん:2006/12/30(土) 06:11:33 ID:I+a4B2WV
死ねばいいよ
310774ワット発電中さん:2006/12/30(土) 07:08:52 ID:2tDFU4hy
>>309?ボコボコにしてやったよ
 ∧_∧
 ( ・ω・)=つ≡つ
 (っ ≡つ=つ
 /   ) ババババ
 ( / ̄∪
311774ワット発電中さん:2007/01/02(火) 18:09:12 ID:agT2vmeP
FPGAで倉庫番を解く計算機を作るとしたら↓
312774ワット発電中さん:2007/01/02(火) 18:21:52 ID:bv2K46Eg
倉庫番って何?

まずはCとかで倉庫番とやらを解くソフトを書いて、
そのソフトをハード化すりゃ良いだけじゃね?
313774ワット発電中さん:2007/01/02(火) 18:26:10 ID:agT2vmeP
314774ワット発電中さん:2007/01/05(金) 16:01:37 ID:67Qu5tV8
FPGAの電源投入順序の制御はどうやって行ってますか?
VCCINT→AUX→IOの順に入れるのが推奨らしくて。
315774ワット発電中さん:2007/01/05(金) 16:02:45 ID:7cSkB8W0
FPGAによっては専用のレギュレータがあったような
316774ワット発電中さん:2007/01/05(金) 16:54:17 ID:53s6DGs/
>>314
FPGAと言っても沢山あるので、質問するなら最低でもメーカ名位書けよ。
おまいにはベルニクスなどのメーカー推奨電源IC等を薦める。
317314:2007/01/05(金) 17:53:52 ID:67Qu5tV8
一般的にはどうやってますか?って質問なんですが・・・
FPGAのアキーテクチャとかには依存しない話で。

ベルニクスの電源並べるにしてもベルニクスをON/OFFする回路があるでしょ?
それをどうやっているかという話です。
マイコン?PLD?etc・・・
318774ワット発電中さん:2007/01/05(金) 18:01:18 ID:mIs+Qql0
そういやTEDが電源投入シーケンス制御専用のIC開発したな 売込みに来てた
319774ワット発電中さん:2007/01/05(金) 18:45:45 ID:53s6DGs/
>>317
本当に仕様書一通り目を通したのかよ。

おまいの書いたAUXピンがすでに汎用ではないと思うけど理解しているか?
質問の範囲を広げすぎるとレスが付き難いぞ。
市場に出荷されているFPGAをすべて使った事がある香具師はめったにいない。
いたとしてもおまいのような馬鹿な質問には答える事はないだろう。

だからだれでも気軽にこたえられるように条件を絞って質問すればレスがつきやすい。

すべてのデバイスの仕様書を見た事がないので断言はできないのだが、複数電源を使うICの場合コア電源が先に立ち上がるようになっているはずだ。

>ベルニクスの電源を並べる

おまいのようなへタレは何個も並べる基板作る事は設計依頼はないだろうから安心しろ。
2個以上使う事を考えてはいけない。




320314:2007/01/05(金) 18:56:24 ID:67Qu5tV8
役立たずのレスしか付けない人は無視してっと。

>>318

TED製のは見つかりませんでしたが、
電源シーケンサというアナデバのADM1xxxシリーズを見つけたので、
これを使ってみようと思います。
321774ワット発電中さん:2007/01/05(金) 19:02:20 ID:B3dZ+M53
よくわからんが、ID:67Qu5tV8の態度が気に食わない
322774ワット発電中さん:2007/01/05(金) 21:33:29 ID:7cSkB8W0
俺が使ったFPGAはVCCINTとVCCO(I/O)しかなくて適当にレギュレータつないだら動いたよ
(注意:趣味用)
323774ワット発電中さん:2007/01/05(金) 21:35:27 ID:mIs+Qql0
>>320
> TED製のは見つかりませんでしたが、

たとえば以下のLSIが電源シーケンス制御機能付
http://www.inrevium.jp/pm/fpga_config/te7725pf.html
324774ワット発電中さん:2007/01/06(土) 02:57:09 ID:b/xuHLtO
>>317
一般的には、デバイスのデータシートにしたがって入れろ。

な?
325774ワット発電中さん:2007/01/06(土) 10:48:18 ID:lGAL8scs
データシートには載ってなくて、
エラッタに載ってる恐ろしいデバイスもあるけどな。 < Spartan3
326774ワット発電中さん:2007/01/06(土) 13:09:27 ID:2ot0UKqU
>>325
SPARTAN3はデータシートを鵜呑みにして電源投入の順序は規定されてないものとばかり思ってました。
よろしければその資料のありかを教えてもらえませんか。
327774ワット発電中さん:2007/01/06(土) 13:18:13 ID:lGAL8scs
328774ワット発電中さん:2007/01/06(土) 16:25:53 ID:FPTD8sru
>>320

>>318のアドバイスをちゃんと受け取らないのはアナデバの回し者だったという事か?
最初からアナデバを使うつもりだったんだろうね。
デバイスのURLを貼り付けないのは検索させてIPを集めているとみた。
こえーな。
329774ワット発電中さん:2007/01/06(土) 19:01:22 ID:4K0ayPps
>>327
即答ありがとうございます!
330774ワット発電中さん:2007/01/06(土) 20:35:22 ID:dZydtowg
どんな電源シーケンスでも対応するのが当然で、ミスってるからエラッタじゃないのか。
電源シーケンス制御は複数チップ使用するときに使うものだと思うんだが。
331774ワット発電中さん:2007/01/06(土) 21:10:01 ID:sYpvryQA
I/Oの電源と外部回路の電源を同時に入れるとかやったほうがいいんだろうか・・・
332774ワット発電中さん:2007/01/06(土) 22:18:37 ID:ZqvxZxSo
>>330
最近のI/Oとコアの電源電圧が異なるデバイスの場合,電源投入順序が規定されてる方が多いんじゃないか?
SPARTAN3はデバイスのエラッタ出すのではなく,データシートの訂正にするべきじゃなかったかと思うが
333774ワット発電中さん:2007/01/07(日) 21:38:23 ID:D/6tjiCU
>332
Spartan3的には「どんな投入順序でも大丈夫だもんね、へへん」だったのが実はダメだった、
のでエラッタなんだろうねぇ。新しいリビジョンは直したみたいだし。

基板起こしてからでは対処しようのないものはデータシートに書いてほしいとは思うが。

334774ワット発電中さん:2007/01/18(木) 23:45:59 ID:TSV/pMfV
なんとなく貼ってみる

米ヒューレット・パッカード(HP)は16日(米国時間)、
従来の8倍に集積度を高めた「FPGA」(フィールド・プログラマブル・ゲート・アレイ)を開発したと発表した。
ttp://www.mainichi-msn.co.jp/keizai/it/computing/news/20070117org00m300070000c.html
335774ワット発電中さん:2007/01/19(金) 00:31:57 ID:YdQ7loit
FPNI
336774ワット発電中さん:2007/01/19(金) 20:42:15 ID:qDDOSwCv
> 8倍に集積度を高めた
とか、いくらすごいことが書いてあっても、開発環境とデバイスが
適度な価格で入手できなければ絵に描いた餅。

まぁ、食ってみて石噛んだり、開いて見たらカビてたりして、hpに幻滅することは
ないから、それはそれでいいの鴨な。
337774ワット発電中さん:2007/01/20(土) 19:31:31 ID:4rowt7B/
質問があります。
Xilinxだと、PicoBlazeみたいにプリミティブライブラリを使って
小さくて速いものが書けますが、Alteraでも可能でしょうか?
可能な場合、ドキュメントのありかを知りたいのですが。
338774ワット発電中さん:2007/01/21(日) 01:15:48 ID:apROtWTj
339774ワット発電中さん:2007/01/22(月) 21:43:49 ID:gT07Ge5A
ISE9.1ってどうよ?
340774ワット発電中さん:2007/01/22(月) 21:53:02 ID:dw1e0qu5
341774ワット発電中さん:2007/01/23(火) 00:41:03 ID:Z9KG8UUZ
ちょ、おま・・・
342774ワット発電中さん:2007/01/23(火) 00:57:02 ID:I90Lh9Pa
343774ワット発電中さん:2007/01/24(水) 14:37:24 ID:xUCcPVI1
天安門事件
344774ワット発電中さん:2007/01/25(木) 21:43:56 ID:wTuc4fhO
ISE初めて使うけどTABが3ってなんだよ!!
変更もできない見たいだしイライラするなぁっっ
345774ワット発電中さん:2007/01/25(木) 21:49:50 ID:P0+JViUm
タブの数は変えられなかったか…?
346774ワット発電中さん:2007/01/25(木) 21:51:10 ID:ZlPeG43B
ISEで編集などしない
347344:2007/01/25(木) 22:33:29 ID:wTuc4fhO
>>345

一通り見たけどそれらしい項目は見当たらないです。
もし出来るなら教えてください!!
348774ワット発電中さん:2007/01/25(木) 22:38:06 ID:VClvC8jH
349774ワット発電中さん:2007/01/25(木) 22:46:42 ID:oG0oDB/r
>>347
Edit->Preferences->ISE Text Editor は?
350774ワット発電中さん:2007/01/25(木) 22:47:47 ID:sw8rrIOV
>>348

なぜかそのハイパーリンクが紫色なんだが
351344:2007/01/25(木) 22:56:02 ID:wTuc4fhO
>>349

有り難うございます!!
Edit->Preferences->ISE General->Editor を見てました。
352774ワット発電中さん:2007/01/25(木) 23:06:29 ID:ZlPeG43B
てかてめーらmakefileくらい書けよ
ISEやXPSの中で何やってるか知らずによく気持ち悪くないな
353344:2007/01/25(木) 23:32:02 ID:wTuc4fhO
ISE初めて使う人間にそんな事を言われても・・・
makefileのサンプル宜しくです。
354774ワット発電中さん:2007/01/26(金) 04:10:53 ID:BL589R+Q
てかてめーらFPGA Editorくらい使えよ
どんなマッピングされてるか知らずによく気持ち悪くないな
355774ワット発電中さん:2007/01/27(土) 19:40:18 ID:D4DnL4cy
気にしたら負け
356774ワット発電中さん:2007/01/27(土) 19:41:56 ID:TlDKjynO
てかてめーらインラインアセンブラくらい使えよ
どんな機械語にされてるか知らずによく気持ち悪くないな

って言うくらい無駄な事じゃないかと思う今日この頃新しいISE webpackはどんな感じでしょうか?
357774ワット発電中さん:2007/01/27(土) 21:43:01 ID:QcERf6TE
そろそろこなれた頃かと思って、先々週に8.2に乗り換えたばかりです。
358774ワット発電中さん:2007/01/28(日) 01:53:39 ID:qzEVoOP0
EDKが出てくれないと乗り換えられない
9.1ってVirtex5のサポートがメインのような気がするんだけど、
既存のデバイスでうれしい事って何かあるのかな?
359774ワット発電中さん:2007/01/28(日) 02:48:32 ID:74wattFF
9.1ってEDK入りって聞いたけど。DVDだけかな?
360774ワット発電中さん:2007/01/30(火) 00:01:51 ID:IUNjAIf6
DVDどこで配っている?
パルテックのHPではまだ8.2を配布しているよ。
361774ワット発電中さん:2007/01/30(火) 01:59:06 ID:OvZkfLMp
ESDでダウンロードした。6.3iを使い続けてるので違いは良くわからん。
362774ワット発電中さん:2007/01/30(火) 12:09:20 ID:0UTCDFzx
>>360

デッドくん家
363774ワット発電中さん:2007/01/31(水) 12:12:22 ID:SBwdYesH
FPGAインフォメーションのゴミレス王、軽石が何か始めたぞ。
アースは一点アースじゃないのか?wwww

> http://karuishi.servehttp.com/cpld1.jpg
>
> 試作弐号基板の写真を公開。途中から集中力が切れて雑になっています。
> とりあえず活動内容の公開という事で写真だけアップ(2007/1/29)
364774ワット発電中さん:2007/01/31(水) 14:03:31 ID:QUyrSl5B
自演乙
365774ワット発電中さん:2007/01/31(水) 14:35:15 ID:Ci+aSKPj
xilinxより
【無償ソフトウェア最新版 ISE WebPACK9.1i ダウンロード開始!】
だそうだ。
366774ワット発電中さん:2007/02/01(木) 23:20:13 ID:6zalIQKU
おまいら、Spartan3A Starter Kit販売開始したぞー。内容と値段は予告どおり。
367774ワット発電中さん:2007/02/02(金) 01:41:06 ID:Ddc9pUGY
Xilinxのスターターキットを購入しようかと思うのですが、
Spartan3Eと3Aの違いってなんでしょうか?どちらをかうべきでしょうか?
目的はHDLの学習とプロセッサアーキテクチャの学習です。
picoblazeなどの既存のプロセッサを動かしたりソース読んだりです。

また代理店はどこがいいでしょうか?
ttp://japan.xilinx.com/japan/support/shop/s3esk.htm
AVNETは個人にも対応しますとwebに明示しているので第一候補。
リョーヨーは個人はダメとFAQに書いてあったので候補外。

368774ワット発電中さん:2007/02/02(金) 01:54:32 ID:SbWnXZCr
E ならマルツーで買った。
代理店ってなにしてくれるん?
369774ワット発電中さん:2007/02/02(金) 01:56:10 ID:UOI2qdE5
真空パックが入った箱に店名を書いたシールを貼ってくれる
370774ワット発電中さん:2007/02/02(金) 02:00:18 ID:DmnLdLiN
3E I/Oの構造を貧弱にして、代わりにロジックを増やした
3A ロジックの構造を貧弱にして、代わりにI/Oを強化した
3 全体的にバランスが取れている。(どちらかを貧弱にする前の状態)

3EのIOは酷いぞ。
入力専用ピンがゴロゴロしている。
FPGAから何か信号を出したいと思っても、信号を出せないピンがいっぱいでピン配置に苦労のは必至。
371367:2007/02/02(金) 10:32:03 ID:Ddc9pUGY
ありがとうございます。

>>368
代理店はセミナーやってくれてるみたいです。
セミナーは無料みたいだけど、セミナーを受けるなら
そこの代理店から買うのが筋かなと思って。

>>370
単純に新しい3Aがいいってわけでもないんですね。
Spartan-3 starter kitはUSB接続じゃないみたいなので、
3Aか3Eにしようと思います。(ノートPCで作業したいこともあるので。)
もう少し資料(users guide)など比較して見ようと思います。

372774ワット発電中さん:2007/02/02(金) 14:12:22 ID:Us8MyrwR
SDRAM:DDR -> DDR2
VGA:8色 -> 4096色
PWMオーディオジャックが追加、20pinのIOヘッダ追加
コレくらいか?

デバイスも大きいの(500 -> 700)になってるから3Eよりこっちの方がいいんじゃない?
373774ワット発電中さん:2007/02/02(金) 22:52:25 ID:wrmUG18N
>>372
>>371
このキットでは関係無いが、3Eの謎の2.5V-IOが消えたのは、大きな違いだと思う。
3Aは、やっとコンフィグも3.3Vになった。
374774ワット発電中さん:2007/02/04(日) 05:38:27 ID:tlvZGLev
コンフイギュラブル・プロセッサによるVorbisの実現(FPGAとその応用及び一般)
ttp://ci.nii.ac.jp/naid/110003178600/

こんなのあった
375774ワット発電中さん:2007/02/04(日) 23:37:32 ID:M77n0ycq
681 :774ワット発電中さん :2007/02/04(日) 23:07:12 ID:M77n0ycq
軽石ふぁくとりぃ

> http://karuishi.servehttp.com/
> http://karuishi.servehttp.com/cpld12.jpg
> 動いているかわからないのでLEDを追加。(2007/02/04)

褒めてあげたいのですが、LED付けても、静止画だと動いているか判らないんです軽石さん。
そこまで脳にふぐいアがあるとは思いませんでした。
せっかくvideo.ask.jp/profile.do?user=karuishiがあるんですから、
動画にしましょうよ。
あと、せっかくオシロ買ったんですから、波形を載せるのもいいと思いますよ。
買ったのが本当であればw。

軽石文章は、客観事実は書いてあるんだけど、何を伝えたいのかがさっぱり解らない。

682 :774ワット発電中さん :2007/02/04(日) 23:23:35 ID:pkKyzoMI
LEDチカチカに25.4016MHz鳥に牛刀wwwwwww。
分周だけでマクロセル大消費。
ってそれだけでいいのか。
配線コソーリ直してるのはカワユス。
チップ抵抗使ったりして、目いっぱい背伸び君だね。

ところで、右下のソケットの上の部品はなんだ?リセットICか?
リセットはおろか、初期化記述も知らなかったくせにwwwwwwwwww。
376774ワット発電中さん:2007/02/05(月) 07:59:11 ID:bGwPtEqP
>>375
だから宣伝は必要ないから
377774ワット発電中さん:2007/02/10(土) 11:10:37 ID:HciYokDx
XILINXのスターターキット買うんだけど、3Aと3Eどっちにしようかと
思って比較表作ってみた:

| | 3A | 3E |
| FPGA | Spartan3A | Spartan3E |
| FPGA GATE# | 700 | 500 |
| CPLD | - | XC2C64A |
| PROM Serial | 4Mbit | 4Mbit |
| PROM SPI | 4MB | 16MB |
| PROM Para | 16Mbit | 16Mbit |
| SDRAM | 64MB DDR2 | 64MB DDR |
| 1-w EEPROM | - | Y |
| LCD | 2x16 | 2x16 |
| PS/2 | KB+MS | KB+MS |
| VGA | 4096c/12b | 8c/3b |
| LAN (PHY) | 10/100 | 10/100 |
| RS232 | 2 | 2 |
| USB-JTAG | Y | Y |
| CLOCK | 50MHz | 50MHz |
| 2nd CLK DIP | Y | Y |
| SMA CLK IN | Y | Y |
| FX2 IO | 1 | 1 |
| HS DIFF-IO | 2 | - |
| Digilent IO | 3 | 3 |
| SPI-DAC out | 4 | 4 |
| SPI-ADC in | 2 | 2 |
| AUDIO | Y | - |
| DEBUGPORT | Y | Y |
| ROT-ENCODER | Y | Y |
| LED | 8 | 8 |
| SLIDE SW | 4 | 4 |
| PUSH SW | 4 | 4 |

差額5000円あるにしても3Aでいいかなと思いつつ、3EはなぜかSPI Flashが
妙に多いのはなんでだろ?
378774ワット発電中さん:2007/02/10(土) 11:36:49 ID:5D6QnqeD
Aは入出力数重視
Eは論理回路数重視じゃなかったかな?
それでもEのは多いような気はするけど…
でAは論理回路でメモリ作った日には全然足りなくなっちゃう
だからAは高速なDDR2を詰んでるのかと
379774ワット発電中さん:2007/02/10(土) 12:29:56 ID:HciYokDx
>>378
そこがFPGA入門者な自分によくわからないところなのですが、
搭載チップ自体の仕様だと

| | XC3S700A | XC3S500E |
| System Gates | 700K | 500K |
| Logic Cells | 13248 | 10476 |
| Dedicated Multipliers | 20 | 20 |
| Block RAM Blocks | 20 | ? |
| Block RAM Bits | 360K | 360K |
| Distributed RAM Bits | 92K | 73K |
| DCMs | 8 | 4 |
| I/O Standards | 26 | 18 |
| Max Differential I/O | 165 | 92 |
| Max Single Ended I/O | 372 | 232 |

というわけでシリーズ的な方向性の差以上に、実チップとしては
XC3S700AがI/OでもロジックでもXC3S500Eより上のように読めるのですが、
どうなんでしょう?
380774ワット発電中さん:2007/02/10(土) 14:52:08 ID:6d0WMsgg
実はFPGAとはビットスライスCPUをたくさん並べた物
あるいはCLBの数だけ段数を持つパイプライン

381774ワット発電中さん:2007/02/10(土) 15:12:50 ID:PgZJgjNH
>>379
3Aと3E はそれぞれ5段階の規模があって、
3S700Aは上から2番目、3S500Eは上から3番目。
てことで、メモり周りがOKなら3Aにしとけば?
382774ワット発電中さん:2007/02/10(土) 16:41:41 ID:Q7tZY48U
3Aボード、AVNETで\24,990-です
とりあえず注文
383774ワット発電中さん:2007/02/10(土) 17:46:45 ID:WV6IfuWQ
今はドルが120円前後で、スターターキットが$199だから・・・ 

めちゃ、お得な値段と思えるな。
384774ワット発電中さん:2007/02/11(日) 00:17:54 ID:IGwjP/se
>>381
はい、結局3Aにするのかなとは思うのですが・・・

>>378
> でAは論理回路でメモリ作った日には全然足りなくなっちゃう
> だからAは高速なDDR2を詰んでるのかと

というのがわからないのでどなたか教えて頂けないでしょうか?

常識レベルなのかもしれないので恥ずかしい質問なんですが、
単純にゲート数やロジックセル数で上の3Aの方がなぜメモリを
作ると(3Eより?)足りなくなってしまうのかとか、足りない
メモリをDDR2にするとカバーできるのはなぜかとか、いま頭の中で
「?」が点滅してるのです。

購入目的がMicroBlaze+uCLinux利用なので気になっているのですが、
このパラレル接続Flashからは直接MicroBlazeコードが実行できるので、
結果として3A vs 3Eでは、3EはSDRAMを12MB余分に搭載しているのと
同じ、という意味で「メモリ重視なら3E」ということなんでしょうか?
385774ワット発電中さん:2007/02/11(日) 03:52:11 ID:+PZ9zcmu
>>384
たぶん、同じゲート数相当のモデルで比べたら・・・の話をしていたのかと。
似たようなゲート数のモデル(250Eと200A)で比較すると、確かにI/Oを重視した
3Aの方が分散RAMの容量が少な目になってますからね。

3Aの評価ボードにDDR2が載っているのは、単純にI/O機能重視のAだから
より高速なDDR2も安定して動かせますよーというアピールだったりしてw

ところで、スターターキットにはEDK(MicroBlaze)も含まれてますのん?
MicroBlazeも使えるならば、私も学習用に一つ欲しいかもです。
386774ワット発電中さん:2007/02/11(日) 05:34:20 ID:v+lOWfuj
含まれてます
387774ワット発電中さん:2007/02/11(日) 14:11:47 ID:j5qljtR0
評価版だよ
セットで買えば\15,000になるけど
388774ワット発電中さん:2007/02/11(日) 14:53:32 ID:P8TjZed8
>>387
\15,000 はセミナー参加無しでもOK?
389774ワット発電中さん:2007/02/12(月) 00:03:39 ID:vm41tLJd
説法会には参加してください
http://www.jp.avnet.com/products/campaigns/index.asp#SP3E-starter
ダブルチャンス!!
更にSpeedway2006トレーニング(無償)にご参加頂いた方には、エンベデッド開発キット(EDK)を通常価格の78%OFFとなる¥15,000でご提供いたします。 → Speedway2006トレーニングページへ。

390774ワット発電中さん:2007/02/12(月) 10:49:07 ID:fIFBgjdi
それは処分中のSpartan3Eキットの方で、Spartan3Aキットには
そういう紐はついてないような?

Spartan3A+EDK買った人、教えて〜
391774ワット発電中さん:2007/02/13(火) 21:33:26 ID:XAwyagzW
3Eを買って2日目な僕。
どこが悪いのか皆目検討がつかんparse error, unexpected IFが出てうわーん:(;つД`);な今日一日。
392774ワット発電中さん:2007/02/13(火) 21:54:38 ID:8TWtlyLi
VHDL?
文脈上IFがありえない場所で、なおかつ見過ごしてしまいそうなところって、
どんなところだろうね。
393774ワット発電中さん:2007/02/13(火) 22:12:05 ID:hvC4mYXV
ソースを晒してみてはどうか
394774ワット発電中さん:2007/02/13(火) 22:14:07 ID:S1a4y2F8
普通パーサが行番号とか出さないか?
395774ワット発電中さん:2007/02/13(火) 22:22:46 ID:X7ufRf23
チラ裏に書き捨てたような日記に親切な回答乙
396774ワット発電中さん:2007/02/14(水) 21:07:08 ID:XhSxum42
;忘れとか
397774ワット発電中さん:2007/02/15(木) 23:07:51 ID:wuvXlosd
Error: (vsim-SDF-3196) Failed to find SDF file ".sdf".
がでます。。
ModelsimXE3 6.2c+XilinksProjectNavigator9.1です。

が、エラーがでないのもあります。
そちらの*.tdoファイルを見るときちんと *****.sdfとなっています。
エラーが出てるプロジェクトは.sdfとなっています。どうしましょう?
398397:2007/02/16(金) 00:13:33 ID:5xzDX6c7
SDFのエラーが出るときと出ないときがわかってきました。
出るときは、コンポーネント宣言の各パッケージのみを記述し、
パッケージを接続したときです。
それぞれパッケージの群れのみではでませんでした。

ProjectNavigator7.1の時の.vhdファイルをそのまま読み込ませたら
エラーが出るようになりました。
私としては一つのvhdファイルで記述を完結させたいのですが、
このままですとprofitシミュレーションがとおりません・・どうしたら?
399774ワット発電中さん:2007/02/16(金) 03:04:01 ID:WIyIasHv
FPGA基盤で2chトリップ検索装置が作れるって聞いたのですが、
何から勉強したら良いのでしょうか
400774ワット発電中さん:2007/02/16(金) 03:41:26 ID:Hm63tqDu
>>399
ttp://www.neko.ne.jp/~freewing/fpga/des_tripcalc/
この人と交渉するための話術
401774ワット発電中さん:2007/02/16(金) 05:39:54 ID:twFgcMm3
基盤→基板
402774ワット発電中さん:2007/02/17(土) 00:56:47 ID:Yrm9quh7
>>400-401
ありがとうございます・・
403774ワット発電中さん:2007/02/17(土) 01:02:19 ID:lD0RsP//
>>402
今の時点では何ができて何を知ってるか言ってみて
404774ワット発電中さん:2007/02/17(土) 01:17:24 ID:Yrm9quh7
>>400
FPGAとは何か・・トリップ生成の仕組みまでです
405774ワット発電中さん:2007/02/17(土) 01:26:43 ID:T9U50EmN
MicroBlazeとかNIOS-IIって、それぞれEDK(XILINX)とNDS(ALTERA)が
いるみたいだけど、これ両方とも年間ライセンスということは
毎年買い続けないと使っちゃダメ、になるの?

買い切りならホビー用でも買ってもいいかと思う値段だけど、
毎年要更新だとちょっとキツイ。
406774ワット発電中さん:2007/02/17(土) 01:29:12 ID:lD0RsP//
>>404
じゃあデジタル回路を組んだことはない?
だとすると自力でやるのはけっこう長い道かもね・・・
407774ワット発電中さん:2007/02/17(土) 01:30:55 ID:jee8N6V0
>>399 == >>402 == >>404
トリップ生成は逐次計算しか出来ないプログラム言語の記述を見ると
複雑に見えてしまうんだけど、回路的に言うと実際にやってることは
ほとんどbit順入れ替えてるだけだから大したことはなにもないよ。

408774ワット発電中さん:2007/02/17(土) 01:38:42 ID:Yrm9quh7
>>406-407
デジタル回路ですか・・
もっと知識付けてから、もう一度来てみます
ありがとうございました
409774ワット発電中さん:2007/02/17(土) 06:15:57 ID:jee8N6V0
いや、ソフトで作るより簡単だよっていう意味なんだけど。
410774ワット発電中さん:2007/02/17(土) 17:11:05 ID:J2FIyvIL
USBキーボードをPS/2で使いたいんだけど、
変換用のICとかあったら知りたい。じゃなきゃ回路作らんとだめなんで。
411774ワット発電中さん:2007/02/17(土) 17:28:34 ID:J2FIyvIL
いったんシリアルに変えてからPS/2にするのが早そうね。
もし、USB→PS2にダイレクトに変換できるICがあったら教えてください
412774ワット発電中さん:2007/02/17(土) 17:39:13 ID:6zkL7KIl
PS2/USB両対応のキーボードって、USB→PS2の変換器が
付いてくるよなぁ。
413774ワット発電中さん:2007/02/17(土) 18:26:17 ID:ZpCMdSBN
いやそれただの変換コネクタ。ICなんか入ってない。
そういうのはキーボードやマウス自体がUSBとPS2の両方に対応していて
起動時にどちらに接続されているか識別して自身の動作を変えているだけ。
414774ワット発電中さん:2007/02/17(土) 18:30:00 ID:rz7iYT+D
>>400
>キー計算能力:125000個/sec(50MHz)
>キー比較能力:約100種類のユーザー指定の目的キーと照合
>※上記を組み合わせる事で125000*100=1250万個/secの能力

なんか違うくないか?w
415774ワット発電中さん:2007/02/17(土) 18:51:30 ID:J2FIyvIL
>>413
YES
だいたい、キーボードなんてCPUで直接IF可能なレガシーシリアルでいいのに。
USBしか対応しないってなんちゅう間抜けなキーボードだ。
416774ワット発電中さん:2007/02/17(土) 21:40:32 ID:J0w8dtAJ
>>414
同じ回路を100個作るってことじゃまいか
417774ワット発電中さん:2007/02/18(日) 07:29:09 ID:reULdVEi
>MicroBlazeとかNIOS-IIって、それぞれEDK(XILINX)とNDS(ALTERA)が
>いるみたいだけど、これ両方とも年間ライセンスということは
>毎年買い続けないと使っちゃダメ、になるの?

 俺はNIOS-IIを実験しようとおもって買ったが、箱を開けないうちに
1年がたってしまった。
 1年だけでも使えたら尊敬する。たいしたもんだ。

418774ワット発電中さん:2007/02/18(日) 11:41:35 ID:bwyKkd3f
>405
どちらも1年間はバージョンアップが保証される
1年経過後は手元にあるバージョンを使い続けることは可能
419774ワット発電中さん:2007/02/19(月) 01:10:33 ID:DCvEeKc+
Xの代理店で有名な某T社ってどのくらい技術力あるの?
ここのカタログに載っているFPGAボードや製品ってほとんど外注設計みたいだし。
設計委託すると外注に回すみたいだし。
社内に能力のある凄い人とか部隊っているの?
420774ワット発電中さん:2007/02/19(月) 09:58:42 ID:hq/IFsDg
フィッタだけでなくModelsimにしろ、PrecisionやSymplifyにしろあまりにバージョンアップが激しすぎるんだよな。
一年あれば4、5回バージョンアップされてるよな。メジャーバージョンアップは多分1年ごとだし。
5年ぐらいは無償バージョンアップさせてくれるならな。現状じゃ正規販売はぼったくり杉
特にサードパーティの論理合成ツールは約\300万円なんてもっと安くせんとやっていけんのじゃないか?
421774ワット発電中さん:2007/02/19(月) 22:29:58 ID:oImOLQa5
>>419
T社は商社なので、顧客の要望に合わせて商品を右から左に流すお仕事。
商品企画して発注するぐらいの技術力しかないと思うが。
422774ワット発電中さん:2007/02/20(火) 12:58:58 ID:iGzDkAzF
部品も設計も右から左?
423774ワット発電中さん:2007/02/20(火) 16:13:53 ID:LEyQJ+va
ビジネスモデルの基本が商社だからそうなんじゃない?
数多くの物を流すための手段としての設計部門だと思ってるけど

424774ワット発電中さん:2007/02/21(水) 14:16:36 ID:IJlLWLLX
まぁ技術系を謳ってる会社でも内情は害虫してて
「ぼく頭(と紙)動かすひと」
「あなた体動かすひと」
みたいな構図になってることは多い
425774ワット発電中さん:2007/02/22(木) 01:08:31 ID:+9fEfyEB
TB-5V-LX220-DDR2が欲しいけど高そうだねぇ。
Virtex-5を既に評価中の方、使い勝手は如何ですかな?
426774ワット発電中さん:2007/02/23(金) 10:47:08 ID:CGBor0eN
最近ISEし始めたばっかの初心者でごめん。
Cannot apply TIMESPECという警告がでるんだけどこれってどこを直せば消えるの?
427774ワット発電中さん:2007/02/23(金) 13:43:54 ID:ox9hcA3a
ucfファイルのTIMESPEC
428774ワット発電中さん:2007/02/23(金) 18:02:15 ID:CGBor0eN
>>427
返答ありがとう
UCFファイルを調べてみたが、

// Template UCF file created by the Project Navigator
#PACE: Start of Constraints generated by PACE

#PACE: Start of PACE I/O Pin Assignments
NET "RAM_ADD(0)" LOC = "P34" ;
NET "RAM_ADD(10)" LOC = "P66" ;
NET "RAM_ADD(11)" LOC = "P68" ;
NET "RAM_ADD(12)" LOC = "P69" ;
NET "RAM_ADD(13)" LOC = "P70" ;
NET "RAM_ADD(14)" LOC = "P71" ;
NET "RAM_ADD(1)" LOC = "P33" ;
NET "RAM_ADD(2)" LOC = "P32" ;
NET "RAM_ADD(3)" LOC = "P31" ;
NET "RAM_ADD(4)" LOC = "P12" ;
NET "RAM_ADD(5)" LOC = "P11" ;
NET "RAM_ADD(6)" LOC = "P94" ;
NET "RAM_ADD(7)" LOC = "P93" ;
NET "RAM_ADD(8)" LOC = "P61" ;
NET "RAM_ADD(9)" LOC = "P64" ;

#PACE: Start of PACE Area Constraints

#PACE: Start of PACE Prohibit Constraints

#PACE: End of Constraints generated by PACE

というないようで、TIMESPECらしくところが見当たらない。
この警告は出てもしょうがないのか?
429774ワット発電中さん:2007/02/23(金) 18:04:18 ID:i7s5WaIO
もしかして  むしろ無い事が問題
430774ワット発電中さん:2007/02/23(金) 18:39:26 ID:CGBor0eN
>>429
ええ、そんなもの出さなくてもいいものを。。。。
431774ワット発電中さん:2007/02/23(金) 18:40:03 ID:wpelU/sl
432774ワット発電中さん:2007/02/23(金) 21:34:25 ID:cFKhTCi4
宣伝キター(゚∀゚)

むしろそういうのってうちは、というか大概のとこは付き合ってる代理店居るから、
意味ないんじゃないの…
433774ワット発電中さん:2007/02/23(金) 21:42:02 ID:2U/9+yy1
>>432
ヒント:新規開拓
434774ワット発電中さん:2007/02/24(土) 00:45:40 ID:Qsp+Jhd5
なんかお土産でもくれるんならいいけど、
チップ売り込みのセミナじゃないからそれはないか・・・
435774ワット発電中さん:2007/02/24(土) 02:21:49 ID:9xL3cqAp
>>426
警告なの?
ISEは異常に警告出すから動作してるなら無視しとけ

っていうか、どのプロセスで出るの?
ngdbuild, map, par ?
436774ワット発電中さん:2007/02/24(土) 03:37:50 ID:3EsF0Vu6
ucfにクロックの制約が抜けてるんじゃまいか。
437774ワット発電中さん:2007/02/24(土) 03:55:20 ID:MwISWSHI
linux版のISE WebPackが _pn というプロセスを起動して
それがあるポートをlistenしているのですが、
いったいなんなんでしょう
実行権限をなくしたら
Xilinx Port::Process Exec Failed:13
とエラーが出て起動しません
438774ワット発電中さん:2007/02/24(土) 10:04:23 ID:9p9FiGxo
>実行権限をなくしたら
なくすなよ

そういうところをいじりたい人は、オプソ厨だけにしてくれ。
Linux版のISE WebPackはXILINXの厚意でただで使わせてもらっているのだから、
そのままの形でありがたく使わせてもらわなければならない。
439774ワット発電中さん:2007/02/24(土) 10:30:37 ID:Qsp+Jhd5
>>437
Windowsでも_pn.exeというのが出る(というか、Linux版はWindows版の
ベタ移植)。

メモリ消費量が凄いので、たぶんこれが本体。上げっぱなしにして
フロントエンドから通信して制御することで起動時のロード時間を
削減してるんじゃないのかな。
440774ワット発電中さん:2007/02/24(土) 11:26:16 ID:xHCuUYNY
> 社内に能力のある凄い人とか部隊っているの?

社内の設計・検証チームは凄いよ。
チームその物が外注っていうギャグも無かったよ。
Xに限らずサポート力の無い所に代理店の許可ださないよ。
441774ワット発電中さん:2007/02/24(土) 14:41:22 ID:MwISWSHI
>>438
>>439
レスありがとうございました
ちょっと気になったくらいでくだらないこと書いてごめんなさい

XILINXはオープンソース関係の団体のFSFのパトロンでもあるみたいですね
たしかにメモり消費量はすごいと思いました
起動すると普段より100MBはswapが増えました

ちなみにXILINXのサイトにはRedhat Enterprise Linux用と書いてますけど
うちのVine Linux 3.2で動いてるみたいです
(Vineだから当り前かも知れませんが)
442774ワット発電中さん:2007/03/02(金) 15:08:22 ID:5lv+WJ3g
すぱるたん3 物忘れしないバージョンリリース!
443774ワット発電中さん:2007/03/02(金) 15:52:54 ID:rRHm1M3+
単にPlatform Flashが同じチップに集積されただけと思ったけどやっぱりそうなのかな
444774ワット発電中さん:2007/03/02(金) 19:02:37 ID:shdX4VWL
くそ 騙されたぜぃ
445774ワット発電中さん:2007/03/02(金) 21:39:45 ID:zKKWqFch
442>チップ内で閉じてるから中のデータを盗みにくいらしい。
某国あたりのコピー対策?
446774ワット発電中さん:2007/03/02(金) 23:21:37 ID:vFHUqtvv
ということで、ピーコされないシステムにする為にはアクテルを一個入れてメモリアクセスに細工しましょう。
汎用マイコンのパッケージをちょっと削ってPLD系パーツ名で偽装しましょう。
447774ワット発電中さん:2007/03/02(金) 23:46:00 ID:rRHm1M3+
ANってFPGA本体からPROM部分を再コンフィグできるの?
その配線まで自由なら魅力的だが…
まぁ俺のプロジェクトではVirtexになってしまったのでどっちでもいいや
448774ワット発電中さん:2007/03/03(土) 01:45:23 ID:vgxKeEXK
>>443
プラットじゃなくてSPI
449774ワット発電中さん:2007/03/03(土) 02:38:56 ID:jycvAndZ
Spartan3ENが出ないかなぁ
450774ワット発電中さん:2007/03/03(土) 07:13:44 ID:RQRVY2cF
Virtex5LXTNとかVirtex4FXNとかVirtex2ProNなら欲しい
451774ワット発電中さん:2007/03/03(土) 07:14:43 ID:RQRVY2cF
あーSPIなら4や2は無理か
452neko:2007/03/03(土) 18:47:04 ID:E2d38URF
453774ワット発電中さん:2007/03/05(月) 20:58:30 ID:JNMb/Cqc
マクロセルの容量不足からXC9572を2つ使うことになったのですが、
JTAGでデイジーチェーンを行う場合、JTAGピンはどのように接続したらよろしいのですか??
単純に二つのPLDを並列でいいのでしょうか?
454774ワット発電中さん:2007/03/05(月) 21:17:06 ID:qVPu48rP
直列な。
455774ワット発電中さん:2007/03/05(月) 22:07:48 ID:3XSqhz5+
>>453
TCK,TMSは並列。
JTAGポートのTDIをXC9572-1のTDIに接続して、
XC9572-1のTDOをXC9572-1のTDIに接続して、
XC9572-2のTDOをJTAGポートのTDOに接続。
456455:2007/03/05(月) 22:08:58 ID:3XSqhz5+
わ、間違えた。

TCK,TMSは並列。
JTAGポートのTDIをXC9572-1のTDIに接続して、
XC9572-1のTDOをXC9572-2のTDIに接続して、
XC9572-2のTDOをJTAGポートのTDOに接続。
457774ワット発電中さん:2007/03/05(月) 22:57:40 ID:QIgKuJos
AlteraのEPCS4の納期が、どえらく長くなった
て話を聞いた
458774ワット発電中さん:2007/03/05(月) 23:20:09 ID:JNMb/Cqc
>>456
ありがとうございます。
459774ワット発電中さん:2007/03/05(月) 23:29:56 ID:FVW/eiA6
>>458
おすすめ。バウンダリスキャン講座
ttp://www.debsol.com/AboutBS01.html
460774ワット発電中さん:2007/03/06(火) 00:29:32 ID:pUSwlIMH
>>457
有鉛のRoHS非対応品のことではないのか。
でなければ、台替品を使うと良いと思うぞ。
461774ワット発電中さん:2007/03/06(火) 00:45:37 ID:M7RUlQS/
>>460
EPCS4SI8N
だったらしいから、Pbフリー版のはずなんだな。

詳細不明なんだな。
機会があれば、出入りの営業さんに聞いてみて。
462774ワット発電中さん:2007/03/11(日) 00:54:34 ID:0u7ztGAn
アイエスイーってよんでる?
アイスってよんでる?

アイスって言ったら昔からICEだと思ってたから
代理店の兄ちゃんがアイスって呼んだ時に違和感を感じたんだ。
463774ワット発電中さん:2007/03/11(日) 00:58:32 ID:iHCyJhw6
伊勢か愛せ?

ふつーにあいえすいーって詠んでます。

>代理店の兄ちゃんがアイスって呼んだ

ICEを知らんのだな。
464774ワット発電中さん:2007/03/11(日) 07:53:02 ID:lQSJ2eud
465774ワット発電中さん:2007/03/11(日) 10:25:00 ID:ZGkRHD80
へぇ、ICEってインテルの登録商標なんだ。
466774ワット発電中さん:2007/03/13(火) 01:16:54 ID:9Y6yL2Ua
Spa3Aボード到着した
ぱっと見は3Eボードと変わらん
一応VGAの4096カラーは出てそう
ISE8.2では実質サポートなし(BSDLがなくてiMPACTが困っちゃう)
467774ワット発電中さん:2007/03/13(火) 06:37:00 ID:5kRsV42a
そういう場合どうすればいいのかなぁ
JTAGチェーン各レジスタのビット数わかるならBSDL書ける?
468774ワット発電中さん:2007/03/13(火) 15:25:59 ID:lCrMoAeG
Quartus II web版についてなのですが、V6.0SP1からV6.1へアップデートした
(V6.0SP1をアンインストールしてV6.1をインストールした)ところ、
それまで使えていたCycloneIIのEP2C15がフィッタの段階で、現在のライセンスの
サポート外というエラーを出すようになり使えなくなりました。試しに
ライセンスを取り直して見ましたが結果は同じでした。

web版でもCycloneIIは全デバイスをサポートしていることになっていますが
これは間違いなのでしょうか?
469774ワット発電中さん:2007/03/13(火) 16:49:20 ID:YwE79Eht
>>468
アルテラに聞いてみたら?
470774ワット発電中さん:2007/03/13(火) 22:11:51 ID:gjKD6WCd
ライセンスを取り直すときにちゃんと選んだ?
471774ワット発電中さん:2007/03/14(水) 21:05:09 ID:c7KVmklQ
DIGI-KEY見てたらSPARTAN3E500のQFP208あるけど前から売ってたっけ?
2620円。これいいね。
472774ワット発電中さん:2007/03/14(水) 22:09:07 ID:AD8bftjH
1個発注で送料5000円
473774ワット発電中さん:2007/03/15(木) 22:38:52 ID:IjFInszg
送料2000円じゃなかったっけ
474774ワット発電中さん:2007/03/15(木) 23:46:01 ID:k7c+BwRo
もれもれもそうもう
475774ワット発電中さん:2007/03/16(金) 16:04:11 ID:v4PkLiA0
IOBが遅いならPCIeで繋げばいいじゃない



LVDSを遅延あり共有メモリI/Fに使ってもいいじゃない
476774ワット発電中さん:2007/03/19(月) 08:53:09 ID:y70bokqS
スレーブシリアルでspartan3eのコンフィグしたら、INIT_Bピンの電圧が1v位あるんですがこれでいいんでしょうか?
ピンはデータシートより少し大きい4.9KΩで3.3vのVccoにプルアップしてます。
477774ワット発電中さん:2007/03/19(月) 13:36:17 ID:y70bokqS
476の補足ですが、
初期化のあとはHIGH(3.3v位)になりますし
コンフィグレーションに失敗したときはきちんとLOW(0.1v位)になります。

データシートにコンフィグレーション後のINIT_Bピンの処理について
「アプリケーションで使用しない場合はHIGHにすること」とあるのですが、
これはプルアップではなく、他にISEでオプションかなにか設定する必要があるということでしょうか?
478774ワット発電中さん:2007/03/19(月) 16:43:32 ID:dstHhqbG
INIT_Bなんて使わないから放っておけといいたい所だけど、
スレーブシリアルって事はマイコンからコンフィグしてるから一応チェックしてるのか

そんな中途半端な電圧って事だと、INIT_Bのプルダウンが効いてない?
Spa3EだとINIT_BもユーザIOで使えるからUCFでロックしてないピンが
割り当てられてるとか
479476:2007/03/19(月) 20:14:28 ID:zJFhJKpr
>>478
やっぱり正常じゃないのですか。
コンフィグ成功した後なんで放っておいて問題ないなら放って置きたいところですが、気になります。
CMOSってピンを中途半端な電圧にしとくと大電流が流れ破損するって聞いたので心配なんです。

>UCFでロックしてないピンが
>割り当てられてるとか
このピンは未使用になってます。
クロックなどのように信号が振動してると中途半端な電圧が出ると思いますが、オシロで見たところ振動はしていません。
このピンがつながってるマイコン側がちょうどADC兼用ポートだったのでADCで計ると1.15vで、数秒くらいの範囲では上下0.1v程度の変動があるようです。
480774ワット発電中さん:2007/03/19(月) 20:33:58 ID:dstHhqbG
DONEはチェックしてるの?
DONEが出てればINIT_Bがどうだろうと成功だと思うけど

> データシートにコンフィグレーション後のINIT_Bピンの処理について
> 「アプリケーションで使用しない場合はHIGHにすること」とあるのですが、

正しくは「使用されない場合はHIGHにドライブされる」だよ

>>477 に書かれてるコンフィグ中のINIT_Bの動作は正常だからコンフィグ後に
FPGAの内部プルダウンが有効になってる現象だと思うんだけど

BitGenオプションの、「Unused IOB Pins」がPull Downになってないかな?
481774ワット発電中さん:2007/03/19(月) 20:52:10 ID:dstHhqbG
うちのボードで試してみたけど、

>BitGenオプションの、「Unused IOB Pins」がPull Downになってないかな?
でビンゴだよ
うちのは元々Floatに設定してあったけど、Pull Downにしたら、1.15vで
君のと同じだ

それにしても、INIT_BをユーザIOに使うようなアプリって想定できないな
Spa3EはIOセントリックとか言ってるけど、やりすぎじゃないかな
482476:2007/03/19(月) 21:21:11 ID:zJFhJKpr
>>479
ズバリおっしゃる通りでした。たぶんデフォルトのままいじってないのですがプルダウンが指定されてました。
ありがとうございました。


実はこのスレの>>67でアドバイスいただいた者です。
半年かかってやっと書き込み確認までできました。
>>479さん以外のみなさんもご協力ありがとうございました。
483774ワット発電中さん:2007/03/19(月) 21:31:16 ID:dstHhqbG
パラレルポートなしでここまで来たのか!!すごいな
しかも3電源のSpa3Eとは…
なかなかやるな
どこかにレポートとかうpしてよ

っていうか、ぶっちゃけスタータキット買った方が早い…
484774ワット発電中さん:2007/03/19(月) 21:56:58 ID:zJFhJKpr
>>483
ありがとう。
電源、発振器、コンフィグ回路全部、変換基板の裏に納めようとしたのでスパゲティ状態になりましたし
配線間違いやショートやレギュレータの故障など大変でした。
最初悩んだんですが、やっぱりオプティマイズにしとけば早かったです。
485774ワット発電中さん:2007/03/19(月) 22:04:50 ID:dstHhqbG
画像うpキボンヌ
486476:2007/03/19(月) 22:18:23 ID:zJFhJKpr
それじゃ記念に公開したいと思います!
カメラもってないのでウェブカムのキャプチャになります。
今ドライバ入れたりできないので数時間後になると思いますのでしばらくお待ちください。
487476:2007/03/20(火) 00:16:29 ID:nbtX+3kd
488774ワット発電中さん:2007/03/20(火) 00:44:47 ID:72Jk4TiL
乙。
最近はスターターキットでお手軽簡単になっちゃったけど、こういうのも良いね。
489774ワット発電中さん:2007/03/20(火) 00:57:06 ID:zJdv91xa
サンキュ
それにしてもよく動いてるね
490774ワット発電中さん:2007/03/20(火) 01:16:39 ID:eIS02l0h
>>487
コンフィグ風景にインタフェースのSH2基板みたいなのがあるけど、あれは何?
491774ワット発電中さん:2007/03/20(火) 05:08:21 ID:zJdv91xa
スレーブシリアルでコンフィグしてるの
492476:2007/03/20(火) 12:53:41 ID:yc/pr/zr
そうです。インターフェイスのSH2からスレーブシリアル。
493774ワット発電中さん:2007/03/23(金) 00:24:17 ID:jiTOEA1X
CPLDを使って勉強してみようと思って、
http://www.hobby-elec.org/cpld.htm
↑を参考に書き込みライタを作って見ました。
XC9572-PC44の10Cなら書き込み成功したんですが、
15Cになると認識されるものの書き込みエラーが起こります。

開発ツールはISE、Project Navigator ver6.1なんですが、
15Cは使うことが出来ないのでしょうか・・・・?
すみませんが、ご教授よろしくお願いします。
494774ワット発電中さん:2007/03/23(金) 01:14:56 ID:vSbHzrVE
実はXC9572XLだったとか?
495774ワット発電中さん:2007/03/23(金) 19:42:39 ID:DF7/IsFZ
>>494
XLでは無いです。買い物に行くときに10Cと15Cの二種類があって、、
取り合えず適当に一つずつ買ってきたのですが、、、15Cだとうまく書き込めなくて。
496493:2007/03/23(金) 22:19:25 ID:iwJWKzNd
すみません、、、
書き込みの設定で7Cか10Cか15Cかの選択を見落としてました・・・。
497774ワット発電中さん:2007/03/26(月) 23:56:17 ID:Fmre6nJm
フリーのSVFプレーヤーって無いかな?できればソース公開されてるやつ。
498774ワット発電中さん:2007/03/27(火) 00:06:27 ID:kUhQ7b3s
499774ワット発電中さん:2007/03/27(火) 00:32:46 ID:Ezu7fdvU
>>498
サンクス。ちょっとダウンロードのしかたで手こずってる。

あとで使ってみます。
500774ワット発電中さん:2007/03/27(火) 02:13:10 ID:is7AiVFD
JAVA VMをPLDで作れないか?
501774ワット発電中さん:2007/03/27(火) 02:17:57 ID:05MrHQM3
( ・ω・)上がったついでにATMEL社にもCPLD?のやつがあるけど、どうなの実際?

502774ワット発電中さん:2007/03/27(火) 02:21:51 ID:8f8YcqWb
最強
503774ワット発電中さん:2007/03/27(火) 02:25:55 ID:Ba0S2M28
>>500
JAVAの命令セットってそんなに単純なんですか
504774ワット発電中さん:2007/03/27(火) 08:09:46 ID:kUhQ7b3s
>>500
FPGAのならあるけど、PLDじゃ不可能だろ。命令一個分くらいか?
505774ワット発電中さん:2007/03/27(火) 12:28:12 ID:VeMPW3ey
cyclone3ってどーですか?
506774ワット発電中さん:2007/03/27(火) 19:10:47 ID:KtV6e9jg
Structured ASICをよろしくお願いします
507774ワット発電中さん:2007/03/27(火) 21:12:41 ID:iYr2xwFm
>>502
(´・ω・`)ATMELのやつ(AT15xxx?)試してみたいけど、開発環境とチップをDigikeyで頼むのがおっくうになってる。

あとはマルツかツクモか秋月に頼んだヨロシク-( ・ω・)ノシ
508774ワット発電中さん:2007/03/27(火) 22:12:08 ID:UA+Rl7oS
>>504
FPGAでCPU作ってJAVA動かして・・・かえって面倒か
509774ワット発電中さん:2007/03/27(火) 22:25:40 ID:A/bcuzrR
サイクロン3よりスパルタン3ANだろ
ある寺は常にザイリンの後塵を拝してる感があるな(笑)
510774ワット発電中さん:2007/03/27(火) 23:03:02 ID:8f8YcqWb
あとから出る分性能が良けりゃいいんじゃない
511774ワット発電中さん:2007/03/28(水) 00:19:59 ID:99nympNh
>>508
いやだからJavaChipのフリーなIPがあるんだって。
ただ名前が思い出せない・・・Jなんとかだった記憶が。
あと、JavaChip+FPGAでぐぐるとpicojavaとかいうまんまなIPもあるね。

まあ、Javaごっこするならわざわざ乗せるよりTINIでも使ってた方がいいけど。
512774ワット発電中さん:2007/03/28(水) 01:40:59 ID:7a88zSDe

誰か Virtex5使った人いる?

感想を教えて。

513774ワット発電中さん:2007/03/28(水) 03:13:23 ID:Lrzv2asQ
配線遅延があまりに大きくて苦労した
514774ワット発電中さん:2007/03/28(水) 11:06:02 ID:845y/hA0
515774ワット発電中さん:2007/03/28(水) 14:57:08 ID:8eSkTblK
516774ワット発電中さん:2007/03/28(水) 21:57:05 ID:72RFNMoP
>>511
Javaがこんだけ普及してるのに、PCにはVMでしか提供されないのはなぜだろう。
携帯端末にはけっこう入ってるのかな?
JavaChipがあるなら、PCのペリフェラルにも搭載してもよかろうに。
517774ワット発電中さん:2007/03/28(水) 22:53:27 ID:h7Tyh5Ek
>>516
携帯向けだとJavaアクセラレータとか搭載したCPUが現在の主流。
ARM-Jazelleとか。
PCだとCPUパワーが強力だからJITで十分に性能が出るからね…
ARMのJazelle拡張した奴はPC関連機器やらまぁ色々と使われてる。
安いし。
518774ワット発電中さん:2007/03/29(木) 23:09:14 ID:sDJq+uzs
そういえば
Lispチップとか
Forthチップとかは
どうなったんだろ?
519774ワット発電中さん:2007/03/29(木) 23:36:46 ID:dP9Puu02
いまならFPGAで自作できそうだな>古の言語専用計算機
520774ワット発電中さん:2007/03/30(金) 00:26:08 ID:YXKcpPUx
FORTHチップ作ってみようと思ったんだけど
資料が少なすぎて断念した
521774ワット発電中さん:2007/03/31(土) 10:15:35 ID:RoU3rw9U
単にスタック操作機能が充実してるCPU見たいなもんか?>forthチップ
522774ワット発電中さん:2007/03/31(土) 12:48:46 ID:v9pdUmx1
場ローズって作ってなかった毛?
523774ワット発電中さん:2007/03/31(土) 23:29:55 ID:TDp8AymE
524774ワット発電中さん:2007/04/01(日) 02:08:03 ID:ZRZjJ6+S
高度に発達したForthは、PostScriptと見分けがつかない。 -- 詠み人シラネーヨ
525774ワット発電中さん:2007/04/01(日) 09:32:15 ID:tvDE6k4S
500kゲートのFPGAでゲート使用率50%だと250MHzで動作すると聞いて驚き
526774ワット発電中さん:2007/04/01(日) 20:34:05 ID:aAm5bEoK
>>518
Lispチップ作るよりRISCプロセッサで処理する方が性能が良いので作る必要性が無くなった
ForthチップはJavaチップと名を変えたスタック・プロセッサとして存在する
527774ワット発電中さん:2007/04/02(月) 16:52:59 ID:lOUampcm
FORCE...懐かしすぎ
逆ルーマニアだっけ(w
528774ワット発電中さん:2007/04/02(月) 17:08:37 ID:qVcT69Uy
逆ワルシャワじゃなかったか?
529774ワット発電中さん:2007/04/02(月) 17:12:47 ID:pQXFMGST
チョピンとは俺のことかとショパン言い........
530774ワット発電中さん:2007/04/02(月) 17:50:15 ID:KL3ZtvLW
いや逆ブカレストだろ
531774ワット発電中さん:2007/04/02(月) 21:07:31 ID:z601wRkw
逆C++ビルダー?
532774ワット発電中さん:2007/04/04(水) 11:29:44 ID:MFiKskyg
V4のFX12とかSX25とか どこで買えるの? 幾ら?
533774ワット発電中さん:2007/04/04(水) 13:30:11 ID:e3LBo8g5
代理店にコンタクトして聞いてください
店舗での小売はしません
534774ワット発電中さん:2007/04/04(水) 14:17:22 ID:Ff7GfRv+
>代理店にコンタクトして聞いてください
>店舗での小売はしません

でなんだ? 貧乏人は ナヒタフから板についたの買えってか?
535774ワット発電中さん:2007/04/04(水) 14:41:18 ID:iSRay4Pj
納期2ヶ月で1個単位で単価34200円
536774ワット発電中さん:2007/04/04(水) 21:13:53 ID:3rPakziF
逆ポリッシュか
何を磨くんだ?
537774ワット発電中さん:2007/04/04(水) 22:51:03 ID:danWgpr3
逆ディズニーランド
538774ワット発電中さん:2007/04/05(木) 02:20:55 ID:XgK8rAYN

やだなぁ みんなわざと間違えて。

それを言うなら、逆ポートランドでしょ。

539774ワット発電中さん:2007/04/05(木) 03:57:41 ID:7bZjPPTK

流れ豚切ってスマソが

「ポーランド記法」 に 「逆」 付けちゃうと

普通になってしまうよね?

540774ワット発電中さん:2007/04/05(木) 05:41:39 ID:dNR0EZh7
>>539
見事な釣りっぷりでワラタ
541774ワット発電中さん:2007/04/05(木) 08:34:29 ID:2XAspQlt
逆をつけると普通になてしまうのはハンガリアン記法だよ、うん。
542774ワット発電中さん:2007/04/05(木) 12:02:03 ID:i+pn6Iky
逆起電力ってどうよ?
543774ワット発電中さん:2007/04/05(木) 13:16:56 ID:2/istItD
そもそもFORTHと逆ポーランドにどんな関係が(ry
544774ワット発電中さん:2007/04/05(木) 16:32:30 ID:W/6ntKmQ
いんばーてっともりばーすもあげいんすとも逆を使うからダメだんだよな。
545774ワット発電中さん:2007/04/05(木) 18:55:09 ID:dNR0EZh7
>>542
あー確かトラ技2006/12の島田氏のページ、下の枠に説明があったナ。
記憶力いいな俺。今時・・・と印象に残ったからかなw
違ってたらスマソ
546774ワット発電中さん:2007/04/07(土) 09:56:59 ID:iefIojrj
豚桐なんだがForthチップはともかく
ライフゲームチップが出ないのはおかしい
547774ワット発電中さん:2007/04/07(土) 10:39:21 ID:wpdQMync
バロースを思い出した
548774ワット発電中さん:2007/04/07(土) 14:13:12 ID:zYk/ag5m
ここはひとつCore Warsチップで。
549774ワット発電中さん:2007/04/08(日) 01:12:00 ID:Mua+9dqY
現在研究中の理論の検証として、行列演算を行う必要があるんだ
がPCとFPGAのやり取りはどれくらいの速度、どんなI/Fで行ってい
るのでしょうか?

具体的には、ある行列演算をFPGAに行わせて、その結果をPCに取
り込んで、ある軽い処理を行って、その結果を元にまた重い行列演
算を行わせる。

で、入出力の速度として最低でも100Mbpsは欲しいとこなんだが・・・

どうんなんでしょうか?
550774ワット発電中さん:2007/04/08(日) 01:12:58 ID:Mua+9dqY
PCIバス接続だと嬉しいな。
それが駄目でもデスクトップPCの中に収まるといいな。
551774ワット発電中さん:2007/04/08(日) 01:44:29 ID:ue5xzCka
答えはでているじゃん
552774ワット発電中さん:2007/04/08(日) 01:47:02 ID:O/Rc9A9I
ある軽い処理もFPGAに行わせるんだm9っ`Д´)
553774ワット発電中さん:2007/04/08(日) 01:57:32 ID:UwW3Aukf
>>549
USBとかEthernetとか
554774ワット発電中さん:2007/04/08(日) 01:59:48 ID:Mua+9dqY
>>552
行列データベースにアクセスさせるのかよw
メモリ潤沢に使えるようになってからいえよw
555774ワット発電中さん:2007/04/08(日) 02:08:08 ID:Mua+9dqY
>>553
ありがと、通信速度がボトルネックになりゃしないかと思ってたんだ。
webでの説明はシリアルポートがどうこうとか書かれていたからね。
安心できた。さんきゅー
556774ワット発電中さん:2007/04/08(日) 02:17:46 ID:O/Rc9A9I
>>554
素直にメモリのせれば(・∀・)イイ!
557774ワット発電中さん:2007/04/08(日) 02:20:38 ID:pYKSXurW
デザインウェーブについていたSPARTAN3とCYCLONEで比べると
同じ回路を合成するのにロジックエレメントとかスライスの消費が大して変わらないんだけど、そんなもん?

fpgaの規模てゲート数で表して、どちらも5万ゲートって歌ってるけど
ゲート数あたりのロジックエレメント数はSPARTNのほうがずっと少ない
だったら同じ回路をより少ないスライスで出来ないとおかしい気がする

そもそもロジックエレメントとスライスの構造が似たり寄ったりなら差がでなくて当然な気もするし

結局SPARTANでいう5万ゲートはCYCLONEでいうところの2万ゲート位なんでしょうか?
558774ワット発電中さん:2007/04/08(日) 04:14:15 ID:mOaMsm8X
>>557
DWのおまけ基板というと XC3S50と EP1C3かな。

SliceとLEの構造(4入力LUT+FF)が似ていれば、自ずと同じ回路を
実装したときの消費量も似たり寄ったりになると思います。

Spartan3の方がゲートあたりのSlice数が少ないと感じたのは
乗算器(4個)の存在とSRAMの容量差(+13Kbit)によるものじゃないかな。
559774ワット発電中さん:2007/04/08(日) 08:59:06 ID:pYKSXurW
>>558

>乗算器(4個)の存在とSRAMの容量差(+13Kbit)によるものじゃないかな。

そうかそういうのもカウント入ってるのか
560774ワット発電中さん:2007/04/08(日) 16:17:27 ID:UpJTi8Wz
fpgaとかの強みは1クロックで、前もって設計しておいた
複雑な演算の処理結果がでるtってことですよね。
561774ワット発電中さん:2007/04/08(日) 16:45:59 ID:E4ROI5I8
>>560
そこだけ見ればな
562774ワット発電中さん:2007/04/08(日) 16:51:46 ID:UpJTi8Wz
他にはどんな強みがありますか?
私が知りたいのは逐次処理型のソフトウェアに対する強みです。
cpldなどに対する強みはあまり興味がありません。
563774ワット発電中さん:2007/04/08(日) 19:04:02 ID:B3iqFX6m
漠然とした質問で答え難いんだが、その質問だと
「並列処理が出来る。」って回答になりそうな・・・
強みっていうより適材適所でしょ。俺の場合面倒な時には割り込み処理や単純な処理を
FPGAに負担させて時間を稼ぎ、CPUは数値演算に没頭させるってな使い方をする事もあるよ。
564774ワット発電中さん:2007/04/09(月) 09:28:45 ID:t2Xip4b6
>>560

1クロックって何MHzなのかな?
デバイスや演算処理の程度によってはある程度時間が必要な場合もあるでよ。

強みって何に対する強みなんだよ。
ちゃんと主語を入れるんだな。


565774ワット発電中さん:2007/04/09(月) 09:49:02 ID:6zQMSTF6
多分562は組み合わせと順序の違いがわかってるようでわかってないよ。
んで、順序回路ならほとんどCPUでやるのも差がないってことも知らない
そんで除算なんかプロセッサでニュートン法でやるほうがはるかに効率的ってことも知らない。
566774ワット発電中さん:2007/04/09(月) 17:12:29 ID:HlEEnRzZ
多分565は562だと思う。
567774ワット発電中さん:2007/04/09(月) 17:44:16 ID:6zQMSTF6
得失判断の設計基準もわからない566
568774ワット発電中さん:2007/04/09(月) 20:04:18 ID:FCQYN9Vz
>>564
>強みって何に対する強みなんだよ。
>ちゃんと主語を入れるんだな。


それ主語じゃないよ m9(^Д^)プギャー
569774ワット発電中さん:2007/04/09(月) 20:25:09 ID:zhuNUlJw
>>567
566はつまり、壮大な釣り、ということを言いたかったのでは・・・
570774ワット発電中さん:2007/04/09(月) 21:37:42 ID:+dKWgRIp
>>566 以降の流れが読めないよ〜

俺の勝手な推測だが、>>562 はコスト無視のベンチマーク厨ではないかと。
それなら質問の趣旨が理解できる。ベンチマーク測定に特化したFPGAを実装すれば
相当な数値を叩き出せるように思えるんだが、こういう事を聞きたいんじゃないのかなー。
571 ◆YMO/ALTERA :2007/04/10(火) 09:13:19 ID:nWlo95LO
おいらもまじれす

反復演算自体は、高速プロセッサに対してアドバンテージ少ないかも。
FPGAだとどうしてもクロックが上げられないでしょう、
最近のデバイスで400MHzくらい?

んで、そんな反復演算ですら、リソースの許す限りパイプライン化して
並べられるし、ALUみたいな演算器の語長も任意に設計できる。
あと、皆のいうとおり、リソースの許す限り並列化が可能。
特定のリソース使用に特化した使い方だったら、俄然FPGAは強くなる。

このへんの議論は、CPU/GPU議論と似てる部分もあるかな?


DES計算のベンチマークなら手元にあるよw
21Gbpsくらい@EP1S10
572774ワット発電中さん:2007/04/10(火) 09:44:50 ID:WPXYo0wT
ベンチの21Gbpsとはなんだい?
573 ◆YMO/ALTERA :2007/04/10(火) 09:56:09 ID:nWlo95LO
暗号エンコーダの類はたいてい性能が bps 表記だったので
倣ってみたんだが…

DESエンコードは1語が64ビットなので、語換算すると
330M語毎秒

逆に識者に尋ねてみるけど
これを超えるスループットを持つユニット
あるいはそれを搭載したワンチップコントローラで
安価で入手可能なモノがあったら紹介plz
574774ワット発電中さん:2007/04/10(火) 10:34:15 ID:zUZwBCNE
56bitじゃないんですか
575774ワット発電中さん:2007/04/10(火) 10:41:11 ID:WPXYo0wT
なるほどね
200MHzだとするとクロックあたり1.5語
DES1段あたり20サイクルかかるからパイプラインが30段も入ってるって?
576774ワット発電中さん:2007/04/10(火) 12:27:33 ID:iNykNtk4
CBCではどうなるの?
577774ワット発電中さん:2007/04/10(火) 12:33:13 ID:sS5elh3/
>571
クロック上がらなくても複数構成できる。10組造ればクロックが一桁あがったのと同等だろ。
バリテック4のSXなら100個は軽い。
578774ワット発電中さん:2007/04/10(火) 12:41:35 ID:V6DuxJOg
多分562はソフトしか触ったことのない学生で、
シミュレーション実験あたりやってんじゃねーのか?
卒論、修論ぐらいの研究で、理論検証するにあたって
FPGAなんか使おうものなら、時間切れで研究そのものが破たんするよ。
ロボットとか実際に動かさないとどうしようもないものとか、
研究室に既に蓄積のある場合は別だけど
計算が速いとかで安易に手を出して、ドライバは新規、検証用に使ってソフトも大幅手直し。
せめて、理論がしっかりできるまでは計算機だけで閉じとくべきだな。

ワンショットRSデコーダみたいな実機を伴う理論研究もあるけど、こういうのは企業の研究
579774ワット発電中さん:2007/04/10(火) 12:45:27 ID:V6DuxJOg
>>577
同じじゃない同じじゃない。
エラーレート求めるような完全並列化できる場合を除いて、
窓口10倍にして、処理時間1/10になんかならない。
580774ワット発電中さん:2007/04/10(火) 19:29:07 ID:NeyQbCo0
>>577は知ったかぶり
バーテックス4をバリテック4とか言ってるし。
581774ワット発電中さん:2007/04/10(火) 20:44:58 ID:AgjtLmrf
おれ明日からバリテック4と呼ぶよ
582774ワット発電中さん:2007/04/10(火) 20:53:43 ID:v7CPl3RA
出身地によって呼び方が違うもんだろ
583774ワット発電中さん:2007/04/10(火) 21:02:05 ID:dlXnD2gP
この分野を学んで就職するとして、ベストな結果とorzな結果の具体例教えてくれませんか?
携帯開発とかに回されて脂肪が最悪パターン?
584 ◆YMO/ALTERA :2007/04/10(火) 21:19:50 ID:L6vAeyq7
>>574
56ビットは鍵長だね。

>>575
166MHz, 16談パイプライン、レイテンシ=32、これでDES1個
これを2個並べた値。演算器には隈無く平文を流し込んでる。

>>577
何を100個突っ込むかにもよると思うよ、とマジレス。
DESエンコーダが100個も詰まるFPGA、ぜひタダ同然で俺にクレ。
585774ワット発電中さん:2007/04/10(火) 22:22:36 ID:9FA2zeBc
>>584
鍵固定ならかなり小さくできるんじゃね?
鍵を変えたいときは合成しなおすってことで。動的再構成ってやつの一歩手前。
586774ワット発電中さん:2007/04/10(火) 22:28:38 ID:uuUv0iDi
>DESエンコーダが100個も詰まるFPGA、ぜひタダ同然で俺にクレ。

一個買おうと思えば10万円近いぞ。
587774ワット発電中さん:2007/04/10(火) 22:40:15 ID:65OUIa68
まぁメモリに表引きにいく時点でFPGAのメリットほとんど無くなるからな
ハードワイヤマンセーだろ
588 ◆YMO/ALTERA :2007/04/10(火) 23:44:43 ID:nWlo95LO
>>585
鍵省略しても数%縮まるかじゃないかな?
DESはS-Boxが案外面積喰うよ。

>>586
基板込みで10マソ弱なら買う!!!
代理店はどこだ??
つか石は何だ???
589774ワット発電中さん:2007/04/11(水) 00:14:44 ID:hMkGSPLq
他に鍵が固定じゃこまる理由があるんでは?
590774ワット発電中さん:2007/04/11(水) 01:34:53 ID:HbtfswOv
だから100個突っ込んでも
アダマールの法則知らんかって

速度向上率 = 1/((1-R)+R/A)

Aが並列化数、Rが並列化して速度改善の見込まれる部分
マルチコアになろうが、FPGA使おうが数値計算なんかだと、
処理中並列化できない部分って決して少なくないでしょーが。
591774ワット発電中さん:2007/04/11(水) 02:54:12 ID:RsvCeXOo
暗号解除計算を白んだろ
手当たり次第にキーを計算して つうか検算するんだよ。
だから100個計算機があれば100倍になるんだよ。
592774ワット発電中さん:2007/04/11(水) 06:19:18 ID:Uqy2PxuC
>>591のシステムでは計算機を制御するユニットも100個あるが、どうやって協調動作しているのか誰もわからない
593774ワット発電中さん:2007/04/11(水) 06:22:15 ID:UK9mF5pF
( ´-`).。oO(協調動作なんてしてないのでは…)
594774ワット発電中さん:2007/04/11(水) 07:08:34 ID:2Vvc+Hl5
各ユニットに0〜99の初期値を与えたら、あとはそれぞれが
100づつキーの値を増やして計算するようなもんだしな。
595774ワット発電中さん:2007/04/11(水) 08:56:04 ID:OpnWpdyg
そもそも制御と呼ぶのもおこがましい
厳密には順序回路だろうが
単なる組み合わせ回路にバッファがはさまってるだけだからな
というような回路を組むには強いってことだ

ただ演算性能という意味では本当にそれくらいしか用途がない
ちょっとでも複雑なのは普通にギガヘルツ級のプロセッサでやったほうが楽だし速いしなぁ
596774ワット発電中さん :2007/04/11(水) 12:14:39 ID:jCXTDlR6
☆ヒント
>>普通にギガヘルツ級のプロセッサ
=>キャッシュがでかくてレイテンシがでかい
597774ワット発電中さん:2007/04/11(水) 13:02:29 ID:C/V7KrbV
実際CPUはクロックアップが止まってしまって、マルチコア化してるけど、
プログラム粒度の小さい数値計算なんか並列処理の恩恵に預かるのかな?
プログラマがスレッドを切り出してやってようやく早くなるの?
598774ワット発電中さん:2007/04/11(水) 16:01:55 ID:FuiQZli6
OSとかがキチンとしていれば、マルチコアを搭載することで、DTMがマトモに使えるようになる筈だ。
599774ワット発電中さん:2007/04/11(水) 16:15:29 ID:C/V7KrbV
スレッドより小さいプログラム単位でコアにうまく割り振ってくれるような魔法の技術があるの?
600774ワット発電中さん:2007/04/11(水) 18:36:52 ID:do6YgUKE
マルチコアでの並列化に対応したコードを吐くコンパイラは、あるにはある。
601774ワット発電中さん:2007/04/11(水) 20:50:53 ID:C/V7KrbV
マルチコアの並列化ってスレッド単位の割り振りだろ?
たとえば大きい行列の逆行列を共役傾斜法あたりでまともにやらせるような場合
3GHzのPen4と3GHzのCore2 Quadでどれくらい違うんだろ?
602774ワット発電中さん:2007/04/11(水) 21:15:29 ID:bMzdacwp
>>597
当たり前だけど、その計算内容による
単純な行列計算ならマルチコア+スレッド分けで
処理速度2倍みたいなことが実際にある。
シングルスレッド性能はこれから上がりそうに無いんで、
いかにソフト側で並列化させるのか?
を考えるのが最近のトレンドのような気もする。
603774ワット発電中さん:2007/04/11(水) 22:12:45 ID:7lPBRVzC
何で木を見て森を見ない奴ばっかしなんだろ。
604 ◆YMO/ALTERA :2007/04/11(水) 23:58:33 ID:04zH/lDn
例のDESエンコーダ回路、晒してうpしました。
なんの役に立つかわからないけどFYI

http://svn.sourceforge.jp/cgi-bin/viewcvs.cgi/trunk/Toriya/?root=naniya
605774ワット発電中さん:2007/04/12(木) 01:51:41 ID:nHRJr6LV
>>602
Pen4シングルスレッドとCore2 Quad比だから2倍じゃなくて4倍になってくれんとな
そこら載ってるサイト知らない?
現状のマルチコアってスレッドどころかプロセスの並列処理程度にとどまってるんじゃないの?
606774ワット発電中さん:2007/04/12(木) 02:06:02 ID:dcGBRA45
> Pen4シングルスレッドとCore2 Quad比だから2倍じゃなくて4倍になってくれんとな

マルチコアになっても、外部バスの転送速度がコア数に比例しているわけ
じゃないし、内部キャッシュのサイズで動くプログラムにしても、コア毎
にキャッシュが独立していれば、プロセッサ間のキャッシュの同期が必要
になるし、共有であれば排他アクセスが必要になる。

スレッドもプロセスも、あくまでOS上での単位だし、CPUから見たら特定
OS上で動いているかどうかなんて関係ないし、言ってる本人がどこまで
理解していることやら。
607774ワット発電中さん:2007/04/12(木) 03:19:28 ID:qeWU/meI
>マルチコアになっても、外部バスの転送速度がコア数に比例しているわけ
じゃないし、内部キャッシュのサイズで動くプログラムにしても、コア毎
にキャッシュが独立していれば、プロセッサ間のキャッシュの同期が必要
になるし、共有であれば排他アクセスが必要になる。

お前の知識のキャッシュもたまにはリフレッシュしとけ。ボケ
608774ワット発電中さん:2007/04/12(木) 07:33:56 ID:dcGBRA45
やあ、脳内エンジニアの厨房くん、おはよう。

で、コア数に比例して、外部メモリのバンド幅が増えているCPUが、現実
にあると言うのかね?

SDRAM->DDR->DDR2というメモリインターフェースの流れは、CPUのコア数
とは無関係だし、DRAM内部の基本構造は昔のまま、現実としてメモリ
帯域幅はほとんど改善されていないのが現状だよ。
609774ワット発電中さん:2007/04/12(木) 08:21:01 ID:7Gtlwkou
キャッシュの独立の件を、いつの時代のペン4だよって事を言ってるのでは?
たしかC2Dはよく分からない技術で解決してた筈
610774ワット発電中さん:2007/04/12(木) 12:34:27 ID:V/v1j27z
>>606

結論として何を言いたいの?結局速度が向上しないってことを言いたいの?
それなら同意。

それと、OSの話と決めつけてるが、
理論的に、並列処理を有効に機能させるようなプログラム単位に分割しないと
並列処理なんてそもそも無理だろ?ってことを言ってる。
キャッシュの制御方法とか同期とかはあくまでも実装の話で、理論値に近づける話でしょ。
アダマール式のRの部分を明示的に分離できないことには並列化そのものが無理だし。
そうなってないと、所詮プロセス単位にしか分割できず、
マルチタスク時しか顕著な効果がないんじゃないかと?
いいとこ、より、小さいプログラム単位のスレッドに人が明示的に割り振ったとしても、
それより粒度が小さくて、分割できないような数値演算とかだとマルチコアが
ホントに効果があるのかなと?

普通に考えてクロックの向上がなくなってしまった今、シングルタスクの速度向上
って踊り場にあるの?CPUメーカのプロパじゃなくて実際のところを知りたい。

611774ワット発電中さん :2007/04/12(木) 12:46:59 ID:Tn2iRaqN
☆ヒント
並列CPUの数が増えて、CELLみたくなれば、
メモリバンド幅10倍に
612774ワット発電中さん:2007/04/12(木) 12:53:36 ID:V/v1j27z
だから、それは実装方法でしょが。
それ以前にプログラムにおいて、、
どの部分をどうやって並列処理として分割すんの?
こっちが先でしょが。
分割できなきゃバス帯域10倍にしても何も変わらん。
613技術奴隷:2007/04/12(木) 13:08:45 ID:NrG04giz
C2Dは2倍くらい早くなった。@fedoraのpgsql
614774ワット発電中さん:2007/04/12(木) 13:48:44 ID:X5tSBFp9
プロセッサの設計(バス帯域と演算リソース)の話も
ソフトウエア工学(並列処理の記述や自動並列化コンパイラ、SMT等)の話も
ここではスレ違い

FPGAで演算能力を求めるなら
シストリックアレイやデータドリブンアーキテクチャなど
組み合わせ回路のメリットを最大限生かす方法で特定用途に書いてナンボじゃないの

まーウチは計算はホストPCに任せて
FPGAはちょっと賢いCPLD兼シーケンサですがね
615774ワット発電中さん:2007/04/12(木) 14:03:48 ID:yKLPRtii
まあPS2なんかはホスト側の方が遅かったりしたがw
616774ワット発電中さん:2007/04/12(木) 14:30:30 ID:+vQQRUM4
608よ
お前、エンジニア向いていないよ。
そーゆー発送する奴は業界ゴロに多い。本人煮汁を気取っているつもりだろうが。
617774ワット発電中さん:2007/04/12(木) 14:46:27 ID:R1fk6y7X
9条は改憲してはならない。日本の為にならない。
日本人ではない朝鮮総連や民団でさえ、日本を心配して改憲への反対運動を行ってくれている。
私は日本人だが、「改憲すべき」などという者は、日本人として彼らに恥ずかしいと思います。

Q.中国から身を守る為、戦争に対する抑止力が必要では?
A.前提から間違っています。そもそも、中国は日本に派兵しようと思えばいつでもできました。
  なぜなら、日本は9条があるため、空母や長距離ミサイル等「他国を攻撃する手段」がない。
  つまり、日本に戦争を仕掛けても、命令をだした幹部の命や本国の資産は絶対に安全なのです。
  にも関わらず、中国は、今まで攻めずにいてくれたのです。

Q.日米安保も絶対ではないのでは?
A.いえ、絶対です。
  知り合いの韓国人の評論家もそう言っていますし、私も同じ考えです。
  そして日米安保が絶対なら、日本を攻める国はなく、改憲の必要はありません。
  米国と戦争をしたい国はないからです。

Q.9条が本当に平和憲法なら、世界中で(日本以外に)1国も持とうとしないのはなぜか
A.誤解を恐れずに言うなら、日本以外のすべての国が誤っているとも言えます。
  「敵国に反撃できる手段を持つ国は攻められづらい」というのは、誤った負の考え方です。
  (もっとも韓国や中国の軍に関しては、日本の右傾化阻止の為でもあるので例外ですが)
  さらに日本の場合、隣国が韓国・中国・ロシアと、GDP上位の安定した国ばかりです。

「憲法九条を守ろう」「平和主義を安倍首相は憎んでいる」毎月9日に改憲阻止ハンスト
ttp://news22.2ch.net/test/read.cgi/newsplus/1175991624/l50
【調査】NHK調査では9条改憲すべきが25%、必要なしが44%
ttp://news22.2ch.net/test/read.cgi/newsplus/1176167609/l50
618774ワット発電中さん:2007/04/12(木) 16:19:21 ID:0gqsPlZP
ワッフル ワッフル
619774ワット発電中さん:2007/04/12(木) 16:25:51 ID:WmF46DOn
トリップうひょふほほこあふじこ
620774ワット発電中さん:2007/04/12(木) 22:30:23 ID:Ql53Btkc
>>617
チョンが一匹
621774ワット発電中さん:2007/04/12(木) 22:40:55 ID:idckClQX
ISE9.1i ってまだまだ不安定なのですかね。

SP3まで出たので、そろそろと思って移行してみたのですが、
ISE8.2iの時に比べて、明らかにハングする頻度が高くなってorzな感じ・・・。
622774ワット発電中さん:2007/04/12(木) 23:18:06 ID:Ql53Btkc
>>617
チャンコロが一匹
623774ワット発電中さん:2007/04/12(木) 23:52:47 ID:emkBjCav

おやおや特定アジアに興味がある方がいるスレですな
624774ワット発電中さん:2007/04/13(金) 00:01:48 ID:8OYu8bNS
>>617
在日ウザイ
625774ワット発電中さん:2007/04/13(金) 01:50:56 ID:GXQhCRzn
>>617
所詮、チョンはチョン
626774ワット発電中さん:2007/04/13(金) 02:33:37 ID:GHPWRhhN
池田先生が中共首相と階段したそうだが
池田先生のことを「庶民の王者」と呼んだそうだ。
627774ワット発電中さん:2007/04/13(金) 03:56:27 ID:3pIBNl4H
そうか
628774ワット発電中さん:2007/04/13(金) 09:21:36 ID:mV+FJfxI
>>608の話はテクニカルには正論だとしても(ていうか帯域実際行き詰まってるしな)
高速シリアルもいっぱいあってSSTL2の足もいっぱい出せるようなFPGAがあるわけだ
デザインでどうこうしても帯域のオーダーは変わらないわけだけども色々遊べそうだしその価値もある
このスレ的にはだめだめ言わずにやってみようよっちゅー話だ
629774ワット発電中さん:2007/04/13(金) 10:05:17 ID:OCwZkZTj
税金使って、エンジニアゴッコするのが、スーパーハッカーでつか?
630774ワット発電中さん:2007/04/13(金) 14:17:06 ID:Px71XwZH
俺はスーパーニッカより白州が好き
631774ワット発電中さん:2007/04/14(土) 16:35:34 ID:gN4WbnQ8
fpgaって初心者が使うにはどれがおすすめですか?
632774ワット発電中さん:2007/04/14(土) 17:25:36 ID:p6/Y/xsJ
>631
Virtex5LXTの200くらいか、Virtex4のFXとかSXで200くらいのがいいです。
まずはLEDチカチカからはじめましょう。
ACTELのFPGAも隠れた人気ですよ。
633774ワット発電中さん:2007/04/14(土) 19:57:38 ID:gN4WbnQ8
>>632
xilinx社の日本語ページ行って、販売代理店のぺーじ見たけど、価格がどれくらいか書いてない。
代理店に問い合わせるのもなんだかな・・・。って思う。
もしよければ、それぞれどれくらいかおしえてもらえませんか?
634774ワット発電中さん:2007/04/14(土) 20:36:56 ID:c0PS7tPX
>>633
Virtex-5のLX220搭載ボードで100万円ぐらいですね。

FPGAの勉強と言うことでしたら、Spartan-3Aスターターキット辺りがお勧めだと思います。
開発に必要なモノが全て揃ってますし、値段も3万円でお釣りが来るぐらい。
635774ワット発電中さん:2007/04/15(日) 04:42:35 ID:MQawEEnw
>>633
Digi-Key へどうぞ
636774ワット発電中さん:2007/04/16(月) 11:18:19 ID:s7hXCV61
637774ワット発電中さん:2007/04/16(月) 21:22:34 ID:RGb52VQ+
先生!DDR2の使い方がわかりません!
スパ3Eだけどせっかく有るの使わないのはもったいない気がしてしょうがないのですが
日本語の仕様書とかって無いでしょうか?
638774ワット発電中さん:2007/04/16(月) 22:03:32 ID:3caYnpcC
日本でDRAMを作っている数少ないメーカにいけばチップの日本語仕様書があるのでそこを見るべし。
639774ワット発電中さん:2007/04/17(火) 00:39:33 ID:FWmbfw+Z

アルテラよりザイリンクスの話題が多いね。
ていうか、ザイのほうがユーザーが多いからか?

  ザイリンクス万歳 ってことでいいかな?


640774ワット発電中さん:2007/04/17(火) 00:58:21 ID:FBFRHvdb
FPGAではXilinxが一歩リードの感だな。
ツールはイマイチだけどな。
641774ワット発電中さん:2007/04/17(火) 01:36:08 ID:jdF/F3sc
ツールは寺の方が軽いし小気味よく動くんで好きだな。
でもスタータキットとか財の方がお得感高いんで、そっち買ってしまう。
642774ワット発電中さん:2007/04/17(火) 03:50:31 ID:B11eNk+A
そもそも寺は個人相手に売ってくれない
643774ワット発電中さん:2007/04/17(火) 10:03:53 ID:MTtMR/55
昔アルティマ経由でMaxplusとか買ってた時、
営業が、
不正にコピーとかされると訴訟になるかもしれません。
とか言ってきたので、訴訟の可能性ある製品は使わないよう全社に伝えます
と言ってその場で話を打ち切った。後日上司連れて詫びに着やがった。
相手(俺じゃなくて俺の会社)みて物言えヴぉけ!
644774ワット発電中さん:2007/04/17(火) 10:05:08 ID:MTtMR/55
訂正
>>643 5行目
・・・来やがった。
645774ワット発電中さん:2007/04/17(火) 10:10:04 ID:B11eNk+A
会社からのアクセスですか?
646774ワット発電中さん:2007/04/17(火) 12:02:36 ID:fZxwAO1d
単なる割れ厨に見られたんだろ? m9プギャー
647774ワット発電中さん:2007/04/17(火) 15:49:32 ID:mdvFJBX3
去年のトラ技の付録でPLDに興味持ち始めたんだけど、
付録のデバイスって探してもないんだけど…。
まあ新しそうだったからまだ一般人は買えないのかもしれないけど、
もう少し個人が買いやすいデバイスにして欲しいねぇ。
やっぱり個人ではXILINXの方がいいのかな。
せっかく取っ掛かりができたのに。
648774ワット発電中さん:2007/04/17(火) 20:32:39 ID:MeKRXTV5
アルテラは品揃えが少ないし高いね。日本語で買おうとすると。
相場(?)で買うにはALTERA直販(中の人はdigikey)しかない。
649774ワット発電中さん:2007/04/17(火) 21:10:55 ID:d4l/i+DX
Alteraの HardCopy(FPGA→ASIC変換サービス)はどんなもんなんだろう。
FPGAの設計データそのままでOKというのは、にわかには信じられないんだがw
650774ワット発電中さん:2007/04/17(火) 22:34:12 ID:qdcvfOnq
>>649
性能もそのままなら、それほど....
651774ワット発電中さん :2007/04/18(水) 12:49:48 ID:hiT0FpHQ
GATEアレイの方がFPGAより設計の粒度が小さいということで・・・・
FPGAがまだまだどということ
652774ワット発電中さん:2007/04/18(水) 17:57:43 ID:OHyAkaQI
FPGAはASIC技術を使ってFPGAの仕組みを作ってるんじゃ?
FPGAはどう頑張ってもGATEアレイとかに勝てないと思われ

# GATEアレイのセル単位で論理変更できる物理的な仕組みができれば別だけど…
653774ワット発電中さん:2007/04/18(水) 21:19:54 ID:l/W/eE6J
FPGAのLE構造をそのまま再現できるようなゲートアレイを用意してあるって事なのかな。>HardCopy
てっきり、FPGA設計データから、安くフルカスタムのASICを作れちゃうのかと思ってしまいました。
654774ワット発電中さん:2007/04/19(木) 00:00:48 ID:gnGI+GBl
Stratixとかでもコスト1/10になるんだよねぇ。Hcopy。
でも数がなぁ。万単位じゃあなぁ
655774ワット発電中さん:2007/04/19(木) 00:09:39 ID:FETZzxIF
大量生産時に生きてくる話だろうね

試作や少数生産ならFPGAのが論理変更できるから保守的な面で有利だし
656774ワット発電中さん:2007/04/19(木) 03:32:22 ID:Vyfqc5UW
使用SLICE数を減らそうと思って必死でif文をcase文に書き換えたけど、
なぜかSLICE使用率が上がっとる…。
減るって書いてあったと思うんだけどなぁ…。
657774ワット発電中さん:2007/04/19(木) 10:45:46 ID:a3MrS0W7
条件が減るように書かないと
658774ワット発電中さん:2007/04/19(木) 20:17:33 ID:sF7cszLZ
論理合成後の見積もりゲート数は減るかもしれんが
LUTにマッピングするとなると配置配線の中の人の気分次第だからなあ
659774ワット発電中さん:2007/04/25(水) 00:45:33 ID:1+rJFGRV
俺はXilinxのチップをひいきにしているけど、開発環境のISE WebPACK(TM)はどう考えても
HDD食いまくりだと思うぞ。サービスパックを適用し続けると、4.2GBも爆食してしまい、
HDDがパンク寸前になる。

現在、ISE WebPACK(TM)9.1iが、サービスパック3まで出ているので、新バージョンは10.1iかな?

現行バージョンの9.1iのSP3で、C言語(≒SystemC)でプログラムを組む術はあるのか?
と書いたところで、C言語は対応していないことがわかった。
新バージョンからはC言語(≒SystemC)も対応して欲しいと思ふ。

それとも、C言語(≒SystemC)対応でHDDを食わない開発環境のFPGA/CPLDベンダーに
乗り換えてしまおうかな。
660774ワット発電中さん:2007/04/25(水) 01:16:28 ID:ocTwCel3
X ISE -> O ICE
661774ワット発電中さん:2007/04/25(水) 01:22:30 ID:6MIpMbxd
>>659
HDD増設すれば?
1万だせば320GBでもお釣りが帰ってくるぞ。
別ベンダーに乗り換える手間とか時間考えりゃ安いと思うが。
662774ワット発電中さん:2007/04/25(水) 01:31:29 ID:Uf0p48ke
>>659
SP適用時、そっくりバックアップを保存してるのではなかったかな?

私はHDDよりもメモリ喰いな所をナントカして欲しいココロ。
10GBでギリギリ、できれば16GBあった方が良いってのはキツイです。
partition機能で多少改善するもんなのかな・・・。
663774ワット発電中さん:2007/04/25(水) 02:26:42 ID:apc5iHzt
>>659
>C言語(≒SystemC)

ハァ?馬鹿かお前

>サービスパックを適用し続けると、4.2GBも爆食してしまい、

 馬 鹿 決 定 !
 死 ね
664774ワット発電中さん:2007/04/25(水) 06:49:02 ID:za+QHnzv
横からでスマンが教えてくれ
>>663
C言語ライクな書き方が出来る方法って何て言うの?
それっぽい単語でググっても見つからないから、乗り換えられないんだけど…
あとHDD馬鹿食いの打開策は?

まさか他人馬鹿にしてる人が知らない訳がないと思うからさ、たのむよ>>663教えてくれよ
665774ワット発電中さん:2007/04/25(水) 06:51:24 ID:oLMjiVgx
タイトル:【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 06
URL:http://science6.2ch.net/test/read.cgi/denki/1154900133/
【糞スレランク:S】
直接的な誹謗中傷:28/664 (4.22%)
間接的な誹謗中傷:447/664 (67.32%)
卑猥な表現:5/664 (0.75%)
差別的表現:8/664 (1.20%)
無駄な改行:2/664 (0.30%)
巨大なAA:2/664 (0.30%)
by 糞スレチェッカー Ver0.72 http://kabu.tm.land.to/kuso/kuso.cgi
666774ワット発電中さん:2007/04/25(水) 06:56:06 ID:oLMjiVgx
>>664

>>663 じゃないけど

XPの場合だと
「マイコンピュータ」右クリック
「プロパティ」
「システムの復元」

ここで「無効」にするか容量減らせばどうでしょうか?

経験的には復元しようとしてもうまくいかなくて
全部再インストールするケースの方が多いので
この機能は全くアテにしておらず、
無駄なものは無効にするのが良いかと

667774ワット発電中さん:2007/04/25(水) 07:12:26 ID:za+QHnzv
>>666
そこはゲームやアプリ系で不安定になる場合には効果薄いけど(ソフト独自のセーブや設定が原因の場合が多いから
ハード系の場合(OSバージョン違いでも無理矢理突っ込んでる拡張ボード等)とかには効果あるから消したくないんだよな…
どれくらい軽くなるか一度消してみるか
668774ワット発電中さん:2007/04/25(水) 19:11:48 ID:RA+QhdFr
なんでISEのSPの話がXPのSPの流れになってんだおれはどこで道に迷ったw
ISEが馬鹿でかくて糞重いのはデフォだしょ

C言語に対応するのは20.2iくらいじゃないですかね
まあC言語/=SystemCと思った方がいいと思いますが
669774ワット発電中さん:2007/04/26(木) 03:08:30 ID:/QLAFBDl
C言語 = C言語/SystemC ?
670774ワット発電中さん:2007/04/26(木) 11:15:17 ID:6ID/G/e9
>>669
何ですか? その
 ALGOL = Ada/VHDL ?
くらいに意味不明な式は
671774ワット発電中さん:2007/04/26(木) 11:40:56 ID:C7VRnMmJ
SystemC=1なら成り立つんじゃね?
672774ワット発電中さん:2007/04/26(木) 15:09:14 ID:6ID/G/e9
三項演算子の後ろ2項がないからコンパイル通らないよ
673774ワット発電中さん:2007/04/26(木) 17:45:21 ID:ipa8MHwp
>>670
代入演算子知らないの?
674774ワット発電中さん:2007/04/26(木) 20:42:06 ID:SLGW83/x
C言語 = C言語 / SystemC ? SpecC : C++;

ごめんよ……全部VHDL屋のおれが悪いんだ
675774ワット発電中さん:2007/04/26(木) 21:01:32 ID:worwkQV1
スレ違いだったらすみません。

ttp://www.cqpub.co.jp/hanbai/books/38/38611.htm
これの、右上の14ピンコネクタ (CN4 かな?) って JTAG 端子ですか?

25pin のケーブルがないので、ダウンロードケーブル (は何故か持ってる)
でコンフィグできるんだったら、買ってみようかな、と思って。
676774ワット発電中さん:2007/04/26(木) 22:05:51 ID:11vvrj66
■別途ご用意いただくもの
・パラレル・ケーブル(Dサブ25ピン・オス-Dサブ25ピン・メス,全ピン・ストレート結線のもの)
・ACアダプタ(出力電圧DC5Vまたは6V,出力電流400mA以上,EIAJ統一極性品)

これくらい読みやがれタコ
677774ワット発電中さん:2007/04/26(木) 22:22:08 ID:worwkQV1
いや、そんなことは分かってるんだけど…
だから、わざわざ「25pin のケーブルがないので」って書いたワケで。
678774ワット発電中さん:2007/04/26(木) 22:28:24 ID:tPm18+hi
>>676
パラレルケーブルを持ってないから聞いてるんじゃないか?

>>675
それを買うんだったらパラレルケーブル買ったほうが安心じゃね?
高い物でもないんだし。
679774ワット発電中さん:2007/04/26(木) 22:40:49 ID:11vvrj66
読んでるんなら駄目だって諦めろよ
680774ワット発電中さん:2007/04/26(木) 22:43:19 ID:worwkQV1
>>678
> それを買うんだったらパラレルケーブル買ったほうが安心じゃね?

それはそうなんだけど、田舎のせいか、今時全然売ってね〜んだわ、パラレルケーブル。
通販でも探してみたんだけど、全然みつからないし。

それに、使えそうな現有資材があるんで、それが使えるならそれに越したことはないなぁ、と。
681774ワット発電中さん:2007/04/26(木) 22:51:51 ID:tPm18+hi
>>680
パラレルケーブルって、要はD-SUB25Pフル結線オス・メスなんだから
ttp://wir.misumi.jp/data/pdf/pdf-wir-07B5_011.pdf

C03UL-25M-25F
かな。

とりあえず、本買って回路が載っていたらJTAGかどうか、確認できるから、それからでもいいんじゃね?
682774ワット発電中さん:2007/04/26(木) 22:58:12 ID:mTvRLA58
モデム延長ケーブルって名前だったな。DSUB25のオスメス。
あれでパラレル延長して、机の上にドングル置いてやってました。
683774ワット発電中さん:2007/04/26(木) 23:13:21 ID:11vvrj66
>>680

YOUまとめて買っちゃいなさいYO!

ELECOM RS-232Cパラレルリバースケーブル(25pinオス-25pinオス) 1.5m C232R-2515
http://www.amazon.co.jp/ELECOM-RS-232C%E3%83%91%E3%83%A9%E3%83%AC%E3%83
%AB%E3%83%AA%E3%83%90%E3%83%BC%E3%82%B9%E3%82%B1%E3%83%BC%E3%83%96%E3
%83%AB-25pin%E3%82%AA%E3%82%B9-25pin%E3%82%AA%E3%82%B9-1-5m-C232R-2515/
dp/B0001CQ5RE/ref=sr_1_6/503-5751443-7023932?ie=UTF8&s=electronics&qid=1177596411&sr=8-6

FPGAボードで学ぶ論理回路設計 (単行本)
http://www.amazon.co.jp/FPGA%E3%83%9C%E3%83%BC%E3%83%89%E3%81%A7%E5%AD%A6%E3%81%B6%E8%AB%96%E7%90%86%E5%9B%9E%E8%B7%AF%E8%A8%AD%E8%A8%88/dp/4789833461/ref=pd_rhf_p_1/503-5751443-7023932
684774ワット発電中さん:2007/04/26(木) 23:14:12 ID:mTvRLA58
リバースばヤバス
685774ワット発電中さん:2007/04/26(木) 23:34:55 ID:worwkQV1
>>679
アホか。
「ダウンロードケーブルは使えません」とかはっきり書いてあれば諦めるけど、
それが分からんから聞いてるんだろが。
つ〜か、知らないなら黙ってろ、カス。


>>681
情報サンクス。
明日にでもチェックしてみます。


>>683
それ、リバースだし。しかもオス-オスだし。
下のリンクもちょっと違うし。
おまいは一体俺に何を買わせようとしてるんだYO!
686774ワット発電中さん:2007/04/27(金) 00:03:52 ID:HOzoLYcv
質問者の悪態で、気持ちがわかるから許せるってのは、久しぶりだ。
がむがれ〜
687774ワット発電中さん:2007/04/27(金) 00:10:45 ID:rG77dZkG
おれはいつも回答者の悪態が理由で厨な質問者の方を応援してしてしまうな
688774ワット発電中さん:2007/04/27(金) 00:59:10 ID:TxNNd118
>>683
その「FPGAボードで学ぶ論理回路設計」もう古いしLE少ないし
いまは続編の「FPGAボードで学ぶVerilog HDL Windows2」が出てるから
こっちの新しい方が絶対おすすめ
http://www.amazon.co.jp/products/dp/4789838617
689774ワット発電中さん:2007/04/27(金) 07:54:22 ID:qBUypkUY
オス・オス + ジェンダー・チェンジャー
690774ワット発電中さん:2007/04/27(金) 22:10:06 ID:nCx9IBf5
開発はWinのノートパソコンで、データの受け渡しはLinuxデスクトップのパラレル
ポートでってのを考えているんだけど、無理かな?

一番良いのはLinuxで開発してLinuxでコンフィグできることなんだけどな。
691774ワット発電中さん:2007/04/27(金) 22:12:08 ID:OZHtIzvE
Winで開発してWinでコンフィグって出来ないの?
692774ワット発電中さん:2007/04/27(金) 23:03:19 ID:nCx9IBf5
ノートパソコンにあるI/Oは
USB, VGA, DVI-D, LAN, マイク, スピーカ
こんなもんなんす。

Linux入ってるデスクトップだと、パラレルポート、RS232C, USB・・・
と色々あるんですけどね。

USB機器としてRS232Cの変換機があるけど使えるってことは聞いたことですよね
693774ワット発電中さん:2007/04/28(土) 04:36:22 ID:RV98eJ1q
>>692
デバイスは、XかA?それ以外?

XでもAでも、USBでのコンフィグは可能。

Aなら Terasic Blasterが、1万くらいで買える。
Xはスマン、値段は分からんが、USBタイプのもある。
694774ワット発電中さん:2007/04/28(土) 22:43:59 ID:5qitE3b8
MicroBlaze おもろいぞ!
695774ワット発電中さん:2007/04/28(土) 22:44:44 ID:DIJCGvsG
>>694
日本語で解説してくれ!
頼む!
696774ワット発電中さん:2007/04/29(日) 00:00:02 ID:Rdasc6ep
697774ワット発電中さん:2007/04/29(日) 03:23:48 ID:f/etS6Zu
PicoBlazeも(・∀・)イイネ!!

シリアル回路周りのステートマシンがスッキリ置き換えできました。
少ないリソースでコンパクトに実装出来る点が良いですね。
開発環境の kpicosim も秀逸で、デバッグが楽に出来る点もグー。

>>696
そのサイトには日々とてもお世話になっております。
説明が分かり易くて良いですね〜。
698774ワット発電中さん:2007/04/29(日) 14:50:52 ID:r4ZV4psn
NanoBlazeもいい!
財が名前だけ登録してる。
699774ワット発電中さん:2007/04/29(日) 17:27:05 ID:tAt0AcUf
このあと、_a`とかメガとか禁止ね
700774ワット発電中さん:2007/04/29(日) 21:03:00 ID:RuOH0lFm
FemtoBlaze,AttoB;aze,ZeptoBlazeを登録だ!
701774ワット発電中さん:2007/04/29(日) 22:29:07 ID:xh5nDYfg
>700
商標登録を誤解しているだろ。
登録するのにカネが掛かる。
登録を維持するのにカネが掛かる。

他人が使いたがらないようなものを登録することは自己満足に過ぎない。
702774ワット発電中さん:2007/04/29(日) 22:43:17 ID:vWP15Hs9
そこでマジレスか・・・

ちなみに商標登録を弁理士に頼んで登録料込み1区分で15万程度だった。
10年ごとの申請料が15万くらいだったかな。
703774ワット発電中さん:2007/04/30(月) 05:15:47 ID:vzQ9vg/d
>>701
特許と勘違いしてないか?
704774ワット発電中さん:2007/04/30(月) 08:28:37 ID:QNKTVv5U
>>703
商標を維持するのに金はかかるだろ。著作権と勘違いしてないか?
705774ワット発電中さん:2007/04/30(月) 08:41:23 ID:X1unszG9
ここは電気・電子板だ、司法試験板と勘違いしてないか?
706774ワット発電中さん:2007/04/30(月) 10:48:51 ID:x3MLBX68
連休中は馬鹿が湧くっていうのは噂じゃなく本当だったんだ
707774ワット発電中さん:2007/04/30(月) 11:14:06 ID:gw+myJ6E
司法試験にそんなくだらない問題はでません
708774ワット発電中さん:2007/04/30(月) 22:28:13 ID:d+CX/Boo
>>659
フォルダ丸ごと圧縮属性をかませば半分になりますよ。
たぶん。
709774ワット発電中さん:2007/05/01(火) 21:37:08 ID:d9cMv88P
XilinxのBITファイルの有効データ部分ってビッグエンディアン形式なんですか?
資料に書いてある事と実際のBITファイルが一致しない。
ビッグエンディアンなら一致するんだけど。
710774ワット発電中さん:2007/05/02(水) 04:17:58 ID:ayrR9VC3
little エンディアンです
711774ワット発電中さん:2007/05/05(土) 16:50:00 ID:kdhqhsLE
458 :774ワット発電中さん :2007/05/05(土) 16:01:01 ID:TqUC3Ed+
定価で買えるのになんでプレミアが付くんだ??

459 :774ワット発電中さん :2007/05/05(土) 16:39:07 ID:LfpyGy2n
へんなプライドがあって直に買いたくない人がたくさんいるんじゃないか?

460 :774ワット発電中さん :2007/05/05(土) 16:43:10 ID:o4fPs3I6
http://science6.2ch.net/test/read.cgi/denki/1160676948/1 みたいにw
712774ワット発電中さん:2007/05/05(土) 23:01:28 ID:APHQmuba
Spartan3Eスターターキットを買ったんですが、これってもしかして
JTAGコネクタをチェインしてUSB-JTAGアダプタとしても使えますか?

CQ付録基板に書き込むためにパラレルポートアダプタを作ったら、
CPLD(5V)は書けるのにSpartan3(2.5V)は書けず、鬱になってます。
で、ふとスターターキットを見るとボード自体はUSB経由で書くのに、
ボード上にJTAGコネクタが出てるので、「そういえばJTAGって
チェインできなかったけ?」というわけで質問してみました。
713774ワット発電中さん:2007/05/06(日) 07:05:54 ID:lQKf8Alq
>>712
2005/1月号の Spartan3 のこと?
だったら 2.5V に問題があるだけだから、ヒューマンデータさんの頁でも
参考にしてバッファ入れてみれば?
http://www.hdl.co.jp/XCKIT/dwbd/
714774ワット発電中さん:2007/05/06(日) 07:56:40 ID:Z0f9HzZs
>>713
>2005/1月号の Spartan3 のこと?
違うだろ。
Spartan3Eスターターキットとは、XILINXの出しているFPGA評価ボードのこと。
712の質問は、基板上にPlatformUSB相当の回路が載っているから、それだけを取り出せないかという意味では。

712への回答としては、「できない」。
715774ワット発電中さん:2007/05/06(日) 09:00:45 ID:lQKf8Alq
いやいや、>>712
>CQ付録基板に書き込むためにパラレルポートアダプタを作ったら、
>CPLD(5V)は書けるのにSpartan3(2.5V)は書けず、鬱になってます。
これを解決するため Spa-3E のスターターキットを利用したいんじゃないの?
716774ワット発電中さん:2007/05/06(日) 09:35:45 ID:eXkiugWu
>> 713,714
おおー、そんな情報が!ありがとうございます。
早速やってみます。

Spa-3EのキットのPlatformUSBは使えないんですね・・・残念。

その後、燃えたり腐ったりはしないだろうと判断して、ちょっと繋いで見ました。
するとたしかにデバイス認識がビミョーな動作になりました。コネクタ先の
CQボードが見えるようになったかと思えばSpa-3E上のデバイスが消失したりとか。
回路を考えると、PlatformUSBを軸にしたボード上のTDI-TDOループに
CQ基板のTDI-TDOループが並列接続される感じになってしまって、P-USBが
どっちを認識するか混乱してる?
717774ワット発電中さん:2007/05/06(日) 10:55:12 ID:LrJMXkO5
壊れるぞ
718774ワット発電中さん:2007/05/06(日) 11:03:58 ID:eXkiugWu
TD*ラインの干渉だけでは壊れないだろうと見込んでどう見えるかまで
やってみたんですが、さすがにこの干渉状態で書込みまでする度胸はないです・・・
719774ワット発電中さん:2007/05/06(日) 11:37:06 ID:Yne0vpH2
【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel
http://mononeta.seesaa.net/article/40405170.html
720716:2007/05/07(月) 23:45:02 ID:u0uVxUgo
Parallel Cable III 互換ケーブルでCPLD(5V)は書けるがSpartan3(2.5V)が認識されないと
相談しましたが、アキバで74AC244を買ってきて挿したところばっちり動くようになりました。
なひたふさんのとこがAC125でできてたので、じゃあHC244ベースのもAC244にすればと
試したらばっちりでした。

うまくいったということで報告まで。
721774ワット発電中さん:2007/05/07(月) 23:52:08 ID:K+kh4y68
FPGAってどういう仕組みなのかを知りたいのですが
デバイスレベルで実際のどういうレイアウトパターンに
なってるか解説しているような書籍やサイトってありませんか?
722774ワット発電中さん:2007/05/07(月) 23:57:19 ID:EGfSJM69
>>720
74ACxxは、暴れん坊だから、気をつけて使ってね。
723774ワット発電中さん:2007/05/08(火) 00:33:15 ID:rTvPK1vQ
>>720
壊れたら是非報告おながいします
724716:2007/05/08(火) 00:36:40 ID:6mElYVxR
>> 722,723
なんかきわどい事をしているような気分になってきましたが、AC244で
(タイミングなりが)ギリギリということ以外に何か壊れるような要因が
あるんでしょうか・・・

ちなみに3.3V駆動ではないです。ちゃんと2.5Vで駆動してます>バッファ
725774ワット発電中さん:2007/05/08(火) 00:37:30 ID:rTvPK1vQ
FPGA-MLからのSPAMが凄いんだが
726774ワット発電中さん:2007/05/08(火) 02:01:21 ID:QEvC/fuj
>>724
つ オーバーシュート/アンダーシュート
727774ワット発電中さん:2007/05/08(火) 20:27:51 ID:/BqtuqVh
>>725
あれは故意なのかな・・・
728774ワット発電中さん:2007/05/08(火) 22:47:01 ID:l/yfH5nY
つか某背泳ぎの泳法な人は向こうに行っちゃったまんま?
729774ワット発電中さん:2007/05/08(火) 23:32:32 ID:nwWhVnP3
バッファローみたいなしと?
730774ワット発電中さん:2007/05/10(木) 18:44:59 ID:sG/iAeTe
Quartus II 7.1は
%
:
%
のコメントが使えない?
731774ワット発電中さん:2007/05/10(木) 23:04:42 ID:vLCZTCRD
QuartusII 7.1で
デュアルコアに対応してfitter20%upたと書いてあったけど
試した香具師いますか?
732 ◆YMO/ALTERA :2007/05/12(土) 00:36:02 ID:cVO/ORvJ
>>731
WEでも有効になってるのかな…
試すのは来週になる。
733774ワット発電中さん:2007/05/13(日) 12:53:22 ID:ohjecaPq
Western Electric ?
734774ワット発電中さん:2007/05/13(日) 16:16:29 ID:xSW7FwRL
Write Enableだろ。
735774ワット発電中さん:2007/05/13(日) 20:03:26 ID:fW8d3+IG
うぇ
736774ワット発電中さん:2007/05/13(日) 21:22:41 ID:VvxyE5pe
MSwordの自動スペル補正で、nWEがNEWに書き換えられてしまってウザかった
737716:2007/05/13(日) 21:25:50 ID:U9Bex/X/
つ !WE, ^WE
738774ワット発電中さん:2007/05/14(月) 14:48:45 ID:CNIyZNCV
>>604
遅レスだけど
ありがとう
739774ワット発電中さん:2007/05/14(月) 21:10:13 ID:mij45UGR
OpenOffice使え
740Socket774:2007/05/16(水) 00:46:17 ID:5Q27xTgl
XC95144XL買ったのでage
741774ワット発電中さん:2007/05/16(水) 02:51:46 ID:y/W2JOC7

WE=Word Excel
742池田勇人:2007/05/16(水) 03:25:42 ID:lrrI3VMw
>>739
貧乏人はOpenOffice使え

だろ
743技術奴隷:2007/05/16(水) 03:59:36 ID:gr/LGRbE
OpenOfficeは凄くもたつく。
postgresをコマンド打ちするのが早くて便利
744774ワット発電中さん:2007/05/16(水) 07:44:42 ID:qN/wRN8f
PostgresってSQLの話?
745技術奴隷:2007/05/16(水) 13:37:00 ID:gr/LGRbE
です。
746774ワット発電中さん:2007/05/16(水) 14:04:45 ID:3gMpsrxK
なぜ次スレが
747774ワット発電中さん:2007/05/16(水) 18:58:46 ID:S2bp0oWS
ESECで評価ボード安く売らないかな。
748ウルトラ マンコ スモス:2007/05/16(水) 22:20:11 ID:O96oMXQo
ESEC参加した椰子レポよろ(^◇^)┛
749774ワット発電中さん:2007/05/21(月) 08:46:53 ID:Rir+Y3FO
.
750774ワット発電中さん:2007/05/25(金) 13:54:28 ID:m9lkz/eE
あげてと。

ChipScopeProは使いづらいね。
SignalTap2を基準として100点を付けたらChipScopeProは20点位
機能的に大差ないけど使い勝手が悪すぎる。
751774ワット発電中さん:2007/05/28(月) 20:13:09 ID:KkM86XH2
知らない機能があると非常に使いずらいとかんじるものさ。

ttp://marsee101.blog19.fc2.com/blog-category-2.html
752774ワット発電中さん:2007/05/28(月) 22:43:03 ID:drwYCMbK
キーボードユーティリティ系のアプリを常駐させていると
ChipScope(JavaVM)の起動に失敗するのは困りもの。
753774ワット発電中さん:2007/06/05(火) 17:26:41 ID:zop5lEdi
>>750
寺信者乙


ところでおまいら
http://www.cqpub.co.jp/eda/BLANCA/Default.htm

このボードについてくるUSBコアって使ったことある香具師おる?
使い物になるのかどうか知りたいんだが。
754774ワット発電中さん:2007/06/08(金) 21:48:02 ID:xjbP3//Q
>>753
宣伝乙

>使い物になるのかどうか知りたいんだが。

遊びでなら使える
仕事用に使おうと考えてるならエンジニアをやめた方がいい
755774ワット発電中さん:2007/06/09(土) 14:36:04 ID:TtHAXP4+
FPGAの評価ボード(spartan等)で昔PCとか、ゲームの再現は見かけますが、
CPLDでは見かけ無いようですが、どこか無いでしょうか?
制約が大きいのでしょうか?

CoolRunnerUスターターキットを
購入して学習しようと検討しています。
756774ワット発電中さん:2007/06/09(土) 15:43:39 ID:oGYM8TOE
なんでFPGAじゃだめなの?
DWMの付録で始めればいいきがすんだけど。
757774ワット発電中さん:2007/06/09(土) 15:57:47 ID:Uw6SLZWP
>>755
何でって、CPLDに入れたくても入らないから。
758774ワット発電中さん:2007/06/09(土) 16:15:40 ID:vyPowA8d
>>755
30年くらい昔のPCでいいなら、HiLo数当てゲームとか3目並べ
くらいなら何とかCPLDでもいけるんじゃね。
759774ワット発電中さん:2007/06/09(土) 17:48:38 ID:eKftcKdt
皆さんご意見ありがとうございます。

・DWMは部品集めの手間と記事内容(作例)に敷居が高く感じました。
・CoolRunnerスターターキットなら1万円以下で手が出しやすいかな?
と思った次第です。

30年前・・・というと7セグの電子ルーレットやサイコロみたいなもの程度でしょうか?
760774ワット発電中さん:2007/06/09(土) 21:45:18 ID:wCpHz+q5
>>754

>遊びでなら使える
>仕事用に使おうと考えてるならエンジニアをやめた方がいい

なんで?
761774ワット発電中さん:2007/06/09(土) 22:42:16 ID:f69lX4Hq
>なんで?
経験者だからな。
762774ワット発電中さん:2007/06/09(土) 23:03:53 ID:AtAKPEQ4
つまりエンジニア脱落したんだな? www
763774ワット発電中さん:2007/06/11(月) 11:56:55 ID:8SCFFcXt
>>759
確かにあの無線受信機の製作はRF部も含んでるんだよな。
受信だから火を吹くことはないけど、ネットワークアナライザもなくどうやってRFの実装するんだ。
なんか無理やりDWMの過去の附録まで持ち出して動かしてるけど、
あの分量は仕事でやるのでない限りまず無理だ。
しかし、理屈ではわかってても、アンダーサンプルしてエイリアス利用してA/Dできるデバイスがあるのは初めて知った
764774ワット発電中さん:2007/06/17(日) 12:21:31 ID:js3joa6a
つーかさ、リファレンスデザインを使いまわして
製品に使っている時点でだめだろ?
万一動かなくても誰もめんどうみてくんないぞ。
765774ワット発電中さん:2007/06/17(日) 23:32:57 ID:r6SC4Gi0
誰が組んでも同じようになる回路(標準I/F類)は、可動実績のあるIPコア(リファレンス)を
採用した方が良いと思うけどな。無論、IPコアの設計の善し悪しを十分吟味した上でね。

開発リソースは、製品の差別化に直結する回路の設計に集中すべきだと思う。
766774ワット発電中さん:2007/06/21(木) 00:53:52 ID:JEs7iCUQ
DWMの無線受信機の製作記事だけど、
本体よりRFアンプとBPFの方が難しく感じるのは俺だけ?
767774ワット発電中さん:2007/06/23(土) 12:49:48 ID:AmSKXXIb
この夏は、QuartusIIのキャンペーンは無いのでしょうか。
情報あったら教えて下さんせ。
768774ワット発電中さん:2007/06/23(土) 13:27:45 ID:Tt3KdHlk
>>766
もれも
769774ワット発電中さん:2007/06/24(日) 13:32:00 ID:RJVFtdrG
10Gを扱いたい。10Gは当然FPGAでは無理だから
シリアルパラレル変換するのだろうけど、そんな石ってあるのでしょうか?
それとも10Gのシリアルパラレル変換部を持ったFPGAってありますか?
770774ワット発電中さん:2007/06/24(日) 14:16:56 ID:velS4Bo1
>>769
StratixGXを皮切りに最近いくつかデバイス選べるけど
ドライブ能力があったところでSERDESはそれなりに容積喰うから
アプリケーション用途であれば別途PHYを外付けした方が安上がり。

で、何を実装しようとしてるか教えれ。
771774ワット発電中さん:2007/06/25(月) 08:27:39 ID:CLKoTm19
RoketPHYとかいくつか 10Gくえる SERDESがあるね。
772774ワット発電中さん:2007/06/28(木) 16:36:31 ID:AVGau6tK
773774ワット発電中さん:2007/06/28(木) 22:04:17 ID:j2SVxLYA
ISEとEDKは同じタイミングでリリースして欲しいものだなあ。
微妙に遅れていて ISE9.2i に移行できん・・・。
774774ワット発電中さん:2007/06/29(金) 14:07:53 ID:jwdzJqu/
円安くなったし$239じゃ敷居高いな
円ベースで15k円ぐらいがいいとこだろな
775774ワット発電中さん:2007/07/01(日) 14:31:35 ID:aJ+BX6g+
>>773
同じタイミングでリリースするという事は、開発が遅い方にあわせるという事になり、
結局ISE+EDKでの移行時期は変わらないというオチ
EDKを使わない者にとっては迷惑なだけの話だな。
776774ワット発電中さん:2007/07/04(水) 23:43:47 ID:jrkxAdz1
ギガクラスのIFならA、Xを含めて実績も性能もV-IIProが神!
それ以外のデバイスは今のところ糞。
777774ワット発電中さん:2007/07/05(木) 13:17:31 ID:rS37Mtzc
Quartusも7.1になって、NIOS側も7.1に上がった....が
NIOS側のアップデート内容はバージョン番号を7.1に合わせただけ。
778774ワット発電中さん:2007/07/05(木) 19:34:02 ID:Bm93wnr8
それが本当ならNios7.1は磐石だな
779774ワット発電中さん:2007/07/05(木) 21:21:06 ID:dGJqc4kB
>>778 ワロタ
780774ワット発電中さん:2007/07/06(金) 21:43:32 ID:8/YCSXlV
pentiumの動くマザーボードをFPGA上に自作してlinuxあたりを動かす(インストーラからインストールしたい)
のに必用な機能について。

・SDRAMのインターフェース
・ps/2(キーボード)
・シリアルバス(シリアル表示)
・ATAインターフェース(ハードディスク)
・pciバス(周辺機器)
・USBコントローラ(同じく周辺機器)

これらをfpgaで実装して、AT互換用のbiosの基本的な命令実装すればよい?
781774ワット発電中さん:2007/07/06(金) 21:54:51 ID:xProPxP6
>>780
Pentiumレベルは現状では無理っぽいですね
○キャッシュ関連
○FPU
○MMU
等のいくつかをバッサリ切らないと興味の対象としても入らないでしょう。

プロセッサは別チップにしたほうが遥かに速いし安くつく。
(x86ハードコアの選べる製品ないと思う)
782774ワット発電中さん:2007/07/06(金) 22:11:53 ID:Q9mjNS1M
ペンティアムなんて汚いCPUをFPGAに入れたがるなんてどんな基地kがいだよ>
783774ワット発電中さん:2007/07/06(金) 22:21:08 ID:MJ+O0tSh
8086をFPGAで実現(各種周辺回路も含む)してDOSを動かした人がいるって話は聞いたことあるな。
784774ワット発電中さん:2007/07/06(金) 22:24:16 ID:xProPxP6
>>782
いや簡単に入るものなら俺も使うよ。コスト見合えば。

昔互換CPUがやってた様に
中身はRISCにしてコード変換して実行すれば良いだけだが
だったらコード変換はコンパイル時にやれば良いって事で
結局インプリメントするのはシンプルなCPUコアになる。
785774ワット発電中さん:2007/07/06(金) 22:33:27 ID:xProPxP6
>>784
まあ>>780の用途なら殆どPCアーキテクチャなので
EPICとか使うほうがFPGA使うよりずっと楽だろうな。
786774ワット発電中さん:2007/07/07(土) 04:33:17 ID:5VhDX4V0
>>784
それの何処に86が関わってるのか知りたい。
787774ワット発電中さん:2007/07/07(土) 08:17:25 ID:icfKABSX
>>786
x86を使いそうで使わない理由、と理解したが。
788774ワット発電中さん:2007/07/07(土) 10:35:57 ID:jFkN6mP2
>>785のIDがPentiumProっぽい件
789716:2007/07/07(土) 13:45:55 ID:b8/8gom9
Pentium X とかでれば完璧だな
790780:2007/07/07(土) 15:13:38 ID:QrQ99MpR
 >>781-782
レスありがとうございます。CPUは市販のpentiumを使うつもり。マザーボードの自作ができたら面白いと思って。主要な問題はチップセットの自作ということになるのかな。
791774ワット発電中さん:2007/07/07(土) 17:01:09 ID:GQNZWZnd
DX4とかP2とか
792 ◆YMO/ALTERA :2007/07/07(土) 17:21:42 ID:NzSuvpKq
PCIバスだけあるそっけないアーキテクチャだったら
Linuxくらい、移植は難しくないよ。

AT準拠にしようとすると、BIOSについて勉強しないと。
793774ワット発電中さん:2007/07/07(土) 19:05:53 ID:d7EBYipI
ちょっとお聞きしたい。アルテラのFreeのモデルシムを使った
ことありますか? どうやってもライセンスが設定できない。
何かヒントないですか?
794774ワット発電中さん:2007/07/07(土) 19:20:04 ID:XyHH84+/
>>793
Xilinx Editionしか使ったことないけど、メールに添付されてきた
license.dat を LicenseWizard に登録するだけじゃないのかな?

ライセンス情報にIPアドレスが含まれているっぽいので、
登録したPCとIPアドレスが違っていると動かなかったけど。
795774ワット発電中さん:2007/07/07(土) 19:55:25 ID:UXTA6mdv
AlteraとXilinxの無償版Modelsimって共存できますか?
失敗して再インストールになると面倒なので試してません。
両方インストールしたとき、何か設定とか必要ですか?
796716:2007/07/07(土) 21:00:26 ID:b8/8gom9
>>795
共存できる(してる)。

ただ、本体ほぼ同じで付属ライブラリが違うだけじゃないかと思うので、
制限ゆるい方にライブラリ集めて共用化したらウマーじゃないかと思ってたり。
797774ワット発電中さん:2007/07/08(日) 01:09:01 ID:Y9RbzIEW
VHDLで記述した回路のシミュレーションをModelSimでやろうとしているのですが、(ModelSimとVHDLは
初経験です。Verilogは経験あり) 波形観測ウインドウで、入出力端子や、signalの波形の観測はできるのですが、
variableは信号のリストにさえ表示されません。

variableでステートマシンをつくっているため、その値を観測したいのですが、ModelSimでvariableの波形を観測
する方法を教えて頂けないでしょうか。

よろしくお願いします。
798774ワット発電中さん:2007/07/08(日) 07:17:25 ID:PE2D+IK0
信号ツリーのウインドウ上で右クリックすれば
variableを表示するよう選択できたような
799774ワット発電中さん:2007/07/08(日) 08:34:29 ID:TxRDdalR
>>793,794
ModelSim Altera EditionにはLicense Wizardは存在しないので
自分で環境変数LM_LICENSE_FILEにライセンスファイルのパスを設定する必要があります。
800774ワット発電中さん:2007/07/08(日) 11:21:15 ID:nPlN8V7C
ALTERA の Quartus II Web Edition を使い始めました。
ttp://www.altera.co.jp/support/examples/verilog/ver_hier.html
ここで紹介されている Hierarchical Design を試したところコンパイルエラーになります。(MAX3000A)
元:
module top_ver (q, p, r, out);
input q, p, r;
output out;
reg out, intsig;
bottom1 u1(.a(q), .b(p), .c(intsig));
bottom2 u2(.l(intsig), .m(r), .n(out));
endmodule

無手勝流に修正:
 ・・・
reg out;
wire intsig, outt;
bottom1 u1(.a(q), .b(p), .c(intsig));
bottom2 u2(.l(intsig), .m(r), .n(outt));
always
begin
out <= outt;
end
endmodule
これで一応シミュレーションもできるのですが、ほんとはどうしたらいいのでしょうか。
801774ワット発電中さん:2007/07/08(日) 11:41:42 ID:Y9RbzIEW
>>798
コメントありがとうございます。
朝からやってみましたが、variableの変数名が出てこず、ゆきずまっています。
ふぅー。
802774ワット発電中さん:2007/07/08(日) 14:44:32 ID:5nIlJEjm
せっかくだから2chのみんなで
共通CPU作りませんか?
803774ワット発電中さん:2007/07/08(日) 14:48:34 ID:tvOE8YhW
【CISC】CPUアーキテクチャと論理合成方法【RISC】
http://science6.2ch.net/test/read.cgi/denki/1072167624/l50

技術屋ならCPU創るだろ?
http://science6.2ch.net/test/read.cgi/denki/1072113898/l50

時々そういう話題が出てくるが・・・
804774ワット発電中さん:2007/07/08(日) 15:00:53 ID:5nIlJEjm
どれも成就しませんね

著作権とかあるからだめなのかな

それともopencoreとかで用が足りるから需要ないのかな

その割にはみんな愚痴ってますよね

805774ワット発電中さん:2007/07/08(日) 15:01:48 ID:nVNI8pr1
結局6809かMicroBlazeになっちゃう。
806803:2007/07/08(日) 15:06:07 ID:tvOE8YhW
あーいや、CPU作るなんてのはごくごく簡単だけど、作ってからどうするっていう
魅力的なビジョンがないから誰もわざわざコラボして作ろうと思わないだけでしょ。

「みんなで協力してワンチップマイコンでLEDチカチカしよう!」ってのと同じ程度ってこと。
807774ワット発電中さん:2007/07/08(日) 15:13:07 ID:nVNI8pr1
誰かがちゃんと音頭を取って作り始めれば、人が寄ってくることはあるかもしれない。
あとCPUコアだけじゃなくて、2chOSや2ch言語のコンパイラや2chシリアルバスや・・・
808716:2007/07/08(日) 17:17:00 ID:YPebYZ4X
じゃあ「MONAを移植できるプロセッサ作ろうぜ」なら酔ってくるか?
809780:2007/07/08(日) 17:29:03 ID:yWDY91yW
>>792
わかったありがとう
810774ワット発電中さん:2007/07/08(日) 17:43:17 ID:xg3DJmyU
PicoBlazeとMicroBlazeの中間ぐらいのCPUが欲しいなという時どうしてます?
ちょいとオーバースペックになっても、MicroBlazeにしちゃうのかな?
811774ワット発電中さん:2007/07/08(日) 18:14:36 ID:nVNI8pr1
>>808
マイクロカーネル版を乗っけるんなら、とても興味があります。
812774ワット発電中さん:2007/07/08(日) 18:46:10 ID:PE2D+IK0
>>801
試してみた
Workspaceペインで●line__20みたいなのを選択すると
Localsペインに出てくる
ワカリニクイヨ
813774ワット発電中さん:2007/07/08(日) 18:54:41 ID:mD8EZ5Py
C球酒パンのデザインウェーブ7月号、25マンゲートのFPGAの付録がついて
2480円だった。
普通に自分で作っても数千円+手間なので、部品鳥に3冊刈っちゃった。
814774ワット発電中さん:2007/07/08(日) 20:31:39 ID:tvOE8YhW
でもConfigフラッシュがねぇ・・・
815774ワット発電中さん:2007/07/08(日) 20:39:10 ID:U11OJ2hn
確か、Digi-Keyにあったと思うけど>ConfigRom
だめかな?あそこじゃ。
816774ワット発電中さん:2007/07/08(日) 20:42:01 ID:5nIlJEjm
817774ワット発電中さん:2007/07/08(日) 20:44:19 ID:U11OJ2hn
2Sでないとちょっと足りなかった希ガス。
818774ワット発電中さん:2007/07/08(日) 20:45:31 ID:tvOE8YhW
>>815
いや、もちろんOKです。が、買うものがそれだけだと送料・手数料で
割高になってしまうので二の足を踏んでしまうわけです。

>>816
ありがd!
819774ワット発電中さん:2007/07/08(日) 20:55:02 ID:mD8EZ5Py
店頭に並んでいるのはあすたまでらすい。
820774ワット発電中さん:2007/07/08(日) 21:06:03 ID:5nIlJEjm
何か作ったひといる?
821774ワット発電中さん:2007/07/08(日) 21:15:28 ID:N3jkQwoR
CPUコアならただでもできる。
822774ワット発電中さん:2007/07/08(日) 21:21:41 ID:U11OJ2hn
>>820

DDSの記事があったけどあれを出力20Mに引き上げたのと
外部インターフェイス付くようにしてマイコンでスイープ
してます。
予定としてはpicoblazeやってみようかと。

LPTポートJTAGがまともに動くパソコン探し出すのに苦労したなぁ。
本格的にやろうとすればUSBにしなきゃならんみたい。

文脈から判るようにFPGA始めたばっかです。
823774ワット発電中さん:2007/07/09(月) 00:13:29 ID:TG1r73Ip
>>812
いけました!
むちゃくちゃ助かりました。

確かにわかりにくいですね。
ありがとうございました。
824774ワット発電中さん:2007/07/09(月) 20:24:21 ID:7FQbHV4Z
825774ワット発電中さん:2007/07/09(月) 21:07:45 ID:IVO0Kcgd
うぉ、たっかぁ〜。
826774ワット発電中さん:2007/07/09(月) 21:12:37 ID:3nlRpMDy
高すぎです
827774ワット発電中さん:2007/07/09(月) 21:40:00 ID:HWS3sTF9
価格的には安マイコン+シリアルEEPROMが現実的かも・・・
828774ワット発電中さん:2007/07/09(月) 21:43:17 ID:fZO5NYHy
Altera 社の LPM が使えずに困っていた (非 BB・非ブロック図、Quartus II Web Edition)。
ようやく使えるようになりました。
昔の version 用のソフトウェアの説明がウロチョロあるので、だいぶ惑わされたよ。
いっぱい失敗して、その後この辺を見たらようやくできたみたいです。
ttp://www.altera.com/support/examples/exm-index.html
829774ワット発電中さん:2007/07/09(月) 23:34:23 ID:kcfYfcIB
2M品は【欠品中】@千石電商
830774ワット発電中さん:2007/07/10(火) 02:30:15 ID:jiiyJowj
論理シミュレーターにはいろいろあるけど、ALTERA の Quartus II Web Edition を
使っています。×i○社のより軽くて早い。無料バージョンでもデバイスへのダウンロード
寸前まで進める。いいと思いますが。
831774ワット発電中さん:2007/07/10(火) 03:15:29 ID:VKEFcBvW
>>830
?? Quartus2の無料バージョンで、Cyclone2のPOFデータまで出来るけど?

論理シミュレータならば、ModelSIM?
今なら、Altera版も無料機能限定版が使える。でも、Xilinxの方が、制限が緩くて良い感じ。
832774ワット発電中さん:2007/07/11(水) 02:23:37 ID:uUWH3c/0
>>831
Xilinx ISE (無料版) でも、確かにダウンロード寸前まで進める。
しかし、なんかエラーメッセージを無視して進まないとね。そのメッセージ
の内容を書こうとしたのだが、再現できない。
833774ワット発電中さん:2007/07/13(金) 01:33:23 ID:H2OWfmlv
>>832
>>Xilinx ISE (無料版)
ISE WebPackのこと? CPLDならばダウンロード寸前ではなく、コンフィグデータまで
作った事がある。
たしかV5.0の時期だったと思う。

実機でちゃんと動いてたけど?
834774ワット発電中さん:2007/07/14(土) 00:18:19 ID:kdBJlSUE
>ModelSim Altera EditionにはLicense Wizardは存在しないので
>自分で環境変数LM_LICENSE_FILEにライセンスファイルのパスを設定する必要があります。

アルテラはライセンスサーバーがライセンス発行を間違えることがたびたびある。
低脳が作っていてVUPなどのたびにトラブルを起こす。
最近も間違っていてModelSImは使えなかった。 今は治っているようだ。
以前も仕事中にライセンスが切れて、しかもサーバーが間違ったライセンスを発行して
いて、1週間くらい動作しないことがあった。
 アルテラを使うと痛い目にあう。
835774ワット発電中さん:2007/07/14(土) 01:14:33 ID:fO4R6D46
MS製品を使うと痛い目にあう
836774ワット発電中さん:2007/07/14(土) 05:42:35 ID:kdBJlSUE
正規版を買わせるために時々意図的にやる。ケチな会社なのだ。
837774ワット発電中さん:2007/07/14(土) 10:06:02 ID:Fm6kA/LS
>>834
LANカード変えたとか
HDD別のに移動したとか
838774ワット発電中さん:2007/07/14(土) 10:26:05 ID:Fvrzn/BC
再インスコして手続き最初からやり直したら、どうだったんだろ。
839774ワット発電中さん:2007/07/14(土) 10:28:02 ID:fO4R6D46
使う香具師が低脳だとそうなる
840774ワット発電中さん:2007/07/14(土) 19:52:31 ID:Yge4JW3E
> LANカード変えたとか
ADSLとか使っていて、仮想NICのMAC使おうとしたとか。
そんなヤツは俺だけでいい。
841774ワット発電中さん:2007/07/14(土) 23:36:04 ID:JyOxWOZG
http://www.fpga.co.jp/cbbs/cbbs.cgi?mode=al2&namber=1122&no=0&KLOG=2

■1126 / inTopicNo.4)  Re[2]: ModelSim-Altera
□投稿者/ 軽石 一般人(25回)-(2005/06/22(Wed) 23:05:40)

こんばんわくりさん。
なんとなくおひさしぶりです。

捨てハン野郎は無視するにかぎりますよ。
えっと、ここだけのはなしですが、私じつはModelSim使えないです。(>o<)「キャー恥ずかしい、笑劇の告白。」
だからxilinxのチップの開発を行う時には一旦quartusでシミュレーションしてからWebPACKでコンパイルしています。(^^;
もしかしたらAlteraに幻滅さんはxilinxの回し者なのかもしれません。(^o^)



842774ワット発電中さん:2007/07/16(月) 02:27:24 ID:oGaEpMB8
ライセンスサーバーがエラーしている時は、アルティマに泣き付けば、正規版をかしてくれる。
仕事で使うなら正規版を買うべきだ。特にモデルシムはスピードが全然違う。
らしい。400万円程度だから一仕事やればそこにONしておけばいい。
843774ワット発電中さん:2007/07/16(月) 05:55:53 ID:IjiGOtR3
このような Verilog HDL で減算器を試しました。結果はいいのですが、
ここにたどり着くまで、けっこう「文法間違い」でハネられました。
その辺の解説文書なんて、ないものでしょうか。(Quartus II 使用です)

module test212(ai, bi, ro);
input [15:0] ai;
input [15:0] bi;
output [11:0] ro;
wire [16:0] sub_ro;

subab u1(ai, bi, sub_ro);
assign ro[11:0] = sub_ro[16:5];
endmodule

module subab(a, b, result);
input [15:0] a;
input [15:0] b;
output [16:0] result;
reg [16:0] result;

always @(a or b)
begin
result = a - b;
end
endmodule
844843:2007/07/16(月) 06:11:27 ID:IjiGOtR3
こんな Warning メッセージが出てきます。1,3番目のはいいとして、2番目は、はてな。
Warning: No exact pin location assignment(s) for 44 pins of 44 total pins
Warning: Found 12 output pins without output pin load capacitance assignment
Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
845774ワット発電中さん:2007/07/16(月) 06:23:19 ID:/4N63vFc
VeritakサイトのTutorialはいかがでしょう
ttp://japanese.sugawara-systems.com/tutorial/verilog/framepage7.htm

古いVerilogフォーマットはここで見れます。
ttp://www.verilog.com/VerilogBNF.html

今まで一番文法的解説でわかり易かったのは
C社のリファレンスマニュアルとその付録のSyntaxのBNF記述でしたが、普通見れないよね。
それらしいワードでググるといろいろ引っかかって来ますが適法か判らんのでパスねw。
846843:2007/07/16(月) 07:09:07 ID:IjiGOtR3
>>845
ありがとう。試してみます。
847774ワット発電中さん:2007/07/16(月) 11:17:46 ID:oGaEpMB8
y <= "00" when std_match(a,"0001") else
"01" when std_match(a,"001_") else
"11";
のような記述を見つけたのですが、これはnumeric_stdパッケージのstd_match関数
を呼び出した例です。この場合はドントケアを説明する文だったのですが、私は
寧ろ関数の使い方に興味を覚えました。関数を使うと非常に綺麗にかけます。
これをもしport mapで書くなら煩雑で分りにくいものになるはずです。

 VHDLには、Functionという機能があるらしいのですが、例文が皆無です。
構造化する場合port mapなどを使うのに比べて直感的に理解しやすいと思うのですが、
Functionやprocedureを使わないのは何か理由があるのでしょうか?

848774ワット発電中さん:2007/07/16(月) 12:11:38 ID:ezxdO34J
>>843
出力ピンの負荷を設定すればイイと思うが
おれは気にしないで使っているよ
849774ワット発電中さん:2007/07/16(月) 17:50:17 ID:WaWZfobj
>>848に同じ
850774ワット発電中さん:2007/07/17(火) 20:40:13 ID:ThLTn0eP
> VHDLには、Functionという機能があるらしいのですが、例文が皆無です。
自分でnumeric_stdにあった、と書いてるじゃんw

ひとつのファイルに、entityもarchtectureもpackageも書いてファンクション作って
どうこうする、とかいう方法は、素人の俺にはよく分からんけど。
851774ワット発電中さん:2007/07/19(木) 20:23:48 ID:2hDLu1tI
VHDLは他入力から1つをセレクトするのはCASE文で上手く書けるが、
一つのBUSデータを20個のレジスタにセレクトして書きだすようなのは
上手く行かない気がする。もちろんIF文で書くには問題ないと思うが、
CASE文などを使うと誤動作する。
852けん:2007/07/19(木) 20:50:25 ID:K06d3+Z0
syopsys VCS シュミレーターツール触った事のある人います?
ModelSimとは操作性とか全然ちがうのでしょうか?
できれば基本的な事を教えてほしいのですが・・・。
マニュアルを見ても分からない事が多くて。
853けん:2007/07/19(木) 20:55:39 ID:K06d3+Z0
(訂正)syopsys VCS シュミレーターツール触った事のある人います?
ModelSimとは操作性とか全然ちがうのでしょうか?
できれば基本的な事を教えてほしいのですが・・・。
マニュアルを見ても分からない事が多くて。
854774ワット発電中さん:2007/07/19(木) 21:49:56 ID:G16I76ml
http://science6.2ch.net/test/read.cgi/denki/1184835980

何も考えず糞スレ立てるような奴には絶対教えない


   死   ね   ご   み   野   郎
855774ワット発電中さん:2007/07/20(金) 00:49:05 ID:sNAF4/ZZ
>>851
CASE文でも問題ないと思うが具体的にどんなコードなのか出さないと何も言えんぞ
本当に上手くいかない 気 が す る だけってんじゃないだろうな
856774ワット発電中さん:2007/07/20(金) 04:18:32 ID:iSTvmgqb
CASEにしろIFにしろどっちみちLUTに展開されるだけのような
857774ワット発電中さん:2007/07/20(金) 06:44:14 ID:L7zKHtKc
>>851はその展開のされ方がおかしいと言いたいんだろうね
それがほんとにおかしいかどうかは知らない。
858774ワット発電中さん:2007/07/20(金) 16:46:19 ID:x+01gqQ2
actel proASIC plusはどうやって光らせれますか?
sw2を押したのに光りません。
859774ワット発電中さん:2007/07/21(土) 07:20:20 ID:L4GyeAE/
>>858
たたき壊せ
860774ワット発電中さん:2007/07/21(土) 11:22:09 ID:uiBvOwt6
chip scope pro 9.1って単体じゃ起動しないんでしょうか?
「Can't create JAVA VM」と警告が出て、起動しません。
ISEからの起動だと問題なく立ち上がるんですが…
これってJAVAの問題なんでしょうか?
861774ワット発電中さん:2007/07/21(土) 11:24:24 ID:y7Xn2ALK
>CASE文でも問題ないと思うが具体的にどんなコードなのか出さないと何も言えんぞ
>本当に上手くいかない 気 が す る だけってんじゃないだろうな

経験が浅いとそういう疑問に出会わないだろうし、経験が深ければ、「あの
ことだな」と分るのだから、コードを見ないと分らないような人がコードを
見ても適切なアドバイスなどは無理だろう。
 私もコードを見なくても「そのこと」は分るが、そのことについて言うのは
よそう。実際に2,3例試してみれば、解決策は分る。といってもVHDLの愚劣さ
に、嘗てのVbに幻滅したように(あれよりもっと酷い回りくどさなのだが)幻滅
するかもしれないが、アドバンスドVHDLを自らが開発する以外に方法はない。
AHDLがある意味成功したのだが、VHDLが蔓延るに任せて、ModelSimなどのシュミレータ
からサポートを外されて、結局行き場を失ってしまった。
862774ワット発電中さん:2007/07/21(土) 13:41:41 ID:FI67esjz
>>860
プログラムメニューのショートカットに JavaVM のヒープサイズを
小さくするオプションを追加すればいいかも。(-Xmx256m等)

あと、キーボード・マウス補助系のユーティリティ(AltIME、チューチュマウス)等が
常駐してると、JavaVMの起動に失敗するという不具合があったりします。
これはCSP起動時だけ一旦常駐終了させておく事で回避。メンドクサス
863http://docune.jp/:2007/07/21(土) 16:31:40 ID:7TiAz+tl
>>861
趣味レーター?
864860:2007/07/21(土) 19:55:29 ID:uiBvOwt6
>>862
レスありがとうございます。
「プログラムメニューのショートカット」というのがよくわかりません。
何かのファイルにヒープサイズのオプションを追加するということでしょうか?
865774ワット発電中さん:2007/07/21(土) 21:03:42 ID:FI67esjz
>>864
スタートメニューから ChipScopePro0.1i のメニューを開いていき
ChipScopePro Analyzerの所で右クリック、「プロパティ」を選択します。

リンク先の所にヒープサイズの指定オプションを追加します。
「C:\Xilinx\ChipScope_Pro_9_1i\bin\nt\analyzer.exe -J-Xmx512m」

詳しくはXILINXのアンサーデータベース23816を参照してみてくださいな。
866774ワット発電中さん:2007/07/22(日) 01:08:17 ID:YE3+F7tZ
>>861
あたかも自分はある程度の経験者であるような口ぶりだが、
「シュミレータ」には驚きだ。




現場でもいつも思うんだが、何で皆「シミュ」って言えないんだろうね。
さすがに文章にまで書くやつはいないが。
867774ワット発電中さん:2007/07/22(日) 02:07:56 ID:j4xJcmXH
原語を知らんから
つまり、英文献の一つも読んだことのないアホだから。
二ヶ国語放送を英語でなんて言うか知ってリャシュミレーションとは絶対言わん。
868774ワット発電中さん:2007/07/22(日) 02:36:35 ID:C8F8B2ix
日本語には「しみゅ」と連なる語がないので日本人にとって発音しにくく、
言い易い「しゅみ」になってしまうと言語学者?の説明を聞いたことがある。
しかし、それは一般人の事情であって、業務で携わっていれば間違うことは有り得ませんな。

つーか、あまりにもデムパ強度が高すぎて文章内容には突っ込まれていないのが笑える。
869774ワット発電中さん:2007/07/22(日) 02:47:30 ID:lczrFM2C
趣味レータはキニシナイ、が

>>861
コード書いてる人がHDLやシミュレータを使いこなせていない
だけじゃないのかと判断せざるをえない書き込みだったもので
870774ワット発電中さん:2007/07/22(日) 03:07:00 ID:tVaSo/wZ
必死だなw
871860:2007/07/22(日) 09:33:22 ID:N9CRpSYX
>>865
ありがとうございました。
解決しました。
872774ワット発電中さん:2007/07/22(日) 09:47:40 ID:cwpfUcnU
注意! ID:tVaSo/wZはあちこちのスレにゴミ撒き散らすクズ厨なのでスルー願います。
873774ワット発電中さん:2007/07/22(日) 11:19:46 ID:B08l3x2u
上でも書かれているがVHDLの場合、多出力のデフォールトロジックの定義が曖昧な気がする。

たとえば
if(CLK'event and CLK = '1')then
 if ( lat = '1') then
  state <= "ss1";
 end if;
end if;

これで上手く動作する場合もあれば、動作しない場合もある。そこで
if(CLK'event and CLK = '1')then
 if ( lat = '1') then
  state <= "ss1";
 else
  state <= sate;
 end if;
end if;

しかしこれでも曖昧なので
if(CLK'event and CLK = '1')then
 if ( lat = '1') then
  state <= "ss1";
 else
  state <= sate;
 end if;
else
state <= state;
end if;

となる。

もし Default(state <= state);のような記述が可能なら非常にスマートに
書けるのだが。


874774ワット発電中さん:2007/07/22(日) 11:22:44 ID:B08l3x2u
訂正
>多出力のデフォールトロジックの定義が曖昧な気がする。
デフォールトロジックの定義が曖昧なので、特に多出力の場合に無駄な表記が増えて
エディットに苦労する。

875774ワット発電中さん:2007/07/22(日) 12:47:17 ID:lczrFM2C
デフォルト定義が必要なのはシミュレータの言語サポが弱いからだろ
古い道具を使うのは大変だな

最近は条件に該当しない場合、Default(state <= state);に該当する
あえてstate <= stateと書くのは見やすいように以外あるのかな?

って多出力に見えないんだが
876774ワット発電中さん:2007/07/22(日) 13:16:54 ID:C8F8B2ix
十数年前(VHDL93移行前)なら処理系毎の実装レベルの差異が大きくて
できるだけ素直に通る記述を心がけたもんだが、
今時873みたいな間抜けな記述をしてる奴が実際にいるんだろうか?
なんだかWin3.0が使い難い理由を今聞かされているような気分になる。

組み合わせ回路でelse文を書かずに、処理系がバグってると文句言ってる奴なら最近でも見たことあるw
877774ワット発電中さん:2007/07/22(日) 17:39:14 ID:Mp7FGie/
>十数年前(VHDL93移行前)なら

生まれる前の話をされてもな
878774ワット発電中さん:2007/07/22(日) 18:20:24 ID:B08l3x2u
>デフォルト定義が必要なのはシミュレータの言語サポが弱いからだろ
>古い道具を使うのは大変だな

最新のModelSimでもそうなるよ。

>最近は条件に該当しない場合、Default(state <= state);に該当する
>あえてstate <= stateと書くのは見やすいように以外あるのかな?

state <= stateこんな意味のないこと書いて見やすくないだろ。W


>って多出力に見えないんだが
一出力でこれなんだから、多出力は想像してくれよ。W。


お前等ほんとにVHDL使ってるのか?
879774ワット発電中さん:2007/07/22(日) 18:47:58 ID:mjPA8VgP
>>873
ごめん、不勉強で申し訳ないんだが、今までWarp2とQuartus/MAX+2、ISEと使って
きたがクロックで切ってるFFでこんなけったいな記述したことないんだが、通らない
処理系教えてくれ。
自分の書いてきたコードが気になってしかたない
880774ワット発電中さん:2007/07/22(日) 18:52:41 ID:B08l3x2u
>十数年前(VHDL93移行前)なら処理系毎の実装レベルの差異が大きくて
そんな昔の話じゃない。
俺はつい一ヶ月前に始めたばかりだ。ツールは最新の無料ツールだ。10年前の
ツールが手に入れたくても無理だ。W

>組み合わせ回路でelse文を書かずに、処理系がバグってると文句言ってる奴なら最近でも見たことあるw

 確かに組み合わせ回路(同時処理、Process以外)ならありえる。
というかDontCareが出鱈目になるのはバグじゃない。

問題はProcess文の中の話に限定してくれ。
if(Reset = '1') then
state <= IDLE;
 elsif(CLK'event and CLK = '1')then
 if ( enable = '1') then
  case state is
  when IDLE =>
  state <= S1;
  when S1 =>
  state <= S2;
  when S2 =>
  state <= S3;
  when S3 =>
  state <= S1;
  when others =>
      state <= IDLE;
   end case;
   end if;
 end if;

これが上手く動作するのかどうかが聞きたい。if(enable...が入らなければ
上手く動作する。しかしenableが入るとどうもおかしい。

if(Reset = '1') then
state <= IDLE;
 elsif(CLK'event and CLK = '1')then
 if ( enable = '1') then
.......
 else
  state <= state;
   end if;
 end if;
 こうしないと誤動作すると思うが違うか?
 
881774ワット発電中さん:2007/07/22(日) 18:54:29 ID:B08l3x2u
すまんタブとった。

if(Reset = '1') then
state <= IDLE;
 elsif(CLK'event and CLK = '1')then
 if ( enable = '1') then
  case state is
  when IDLE =>
  state <= S1;
  when S1 =>
  state <= S2;
  when S2 =>
  state <= S3;
  when S3 =>
  state <= S1;
  when others =>
      state <= IDLE;
   end case;
   end if;
 end if;

これが上手く動作するのかどうかが聞きたい。if(enable...が入らなければ
上手く動作する。しかしenableが入るとどうもおかしい。

if(Reset = '1') then
state <= IDLE;
 elsif(CLK'event and CLK = '1')then
 if ( enable = '1') then
.......
 else
  state <= state;
   end if;
 end if;
 こうしないと誤動作すると思うが違うか?
 
882774ワット発電中さん:2007/07/22(日) 19:03:17 ID:B08l3x2u
>きたがクロックで切ってるFFでこんなけったいな記述したことないんだが、通らない
>処理系教えてくれ。

 俺も初心者なので、なにか大きな勘違いをしているかも知れない。
兎に角納品が先なので、急いで修正したので原因を突き止めるには至っていない。
ひょっとするとProcess文ないに書いた組み合わせ回路での不具合だったかも知れない。
記憶しているのは、小さなProcess文では上手く行くのだが出力を増やすと誤動作する。
そんな感じだったな。
883774ワット発電中さん:2007/07/22(日) 20:17:34 ID:6a+ccolb
>>881
単純にstateを参照している他の部分の記述が悪いんでね?

ステートマシンはenable=0で強制停止するけど、他の回路は動いたままで、
本来停止させておきたいカウンタ等が進んじゃってるとか。
884774ワット発電中さん:2007/07/22(日) 20:34:49 ID:hy1b34jc
>>880
しばらくいじってない素人が、記憶を頼りにちょっと頭ひねってみました。

> if(enable...が入らなければ
> 上手く動作する。しかしenableが入るとどうもおかしい。
if(enable...が成立しなかった場合はどうなってもいいよ、という記述だからでは?
どうなってもよくないなら、そのifの中で else unaffected; とか、else だけ記述
(中味は空欄=なにもしない)とかしたらいいんじゃないかなぁ。

あと、state <= state;がデフォルトで、でもそれがあちこちに出てきて見づらいときは、
if文などの前に書いてしまえばイイはず。ひとつのprocess内で出力がダブったときは、
上書きされて後だしの方が有効になります。
885774ワット発電中さん:2007/07/22(日) 22:36:18 ID:B08l3x2u
>あと、state <= state;がデフォルトで、でもそれがあちこちに出てきて見づらいときは、
>if文などの前に書いてしまえばイイはず。ひとつのprocess内で出力がダブったときは、
>上書きされて後だしの方が有効になります。

あーそうなのか? これが聞きたかった。ありがとう。
質問を書いているうちに、同時処理(組あわせ)の問題とProcess内の問題とを分けない
といけないのかみたいなことに薄々気がついてはいたんだが、自信もてなかった。
たぶんこの当たりのことで誤動作していたのと思う。

同時処理:厳密に定義しないとだめ。
Process文内の順序処理: 最初にデフォールトを書いておけばOK
Process文内の組み合わせ等価: これがどうあるべきかよく分らない。これも最初に
              デフォールトを書いておけばいい。はずだな。

つまり
process(sel , a)
begin
y <= x"00";
if ( sel = '1')then
y <= a;
end if;
end process;


process(sel, a)
begin
if ( sel = '1')then
y <= a;
else
y <= x"00";
end if;
end process;

と同じ。
886774ワット発電中さん:2007/07/22(日) 22:38:59 ID:B08l3x2u
>単純にstateを参照している他の部分の記述が悪いんでね?
>ステートマシンはenable=0で強制停止するけど、他の回路は動いたままで、
>本来停止させておきたいカウンタ等が進んじゃってるとか。

else Q <= Q;を入れると正常化するので、それはないです。
887774ワット発電中さん:2007/07/22(日) 22:45:43 ID:6ESifXzX
デザインウェーブの付録FPGAでVHDL覚えようと思い立ち、
先週ISEインストールして今回チュートリアルを見ながら試したのですが、
ところどころ説明とちがうし、でも、なんとかbitファイルまでできて、
書き込もうとしたら、IMPACTで「top.mskファイルがありません。」みたいなメッセージが
出てそこから先に行きません。
付録のCDについているプロジェクトのファイル群を探してもtop.bitはあっても
top.mskなんかないのに、、、これって、何でしょう。
IMPACTの設定がどこかにあるのでしょうか?
888774ワット発電中さん:2007/07/22(日) 23:58:02 ID:XU07KRhe
ようするに自分がやりたいことをちゃんと実装できずに
言語に文句をいってる人がおおいってことか…
889774ワット発電中さん:2007/07/23(月) 00:15:46 ID:qyzDWLX5
>>887

たしかベリファイかなんかのチェックボックスをOFFにすればmskファイルは要求されないはず。
それでも駄目ならmskファイルを自分で作ればいい。
「Create ReadBack Data files」と「Create Mask File」にチェックを入れる。

ちょこっと調べれば書いてある内容だよ。
890774ワット発電中さん:2007/07/23(月) 06:47:41 ID:B0dHEtok
>>889 ありがとうございました。
動かないとカッカカッカしてしまってダメですね。2日つぶしてしまいました。
チェックボックスを外したら動きました。
でも新たな問題が出てきてしまいました。parallelCableIIIでは電圧が対応できないようで、ダウンロードケーブル作り直しです。
ともあれ、ありがとうございました。
891774ワット発電中さん:2007/07/23(月) 08:58:20 ID:s+xg4jX3
>>881
上の記述で問題ない。わざわざ下の記述法をとる理由はない。
「最新の無料ツール」とぼかして具体的に書かないのは、そのツールでその記述が
通らないのは有りえない、バグってるのはお前の頭だと言われるのが怖いから?
それとも全て妄想で、ただ荒らしたいだけなのか。

「ModelSim「でも」そうなるよ」ってことはModelSim以外なんだよな?
もちろんModelSimがそんなアホな実装になってるなんて聞いた事ないけどな。
892774ワット発電中さん:2007/07/23(月) 09:08:04 ID:s+xg4jX3
>>885で自己解決したのか、と思ったらいつのまにか順序回路の話が
組み合わせ回路の話にすりかわってるし。わけわからん。

最初にデフォルトを書いておけばいいだとか、初心者が鵜呑みにしたらかわいそうだから
そういう糞記述法は書き込まないようにしてくれ。
893774ワット発電中さん:2007/07/23(月) 09:33:28 ID:wNvMI+N8
体育会系の上司がうざいんですけど

1 :Ψ:2007/07/23(月) 02:24:30 ID:QW9mYLIn0
・どうでもいい事まで必要以上に大声で騒ぐ
・ザツなくせに他人の個人的な事まで何もかも管理していないと
 気が済まない
・自分の思うようにいかないとすぐファビョる
・本当は自分が言いたい事のくせに「〜さんがうざいって言って たよ」という言い方をする
・管理者の見ていないところでは言いたい放題やりたい放題なの に管理者を交えられると何も言えない
・ちょっとでも逆らわれると対人関係がどうこう言い始めて排除 したがる
・他人の事をやたら批判するくせに自分がDQNであるという自覚が
 全く無い
・頭が悪いのか、相手に納得のいく説明をしない、出来ない為に
 暴発される事がある。
・意味不明な私製英語をやたら使う
・他人の批判と自己弁護は一人前

いわゆる部活動の部長的なノリが抜けないんだよな
他人と適度な距離を取れないというか。
正直仕事行くのも嫌なほどうざくなってきますた。
894774ワット発電中さん:2007/07/23(月) 21:05:07 ID:qvLRv2Y8
ちょっと質問なんですけど、FPGAボード上のDDR SDRAM(Spartan3E starterなど)
使うためにFPGAとRAM間の制御回路必要なわけなんですけど、
データ入手力のビット幅(DQ)が小さい(容量も小さい)S
DRAMの制御回路をビット幅(DQ)が大きい
(例えばビット幅が小さい物に対して4倍 64MB→256MB)物にも
適応できますよね?
自分としてはデータ入出力(DQ)とデータマスク制御(DQM)と
データストローブ信号(DQS)この三つがちょうど整数倍違うだけ
なので、ビット幅が小さいDDR SDRAMの制御回路も適応できる気がするのですが。
895774ワット発電中さん:2007/07/23(月) 21:05:07 ID:ORUOfAJU
>>892
> 最初にデフォルトを書いておけばいいだとか、初心者が鵜呑みにしたらかわいそうだから
> そういう糞記述法は書き込まないようにしてくれ。
よかったら、どう「糞」なのか解説願えませんか。
896774ワット発電中さん:2007/07/23(月) 22:28:07 ID:Twf0p0XY
FPGAの合成ツールって、そのソースを合成にかけてもなにも言ってこないのか?
897892:2007/07/23(月) 23:45:31 ID:q5XkNtKr
お前の存在そのものが糞なんだよッ
898774ワット発電中さん:2007/07/23(月) 23:48:40 ID:vLezLMd3
合成ツールのソースってC言語とかだろ?合成かけたら普通に文法エラーになると思うが?
899774ワット発電中さん:2007/07/24(火) 00:09:18 ID:hVp7Im0p
>>892
最初に初期値を書けばいいってのはつまり、回路設計ができてないってこと。
普通は組み合わせ回路でも順序回路でもそんな記述は不要。
ただし仕事上の問題がからんでくるとそういうテクニックも必要なのか、
教科書には「意図しないラッチ生成防止に有効」とあるが。

>>896
子羊のステーキ骨髄ソースですか
900896:2007/07/24(火) 00:16:04 ID:/SVeOPWU
そのソースってのは、>>885のようなもののつもりだった。

>>899
その教科書は信号代入を使っているのか?
901774ワット発電中さん:2007/07/24(火) 00:59:01 ID:hVp7Im0p
>>900
教科書には>>885のようなソースが示されて「初期値でラッチ生成防止」とある。
もちろん記述的にも合成かけても問題ないが、漏れはこういう記述は使わないな。

なぜかstate<=stateがy<=x"00"の話になってるのか不明。
どうやら誤動作するってのは趣味レータのバグぽいなー。
902898:2007/07/24(火) 01:12:27 ID:sKkTJzXZ
>>900
ああ勘違いしてた
すいません
903774ワット発電中さん:2007/07/24(火) 08:24:12 ID:CNPNtxcN
>>901
ほぼ全ての合成ツールでWarningがでるはず。
今時、構文ルールチェッカでユーザ定義をしてこの記述を許しているところは珍しいだろう。

結局876が
>組み合わせ回路でelse文を書かずに、処理系がバグってると文句言ってる奴なら最近でも見たことあるw
と書いてるその通りの状況だったんだな。
当の本人が即座に否定しているのが可笑しい。
904774ワット発電中さん:2007/07/24(火) 13:35:52 ID:wK8l01v9
ttp://strawberry-linux.com/pld/

これってDWM7月号付属FPGAのコンフィグレーションに使えるかな。
最近Parallel Cable III(&互換回路)での失敗例がちらほら報告されてるみたいだけど。
なんか電圧がいろいろあってどうすればいいのかよくわからん。
905774ワット発電中さん:2007/07/24(火) 14:49:55 ID:00MU+Jz0
>>90うちでは使えてます。
ただし、コンデンサを引っこ抜いて使っている。

詳しくは Parallel Cable Zilinxでググってください。
906905:2007/07/24(火) 14:51:09 ID:00MU+Jz0
>>904
です
907904:2007/07/24(火) 16:33:31 ID:wK8l01v9
>>905
そうですか。
コンデンサ取っ払う方法、244をACに替える方法等あるようですね。
去年のトラ技のCPLDにも使いたいんで、とりあえず買ってみます。

苺はなかなか絶妙なモノ売ってますよね。
908774ワット発電中さん:2007/07/24(火) 17:44:42 ID:Dz8/ReF7
なんでACとか使うかな?電圧混在環境で使うことが前提のLCX使えや X == crossなんだからよ
909774ワット発電中さん:2007/07/24(火) 19:08:43 ID:tQpqpOGt
>>881
君の言う正常動作が何を意味するか分からないが、勘で。
if(Reset = '1') then
  state <= IDLE;
else
  if(CLK'event and CLK = '1')then 
    if ( enable = '1') then 
    .......
    end if; 
  end if; 
end if;
これで期待通りの動きするんじゃね?
910774ワット発電中さん:2007/07/24(火) 20:05:40 ID:wK8l01v9
>>908
DIPがないっす。
911774ワット発電中さん:2007/07/24(火) 21:34:55 ID:GXI6wdq5
>>904 だっておかしいよね。
 DWのコンフィギュ回路は、3.3Vにつなげるんだけど、
 FPGA基板のJTAGには2.5Vが出ているんだから。
普通なら、JTAGからVdd引っ張ってきたくなるよね。なんのための2.5Vなのか意味不明。
 
912774ワット発電中さん:2007/07/25(水) 00:35:19 ID:Kcf1hLXX
913774ワット発電中さん:2007/07/25(水) 00:46:50 ID:+/dR/fFV
ICのJTAG端子には2.5Vの信号を入れないといけないからじゃないの?
914774ワット発電中さん:2007/07/25(水) 06:51:34 ID:D4lMiPXj
JTAGプログラマには出力電圧制限に2.5V、JTAG内部のHC244用に3.3V必要
ってことですか?
DWのままだと、FPGAのJTAGに過電圧かかりそうでつね?
それか、HC244を2.5Vで動作させてうまく動かないか、、
915774ワット発電中さん:2007/07/25(水) 10:28:51 ID:azms9Myd
LCX244か125を1ヶで2.5Vもうまくいくよ
回路はDigilentのJTAG3
Webで見つかる回路図が無いけど
916774ワット発電中さん:2007/07/25(水) 10:55:31 ID:iBU9IWg8
>>915
SP3スタータキットのマニュアル62頁
ttp://japan.xilinx.com/bvdocs/userguides/ug130.pdf
917774ワット発電中さん:2007/07/26(木) 00:37:12 ID:tNafV/u/
ChipScope9.1使っているんですが、EDK(9.1)からIBA/OPBコアを追加してGenerateした後に、
Analyzerを立ち上げ、EDKで生成されたcdcファイルをインポートすると
「File Error  No valid units or devices are available given ...」とエラーが出ます。
EDKでは、iconコアとopb_ibaを追加する以外に必要な手順ってあるんでしょうか?
それとも、ChipScopeを使うならISEから立ち上げたほうがいいのでしょうか?
もしどなたか答えていただければ幸いです
918774ワット発電中さん:2007/07/26(木) 01:06:40 ID:aBK5jyU4
>>917
アンサーの23817とかは関係ないんかな。
#23817 「9.1 EDK - ChipScope IBA/OPB コアが Virtex-5 では正しく動作しない」
919774ワット発電中さん:2007/07/26(木) 13:45:24 ID:Pi2HpDar
EDK使ってる人ってどのくらいいるんだろうか?
ちなみに俺はPPCにつかってる
920774ワット発電中さん:2007/07/28(土) 18:53:15 ID:3VLfCeRZ
XIのWebPackユーザなんですが、EDKの評価版ってダウンロードできるんでしょうか?
スターターキット付属のEDKが8.2iなんで、9.1iを試そうと思ったらサイトに
見当たらず「?」になってます。
921名無しさん@そうだ選挙に行こう:2007/07/29(日) 11:33:33 ID:QmZ9XI4K
そんなことより、一体いつになったら評価が終わって
購入するなり使用を止めるなりするのか聞きたい。
922774ワット発電中さん:2007/07/31(火) 17:43:07 ID:Fp7+Uo5v
ISE9.2iにバージョンアップしたらBlockRAMが推論で生成できないんだけど。
書き方が悪いのかなぁ。他にそういうやついる?
923922:2007/07/31(火) 19:49:50 ID:Fp7+Uo5v
あ、推論させる場合はリセットできないのか。
リセット処理をはずしたらBlockRAMになりました。
924774ワット発電中さん:2007/07/31(火) 21:03:45 ID:axpc1fQp
日本のdigikeyでアルテラのデバイスが買えるようになるらしいね。
メールが来てた。
925774ワット発電中さん:2007/07/31(火) 21:16:07 ID:76iX3LUj
>>924
おーーー
なんかマジのようですな
購入品厳選するため5ヶ月まった副作用乙だなこりゃ
926774ワット発電中さん:2007/07/31(火) 21:56:46 ID:2CKXmoFE
むしろ今までがクソ過ぎたんだ。
代理店制度はまさに日本の癌。
927774ワット発電中さん:2007/07/31(火) 23:20:20 ID:ade3rqWy
日本の代理店制度のせいだったの?
たしかアルテラは本家digikeyでも買えなかったよね?
実質digikeyとはいえ、窓口はあくまでアルテラサイトだったはず。
928774ワット発電中さん:2007/07/31(火) 23:55:57 ID:/US+G483
俺は、基板設計して実装まで2か月ですと見積ったら、FPGAだけ
そろわなくて結局半年かかった。
929774ワット発電中さん:2007/08/01(水) 00:03:40 ID:P+ePipXU
もっと買いやすいところで取り扱ってくれぇ。('A`)
930774ワット発電中さん:2007/08/01(水) 00:05:56 ID:3f/0lvFR
>927
digikey USでは一部のFPGAは以前から日本からも買えた。
MAXIIとかの一部が日本向けにはダメとはねられてた。

アルテラが自前で(やってるのは digikey だけど)始めたとき、
このあたりの事情がかわるかと期待されたが... という経緯。
931774ワット発電中さん:2007/08/01(水) 02:29:50 ID:ZswAbUQF
去年のトラ技付録のMAX IIはオナニーかよ。
もっと簡単に手に入るデバイスにしてくれ…。
あれのためだけにQuartus II入れてるよ…。('A`)
932774ワット発電中さん:2007/08/01(水) 09:21:12 ID:b3weL1zK
933931:2007/08/01(水) 11:40:21 ID:TysbWR9/
おーー〜〜っ!すばらしい。値段も手ごろ。
Xilinx導入の前にもう少しAlteraでいけるな。
いや、別に財嫌ってるわけじゃないけど、重い環境いろいろ入れたくないし。
サンクス!
934774ワット発電中さん:2007/08/02(木) 15:58:36 ID:8/tgbVVp
Digi-Key日本版がAltera製品の販売を開始
http://journal.mycom.co.jp/news/2007/08/01/043/index.html
935774ワット発電中さん:2007/08/02(木) 20:27:11 ID:anZ4eoph
>>932
digikeyでデバイス買うより安いじゃんかw
936774ワット発電中さん:2007/08/02(木) 20:58:23 ID:6fEMliMs
1600yen?

拡張コネクタつけておけば、自作でもなんでもokー
937774ワット発電中さん:2007/08/02(木) 23:54:48 ID:wf8e9TQd
digi-keyでAlteraか
DWMのcyclone基板につけるコンフィグROMが欲しかったところだった
938774ワット発電中さん:2007/08/03(金) 23:45:48 ID:AHS8wOVZ
>>932
うほっ、さすが optimize。ユーザーのニーズを良く分かってらっしゃる。

個人的には Xilinx の方が良かったんだけど、これはこれでいくつか
買っちゃうだろうな。
939774ワット発電中さん:2007/08/04(土) 00:00:42 ID:H5AeYqEl
欲を言うならCPLDじゃなくてFPGAで逝って欲しかった>最適屋
940774ワット発電中さん:2007/08/04(土) 00:03:58 ID:N+q4jg7J
別にスピード必要ない&そんなに複雑なことしない俺には、むしろCPLDで十分。
941774ワット発電中さん:2007/08/04(土) 00:12:53 ID:GkOWfaW8
>>939
ん?
ttp://optimize.ath.cx/ezfpga/index.html じゃいかんのか?
アルテラの FPGA のが欲しいってこと?
942774ワット発電中さん:2007/08/04(土) 07:56:03 ID:ssVOJgSu
また真似っこ製品か
943774ワット発電中さん:2007/08/04(土) 18:29:28 ID:Rr9VA+35
安いから許す
944774ワット発電中さん:2007/08/04(土) 20:23:49 ID:xM3Y5Ff5
中の人今日もがんばっとりますなwww
945774ワット発電中さん:2007/08/04(土) 21:03:49 ID:voYxGLfA
もっと新しいFPGAがいいな
946774ワット発電中さん:2007/08/04(土) 21:16:26 ID:ssVOJgSu
>945
よその廉価な製品や、雑誌の付録など、参考になるものがあればきっと出る。
次はSP3EかdsPICが濃厚。
947774ワット発電中さん:2007/08/04(土) 21:31:38 ID:pmOGFQvc
>>946
dsPIC イラネ
948774ワット発電中さん:2007/08/04(土) 22:03:24 ID:ssVOJgSu
SH2やARM基板の安定かつ廉価版をぜひ作って欲しい。
コネクタが0.5ずれてなくて、パスコンがちゃんと入っているやつ。
949774ワット発電中さん:2007/08/04(土) 22:30:49 ID:q64hba6b
じゃあ1.0ずれて、パスコンが遠くについてる奴で
950774ワット発電中さん:2007/08/05(日) 04:37:14 ID:0U73HxGy
1.0ずれる分にはかまわないけどな・・・
951774ワット発電中さん:2007/08/05(日) 21:20:31 ID:DA4oi4Hf
5V/3.3V対応のMAX7000Sの復活を望む
952774ワット発電中さん:2007/08/05(日) 22:28:21 ID:FYzarHx2
2.45mmピッチだったらヤだな。
953774ワット発電中さん:2007/08/06(月) 20:29:16 ID:cvW2oSLR
> 5V/3.3V対応のMAX7000S
7000Aじゃね?
954774ワット発電中さん:2007/08/06(月) 22:01:55 ID:e3f1uRbF
絶対最大定格がIDD MAX 7000Aだったら怖いな。
955774ワット発電中さん:2007/08/07(火) 22:26:58 ID:iMpfqdO4
べつに怖くはないけどな。確認のしようがないだけで。
956774ワット発電中さん:2007/08/07(火) 23:55:55 ID:GYf3DRsY
電気代怖くね?
957774ワット発電中さん:2007/08/08(水) 06:36:57 ID:MaKeB/Fv
なにも怖くないよ。
最大7KAでも使うときはせいぜい100mAだから。
958774ワット発電中さん:2007/08/08(水) 07:58:47 ID:8hR/I8Ju
逆に考えるんだ。
きっと VDD が 1mV なんだ。
959774ワット発電中さん:2007/08/08(水) 08:16:20 ID:8NSEwB4U
>>958
そんなデバイスの電源回路が恐ろしいww
960774ワット発電中さん:2007/08/08(水) 16:52:32 ID:qf+XMGgE
流れをぶった切ってISE(9.2 SP2)がまともに使える方法キボン
シンセサイズ実行で、Modelsimが起動しい&CoreGenでIPの再生成ができなる・・・
こうなった場合、 Cleanup Project File を毎回実行すれば、Modelsimは動くんだけど
CoreGenのIP再生成が必ず失敗する

なんとかならんですか・・・
この度に毎回プロジェクトを作り直しdeath
961774ワット発電中さん:2007/08/08(水) 17:31:17 ID:ubKyoVpL
>>859
どのように叩き壊せばいいですか?
962774ワット発電中さん:2007/08/08(水) 20:08:31 ID:RwT3Rqt2
>>960
俺とよく似た状況だな。
つまり、力にはなれないw
963774ワット発電中さん:2007/08/09(木) 01:51:53 ID:zOsFNfup
>>960

どのデバイスを使っているか知らんが、素直に9.1に戻すのが吉かと思われる。
964774ワット発電中さん:2007/08/10(金) 22:58:11 ID:ARYIxLjx
965774ワット発電中さん:2007/08/11(土) 08:36:43 ID:k9hRTAlk
Quartus7.1入れたんだが
max7kシリーズって
いつの間になくなったんだ?
966774ワット発電中さん:2007/08/11(土) 12:30:04 ID:ZmoEd7B3
digi-keyで買えるようになったcyclone3の25000LE
値段も手ごろでQFPだしホビーユースには良いね
967774ワット発電中さん:2007/08/11(土) 16:56:00 ID:HyGYXhMR
XILINX>>>>>>>>>>>>>>>越えられない壁>>ALTERA>他
968774ワット発電中さん:2007/08/11(土) 17:55:01 ID:sEYJBGBW
>>964
thxどれが該当してるんだろ、俺以外にも起こってるみたいだし
環境依存じゃないよな、罪何してるの・・・9.1でも同じだったぜorz

とりあえず今書いてるXCS3S400用の物はは動いちゃったし、保留・・・
969774ワット発電中さん:2007/08/11(土) 19:29:57 ID:SNsXa0fq
>XILINX>>>>>>>>越えられない壁>>ALTERA>他

altera の方がシミュレーション時間が早いって聞いたけど。
alteraのmodelsimだと自分が作る回路規模なら十分。
970774ワット発電中さん:2007/08/11(土) 19:30:56 ID:SNsXa0fq
はやい。
971774ワット発電中さん:2007/08/15(水) 19:55:57 ID:C246liW1
xxx
972774ワット発電中さん:2007/08/16(木) 23:08:59 ID:MINkDH0/
XilinxのISEウェブパック使ってスパルタン3Eでベクタの足し算をすると
Property "use_dsp48" is not applicable for this technology.
なんて注意がでるんですけど、XSTのHDLの設定にはdsp48なんて項目ないし、
それでいてコンパイルが成功したみたいなメッセージが出るし、、気持ち悪いんですけど
そんなものなんでしょうか?
973774ワット発電中さん:2007/08/17(金) 00:14:37 ID:UZ5WPf7D
>>972
>そんなものなんでしょうか?
そんなもんです。

http://japan.xilinx.com/xlnx/xil_ans_display.jsp?iLanguageID=2&iCountryID=2&getPagePath=25090
974774ワット発電中さん:2007/08/17(金) 01:31:54 ID:y13MoJOx
XILINXはWARNINGが出るが関係ないから無視しろっていうバグが異常に多いよな。
業務で狼少年に付き合わされるこっちはたまらん。
975774ワット発電中さん:2007/08/17(金) 09:40:02 ID:/tHN+JTu
ケッ!社内で一番の狼少年がスッコンデな
976774ワット発電中さん:2007/08/17(金) 16:55:36 ID:Bp9+QTjD
げぇっ!!関羽!!!
977774ワット発電中さん:2007/08/17(金) 22:00:25 ID:rml+fb6s
夏厨がうろうろしてます。
あと2時間はID:/tHN+JTuに注意してください。
978774ワット発電中さん:2007/08/18(土) 00:49:45 ID:9Tdyc4Mb
ISE勘弁してくれ・・・
なんでこんなにバグだらけなんだろう。
なんのデバッグをしているのかわからなくなるよ。
979774ワット発電中さん:2007/08/18(土) 18:42:27 ID:0LwCrGOs
980774ワット発電中さん:2007/08/18(土) 20:52:58 ID:Q1Yes3Du
ISEと交際し始めて2週間目。
とても、一緒にやっていける自信がありません。
見掛けがよさそうで、(いわゆるイケメン)期待したのですが、コンパイルの度に
嘘をつくし、、ひどいときは勝手に終わってしまいます。

もう、、お別れしたいと悩む今日この頃です。  かしこ

981774ワット発電中さん:2007/08/18(土) 20:54:15 ID:T1vqJ89x
ワロタw
982774ワット発電中さん
いまどきおわりに
かしこ
はないだろ…w