【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice 2

このエントリーをはてなブックマークに追加
1774ワット発電中さん
2774ワット発電中さん:04/12/30 00:32:50 ID:mfbQMl1V
ALTERA マンセー
ALTERA マンセー
ALTERA マンセー
ALTERA マンセー
ALTERA マンセー
3774ワット発電中さん:04/12/30 00:43:23 ID:00s6PvZW
すぱ3
4774ワット発電中さん:04/12/30 01:28:01 ID:IAfg7jH2
カトチャン、ペッ
5774ワット発電中さん:04/12/30 01:40:43 ID:/IpcybyS
こっちが新スレかと思ってた (((´・ω・`)カックン…

ttp://science3.2ch.net/test/read.cgi/denki/1103970072/
6774ワット発電中さん:04/12/30 02:46:38 ID:hmEwAqg7
>>1
乱立への道を選んだということだね。
7774ワット発電中さん:05/01/02 17:13:10 ID:hngXafih
quicklogicは?
8774ワット発電中さん:05/01/03 03:29:23 ID:m2mO2k0D
QLといえば、ここ数年営業さん音沙汰無いなぁ
軍事・宇宙ネタで息抜きにはなったのに。販促品くれるし。

コストが合わないから全く商談にはならないんだけどね。


9774ワット発電中さん:05/01/03 20:26:19 ID:WnMYtLcT
ザイログの一人勝ちの2005年でつ
10774ワット発電中さん:05/01/04 00:06:41 ID:lhMv8dxr
あくてる
11774ワット発電中さん:05/01/05 02:00:34 ID:cL9mi9eV
V4は?
12774ワット発電中さん:05/01/05 02:03:37 ID:VPiK6/QX
>5
そっちは中卒向け
1のテンプレ読んでミソ
タイトルもおこちゃまw
13774ワット発電中さん:05/01/05 03:58:00 ID:5HAY27pT
>>12
そっちは1が中学中退なだけでしょ、確かにテンプレもひどいけど…
しかし、今のところどちらのスレも内容がないに等しいのが悲しい( TДT)
14774ワット発電中さん:05/01/05 03:59:11 ID:zfU8GSEW
>>13
マジレスすると交換するほどの情報がないというか
何か作ったら2ちゃんに書かんで自分のホムペに書くだけかと
15774ワット発電中さん:05/01/08 22:18:24 ID:ZExelrdz
現行のFPGAで実現不能な論理回路をあげてみよう。
@AD/DA
A大容量メモリー
B特殊な符号化処理演算
C高電圧対応
16774ワット発電中さん:05/01/08 22:45:51 ID:fYKywdin
周辺はどこまで許されるの?
17774ワット発電中さん:05/01/08 22:56:58 ID:OY8fCCWD
15ってスゲーバカじゃん

特殊な符号化処理こそFPGAの土壇場だ。
18774ワット発電中さん:05/01/08 23:26:06 ID:kmBGkQ6J
土壇場だ。
19774ワット発電中さん:05/01/08 23:51:17 ID:qnE3f6HS
独眼流だ
20774ワット発電中さん:05/01/09 00:43:37 ID:KgEXx9VY
ハッテンバだ。

ウホッ、いいFPGA
21774ワット発電中さん:05/01/09 00:44:50 ID:zCkXB2c1
それはヘンタイの性欲処理だろ
22774ワット発電中さん:05/01/09 00:55:20 ID:F3TPuQt7
ココ,オイテオキマス... 「独壇場」
23774ワット発電中さん:05/01/09 11:33:48 ID:/zBJR8t5
正解マダー?
24774ワット発電中さん:05/01/09 12:24:45 ID:5+ZcFfgL
>>22でも間違いじゃない。

どくせん‐じょう【独擅場】
 その人だけが思うままに活躍できる所。ひとり舞台。誤って「独壇場ドクダンジヨウ」ともいう。
 「彼の―に終る」
25774ワット発電中さん:05/01/09 12:56:14 ID:F3TPuQt7
おっ ってことは>9の文章は

ザイログの独擅場になる2005年でつ

になるのでつね。文章は間違っていないが、内容ははたしてどうかな?w

ってか、ここって国語スレかいw
26774ワット発電中さん:05/01/09 13:11:10 ID:PjT2OEoy
>>24
>誤って「独壇場ドクダンジヨウ」ともいう。
それって結局>>22は間違いって言ってるんじゃ
27774ワット発電中さん:05/01/09 15:35:01 ID:VXueKTAY
独擅場
28774ワット発電中さん:05/01/09 17:12:47 ID:JQ5PXMfv
アルテラは土壇場。
大手からズルズル状態。
アクテル、クイックロジックがおいでおいでシテイル。
29774ワット発電中さん:05/01/09 23:22:27 ID:pLj9hkGV
28
えっそうなの?国内はAlteraがNo1だし、Xilinxは
先日すごい勢いで売上の下方修正していたよ。
30774ワット発電中さん:05/01/10 00:13:29 ID:e2PCmGqG
どう見ても>>28の願望だろ。
材マンセーで頭おかしい感じ。
31初心者:05/01/10 14:11:20 ID:8M/tS2a4
>29
> 先日すごい勢いで売上の下方修正していたよ。

情報のソースは?
32774ワット発電中さん:05/01/10 14:30:07 ID:m+hrUAMa
漏れらからみた、対応態度について比べようよ

メメックとパルテック どっちが誠実だい?
漏れ的にはメメック。パルテックなんて糖エレと大差ないもんね。
33774ワット発電中さん:05/01/10 23:42:57 ID:MDp6YY39
>>30
FPGAは軒並みCycloneに飲み込まれたんじゃないの?
っていうか実際DLL使いにくいわ。マジオモタ。
34774ワット発電中さん:05/01/11 02:31:28 ID:sYHbMz9B
サイクロン安いだけでスパルタン3と比べたら非力じゃん。
35774ワット発電中さん:05/01/11 10:22:59 ID:jVP9AsJp
ここはやっぱ両刀使いが吉!
36 ◆YMO/ALTERA :05/01/11 15:36:52 ID:d44BO8Mr
ていうかあけおめスレ立て乙
今年になって一度も焼いてねーや。
37774ワット発電中さん:05/01/12 00:28:16 ID:/7ZEJUTz
一念発起してCPLDの勉強をしてみようと思い
BITのMAX評価キットを買ってきたのですが
www.altera.com に繋がらず Quartus II の
ライセンスの取得が出来ないです。
早く試してみたい・・・ ('A`)
38774ワット発電中さん:05/01/12 02:51:28 ID:vTMaRWOm
相当、、、、、
39774ワット発電中さん:05/01/13 22:19:00 ID:+vVWddHH

MAX IIはどうですか。
素人工作でも買えますか?

実体はFPGAっぽいので容量はあるけど、
ALTERA曰くCPLDということでROMは不要なので、
オマケに安さも宣伝しているので、期待しているのですけど。
40774ワット発電中さん:05/01/13 23:43:02 ID:fYGNUg8R
秋葉とかで普通に入手可能じゃ無いし、手に入れるには個人情報を出さないと無理。
おまけに、トラブってもネット上に凡例が少ないので素人には難しい。
ALTERA信者は、主に会社で使ってるのが多いので情報も出しにくい。
41774ワット発電中さん:05/01/14 02:27:32 ID:UQd2Ik+y
MAXUの開発キットもってますけど、安くてかなり
お徳感あります。まぁ、英語のマニュアルなんで
英語に抵抗の無い人ならOKかと
42774ワット発電中さん:05/01/14 12:52:35 ID:cnZsj72a
>>40の言うとおり、
ALTERAの場合、シトウトは直ぐ部品が欲しい時に価格や入手方法で困るだろう。
支援ツールは非常によいけど。
43774ワット発電中さん:05/01/15 00:02:58 ID:hfwNGmqh

ALTERAよりXilinxのほうが安くて入手性がいいの?
44774ワット発電中さん:05/01/15 00:54:23 ID:8u5FjeDr
>>34 サイクロン安いだけでスパルタン3と比べたら非力じゃん。
って、君の目はFusianasan?
スパスリーは3.3VPCIに対応できないし電源が3.3V、2.5v、1.2vと3系統
ないと動作しないし、漏れ電流は半端じゃないしどれをとっても致命的。
もう一度勉強しなおせや(W
45774ワット発電中さん:05/01/15 01:05:15 ID:LuOhTZTY
うんうん。。処理能力では喧嘩にならないからね。
重箱の隅でもつつくしかないよね。

折角ナケナシの優越感をひねり出したのにねー。叩き潰すね
サイクロンごときで間に合う用途でPCIスロットを使うなんて勿体ない。
USBで十分。

そして、漏れ電流の少ないパーツも用意されてるよ。
46774ワット発電中さん:05/01/15 01:06:25 ID:fmUYc1fL
サイクロン安いだけでスパルタン3と比べたら非力じゃん。


サイクロン、スパ3に比べたら非力だけど、安いじゃん
47774ワット発電中さん:05/01/15 01:15:55 ID:9uQ24BBX
>>44
あなたこそ勉強しなおしたら?

いまどき「 スパスリーは3.3VPCIに対応できないし」とかって、まるっきり
アルテラ信者の発言そのもの。代理店の受け売りばかりだと取り残されるぜ。

漏れ電流がひどい?サイクロンのシングルエンドバッファのリーク電流を知っている上で
語っているのか、子一時間問い詰めたい。

材のスパが凄いとはイワンが、45も含めここの住人はスレ立て当時と違ってあまりに調べることを
しないもんだね。

オレまだ30行ってないが、おまえら相手なら余裕で技術力で勝てると思うよ。
4847:05/01/15 01:17:24 ID:9uQ24BBX
さげとく

あと、ちょっと書きすぎたな。
おまえらなんてハナから相手にならんから、どうでもいいや。
49774ワット発電中さん:05/01/15 01:18:22 ID:fmUYc1fL
と言ってる漏れは両方適当に使わされてるけど、サイクロンが
出てきてからは寺が増えてるんだよなぁ。罪も必死なんだろうね。

ところで
>サイクロンごときで間に合う用途でPCIスロットを使うなんて勿体ない。
>USBで十分。
それは自分の無知をさらけ出しているようなものだよ。
老婆心ながら、もし、スパ3を貶めたくないなら、これ以上この
スレに首を突っ込まない方がいいんじゃないかなぁ。
50774ワット発電中さん:05/01/15 01:50:25 ID:Qj5j9RYy
> それは自分の無知をさらけ出しているようなものだよ。

どこが無知なのか指摘できないなら全く説得力ナシ
51774ワット発電中さん:05/01/15 03:02:32 ID:JOnZcLSr
>43 ALTERA入手性
論より証拠で、秋葉あたりの店で聞いてみましょう。
「へ、ALTERA?うちじゃ取り寄せだね〜。。。」

個人で趣味だと、商社様にへこへこして売ってもらうと結構高いよな。
52774ワット発電中さん:05/01/15 03:07:31 ID:r4Fw44ak
しかし、商社ってなんであんなに横柄なんだろうね。
53774ワット発電中さん:05/01/15 03:28:11 ID:CRmocFmI

PCIなんていまさら・・ しかも設計資産あれば実質バスに関しては新規にやることないし。
と、10年以上仕事してれば思うね。

LANやUSBのチップだとPCIバス版のほうが未だ安いから、ローカルバスのブリッジ
としてFPGAを使うかな。
PCIを使わずUSBだけを使うなら、ローカルバスオンリーか、MPU内蔵か、という選択肢
になるねぇ。

49氏は「PCIスロット」に反応してたの判った? >>47


54774ワット発電中さん:05/01/15 07:48:50 ID:iaTtA00g
> オレまだ30行ってないが、おまえら相手なら余裕で技術力で勝てると思うよ。

駄目だこりゃ
5544:05/01/15 11:05:46 ID:+VEXqM6W
>>47
本当に勉強不足だね。外付けクランプダイオードを全部外付け
にして、ダンピング抵抗もつけてスパスリ使うってのか(w
基板面積を無駄に浪費する愚か者だね(www
かわいそう。
56774ワット発電中さん:05/01/15 11:31:41 ID:OJjBnkU9
条件によって違うと思うが、ほとんどの場合PCIのとこだけ
PLXなりQuickPCIなりを使う方が利口じゃないか?
57774ワット発電中さん:05/01/15 11:35:47 ID:iaTtA00g
>>56
PLXは独特のバス動作をしたりするので要注意。
ただ、PCIバスの動きを知らなくて良いというメリットもあるが、実装に関する
苦労は同程度かもしれない。
58774ワット発電中さん:05/01/16 21:18:42 ID:OdzOx6pX
10万ゲート規模の回路をコンパイルしたらどれくらい時間かかるのかな?
あまりに時間がかかるようなら新しいPCを買わなくては!!
59774ワット発電中さん:05/01/17 00:54:10 ID:YnhIoQMa
>>58
デバイス不明、PCのCPUおよびクロック、メモリ、HDD環境不明。
最後にどの程度の時間を希望しているのか基準が不明のためレス不能。

とりあえず現状手にはいる最高の環境を用意するのがええぞ。
60774ワット発電中さん:05/01/17 01:31:39 ID:5nQ+dmHY
>現状手にはいる最高の環境を用意する

解釈1:この世に存在する最高の環境を用意する。
    財力の問題でビルゲイツくらいしか実行不可能

解釈2:自分で出来る範囲で最高の品を用意する。
    無職の引き篭もりならば、金は無いので、アキバをうろついて
    「欲しい奴もってけ!」って奴をいくつか集めて合体させる。
61774ワット発電中さん:05/01/17 08:10:08 ID:LCSdbaDe
漠然とした質問て困るわ。
使用ツールや使用デバイスが解らんと箸にも棒にも。
62 ◆YMO/ALTERA :05/01/17 12:41:20 ID:GwdawscR
>>58
ツール・ターゲットにもよるが、
個人だったらオススメはAthlon64
会社だったらOpteronをオススメする。
63774ワット発電中さん:05/01/17 20:49:37 ID:MvNAqV2F
しかしアルティマのサポートは・・・
怒りを通り越して呆れてしまいました。

何処でもそうだけど代理店はなんであんなに偉そうなの?
商品を右から左に流してピンハネしてるだけのくせによ。
6463:05/01/17 20:51:06 ID:MvNAqV2F
もしかして客を呆らせてサポート依頼を無くそうって作戦か?
6563:05/01/17 20:58:50 ID:MvNAqV2F
確かに零細会社だけど年間2-3000万位はデバイス買っているんだから、
もう少し対応してくれって感じ。

脱力中なので愚痴って見ました。
66774ワット発電中さん:05/01/17 21:25:07 ID:ldJlIT1r
ふざけた大理店はある寺に文句言えば大理店契約解除される罠
67774ワット発電中さん:05/01/17 22:07:01 ID:70Q+h1Hg
日本国内代理店不要説をメーカーに直訴しようよ。
いくつかもメーカーは直接ワールドワイドでの小口通販やっているし。
ワールドワイドでの通販業者もあるし。
6863:05/01/17 22:56:46 ID:MvNAqV2F
>>66

国内に2社だけの1次代理店 VS 零細企業
勝負にならないよ〜。
6958:05/01/17 23:03:38 ID:FYLdjqeb
>>59
現在の環境はpentium4 2.80C GHz , PC3200 512MB×2 , MaxlinePlus2 250GBです。
StratixでQuartusU web edition使ってます。
70774ワット発電中さん:05/01/18 00:50:57 ID:GLJPGAe5
>>69
環境はわかった。

Web Editionで作る程度であればその環境でも大丈夫だと思う。
目一杯詰め込んだとしても数十分で終わると思うし、今手に入る最速のPCに変更してもコンパイル速度は劇的に向上しない。

コンパイルを早く終えたければライセンス版を購入して未変更部分はコンパイルしないようにすれば早くできるだろう。
71774ワット発電中さん:05/01/18 09:03:09 ID:qMIiDZRy
>>65
年間200K個ほどサイコロ買ってるけど、或手間のサポートは63さんのところと変わらない。
Quartusの保守料ライセンスあたり3万円近く安くなってたけど、なんかあったのかな?
7258:05/01/19 00:54:06 ID:DfTpcyvp
>>70
ありがd
俺、頑張ります
73774ワット発電中さん:05/01/19 07:44:28 ID:qwvbfxp7
>>63
もう少し状況を詳しく!

営業的な話なら、EDAメーカーとかの横の繋がりを強化するのも一つだ。
「あそこ、対応悪いよ」みたいな噂は、裏も無しに広がるからな。
代理店の人間も、客から悪く言われても、業界の友達からは悪く言われたく
なさそう。(おかしな話だと思うが)

技術的な話なら、直接アルテラのエンジニアとやりとりできる環境を作れ。
うちが効果あった方法は2つ。一つは、展示会でセミナーとか開催すること。
これで、講師仲間ができる。結構ぶっちゃけトークで教えてくれる。
次は、とにかく最新のデバイスを買うこと。今ならVirtex4だな。
代理店じゃサポートできないから、本社の人間出してくることが多い。

枯れたデバイスを淡々と買う客に対応悪くて腹が立つのは激しく同意。
74774ワット発電中さん:05/01/19 12:36:56 ID:NfnvGlOF
メーカーのエンジニアってタイトルをありがたがる時代でもあるまい。

>Virtex4

これの現在の出荷品目とサンプル価格教えてよ。
SX25とFX20に興味があるんだ。
75774ワット発電中さん:05/01/19 20:34:00 ID:uj8ikkxv
年末に購入したSPARTAN3+EDKを使い始めた。
ん〜 ヨクワカンネ。
76774ワット発電中さん:05/01/20 18:05:12 ID:2BF3qtaI
今日始めてISE6.3をインストールして、Verilogの勉強を始めた者です。
ISEの使い方で教えてください。

プロジェクトを開いて、ソースを書いて、コンパイル?をした結果の
エラーなどを表示する左側の一番下の窓の文字が小さく、
さらにプロポーショナルフォントなので、':'と';'などの表示が
区別が付かなくて困っています。
ISE内のフォント、文字の大きさを変更するには、
どこのプロパティーで設定すればよいでしょうか?
よろしくお願いします。
77774ワット発電中さん:05/01/21 03:41:05 ID:IB5WYU+F
フォントサイズは変えられなかったような気がする。
エディター内の文字サイズは設定できると思うけどね。
78774ワット発電中さん:05/01/23 03:12:51 ID:7lGpHqO2
Vertex600Eを使ってるんですが、内部ロジックでHOLDエラーが起きてるような
現象をオシロで確認しました。詳細を説明すると
クロックですが、GCKから入力したクロック(A)の2分周(B)をBUFGに
入力し、その出力を内部の回路のクロックとして使用しています。
AとBは非同期で大丈夫な設計にしています。
で、Bのクロックの3サイクル毎に変化するはずのFFが、ときどき
1サイクル幅の信号が出てきています。

もしかして、内部で生成した信号をBUFGに突っ込んでもスキュー調整は
してくれないんでしょうか?
すいませんがよろしくおねがいします。
79774ワット発電中さん:05/01/23 03:51:30 ID:z9ABmoGU
おまいは VHDLをやれ 理屈で考えるんならVelilogはそのあと
8078:05/01/23 04:15:04 ID:7lGpHqO2
>>79
おいらに言ってるの?
81774ワット発電中さん:05/01/25 12:07:23 ID:j1WqNj4x
>>80
82-1001だと思わ
82774ワット発電中さん:05/01/25 13:17:23 ID:iW4WBcop
厳格でガチガチの言語の方が曖昧な記述から来るバグが少ないのは確かだろうね。
83774ワット発電中さん:05/01/25 16:22:53 ID:64+Hx53Q
>>78
いまいちよくわからん。
(A)と(B)は非同期で大丈夫な設計なんだろ?
だったらスキューがあろうがなかろうが大丈夫のはずじゃないか。

んでBUFGだが、単なるクロックツリーをドライブするためのバッファで
ゼロディレイバッファの機能は持ってないよ。
当然ディレイが発生するし、スキュー調整などしない。
スキュー調整したければ DLLを使って同期とれ。
84774ワット発電中さん:05/01/25 17:48:39 ID:Fef05T/X
世の中から排除されつつあるVHDLを今頃はじめてどうするよ。
85774ワット発電中さん:05/01/25 18:03:33 ID:fdL97vs/
Altera、ストラクチャードASICの新シリーズを発表
http://pcweb.mycom.co.jp/news/2005/01/25/017.html
86774ワット発電中さん:05/01/25 19:47:57 ID:PkIZd8MC
>>84
じゃぁ時代は何?
87774ワット発電中さん:05/01/25 19:50:47 ID:j1WqNj4x
>>86
SystemC w
88774ワット発電中さん:05/01/25 20:25:59 ID:anXvJla5
アホだ
89774ワット発電中さん:05/01/25 20:37:09 ID:wYQog/fq
Verilog-95の時代の人間が、サクっとSystemVerilogの恩恵にあずかれるような、
差分をまとめたサイトってないでしょうか?
90774ワット発電中さん:05/01/25 22:45:51 ID:khclYgEq
Verilog-2001 レベルでも結構ありがたい。最近は always @* とかやってるよ。
91774ワット発電中さん:05/01/26 12:16:33 ID:tTXJ9evw
それ,便利だよね.
default-nettype とmodule()内にポート幅かけるのも便利.
92774ワット発電中さん:05/01/27 17:16:50 ID:N6UZcs9W
>>78
BUFGはどこからどんな信号入れても、そのBUFGにつながるFF間のスキューは
取ってくれるね
問題があるとすれば、分周回路じゃない?
2分周ごときでハザードは発生しないとは思うけど。

しかし、Virtex使ってるのにココに質問書くなんて、
代理店は?
93774ワット発電中さん:05/01/27 17:58:40 ID:qDPX6Wx3
使える代理店があるなら教えろ!
94774ワット発電中さん:05/01/27 18:07:00 ID:WbNG6B2N
>93

包茎エレクト
95774ワット発電中さん:05/01/27 20:54:01 ID:tmodm3PS
東エレ:慇懃に盥回し
信仰、療養、メメズ:無視

そもそも構ってもらえないわけで、どうにか構ってもらったとして、使える奴が担当に
つくとは到底思えん。

96774ワット発電中さん:05/01/27 21:51:46 ID:WbNG6B2N
所詮商社不具合あっても新製品薦めるだけ
97774ワット発電中さん :05/01/28 00:25:21 ID:H6wN9JXi
>>78
「HOLDエラー」というなら、min条件でSTAかけてみれば?

あと「Bのクロックの3サイクル毎に変化するはずのFF」というのは、
クロック乗せかえている部分なの?それともBのクロック単一で
動作している部分?
83も言っているが、非同期でも大丈夫な設計にしているなら、
スキューは関係ないよね?
非同期でも大丈夫なつもりが、ほんとはダメな設計になっているんじゃないの?

98774ワット発電中さん:05/01/28 00:38:42 ID:fkF8Ej6P
78です。
みんなサンクス!!
久しぶりにのぞいてみたらいっぱいレスついてるし。
>>83
Aで動作するFFは全部制御レジスタで、設定してしまえば
その後動作させることはないんです。なので、非同期のケアすら
いらないです。(設定完了後、Bクロック系統群を初期化するんで、
その信号だけをケアしていればOKのはずで、そうやっています)
>>92
そのはずだよね〜〜。情報どもです。
>>97
Bの単一クロックで、3回に1回ラッチするFFです。
まだFPGAの初心者なので、実はこの不具合起きた時には、
STAツールの使い方しらなかったんです。
とりあえず、急ぎで評価する仕事があったので、仕方なく
現状は、1回Bを端子から外部に出して、それを別のGCKから
入力してやることで、なんとか正常動作しているみたい。

というわけで、今の評価が終わったら、もう一回再現させて
タイミング解析を試してみます。
アドバイスどうもでしたm(..)m
99774ワット発電中さん:05/01/29 22:08:19 ID:rDYlHx+a
代理店批判が多いですが会社といより営業担当者の質で変わるよな。
100774ワット発電中さん:05/01/29 23:28:06 ID:psbUBqnk
昨今、会社は従業員管理を強化しています。
名物社員なんてのは今では許されません。
厳重にマニュアルに添った対応しかさせていません。
つまり、営業担当者の対応=会社の対応なのです。
101774ワット発電中さん:05/01/29 23:33:31 ID:mdn2LAf/
>>100
お、いいこと言うね〜。

逆にいうと、営業が変なことやらかしたら
会社も責任を負うから、当然のはずだが。
102774ワット発電中さん:05/01/30 04:51:00 ID:0DxMcpSc
さあ 材本社にガンガンクレーム入れようぜ。
「日本の代理店は新規顧客開拓という意味では、零細事業者を無視しているので
零細事業者でザイリンクス製品を使いたい場合は、オンラインショップが全てです。
オンラインショップでの扱い品目を増やしてください」
「日本の代理店が提示する価格は通販業者の価格よりも高いです」
「日本の代理店は表面上の価格が安いという理由で荷物の扱いに評判のよろしくない
配送会社を利用するので、荷物が大変な過剰梱包になり、零細事業者は大量の梱包材で
埋もれて窒息してしまいます」
「日本の代理店は零細事業者への納期なんて守る気は全くなく、成り行き任せです」
こんなところだな。漏れが聞いてる範囲では。
103774ワット発電中さん:05/01/30 20:18:10 ID:7ni618Mr
材が「零細? プッ」だったらどうすんの・・・・?
104774ワット発電中さん:05/01/30 20:26:42 ID:6j+l7aT0
>>103
ルネサスみたいに?
105774ワット発電中さん:05/01/30 22:03:31 ID:47xJo6Qg
>103
アメリカ大統領にメールするっちゃ。
106774ワット発電中さん:05/01/30 22:28:59 ID:0juovtrx
財のチップってほどんどDigikeyで買ってるよ。
シリアルFlashは国内だけど。
107774ワット発電中さん:05/01/30 22:43:24 ID:nao1Zegs
DIGIにはクールランナーすらない。
材の直販がもうチョット品目増やしてくれれば、取り敢えずは日本現地法人も代理店も
スルーできるのだが。
108774ワット発電中さん:05/01/30 23:54:45 ID:teZMbXdK
Digiもボランティアではないから、売れる品種優先。
クールランナーは小口ユーザには大した需要無しと
見られているのだろう。
109774ワット発電中さん:05/01/31 00:20:19 ID:iz3PRJhF
とはいえ、実際にところAもXも国内売上の8割は大手企業数社であり
零細企業が2200社ほどあつまったところで、上位10社のうちの10番目
某電気メーカの半額にも及ばない。

あとルネサスもまえまではアカウントくれて新規登録でも買えたが
今現在はアカウントの発行を停止した。

所詮(うちもそうだが)零細企業の意見は売上にはリンクしないと
わかると、FPGAベンダの気持ちもわからないことでもない。

数年前まではFPGA設計のノウハウが大企業に無かったから大企業の
下請けを責めてそこをAとかXにすれば、自然とJOBのデバイスが決まる
んだったが、いまはノウハウが大企業にも溜まっているので
零細企業の優位性はほとんど無し。

というかね、先日EDSFで零細企業連中の飲み会に出席したけれど
零細企業、ほんと技術力無さ過ぎと実感したよ。こりゃ相手され
ないわ...と。
110774ワット発電中さん:05/01/31 03:19:41 ID:TdZih3c5
現状の数字が今後も変わらないと考える無能ブリが腹立たしいでつ。
大手企業たって、国内生産なんかドンドン減っているわけで、職からあぶれた
技術者は自営を余儀なくされているわけだ。
蚊が止まる程の電力しか喰わないクールランナーは既存装置の改造用パーツとして
まさにうってつけ。ニッチな市場を創設するにも、参入するにもクールランナーで
なければ電力の点で電源の改造まで必要になってしまう。

現状回っている零細企業つうのは、下請けの孫受け位で仕事貰ってるようなところだろ?
今もがいている技術者がこれから立ち上げ様とする零細企業とはちゃうと思うんだよね。
技術力はあるが、下請けなんかになる為の営業力も伝手もない。直接エンドユーザ相手に
なにか作って売るしかないんだよ。
111774ワット発電中さん:05/01/31 09:39:37 ID:r7ijbqO7
>現状の数字が今後も変わらないと考える無能ブリが腹立たしいでつ。
ビジネスである以上、脳内市場なんて相手に
していられないもんな。

>ニッチな市場を創設するにも、参入するにも
そんなニッチな市場じゃ減ってる大手の片隅程度
にしかならんだろ?
ブツが欲しいなら
ttp://www.iczoo.com/
たとえば、この手の流通在庫から発掘して1000個単位
くらいで買ってみたら?
112774ワット発電中さん:05/01/31 12:11:46 ID:klOjib62
同じ手間で売り上げが1万倍も違ったらそんな客相手にするの面倒だよな
113774ワット発電中さん:05/01/31 17:48:17 ID:FgIK/poT
オクでSPA-3スタータがでてるが
元値超えてるよう泣ガス・・・
あいつらばっかじゃねぇの。
114774ワット発電中さん:05/01/31 19:11:11 ID:JqFvgMvh
11500円なら、国内代理店から買うようはマダ安いだろ。
115774ワット発電中さん:05/01/31 19:52:42 ID:hV1HerF7
>SPA-3スタータ
メメックで買っても、エリス(菱洋)で買っても、本体は11550円。
116学生:05/01/31 19:54:27 ID:XD1RQE8I
卒研無理たすけて
117774ワット発電中さん:05/01/31 20:27:03 ID:JqFvgMvh
>>115
送料が800円という大金を取られるじゃん。
佐川なんか使うから、バカデカイ箱に入れてくるだろ。

ヤフオクなら、スーパーのレジ袋にシールで来るじゃん。
118774ワット発電中さん:05/01/31 22:50:06 ID:VugCTggV
>>100
先日うちの会社の営業担当の方が変わられたがうちの会社では名物営業だったよ。
マニュアルなんてなさそうな感じでしたが、やっぱりうちの担当の方みたいな
人が辞めざるおえない環境下になって来たのかもしれないね。

119774ワット発電中さん:05/02/02 00:52:12 ID:V5U2MDoN
目目食うの営業うぜぇ
120774ワット発電中さん:05/02/02 20:10:25 ID:GLcDitMw
121774ワット発電中さん:05/02/02 20:24:07 ID:GLcDitMw
>>118
118と同じ会社かな。うちの会社も営業担当が変わった。
その営業には気軽に相談が出来たんだよね。
ま〜これからもある寺を使うけどはっきり言えば義理はなくなったから
Xが良い製品もってくれば変えるかな。
122774ワット発電中さん:05/02/03 01:09:29 ID:4hsSY1mf
virtex-4は?
123774ワット発電中さん:05/02/03 12:08:24 ID:jBDH4CX5
Q2のタイミングシミュレーションでメタステーブルの状態を再現できないでしょうか?
124774ワット発電中さん:05/02/03 19:23:37 ID:Noi5LdBt
根飛雄太 先生のEASY-4を再現しようぜ
125774ワット発電中さん:05/02/03 21:38:29 ID:rzTMU+Im
メタステーブルはアナログの過渡応答がシミュレーションできないと出てこないよ
126774ワット発電中さん:05/02/04 07:18:29 ID:ZOHkThoB
>>123
なんとかバイオレーションになって終わる
127774ワット発電中さん:05/02/04 17:47:08 ID:rQrvfRwk
ispLEVERでピンアサイメントってどうやればいいのでしょうか?
Q2やISEみたいなGUIは無いみたいですね。うがー
128774ワット発電中さん:05/02/05 00:12:50 ID:LS9eM8vi
なんで今更Lattceなの?
129774ワット発電中さん:05/02/05 00:42:56 ID:LS9eM8vi
そういえばうちの会社もALTERAの営業担当者が変わった。担当が変わる
というメールが来たのでびっくりしてねぎらいのメール送ったら既に
退職されたためか届かなかった。ザイリンクスの営業担当とし戻って
来ないかな。そうすれば面白いのに。
130774ワット発電中さん:05/02/05 11:09:58 ID:TuMNWfn9
>>128
在庫処分でインハウスユースで使うつもり。
ホントはispDESIGNERだかDEVELOPPERだかの古い製品を10個もライセンス(使いもしなかったのに
Advanced w)で買っていて、
オンラインアクティベーションしたらCD-ROMとDVD-ROMのセットを送ってきた。
マニュアルが貧弱すぎて使い方がわかりません。
131774ワット発電中さん:05/02/05 11:12:37 ID:TuMNWfn9
>>130
通常の製品には寺(MAXIIやCyclone2)や財(sp-3とvirtex)使ってます。Q2-4.2とISE6.3foundationが入ってます。
latticeで検査治具を作ろうとしてるわけでして・・・・
132[:05/02/05 13:04:28 ID:KC6j5fIO
ちょっと古いispEXPERTの試用版はispLSI1048Eとか使えたけど、
今のispLEVER試用版はisp1000系が使えなくなったんで
アマチュア的にも嬉しくないだろう…今更GALを使う人も少ないだろうし…。

それはともかく、ispLEVER 4.0 Process Flow User's Guideとかだと
FPGAならMAPの所、CPLDならフィッターの所にちょっとだけ説明がある。
何故か?FPGAはPAD、CPLDはPINと表現してるっぽい。
133774ワット発電中さん:05/02/05 19:40:16 ID:ukZgDXkA
自称本スレをレス数で逆転しちゃいました。
134774ワット発電中さん:05/02/05 21:08:53 ID:TuMNWfn9
>>132
GALだと、どこです?
135774ワット発電中さん:05/02/06 03:16:11 ID:U/eT994M
>>134

その質問はインシデントを消費しまつ
136774ワット発電中さん:05/02/06 04:53:43 ID:u65r8byE
>>133
そもそも内容もなく厨房しか居ないスレは無用と言うこと。
本スレ云々以前の問題だよ。
てかあっちはネタスレだろ。
137774ワット発電中さん:05/02/06 07:49:34 ID:oHY8gggk
>>133

…ちょっとウケタ。

>>132

GALはSPLD扱いだからCPLDと一緒〜。
138774ワット発電中さん:05/02/11 00:17:07 ID:UXSLEWtk
ちょっとこいつを見なしゃい。
比較しようと思ったらまずここダ。

ttp://sakemutou.hp.infoseek.co.jp/parts/frame_main.html
139774ワット発電中さん:05/02/11 02:30:52 ID:bgrgQ+G8
アルテラのQuartusUwebEditionをライセンスを
とったんですがEvalutionModeになっていまして
プルグラム・ファイルの生成ができないのですが
解決方法をご存知の方が居られましたら教えてくださいませ
140774ワット発電中さん:05/02/11 12:14:29 ID:PplUu5iw
>>139
まず、日本語をちゃんと書けるようになってくださいね。(優
141774ワット発電中さん:05/02/11 12:21:18 ID:PplUu5iw
>>139
ライセンスファイルとった?
ここの「Quartus II Web Edition Software Licenses

* Quartus? II Web Edition Software」
で申請汁↓ 無償ツールだけどライセンスはひつようだよ。
http://www.altera.com/support/licensing/lic-index.html
142774ワット発電中さん:05/02/11 12:25:57 ID:PplUu5iw
あと、ライセンスのセットアップ方法はここね

http://www.altera.co.jp/support/software/download/ins-license.html
143774ワット発電中さん:05/02/11 14:03:36 ID:bgrgQ+G8
>>140-142
ライセンスGetできました。
ありがとうございました。
144774ワット発電中さん:05/02/12 02:19:28 ID:ZXvvSd3M
もしご存知でしたら、教えて頂けないでしょうか?

「NiosII 上で動作させるソフトウェアプログラム」を格納しておく場所が
わからないのです。

FPGA の論理データと NiosII コアの場合、
「コンフィギュレーションデバイス」と呼ばれる IC に POF ファイル
(拡張子 pof)を書き込んでおくと、電源投入時に
このコンフィギュレーションデバイスからデータが
FPGA に転送されて FPGA 内の回路が構成されるのですが、

「NiosII 上で動作させるソフトウェアプログラム」はどこに格納すれば
良いのでしょう?

「NiosII 上で動作させるソフトウェアプログラム」も
NiosII コアと一体化させて POF ファイル(拡張子 pof)にして
コンフィギュレーションデバイスに書き込んでおくことは
できるのでしょうか?

それとも、「NiosII 上で動作させるソフトウェアプログラム」は
コンフィギュレーションデバイスとは別の ROM に格納しておくのでしょうか?

ヒントでも良いので教えて頂けると大変助かります。
どうぞよろしくお願い致します。
145774ワット発電中さん:05/02/12 04:57:23 ID:TtvnMknC
>それとも、「NiosII 上で動作させるソフトウェアプログラム」は
>コンフィギュレーションデバイスとは別の ROM に格納しておくのでしょうか?
あたりまえだろ
146144:05/02/12 11:05:49 ID:ZXvvSd3M
>>145
そうでしたか。
お手数をおかけしました。ありがとうございました。
147774ワット発電中さん:05/02/12 11:48:53 ID:DHC+AQcn
>>146

そんな事は無いよ。
メモリ内蔵のFPGAの場合コンフィグレーションファイルにNios2の
プログラムを書きこんでおいてコンフィグ完了時にFPGA内蔵メモリから
Nios2を動かすこともできます。
あ、この方法はStartixとかのMRAMの様にコンフィグ時に初期化されないメモリには
Nios2のプログラムを入れられないから注意してね。
148774ワット発電中さん:05/02/12 13:41:23 ID:pIXc8019
>プログラムを書きこんでおいてコンフィグ完了時にFPGA内蔵メモリから
>Nios2を動かすこともできます。
そういう下らんことをほざいてるな。アホかお前はよ。
>>146は一般的なソフトプログラムの格納の仕方を聞いてるんだよ。ウダ言を書き込むな糞がよ。
149147:05/02/12 15:18:38 ID:DHC+AQcn
>>148

日本語が理解できない人はレスしないで下さい。

>「NiosII 上で動作させるソフトウェアプログラム」も
>NiosII コアと一体化させて POF ファイル(拡張子 pof)にして
>コンフィギュレーションデバイスに書き込んでおくことは
>できるのでしょうか?

↑って書いてあるよね?
できるかって聞かれたから「できるよ」って答えたけどなにか問題でも?
まぁ、↑が理解できない人がFPGAの事を人にアドバイスできるとは思えないけど。
150774ワット発電中さん:05/02/12 15:27:15 ID:JvnL5vYd
145と148ってスゲーバカだな。
151774ワット発電中さん:05/02/12 15:36:47 ID:IeQW8ANV
148 は、H8とHDL関係のスレでミクロな発言を捕まえては、アホとか糞とか繰り返してるやつだろう。
ろくな知識がないのなら黙っているか、間違いを分かっているのなら、ちゃんと解説したらどうだ。
どっちも無理か、やっぱり。
152774ワット発電中さん:05/02/12 21:06:32 ID:M+6ps2Jq
>>144
IDEのFlash Programmerを使えば、EPCSに両方とも格納できるよ。
EPCS Flash ControllerにはNiosIIのブートローダが入ってます。
153144:05/02/13 00:22:19 ID:0K5Xtvmp
皆様、ありがとうございます。

>>147
> StartixとかのMRAMの様にコンフィグ時に初期化されないメモリには
> Nios2のプログラムを入れられないから注意してね。

ありがとうございます。
これは Stratix だと無理ということなんですよね。
今使おうとしているFPGAが Startix なのですよ…

>>152
> IDEのFlash Programmerを使えば、EPCSに両方とも格納できるよ。
> EPCS Flash ControllerにはNiosIIのブートローダが入ってます。

ありがとうございます。

おそらく、
コンフィギュレーションデバイスがシリアル・コンフィギュレーション
・デバイス (名前にEPCSがつくもの)だと、
「NiosII 上で動作させるソフトウェアプログラム」を
コンフィギュレーションデバイスに格納しておけるということ
なのですねぇ。

今使おうとしているコンフィギュレーションデバイスが
EPC8かEPC16なので、これだと
「NiosII 上で動作させるソフトウェアプログラム」は
コンフィギュレーションデバイスに格納できないのですねぇ。
むむむ…
154774ワット発電中さん:05/02/13 02:12:23 ID:XgwV1qB8
やっぱ寺は糞
155774ワット発電中さん:05/02/13 02:34:39 ID:rdbkSkGi
>>153
いやいや
M4K(かM512)ならROMや初期化済みRAMになるんで、ブートできるよ。
容量がそんなに取れないけど、活用方法次第。
ハードウェア生成するときに内蔵RAMの初期化ファイルにプログラム入れて
生成するだけ。IDEが自動的にファイル作ってくれるんで楽は楽

ハードウェアの情報とは別にソフトウェアを入れることできる。
EPCは普通のFlashとして接続され、もちろんブートも可能。
ただNios IIの場合、書き込みツールのFlash Programmerが対応していない
ので、自前でFlash書き込みルーチンを用意する必要がある。
もしくはNios II用GERMSモニターを改造するか
ハードウェアのファイル(sof,pof)とソフトウェアを1つにまとめて、
1度にEPCに書き込みたいところだけど、それは無理みたい。

M4Kで構成したブートROMにはEPCからMegaRAMにプログラムを転送する
コードだけ入れといて、転送後MegaRAMのプログラムに処理を移す、
てな方法を採ってました。以前は。

でもまあ、CFI対応の汎用FlashとMAX使って、ハードウェア情報とソフト
入れた方がEPCより安かったりするし、拍子抜けするほど簡単なので、
最近はこっちの方法ばっかりですがw
156774ワット発電中さん:05/02/13 02:39:43 ID:rdbkSkGi
読み返してみて、変な感じが…

もしくはNios II用GERMSモニターを改造するか。

で1回文章が切れます。
157144:05/02/13 22:44:22 ID:0K5Xtvmp
>>155 >>156
なるほどなるほど、理屈がわかりました。
お忙しいところお手数をおかけしました。
ありがとうございます。感謝致します。
158774ワット発電中さん:05/02/13 22:54:04 ID:IQ72F0O1
145,148は言われたこと以外、全く応用とか工夫とかできない、頭がちがちの人だね。
いるんだね〜こういうひと。で一人前の技術者っぽい顔してるんでしょうね
こういう人抱えてる部署とか、サポートしてるAlteraやXilinxの人が大変だ
159774ワット発電中さん:05/02/14 22:12:50 ID:G8MXqF9A
>>158
違うよ 148 は、あほとか糞とか言いたいだけよ。 ただ、わずかな知識しかないんで、ボロがでただけよ。
160158:05/02/15 03:09:34 ID:fx8IQe/M
そうですか。読みが甘かったですね〜
161774ワット発電中さん:05/02/16 22:41:09 ID:Tpr2YPoY
>>160
よっぽど、あほ、糞言われたのが悔しいんだねぇ
162774ワット発電中さん:05/02/16 23:28:49 ID:SFuokOom
>>161
お前、あほ、糞の繰り返しじゃ、単なるスレ汚し。
読み返す価値、情報のある書き込みなんかひとつもない。

今度みたいに、間違いを指摘されると、黙って逃げるし。
大した知識がないのは、バレバレなんだから、二度と現れてないでほしい。
163774ワット発電中さん:05/02/16 23:53:36 ID:Co0tnA5w
>>162
で?君はどれだけ有益な情報を提供したんだい?
164774ワット発電中さん:05/02/17 00:08:45 ID:Hf71URmH
>>163
お前、あほ、糞といっておいて、間違えても謝罪すらする気はないのか?

人を罵倒するのなら、賢いと納得させる情報を出せってこと。

俺は、有益な情報を出しているとは思っていないが、下品な罵倒もしていない。
少なくとも、礼儀のかけらもない人でなしとは一緒にしないでほしい。

きみは、君自身があほで、糞なのを一番自覚しているんじゃないの。
165774ワット発電中さん:05/02/17 00:13:48 ID:gjF3g+lt
やっぱ、アホだわお前 → >>164
166774ワット発電中さん:05/02/17 00:18:03 ID:v8aSfIDw
>>164
>俺は、有益な情報を出しているとは思っていないが、
ぬぁんだ、やっぱり>>145 と同類ね!
167158:05/02/17 02:20:50 ID:vX/8F36q
あれ、俺のこと?
そう言われるようなことは書いてないつもりなんで俺の事じゃないかと思ってたョw

161もそんなに苛つかずに、どうどう。
荒らすにももうちょっと落ち着いてボキャブラリとか文章とか頭使った方が良いよ。
あと、一応技術の世界にいるんだろうから、自分が間違えたら素直に間違えを
認めること。間違えない技術者なんていないんだから。

自分と人の貢献度を比較しても意味無いし。
168774ワット発電中さん:05/02/17 02:42:25 ID:VLjuLNd9
おまいらの人間性には興味ないよ。いーかげんやめれ。
169774ワット発電中さん:05/02/17 02:47:16 ID:vX/8F36q
>>168
そうね。すんまそん。
せっかく役に立ちそうな書き込みが出てきたのに、そのあとこれだもんね
170774ワット発電中さん:05/02/17 03:18:36 ID:Nx/6r0eM
>>167
おまえが一番荒らしてんだよ。ジャマだから消えろ。
171774ワット発電中さん:05/02/17 03:34:50 ID:Hf71URmH
>>165
なんだ。もう少し気の聞いたことを書くかと思ったら、中身のないやつの書くことはこの程度か。

知識があれば、あほ、糞って言って良いとは思わないが、ない奴は論外。
知ったがぶりをしても、からっぽの知識はどうしようもないからな。ない袖はふれないってね。

どうせ実社会でダメダメ君の憂さ晴らしなんだろうが、いい加減にやめたら。
172774ワット発電中さん:05/02/17 11:36:43 ID:mI+TntHR
このスレに空気読める奴は居ないのか?
173774ワット発電中さん:05/02/17 12:00:13 ID:aJjjA0cE
ひとりよがりのスレ汚しがいますね。
174774ワット発電中さん:05/02/17 17:00:03 ID:UP7QW/W3
155です。
少し平常になったかな?

EPCからのブートはALTERAは「公式には」サポートしてない、みたいな
言い方してたと思う。プログラムツールがFlash部分の書き込みをサポート
してないので、そう言うのも無理はないかと。
自前でFlashを書き込む手段が必要ですから。

それはそうと、今、も1個悩んでて、汎用の16bit幅のFlashを2つ並べて32bitにして
そこから直接プログラムを実行しようかな、とかやってる。
当然速度は落ちるけど、それでも16bit幅よりは良いかなと。
でもFlash Programmerはこういうパターンには対応してない

ALTERAの人、見てたら改善してw
175774ワット発電中さん:05/02/17 21:11:07 ID:gfhhhsmP
FPGAなんか金持ちの道楽か、大企業が使うモノと思い込んでいた連中に
FPGAの現在の可能性を教えてくれたのが、一昨年のDWMの付録のサイクロンだった。
零細事業者でもどうにか扱えそうだと教えてくれTあのが、今年のDWMの付録のすぱ3だ。

それくらい材を使う為のハードルは低いのだから、不便な寺を使う理由もないでしょ・
176774ワット発電中さん:05/02/17 21:20:10 ID:XobFOha4
不便な寺

この発言で見識が解る。
あんた凄いね。
177774ワット発電中さん:05/02/17 21:36:30 ID:XhvFyQOS
FPGAなんか金持ちの道楽か、大企業が使うモノと思い込んでいた連中

中学生か?
178774ワット発電中さん:05/02/17 22:11:58 ID:hl8Ayhh6
>>175
175R
179147:05/02/18 00:14:07 ID:nwzunyeR
>ALTERAの人、見てたら改善してw

たぶん無理。
日本ALTERAでは単なるサポート部隊だから。
イギリス?かどこかの開発部隊の人が見ないとだめでしょ。

Niosを含めてSOPC_BUILDER全般は良い感じなんだけど、
ちょっと外れた事をやろうと思うと一気に敷居が高くなるよね。

>汎用の16bit幅のFlashを2つ並べて32bit

思い付きなので斜め読みしてください。
もし2個並んでいるFLASHのCSが独立で配線されているのなら、
FlashProgrammerから書き込む時に1個づつ書いてみては?
FlashProgrammerのデータを上位Flash用と下位Flash用で2種類作るという意味です。
Flashのデータを加工する事が必要ですが、確かフリーでそんなツールがあったと思います。
180774ワット発電中さん:05/02/18 00:16:19 ID:kEObXk57
FPGAを製品に使い始めたのはむしろ中小だと在の人が書いていたな。
181774ワット発電中さん:05/02/18 00:29:14 ID:7YS9Rt+S
> >ALTERAの人、見てたら改善してw
> たぶん無理。

いやごめん。まじめに何とかしてくれるとは思ってないっす
日本ALTERAもXもだけど、FAEとかプロセッサは苦手な感じしてますし

> 思い付きなので斜め読みしてください。

一応安全策のためCSは別個に配線するつもりです。
そういう手順も考えてみたんだけど、FlashProgrammerって実行バイナリじゃないと
書けないようになってるんですよね
適当なデータでも書けるようになると良いんだけどな
便利なようで結構ぬけが多いw
182774ワット発電中さん:05/02/18 12:29:36 ID:tb51T4Fk
>>180
俺の周りでも使い始めたのは中小からだったように思う。
大手には、早くから評価基板や開発ツールが入っていたが、
ASIC派に押されて使ってる人は殆んど見かけなかったぞ。
183774ワット発電中さん:05/02/18 16:42:43 ID:Jb4jtAy/
大手でも使い始めたのは弱小事業部。
ASIC起こすだけの予算が取れなくて、やむなくFPGA。
・・・にしても当時の材なんてもう涙が出るほど遅かったし、
配置配線するたびにディレイが無茶苦茶変わるし、
何とかしてくれ!と叫んだら手配線しろとなんて平然と
言われたし。
184774ワット発電中さん:05/02/18 17:03:03 ID:ipTvfCKP
ところでVirtex4って内部200MHz(ASICと同等の実効速度)で動くってホント?
185774ワット発電中さん:05/02/18 17:11:29 ID:0KvQPUYL
>>184
君の設計次第では200MHzで動くだろうが、それは一般にASICと同等ではない。
186774ワット発電中さん:05/02/18 17:34:57 ID:s5Nypwrb
いまどき 90nmプロセス使えばGHzオーダーで動く
すんげー開発費かかるけどな
187182:05/02/18 19:44:48 ID:tb51T4Fk
>>183 > 何とかしてくれ!と叫んだら手配線しろとなんて平然と言われたし。
「手配線」良くやったねー。 route/unroute は良く使いましたよ。
logic_cellの中身書換えなんて日常の事だったし、クリティカルパス探し出して間にDFF入れるなど涙出そうになったよ。
注.クリティカルパス ← ゲート遅延の事じゃないよー。配線遅延の事だよー。
回路上、ゲート1個しか通してないのに 5MHz の信号が通らないって・・・ヲイヲイ材
188774ワット発電中さん:05/02/18 21:50:49 ID:fO7Zvlm/
>>184

Cycloneでも普通に200MHzで動くよ。
もちろん回路によるけどね。
単純なカウンタだけなら300MHzでも動くと思う。
189774ワット発電中さん:05/02/18 22:00:13 ID:oRTvlxIN
>181
>FlashProgrammerって実行バイナリじゃないと
>書けないようになってるんですよね

NiosII 1.0ではだめだったけど、
1.1ではオフセット指定してHEXとかも書けたと思ふ。
190774ワット発電中さん:05/02/19 00:28:27 ID:+bt7gCWR
>>189
> 1.1ではオフセット指定してHEXとかも書けたと思ふ。

あ、そうなの?どんなファイルでもいいのかな?
いいこと聞いた。1.1くれって言ってみます
サンクス!
191socket某:05/02/19 00:38:17 ID:yTbeLJzE
>>187
XACTの頃の話?
そう言えば10年以上前にXC4010D使ってたら
いきなりスピード落ちる事がありましたね。
使用効率90%越えるときびしかったなぁ…。


EP2S130のM-RAMが使えない・・・orz
それにしてもEP2S130って異様に熱くなるねぇ。
なんでだろ。
192774ワット発電中さん:05/02/19 01:49:41 ID:+bt7gCWR
>>191
> EP2S130のM-RAMが使えない・・・orz

なんで?
StratixIIは使ってないんで…

> それにしてもEP2S130って異様に熱くなるねぇ。

リーク電流に悩んでるって話は聞きました
リーク電流って温度と正比例関係ってのも聞いたけど…
それって熱暴走パターンじゃないの?
193socket某:05/02/19 03:58:05 ID:yTbeLJzE
>>192
今出てるES品にはエラッタがあるとかで、
条件付でしか使えないと
代理店の人がいってました。

やっぱり熱はリーク電流ですかねぇ…(´・ω・`)
194774ワット発電中さん:05/02/19 08:10:46 ID:QNEY0OTF
XiでPrecision使ってる人居る?
195774ワット発電中さん:05/02/19 13:32:56 ID:ktc5IvJq
最新の半導体でエラッタが無いモノなんてあるのかよ?
データシート通り動きますって、データシートを現物合わせで訂正した後の話だろ。
196774ワット発電中さん:05/02/19 14:35:36 ID:OQDwuuF4
偉そうに言われても困る。
197774ワット発電中さん:05/02/19 15:19:57 ID:NcAd8gio
>>193
ここ嫁!
http://www.altera.co.jp/products/devices/stratix2/features/st2-power.html
微細化が進んだ半導体のプロセス(特に90 nmプロセスで)ではスタティックな消費電力が増加します。
198774ワット発電中さん:05/02/19 23:13:43 ID:d/pSVMOq
微細加工が進めばポテンシャル井戸飛び越えやすくなるからな。
199774ワット発電中さん:05/02/21 00:17:50 ID:S5+82/Q3
笑える話しで、>>197さんが上げているURLみたいなことを
書きながら、アルテラのStratix-II/130があちぃのなんのって!

>アルテラの FPGA を使用する利点は、シリコンの発熱量が
>小さいため放熱技術を使用する必要性が少なくなり、シス
>テムの信頼性が向上し、デザイン・プロセスが簡略化され
>ることです。

EP1S80x2のほうが小さいっていうのは、おかしくねーか?>ALTERAさんよ
200774ワット発電中さん:05/02/21 00:51:45 ID:PkTZRAS+
>>191
そうそう、XACT。
>>182タンも言ってるけど、あの頃は、ISAバスの8MHzですらまともに
うごいてくれなかったりで・・もう、涙目。
「おかしいなぁ」と開いて見ていったらものすごい引き回しされて
いるのが分かってクラクラした。
何でたかだか8MHzで動くステートマシンに難儀せねばならんのだか・・
201774ワット発電中さん:05/02/21 20:43:40 ID:6lexS/JE
FPGA離陸
202774ワット発電中さん:05/02/21 22:15:01 ID:JCfWwmy2
SPIのようなクロック同期式のシリアルを使おうとしています。
クロックは送信側から与えられるわけですが、
これに同期してデータをFPGAに取り込むのに、何か定石のような手法はあるのでしょうか?
内部のクロックでサンプリングしては、クロック同期式のメリットがないし、
二つのクロックが存在して問題ないかと考えています。
203774ワット発電中さん:05/02/21 23:26:31 ID:lq+BoxR/
論理合成はどこのが性能イイですか?
XSTは論外?
値段に比例?
DesignCompilerかなぁ 
synplifyか
おせーてえろいひと。
204774ワット発電中さん:05/02/22 08:14:17 ID:K1LLMx9S
>>202
まず外部クロックでシリパラ変換する回路をつくる。
シリパラ出力を内部クロックドメインへ伝送するには非同期FIFOを使え。

アプリケーションノートに非同期FIFOのリファレンスがあると思うぞ。
205774ワット発電中さん:05/02/22 09:58:52 ID:Swu/8by+
>>203
回路の内容による。
高速のメモリコントローラのような回路ならXSTでもシンプリファイでも同じ。
ごちゃごちゃした、大規模な回路ならシンプリファイの方がやや速く、小さく
なる。とは言っても、感覚的には2割もかわらないかな。
206774ワット発電中さん:05/02/22 12:19:53 ID:VT0uQqTj
>>204
なるほど
やはり、シリパラ変換までは外部クロックで駆動して、バッファにため込むんですね
外部クロックの方が充分遅いのと、
ある程度たまったら、CPUに割り込みで通知しようと思っているので、
FIFOは使わないかもしれません
207774ワット発電中さん:05/02/22 13:07:41 ID:h2C0S9/z
>>205
2割も変わったらスゲーと思いますが。デバイス1ランク以上違いますよね?
そんなに変わるんでしょうか?
208774ワット発電中さん:05/02/22 13:38:16 ID:h2C0S9/z
>>193
AlteraプレスリリースにStratixIIの消費電力が大幅削減された、というのがあったよ。
209774ワット発電中さん:05/02/23 00:28:22 ID:Vd8T/EJa
>>206
"非同期FIFO"
(重要)"非同期">>>>>>>>>"FIFO"
210774ワット発電中さん:05/02/23 08:27:28 ID:DZDhY3pE
>>207
詳しく書けなくて悪いけど、ASIC向けに書かれたRTLとか、ハードの事をまったく
しらない人間が書いたRTLだと、それくらい差が出るよ。
でも、最初からFPGAをターゲットに書かれたRTLだとそんなに変わらない。

ぶっちゃけ、Xilinxのプリミティブを直に呼び出している回路とか、ステートマシーンの
ビット割付を自分で最適化してあるような回路だと、XSTでもシンプリファイでも
変わらない。
211774ワット発電中さん:05/02/23 08:36:22 ID:DZDhY3pE
シンプリファイのリタイミングは重宝したけど、XSTにも同じ機能がついて
差はかなり縮まったと思う。あと、ステートマシーンの最適化も強力だけど、
デバックできなくなるから使わない。

もちろん、シンプリファイにも良いところはあって、特定のレジスタ、ネットのみ
最適化をはずせるのは、非常に便利。
212207:05/02/23 21:56:29 ID:U4gmpIVf
>>210
悪いなんてとんでもない。説明ありがとうです!
CプログラムみたいなRTLとか確かに見ますね。
そうか、そういうところで差が出るのか
213774ワット発電中さん:05/02/23 23:12:35 ID:KgDNxP4w
いらんところでレジスタ->クロッキングとかやってるとか。
ステートマシン書かせると大体技量は(ツールも人間も)分かる。
てなとこかな。
>211
最適化を外すのはデバグのため?
ツールのバグのため?

Cコンパイラの最適化を外してASMを読むようにNETが読めたら
そんな頭に 私は成田

214774ワット発電中さん:05/02/24 00:30:17 ID:NocfImJs
>>209
非同期FIFOの作り方(使い方?)が難しそうなので
バッファは、内部クロックから見たら、外の世界と割り切ってしまうつもりです。
215774ワット発電中さん:05/02/24 08:38:35 ID:oL+NmbLr
>>213
高速化の為です。
XSTでもデフォで、等価なレジスタが複数あるとまとめてひとつに
されてしまいます。
同じ論理のレジスタでも、わざと複数使うことで負荷が減りますし、
配置配線が楽になります。XSTでもオプションで、等価なレジスタ
を削除しないが選べますが、大きな単位でしか選べません。

シンプリファイだと、特に指定のない等価なレジスタは最適化、
指定したレジスタは絶対に最適化しないでね、と指示できます。

216774ワット発電中さん:05/02/24 08:56:23 ID:oL+NmbLr
文字だけで説明するの難しいけど、FFの出力を使うロジックが20個くらい
あって、それぞれI/Oの関係で左右遠くに配置されているとします。

FFを一個しか使わないとこんな感じで、20個分の負荷とそれぞれの
配線長が長くなる。

ロジック10個  <--------+----------> ロジック10個
                |
                FF

FFをコピーすることで、配線長も負荷も半分くらいにできます。

ロジック10個  <---FF      FF(copy)--> ロジック10個
            
ここがクリティカルパスだと、これだけでずいぶん速くなります。
負荷だけでいえば、Fanoutの設定でXSTもシンプリファイもレジスタの
コピー作ってくれるけど、実際の配置まで考えてコピーしてくれないので
自分でやった方が確実です。

一昔前のデバイスでSDRAM 133MHzとか、今だとDDR200/400とか
だとメモリの入出力回りのロジックは、こんな感じで設計してます。
217774ワット発電中さん:05/02/24 16:24:26 ID:WTK8MSBO
にゃるほろ。負荷の分散ということね。
でも、オリジナルソースからの配線長はコピーしたって変わらないと思うけど。
迂回がなくなればラッキー、かな。
floorplannerをちらりとみて
「うわー」
だったことは、ある。だろ!?

高速DRAMは使ったことないなぁ
最高でも65MのDDCくらいだなぁ

動いちまえば、中身は見ない。
カリカリチューンは神経使うよね。
218774ワット発電中さん:05/02/24 16:43:01 ID:IogxGiVu
とってもつまらない例でいけば、

A--(4)-->F/F--(10)--->B/C
の時に
A--(7)-->F/F--(7)--->B
A--(7)-->F/F--(7)--->C
となってくれれば、最長となる部分が縮められる

っていうあたり?
219774ワット発電中さん:05/02/25 01:06:13 ID:C2A1rLUs
>>215
XSTでも ソース内に attribute 書くなりして
EQUIVALENT_REGISTER_REMOVAL の制約を付ければ
レジスタ1個毎に指定できるけど、それではダメかしら?
(制約ガイド cgd.pdf 参照)
あちきは、DDRメモリ使うときは 実際、そーやっとります。

Quartusだと Manual Logic Duplication とか
Remove Duplicate Logic とか Fast Output Enable Register とか …

# 貧乏なので シンプリファイなんて 買ってもらえましぇん
# 使える人が うらやますぃ
220219:05/02/25 01:26:46 ID:C2A1rLUs
等価レジスタを削られて 困るのは、複数ピンに
同じ論理の信号を 揃ったタイミングで出したい時にも。
メモリなど 双方向バス OE制御のDFFは、全部IOBに入れたいところですが、
1ピンだけ IOBに配置されて そこから他のピンに分配されてしまうと
余計な配線・バッファ通過分のskewが…
221774ワット発電中さん:05/02/25 02:01:11 ID:rH9Q+uNb
impulse Cって、どうでしょうか?
買おうかなと思っているんですが。
使っている人いますか?
222774ワット発電中さん:05/02/25 02:30:00 ID:4XblJInp
誰もPrecisionの話はしないのね。
なんで?
223774ワット発電中さん:05/02/25 08:53:00 ID:kwuB2N4g
>>217
FLEX10K→APEX→Virtex2→Virtex2 PROとデバイスさわってきたけど、
配線遅延はどんどん良くなりますね。その分、負荷の割合が増えて
きている。デバイスのサイズがでかくなって、8割、9割とか無茶な
使い方をしなくなったのも大きい。

タイミングレポートが納得いかなくて、フロアプランナーを見て
頭抱えるは良くあるな。
224774ワット発電中さん:05/02/25 08:54:06 ID:kwuB2N4g
>>218
A--(4)-->F/F--(10)--->B
A--(4)-->F/F--(10)--->C
になった場合でも効果ありますよ。
もともとの場合ですと、F/Fが、AとBとCのちょうど良いところを
探さないと行けません。こうなることで、上のF/FはAとBのちょうど
良いところ、2つ目のF/F(コピー)は、AとCのちょうど良いところを
探すだけですみます。物理的にBとCが離れているとき効果的。

どう配置しても良い、ただの演算部分だと効果はきたいできないかも。
225774ワット発電中さん:05/02/25 09:00:04 ID:kwuB2N4g
>>219
おお、ISEのチェック・ボックスで変更できるのは知っていたけど、
信号単位でもできるって書いてあるな。勉強なったよ。今度やってみよう。

確かに最初にはまったのは、メモリの制御線がIOBに割り当てられな
かった事からだ。誰もが通る罠だな。
それだけ知識があればシンプリファイいらないですよ。
226774ワット発電中さん:05/02/25 16:22:49 ID:L2TzKv4f
メモリの制御線がIOBに割り当てられなかったらどんな風になるの?
227774ワット発電中さん:05/02/25 17:10:45 ID:e45yUoNS
IOBにDFF組み込みのがあるんだろ。
そうじゃなくて、SLICEに割り付けられた とか。 かな。
228774ワット発電中さん:05/02/27 04:03:03 ID:+dNNo8jq
>>225
書き忘れましたが、WARNINGが山の様に出るので、耐えてください。
こんな具合:
 警告:レジスタ1 は レジスタNと等価ですた
 警告:レジスタ2 は レジスタNと等価ですた
 ...
 警告:レジスタN-1 は レジスタNと等価ですた
 ... ... ... ...
 警告:レジスタN-1 は レジスタ1と等価ですた
実害無いけど 吐き終わるまでに 結構な時間がかかりますです (鬱)
XのDDRリファレンスデザインみたいに
DFFのプリミティブをインスタンス化して ひたすら並べれば、
それはそれで 大丈夫みたいですが、
逆に、重複したのを削除して欲しいときに 削除してもらえましぇん…

> シンプリファイいらないですよ。
いや、まあ、カリカリチューンが必要な部分に手作業が要るのは
仕方ないとして、低速でも良い部分にはEDA専業のコンパイラ使った方が
手がかからないかな と妄想している訳で。
リソースにしても速度にしても 2割違うなら肖りたい罠と。
229219:05/02/27 04:10:46 ID:+dNNo8jq
>>228 = 219 です。名前入れずに投稿してしもた ごめんなさい。
230774ワット発電中さん:05/03/01 20:16:49 ID:51bqHXjB
カリカリチューンって
他にどんなことしますか?
プリミティブの嵐とか。
picoblazeのソース見ると、そんな感じですよね。
あれは別次元と思うが。
231774ワット発電中さん:05/03/01 21:26:29 ID:rzXOuMwd
豚切りですまんが、「DONEピン」のことなんて呼んでる?
今日、いつもの癖で客前で「ドネ」って言っちゃって失笑された。
232774ワット発電中さん:05/03/01 21:58:09 ID:OeyirDIy
>>230
あれは他社のFPGAで合成できないようにだったりして
233774ワット発電中さん:05/03/01 21:58:56 ID:OeyirDIy
>>231
断品
234774ワット発電中さん:05/03/01 22:40:52 ID:VpCQ68A8
>>231
だ、だーん、ぼよよん、ぼよよん
235774ワット発電中さん:05/03/02 09:10:27 ID:A65TxYMf
>>231
ダーーーッ!

別名”猪木ピン”(嘘
236774ワット発電中さん:05/03/02 12:20:51 ID:11310FLc
>231
ドーン!ドーン!ドーン!
ベタベッタ!ドドンがドーン!
ベタでーす!
237774ワット発電中さん:05/03/02 17:41:45 ID:vVZUj43h
Spartan-3E キタ━━━━━━(゚∀゚)━━━━━━ !!
10万システム・ゲートで2米ドルですって。
238774ワット発電中さん:05/03/02 20:42:20 ID:IiUz5v70
買い占めて20米jで売ってやる
239774ワット発電中さん:05/03/02 21:29:14 ID:Orqd7Mym
中身かわりばえしないなと思ったら、
SPI の EEPROM が使えるようになったんだね。

240774ワット発電中さん:05/03/03 21:49:10 ID:Nx10TG/s
Virtex4でSPI4.2corev7.1使おうと思うのだが、400MHz動作を保障っては
どういう意味で考えればいいんだろ?
OIF-SPI4規格を確保しつつ400MHzで動作します、ってことと考えれば
いいんだよね?

そう考えるとすげーな、最近のFPGA・・
241774ワット発電中さん:05/03/04 02:10:45 ID:QiJfk/R6
>>239
これは嬉しいのだが、例によってピン配がらっと変えやがったな。
242774ワット発電中さん:05/03/06 08:02:14 ID:dxsgnN/J
Spartan-3EとSpartan-3の違いは何よ?Spartan-3Eが発売されてSpartan-3は
は必要なの?何でSpartan-3の半額でSpartan-3Eが販売出来るの?
おせ〜て。こうなったらALTERAから乗換えだ。Xilnxの時代が来ちゃったね。
243774ワット発電中さん:05/03/06 09:29:23 ID:MOnts220
Q:何でSpartan-3の半額でSpartan-3Eが販売出来るの?

A:「こうなったらALTERAから乗換えだ」という人がたくさんでるようにするため
244774ワット発電中さん:05/03/06 11:46:18 ID:+M6fNu8h
>>242
3EはI/O数が少ないから安くできたというタテマエ。w
245219=228:05/03/06 22:03:50 ID:cfGiKREI
>>230
遅レスすみませぬ。
カリカリチューンって言える程でもないし、世間一般と
あまり変わらないと思いますが、配置固定以外には
論理の深さ・ファンアウトなどの調整、IOBの遅延付加、
I/Oドライブ能力調整、DCM位相調整 程度ですか。

なんでもかんでも固定配置にしてしまうと 配線しきれなかったりするので、
タイミング制約付けてツールにお任せ で済む範囲なら その方が楽ですし、
固定配置前提だと ひたすらプリミティブ置く方が 楽かな…

それ以前に ボードの 配線長やら配線インピーダンスの指定は 必須。
こればかりは FPGAのツールを 捻り回しても どーにもならない…

>picoblaze
たしかに あれは プリミティブ山盛りですね。
パフォーマンス/リソース面もあるでしょうが、
他社に移植させたくない と見えますね。
246774ワット発電中さん:05/03/07 16:44:53 ID:uGIOeexp
PicoblazeのオリジナルはC言語によるものとすると、
単にCtoHDLを通しただけなのでプリミティブのオバケになると
と思われ。
Handel-Cで合成かけてネットリスト出してみてみても、実際
そうなるし。
247774ワット発電中さん:05/03/08 07:46:50 ID:TuJslS4U
Spartan-3EとSpartan-3の違いはI/O数だけなの?だったらわざわざSpartan-3E
なんて新シリーズを作るなよ。期待したのに・・・価格も2006年末で50万個
なんて実現しなさそうな個数を掲げるなよ。50万個なら価格面からG/Aだよ。
248774ワット発電中さん:05/03/08 09:16:15 ID:Lr9j7R47
あれは、要する”「これ以下には下がりません”という
希望小売り価格ならぬ、希望底値
249774ワット発電中さん:05/03/08 14:17:00 ID:DS6cHEBw
picoblazerってNIOSのような開発環境あるの?
250774ワット発電中さん:05/03/08 15:28:59 ID:2mnJZq5I
>>249
あるよ
251250:05/03/09 01:36:20 ID:W3Oj8Foo
ごめん。言葉が足りなかった。
NIOSほどのしっかりしたフレームワークは無いけれど、エミュレータ含めて
そこそこ使える開発環境は用意されてる。うちはそれを製品にも入れてる。
252774ワット発電中さん:05/03/10 09:40:34 ID:1bag7PA0
>>251
Niosのってそれなりにしっかりしてるんだ?
XはPPCの環境はサードパーチィので結構フォローできるんだろうけど、
MB、PBあたりはちょっと不足を感じます
253774ワット発電中さん:05/03/10 14:30:19 ID:lJiulEN3
>>247
DCMの最低動作周波数が5MHzからになった。24MHzじゃねぇ
乗算器だけなら、動作周波数も325MHzになった。
コンフィグROMもSPI、パラレルIFのフラッシュでもOKになった
254774ワット発電中さん:05/03/10 14:36:27 ID:lJiulEN3
システムゲート数も最廉価品のXC3S100Eで100kだからXCS50の倍
もういらなくなったんで、DW誌のおまけにつけて処分したもより・・・
255774ワット発電中さん:05/03/10 15:03:32 ID:lJiulEN3
256774ワット発電中さん:05/03/10 22:49:32 ID:EEkenoEf
Spartan-3EはSpartan-3同様にPCI3.3vに対応していないの?
257774ワット発電中さん:05/03/11 12:10:07 ID:4VlMAZyZ
対応していな
258774ワット発電中さん:05/03/15 21:55:24 ID:jSCF/H0Q
259774ワット発電中さん:05/03/15 23:02:07 ID:a3hw/cay
宣伝かな?

しかし個人で扱う物では無い気がするけど・・・
開発キット込みで1億もだせば買えるんじゃない?
260774ワット発電中さん:05/03/15 23:27:05 ID:jSCF/H0Q
そんなにするの・・・?
10万くらいまでしか出せない・・・悲
261774ワット発電中さん:05/03/16 00:01:09 ID:izUjXSI+
1億はちょっと大袈裟だけど1000万は確実に超えると思うよ。
アイピーフレックスのDAPDNAが1000万位↑って話だから。
10万じゃねぇ・・・
262774ワット発電中さん:05/03/16 00:05:30 ID:ggP74amj
空気読むところからはじめないとねw
263774ワット発電中さん:05/03/16 00:12:46 ID:oaBC1WL9
そのうち出るらしいcellは、どうでしょう?
264774ワット発電中さん:05/03/16 01:54:31 ID:ZIUXXO82
FPUいっぱい積んだPower5?
265774ワット発電中さん:05/03/19 01:13:21 ID:29nE3LzH
266774ワット発電中さん:05/03/19 01:27:26 ID:YuqSU3ib
手間考えると、1000円ならいいかも。
267774ワット発電中さん:05/03/19 01:34:56 ID:+ZRw5dSh
この人わざわざエッチングしてるんだよなぁ
複数作って売るんだろか?
うーん。
サンハヤトの基板とエッチング液、あとコネクタだけでも1000円で元取れるんかな?

俺はジェフロン線でワイヤリングしちゃったから売り物にはならんだろな。
でも基板むき出しじゃないからこれより多少破損確率は低いかなぁ?
268774ワット発電中さん:05/03/19 01:39:38 ID:29nE3LzH
> サンハヤトの基板とエッチング液

そんなもの使う訳ないじゃん。
秋月の基板と試薬の塩化第二鉄だよ。
269774ワット発電中さん:05/03/19 05:43:07 ID:+ZRw5dSh
エッチングにしては残パターンが少なすぎるな?こんなに溶かしたいかな?
って言ってる間に売り切れてまんがな・・・・呆然
270774ワット発電中さん:05/03/19 14:17:23 ID:FfLAjIRy
とよぞうさんはたくさん銅を溶かすのが大好きでしたよ
271774ワット発電中さん:2005/03/22(火) 01:22:04 ID:DDeEko4k
有栖川・・・、違った来栖川は潰れたの?
HPみたらISPのページがそのまま表示されてるけど。
272774ワット発電中さん:2005/03/22(火) 01:33:09 ID:8VtV+k32
>>271
ちゃんと見えるよ
http://www.kurusugawa-ele.co.jp/
273271:2005/03/22(火) 13:21:21 ID:fHQn13XQ
こっち見てたよ。
ttp://www.kurusugawa-ele.jp/
274774ワット発電中さん:2005/03/26(土) 14:27:01 ID:953nfsMW
いつ見てもセンスを感じないTopページだな。
これで仕事は出来るんだろうか?
275774ワット発電中さん:2005/03/26(土) 15:28:32 ID:pkl921cH
某アニメに毒されてる希ガス。
アニオタに仕事以来したくない希ガス。
276774ワット発電中さん:2005/03/26(土) 15:34:56 ID:IOBEjLRd
電脳新世紀だの新零式計画だの、よく知らんけどキモい臭いがするね。
277774ワット発電中さん:2005/03/26(土) 16:11:35 ID:cZAtSPs8
日本語版WindowsXP SP2に、Xilinx ispWeb7.1をインストールしようとすると
いつもエラーで失敗します。常駐ソフトをすべて外しても発生します。
英語版WindowsXP SP2には、一発ですんなりインストールできましたが、でき
れば、日本語環境で使いたいので、うまくインストールできる方法をご教示
願います。
278774ワット発電中さん:2005/03/26(土) 16:24:22 ID:Av5xs93D
2バイト文字使ったディレクトリにインストールしようとして
しくじるというパターンとか。
279774ワット発電中さん:2005/03/26(土) 16:24:48 ID:pkl921cH
えらーってなんのえらーなんだよ
そんなのは購入した代理店に聞けよ
ばか者。
280774ワット発電中さん:2005/03/26(土) 16:28:43 ID:mxqPkEM6
>>274

少なくとも業界では一目置いている
281774ワット発電中さん:2005/03/26(土) 16:51:47 ID:Av5xs93D
>280
業界で彼を知らなかったらモグリだからな
282774ワット発電中さん:2005/03/26(土) 18:50:59 ID:953nfsMW
>>280
いや、本当に仕事が出来るのか?が重要なキーワードだわ。

トラ技に記事を書いたことあると豪語してた某が使い物にならなくて
大変だったのを思い出してさ。
283774ワット発電中さん:2005/03/26(土) 18:53:06 ID:Hn7rXflu
「書いたことがある」と「書いている」は違う罠
284774ワット発電中さん:2005/03/26(土) 18:53:55 ID:dDsEv2dm
俺的には、書籍に露出の多い人、くらいの認識。
285774ワット発電中さん:2005/03/26(土) 19:02:09 ID:IOBEjLRd
トラ技でも首をかしげるような記事も多い。具体的にどういう記事を書いたのかが重要。
286774ワット発電中さん:2005/03/26(土) 19:18:53 ID:FovULYs6
I/Fもdwmも目を通したことがない香具師が現場にいるなんて、、、
287774ワット発電中さん:2005/03/26(土) 19:26:35 ID:953nfsMW
記事だけで判断出来る保証がないからね。
ゴーストの可能性だって否定出来ない。
つーか、一緒に仕事したという人が一人くらい居るかな?と思ったんだが
それはなさそうね。
288774ワット発電中さん:2005/03/26(土) 21:50:26 ID:SoO9huy7
居ても名乗り出る理由無いだろうし。
289774ワット発電中さん:2005/03/26(土) 23:20:24 ID:Av5xs93D
百聞は一見にしかず

ギョーカイにディープに関わりだしたらきっと
顔を合わせるチャンスがあるから、そのとき
自分で確かめると良いと思うよ。
290774ワット発電中さん:2005/03/27(日) 00:05:10 ID:skBNYIr6
達人でもなんでもいいが。
アニオタは嫌いだ。
291774ワット発電中さん:2005/03/27(日) 01:02:22 ID:yX3J98gR
それもこのギョーカイでは珍しくないから
慣れるしかない・・・慣れるしか・・・・
慣れるしか・・・・・・・・・・・・・
292774ワット発電中さん:2005/03/27(日) 02:46:54 ID:Yu5uxnWh
最近はこの会社の連中はもうFPGAには関わらなくなったらしい。
べつの研究学会でよく見かける。
293774ワット発電中さん:2005/03/27(日) 23:01:28 ID:MlCntfF2
技術力はある程度あると思うけど勢いだけ、口だけ厨って部分も大きいんじゃ?
余り言い噂は聞かないし。
294774ワット発電中さん:2005/03/28(月) 00:04:16 ID:zrsXfbd9
口だけでそれだけ仕事を引っ張ってこれるならそれはそれで
認めるよりない才能だよ。(口だけじゃまず無理だと思うが)
295774ワット発電中さん:2005/03/28(月) 01:23:47 ID:evx7pcoF
前職で同じだったけれど、当時から言うことは大きかったが、あの年で
大手FとN担当で代理店も取りまとめて仕事はちゃんとこなしていたぞ。

ついでに身振りと声も大きかったが、口先厨ってことはなかったな。

ただ、アニオタというのは知らんかった。
あと、今の会社は社長として就任したって聞いてるぞ。
来月頭にカンファレンス準備会で会うから聞いてみる。



296774ワット発電中さん:2005/03/28(月) 01:25:02 ID:evx7pcoF
おお、俺のIDがオタアニメみたいだ
297774ワット発電中さん:2005/03/28(月) 08:47:20 ID:IyFM/CVE
FPGAに絡むことなら、日本で真っ先に取り組んで公開している人だね。

大手家電メーカーの方が、ESチップとか使ってすごいことやっているけど、
そこでやっていることは、(特に悪い部分は)なかなか表に出てこない。
彼は、真っ先に突っ込んでいって、失敗してその結果を業界に還元してくれる。
非常に貴重な存在ですよ。
298774ワット発電中さん:2005/03/28(月) 09:10:21 ID:IyFM/CVE
>>287
ゴーストかどうかは、直接話してみればわかる。
FPGA関連のセミナー行けば普通に話せるよ。
一緒に仕事したことあるけど、普通に優秀って感じだ。

とんがって天才ってわけでもないけど、
・電気、FPGA、CAD、MPUなど広い知識をきっちり押させている
・技術的なことを、しっかりと説明/プレゼンできる
・動かないときに、なんとしてでも動かそうとする

このあたりが非常に高いレベルでまとまっていると思うよ。
299774ワット発電中さん:2005/03/28(月) 12:42:34 ID:GmzhO0Fw
>>287

来栖川のI氏のことを語っていると思うけれど、彼の名誉のために
言っておくと彼は自分が携わっている特定の知識の範疇以外は口を出
さない。

特にビデオ開発(3年前に一緒にセルベースでD/ACとビデオアンプ
を設計した)を中心としたことには長けているので、そうするとどう
しても広い知識が必要になるから、記事をみて「こっちも書いている」
「あっちも書いている」と目障りになるかもしれない。
だけれど、ビデオをやれば必然的に>>298が書いているように広い知識
が必要となるから、I氏の知識範囲が広いのは当たり前となる。

その他の分野については一切口を出さないというのがI氏のスタンス
だから、悪い噂があってしかりと思う。
300774ワット発電中さん:2005/03/28(月) 12:56:44 ID:4oeAf1Yz
本人降臨だったりして。(w
301774ワット発電中さん:2005/03/28(月) 13:24:23 ID:QIpr2OkA
I氏か・・・
彼と一緒に仕事をしたことは一度も無いけどプライベートではよく遊んでいるが
仕事っぷりは見せて貰っているので彼は口先厨で無い事は知っているつもり

アニヲタってのは確かにそうだけどw 技術一本で他シラネって視野が狭くなるより
多趣味でいろんな事に手を出している人のほうが面白いアイデア出たりするから
それはそれでいいんじゃないかねぇ
302774ワット発電中さん:2005/03/28(月) 14:37:36 ID:9Y0yEhhb
ホントに多趣味ならいいけど、技術とアニメだけってのは勘弁。
この業界におおそうだけど…
303774ワット発電中さん:2005/03/28(月) 14:41:35 ID:TPSlFJnQ
                                         炎
                 / ̄ ̄ ̄ ̄ ̄ ̄ ̄           炎 炎
                /                      炎炎炎炎
              / 応援早く来い!!!         炎炎炎炎 炎
             /                      炎炎炎炎炎 炎 
           // ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄      炎 炎炎炎炎炎炎炎
       ∧∧  ̄                     炎炎炎炎炎 炎 炎炎炎
       (;゚Д゚)                  ))    炎炎炎 炎 炎炎炎炎炎炎       
       |∪/ ̄l≡≡≡=========  炎炎炎 炎 炎 炎炎炎 炎 炎炎      
_____//l ̄                ))    炎炎  炎炎炎炎 炎炎 
_____/  l                     炎炎炎 炎炎 炎炎炎 炎炎炎 
       し  J
304774ワット発電中さん:2005/03/28(月) 16:36:06 ID:AyNtZYJn
車も好きだったんじゃないかいな?
走り屋という意味ではなく。
305774ワット発電中さん:2005/03/28(月) 16:56:53 ID:5XLHl1kO
ネーチャンも好きだったはず。
男の中の男というよりはむしろ鬼畜。
306774ワット発電中さん:2005/03/28(月) 17:02:36 ID:udN0mr7A
I氏とは会ったことありますが、技術的には確かなものを持っているなという印象です
話し方とかクセがあるので悪い印象を持つ人もいるけど、まあこういう人は多少
クセがあった方が面白いし

携帯の着信がアニソンだったのは笑ったが、
それから話題を広げるうざいアニオタではなかったので、迷惑さは感じなかったな〜

車とかバイクも好きなはず。
AlteraのNios担当も車好きって聞いたし、エンジニアってそういうの多いんじゃないw
307774ワット発電中さん:2005/03/28(月) 20:56:26 ID:jxY9nayF
オタクにも二種類あって、一方は全身全霊傾けて生活がオタク趣味
一色に染まっている人、他方に片手間でやっているにもかかわらず手際がいい
or財力があるためにどっぷりハマっているように見られてしまう人がいる。
308774ワット発電中さん:2005/03/28(月) 22:12:19 ID:f6tbroXV
I倉弁明に必死だな(www
309774ワット発電中さん:2005/03/28(月) 22:28:08 ID:AyNtZYJn
別に弁明なんかしないだろう。本人が自分でヲタだと認めてるし。
310774ワット発電中さん:2005/03/28(月) 22:36:33 ID:un6XV/ia
アニヲタ結構じゃない?仕事の邪魔にはなってはいないみたいだし
能力はちゃんとした人だったよ
少なくとも308みたいな頭の悪い発言はしないな
311774ワット発電中さん:2005/03/29(火) 01:15:11 ID:kf2p/wpl
またI氏の書き込みになっちゃうけれど,昨日日記にアップされたDCMの不具合
レポは役に立ったな。
うちの会社のV2ボードでも女々ックサポで不具合解決できなかったけれど
ヒントもらった。明日事務所で試してみる。

疑問に思うのが1ヶ月に1回ぐらい日記見るとなにかしら不具合に遭遇してい
るところが不運というか、想定外の使い方をしているというか。。。。

312774ワット発電中さん:2005/03/29(火) 01:18:58 ID:kf2p/wpl
>>305
最近若いネーチャンつれていないか?アリャだれだ。
去年だったかのXFESTで来栖川ブースにいたが、その後も会うたびにネーチャン
ちがわないか?
313774ワット発電中さん:2005/03/29(火) 10:04:05 ID:wS9Pu6AR
>>312
何を今更・・・・・
昔から彼はモテるんだよ。
314774ワット発電中さん:2005/03/29(火) 11:55:44 ID:E9ogE3kU
あれぇ姉さんにょーボじゃなかったっけ。
315774ワット発電中さん:2005/03/29(火) 12:07:45 ID:QW10cuRV
姉さんにょーぼリコ(ry
316774ワット発電中さん:2005/03/29(火) 12:52:57 ID:MXM//Ilt
おれもお姉ちゃん好きです(*´д`*)ハァハァ
317774ワット発電中さん:2005/03/29(火) 13:24:34 ID:kf2p/wpl
いままでの話を総合するとI氏は
○ビデオ周辺機器の設計に携わり
○とんがって天才ではないが
○電気、FPGA、CAD、MPUなど広い知識を持ち
○記事を書きつつ
○アニオタ、車とバイクも好きで
○姉さん女房を持ちつつ
○若いネーチャンをはべらしている

・・・・なんか理想系エンジニアだな。とくに下2つが

会社案内見る限り来栖川っていう会社にはこういう人間ばかりがいるのか。
USBで有名なK野さんの本なら若いときに読み漁ったことがあるが、彼も関係者なのか。
318774ワット発電中さん:2005/03/29(火) 16:29:19 ID:2gv8cNYb
>>317
姉さん女房はもう居ない
319774ワット発電中さん:2005/03/29(火) 22:50:34 ID:qLI0hZm0
>他方に片手間でやっているにもかかわらず
片手間でやってるようなヤツはヲタとは言えないぞ
320774ワット発電中さん:2005/04/01(金) 00:03:47 ID:twvZCfB5
作成したプログラムのゲート数を調べようと思ったら、
スライス数しか載ってませんでした。
スライス数ってどう考えればよいのでしょうか?
スライス数とゲート数の関係はどうなるのでしょうか?
321774ワット発電中さん:2005/04/01(金) 00:07:35 ID:j1W4QERl
>>320
なぜゲート数を知りたい?
322774ワット発電中さん:皇紀2665/04/01(金) 01:13:25 ID:h5HW9fvW
>>321
エロい人に規模を教えたいときはゲート単位にしないとわかってもらえない
323774ワット発電中さん:皇紀2665/04/01(金) 16:16:49 ID:XGeOl1GJ
>>320
ゲート数≒スライス数×100
どう?
324774ワット発電中さん:皇紀2665/04/01(金) 22:23:45 ID:ZJGXF9ma
ゲート数を曖昧にしたいからスライスとかグリッドとかが生まれたんだよ
325774ワット発電中さん:皇紀2665/04/02(土) 00:07:19 ID:US6X3AGK
>>320
マップレポートをよ〜く見るとゲート数が出てるぞ。
326774ワット発電中さん:皇紀2665/04/02(土) 02:07:03 ID:dQY6oQDR
時に、、、
等価ゲートサイズって
何と等価なんだろうね。

合成結果の等価ゲートサイズと
AISICのゲートサイズは考え方が
違うんですよって言われたりするけど

AISICもセルのライブラリによって
考え方違うと思うし、、、
327774ワット発電中さん:2005/04/02(土) 03:15:29 ID:7gPIUJwp
>>326
技術的にはあまり意味はなくても >>322 みたいなことも実社会的にはあるので
目安になる数値を捏造しているだけ。要するに技術に疎い人向けの数値だよ。
328774ワット発電中さん:2005/04/03(日) 04:43:07 ID:nWLazGJX
FPGAでMP3プレイヤーって作れるんですか?
329774ワット発電中さん:2005/04/03(日) 12:21:33 ID:CcCls2OK
>>328
D/Aコンとかメモリを外付けすればできるでしょうね。難易度は別にして。
330774ワット発電中さん:2005/04/03(日) 13:14:22 ID:c9Llc4qd
>328
mp3 decoder も opencores にあるし、格別な困難はなさげ。
331774ワット発電中さん:2005/04/03(日) 17:27:27 ID:Q+rPLXHQ
作れるけど、たぶん専用でこーだチップ使った方が
安い。
332774ワット発電中さん:2005/04/03(日) 18:38:21 ID:tcRcbiOm
> D/Aコンとかメモリを外付けすれば
DACは1bit PWM/PDMで。
333774ワット発電中さん:2005/04/03(日) 22:43:34 ID:CcCls2OK
>>332
その手はあるな。いっそ出力ピンいっぱいパラってヘッドホンも駆動しちゃおっか。w
334774ワット発電中さん:2005/04/03(日) 23:45:57 ID:eFIiOjho
>>328
んなの4月25日発売の某雑誌みりゃ、参考になるよ。
もうちっとまってなー。
335774ワット発電中さん:2005/04/04(月) 09:53:57 ID:OubgdCUv
鳴らせるかどーかで言えば「鳴らせる」だろうが
再生時間(=消費電力)のことを考えると、専用のデコーダを使うべきだな。
336774ワット発電中さん:2005/04/04(月) 21:49:43 ID:cCnkhDKN
可能、不可能と問題と意味のある無しは取り敢えず無関係と言うことにしようぜ。
337774ワット発電中さん:2005/04/04(月) 22:42:35 ID:cW85LAMk
>336
powerPCハードコア入っている奴も在るしな。
大概の物はFPGAで出来そうだから、
MP3リアルタイム円コーダ作ったら
誉めてやる。

338774ワット発電中さん:2005/04/04(月) 23:52:19 ID:+cPV3LaJ
MPEG2リアルタイムエンコーダさえ実現可能(ADC/DACのコーデック、SDRAM外付け)だから
出来ない理由は何も無い…が…
339774ワット発電中さん:2005/04/05(火) 09:53:05 ID:FsQp1LLQ
MP3のエンコーダって自由に作って公開していいんだっけか?
よく知らないが最近あぁいう系統でやたら権利を主張するのがいるから
340774ワット発電中さん:2005/04/05(火) 10:47:21 ID:ipKJ7GRx
特許いつまでだっけ?
341774ワット発電中さん:2005/04/05(火) 11:55:13 ID:agjcxQTI
>>339
自分で書いたソースコードを無償で配るのはかまわん気がする。XviD方式か。
FPGA開発環境持ってる人しか使えないけどね。
キットとか商品化するのはまずいだろうな。

とりあえずOgg Vorbisでやるのがいいんで内科医。
342774ワット発電中さん:2005/04/06(水) 00:17:27 ID:7QGWdD/P
やっとFPGA使ったプロジェクトが一段落した。
ビデオキャプチャもどきな装置なんだが、ビデオA−Dがクソな石で
等価パルスにだまされてるらしく、HSYNCが1/2Hずれて出てきやがる。
で、出力画像のど真ん中に同期信号の黒線が出現というありさま。

今回は後段にフレームバッファがあったんで保存先アドレス変更で回避。
いやぁFPGAってマジ便利だな。
343774ワット発電中さん:2005/04/06(水) 12:21:56 ID:Lp7z+zgc
>342
あぁ、今後のためにそのクソA−Dの型番が知りたい...
344774ワット発電中さん:2005/04/06(水) 21:05:23 ID:aeg4Q/d8
多分回路が糞だったんでしょう。
345774ワット発電中さん:2005/04/06(水) 23:45:19 ID:VuhneQCj
>>343
国内メーカーの最新の奴です。
国内で製造してるのあそこだけだったと思うので・・・
346774ワット発電中さん:2005/04/07(木) 00:14:15 ID:Ct1O/sTM
国産かぁ。ノーコメントだな。w
無難なのは Philips とか Conexant だね。どちらも快調に動いたよ。
347774ワット発電中さん:2005/04/07(木) 00:54:47 ID:8dk+zEaz
ちゃんとした条件で使ってもそんなことが起きる石売ってるの?
348774ワット発電中さん:2005/04/07(木) 01:45:03 ID:Ct1O/sTM
状態の良い放送波なら大丈夫でも、ゴーストが多くなったり、家庭用ビデオの再生
だったりすると駄目ってのはありがち。コンポジットビデオからの同期分離は結構大変
で、いろんなノウハウがあるみたい。
349774ワット発電中さん:2005/04/07(木) 10:20:46 ID:WPGzVTcc
V-ADCで同期分離までしてくれるんだ。ふーん。
しらなんだ。別付けでシンクロしてるか、ADC後のデータで
やるのかと思ってた。
350774ワット発電中さん:2005/04/07(木) 12:08:37 ID:w1pX10KL
>>345
CXA3506R(3516R)か?
351774ワット発電中さん:2005/04/07(木) 13:15:50 ID:Ct1O/sTM
>>349
>>342で「HSYNCが1/2Hずれて出てきやがる。」って言ってんだから同期分離も
入ってる奴だよね。
352774ワット発電中さん:2005/04/07(木) 14:03:53 ID:xk8GQxqe
>>345
日本の各社もビデオデコーダを色々作ってるから、どこのか判らないな。
思い付くだけでも、NEC、OKI、松下、PIONEER、FUJIFILM(は、もうやめたか・・・)
から出てるしな・・・
353名無しさん:2005/04/11(月) 11:38:35 ID:Lu3gRwE7
342の設計ミスに一票
PL法もあるわけだし、まともなメーカがそんな石を出荷するはずないでしょう。
354774ワット発電中さん:2005/04/11(月) 12:21:39 ID:KxEx39FO
>353

え?こんな案件でもPL法って適用できんの?
こりゃいいこと聞いた
355774ワット発電中さん:2005/04/11(月) 14:07:46 ID:7QJVqUTn
342が糞にきまってるじゃん。インターレースをしらんらしいからね。
356774ワット発電中さん:2005/04/11(月) 18:50:51 ID:xyZslt4k
俺も >>342 のミスに1票。
設計ミスというより、使い方(或いは設定)を間違ってるんじゃないかな?
メーカーがそんな変なADを出してるとは思えん。
357774ワット発電中さん:2005/04/11(月) 21:15:45 ID:LImYqtuA
342轟沈
358774ワット発電中さん:2005/04/12(火) 00:41:12 ID:eX9AVKHm
1/2Hスキューなんて言葉も知らないんだろうな。
問題だ!と新発見したつもりで声を上げたら馬鹿宣言ご苦労ってか?(w
359774ワット発電中さん:2005/04/12(火) 01:06:13 ID:KHPa2uUC
インターレースの1/2Hずらしなんて良く思いついたと思うよ、ほんと。
360774ワット発電中さん:2005/04/12(火) 12:21:58 ID:DSCTW6xD
>>358
漏れは>>342じゃないけど、
「1/2Hスキュー」って言葉は知らない。
ぐぐっても出てこないし。
361342:2005/04/12(火) 21:56:45 ID:5waFrGjY
呼ばれて登場342だ。
入力HSYNCと出力HSYNCがずれてるのとインターレースだの1/2Hスキューだのが
どう関係するのかバカな俺に教えてもらえないか?

どうもVSYNC検出時点でHSYNCタイミングが固定されるような感じ。
設定をいじってみたがHSYNC位置かわらず。VSYNC出力位置はサクサク変更可能(w
ICは間違いないと俺も思うのだが、追求する暇が無い・・・
製品でなくデモサンプルだから根本解決する意欲も萎え萎え。
362774ワット発電中さん:2005/04/12(火) 22:31:01 ID:eX9AVKHm
早く己の愚かさに気付いたら?
363socket774@rc:2005/04/12(火) 22:40:16 ID:1Fu4c0/9
>>342
メーカーと型番教えてもらわないと
なんともコメントしようが無いですがな(w
364774ワット発電中さん:2005/04/12(火) 22:50:57 ID:DSCTW6xD
>>362
じゃあその愚かさを>>342に教えてあげれば?

>>363
禿胴。
365342:2005/04/12(火) 23:10:02 ID:5waFrGjY
うむ、今までは妙な先入観持たれたらまずいと思って控えていたんだが。
沖のML86V7666な。I2Cは未使用なので接地。
ビデオ信号突っ込むだけで全部面倒見てくれるぜこいつは便利だヒャッホイ!と
思ってたら大誤算でorz。まぁとりあえず動いてるように見えるからいいんだが。
FIFO入ってるらしいが効いてるのかどうか体感できん。そんなものなのか?

こいつのI2Cを操る為に使った元MのマイコンもI2C機能が怪しい・・・

まぁお披露目までにもうちょい時間あるのでいろいろいじってみる。
366774ワット発電中さん:2005/04/12(火) 23:15:57 ID:HhRu9LKm
>>365
(ちゃんと動けば)良さそうな石だね。
まったく余談だが、これのデータシート読んで初めて I2C の特許が日本では切れてる
ことを知ったよ。w
367774ワット発電中さん:2005/04/12(火) 23:20:01 ID:XUtM/SsY
342はNTSC信号が1フィールド262.5、1フレーム525ということを
知ってて言ってるの?
368342:2005/04/12(火) 23:33:48 ID:5waFrGjY
>>367
ぇ、そうなの、知らなかったぞ!そもそも.5って何なんだ?

でもVSYNCはばっちり検出してるのに、アナログ入力のSYNCとデジタル出力のHSYNCが
1/2Hぐらいもずれてるって変じゃね?、というのが俺の言いたいこと。
明日にでも波形とりこんでうpしようかな?
369774ワット発電中さん:2005/04/12(火) 23:35:47 ID:XUtM/SsY
沖のやつ見たけど
ちゃんと342の言う1/2ずれが図示されてるよ。
(525,1,2,3,4と263,264,265,266とそれぞれのVSYNC_L)

映像部分には黒い四角がついてるだけで
全部四角だからぱっと見わかりにくいね

http://elm-chan.org/docs/rs170a/spec_j.html
ここの真中の「垂直同期部タイミング詳細」のほうがわかりやすい。
370774ワット発電中さん:2005/04/12(火) 23:40:13 ID:DSCTW6xD
>>342
( ゚д゚)ポカーン

まあ、まだそれが原因かは分かんないけど、
それと関係なく、
ビデオIC使うんなら最低NTSC信号についてぐらい勉強しとけよ…
371774ワット発電中さん:2005/04/12(火) 23:40:48 ID:sUZNBI9c
>>368
>ぇ、そうなの、知らなかったぞ!そもそも.5って何なんだ?
1フレーム525ラスタだろ.
プログレッシブならそのままだが,インターレスなら半分だから最終ラスタ
を0.5づつでEVEN/ODDフレームで分割するんだよ.

(まじで知らんかったようだな....まぁガムバレ)

372774ワット発電中さん:2005/04/12(火) 23:40:59 ID:XUtM/SsY
あ、沖の仕様書の28ページね。

あと31ページにこれ見よがしに1/2Hって書いてあるね。
ずれるからこの信号使いなさいってことなんでしょう。
373774ワット発電中さん:2005/04/12(火) 23:45:28 ID:eX9AVKHm
マジで無知だったのか・・・
恥ずかしい奴。
374342:2005/04/12(火) 23:46:45 ID:5waFrGjY
そういうことだったのかorz
早速明日直してくるぞ!みんなありがとう!
375371:2005/04/12(火) 23:49:29 ID:sUZNBI9c
>>342
お!なんだかんだいって,即レスうれしいもんだな。

動くといいな。報告してくれ。
376774ワット発電中さん:2005/04/12(火) 23:50:46 ID:Z3+Lht/G
よかったね。
なんだかんだ言って、みんないい奴らだ。

377774ワット発電中さん:2005/04/12(火) 23:50:58 ID:DSCTW6xD
>>369
おお、chan様はこんなページも作ってたんだ!
やっぱすごいなあ。

とりあえず>>342は、
>>369のページとトラ技スペシャルNo.52あたりを
あわせて読むのが宿題だな。
378socket774@rc:2005/04/13(水) 00:10:12 ID:68+wtPcL
おや?
コメントしようかとオモたら祭りに乗り遅れた・・・orz

もう寝よ。
379774ワット発電中さん:2005/04/13(水) 21:07:58 ID:L4Fni0Yn
>> ビデオキャプチャもどきな装置なんだが、ビデオA−Dがクソな石で

そんなクソな石を堂々とリリースしていたら 342 ごときが得意げに「クソな石」と報告する前に
業界で騒がれているに違いない
380774ワット発電中さん:2005/04/13(水) 23:22:46 ID:DGKV+JOT
つーか、本当にNTSCの規格も知らない馬鹿がゴルァしてたと知って呆れたよ。
しかもそんな低レベルな状態で仕事してるとは恐れ入る。
381774ワット発電中さん:2005/04/14(木) 15:36:09 ID:bCbpqHKw
低レベルでヘラヘラしてる奴しか、被雇用者になれないのが今の日本だ。
382774ワット発電中さん:2005/04/14(木) 15:38:16 ID:bCbpqHKw
ところでPC/XTの回路図とBIOSソースがオクに出ているんだがなんか高いんだよな。
どっかに安いのありませんか?
383774ワット発電中さん:2005/04/14(木) 17:08:40 ID:G8P9tpwX
なんで今時XT?
384774ワット発電中さん:2005/04/14(木) 17:54:10 ID:os1RVB5s
PLDスレに書くって事は、PLDでXTを作ろうと思ってるのでは?
385774ワット発電中さん:2005/04/14(木) 18:18:19 ID:Wyjom5Mt
>>380
悪かったな。
テレビ/ビデオ関係の仕事してないからNTSCの詳細なんか知らん。
じゃぁ、お前はLDPC符号を実装できるのか?
386774ワット発電中さん:2005/04/14(木) 18:27:46 ID:mVrhVBsW
「じゃあ、(自分の得意分野)できるのか?」
いたなぁこういうやつ。小学生ぐらいの時だったけどなぁ
程度が低いのは技術だけじゃないようですね
387774ワット発電中さん:2005/04/14(木) 18:49:17 ID:59Kf/W34
>384
さりげなくシステムに入れといて、装置をサービスモードにすると
DOSプロンプトが、、、なんてチョット洒落てると思う
388774ワット発電中さん:2005/04/14(木) 19:00:13 ID:Wyjom5Mt
>>386
アホだなお前。
技術っていうのはある分野の人間にとっては、基本中の基本であっても
多分野の人間にとっては?であることが多いからいってるんだよ。
マヌケすぎんだよお前はよ。
389774ワット発電中さん:2005/04/14(木) 19:12:55 ID:gipCQSdE
NTSCの仕事を始めてから試作ボードが組上がるくらい時間がたってるなら
もはや他分野の人間じゃないだろ?
この状況でNTSC屋にとって基本中の基本のことすら知らずに2chで愚痴るのって
向上心に欠けた偽エンジニアだけだろ?

もう新しいことを調べる気力はないし、ましてや覚えるなんて無理ってことか?
390774ワット発電中さん:2005/04/14(木) 19:23:58 ID:G8P9tpwX
NTSCがインターレースして1/2Hずらしているなんて、”詳細”の部類に入るのか?
少なくともビデオキャプチャやろうとしてNTSCがどうなってるの?とか言ったら最初の方でいきなり出てくる話だと思うが。
391774ワット発電中さん:2005/04/14(木) 20:21:46 ID:obTg3nes
引率の香学歴って人間性が駄目
香卒の帝学歴は社会性が駄目
392774ワット発電中さん:2005/04/14(木) 21:43:29 ID:EYS97rIM
まぁなんだ、そんなダメ人間にも(見た目的には)動くシステムをでっちあげられる
FPGAは偉大だということにしておこうぜ。
393774ワット発電中さん:2005/04/14(木) 22:50:46 ID:owSCsRJG
世の中には豊富な知識があっても動くものを作れないやつもいれば、
知識が乏しくても(偏ってても)動くものを作ってしまうやつもいる

常識云々言っても、技術の常識って課題やトラブルに遭遇してから
初めて認識したり、実感したりするモンだから、まぁ、何というか
動いてるうちは知らなくてもなんとかなるもんだ。

という意味では、動いてはいるけど、”思った通り”の動きじゃないときは
自分がまだ知らないこと、解ってないことがあるんじゃないかって
謙虚に取り組むべき、、、

という技術者としての姿勢を考えさせる教訓的な出来事でした。

と、他人の恥を総括してみた。
394774ワット発電中さん:2005/04/14(木) 22:56:04 ID:rTApPTN+
で? >>呼ばれて登場342だ
と堂々と登場していた低スキル丸出しの342はバツが悪くて潜伏か?( ゚,_ゝ゚)プッ
395774ワット発電中さん:2005/04/14(木) 23:30:35 ID:Wyjom5Mt
いっとくが俺は342ではないんだけどね。
まぁ 262.5の話は電波科学読んでた俺としては高校生のときに知ってたけどな。
それはあくまで一例で、他人が
お前、こんなことも知らんのか?
と思う俺が気づいてない知識ってのは多分あると思ってる。
漢字の読み方とかよくあるぜ恥ずかしい・・・
あと、
ことわざの使い方とかな。
技術でもあるんじゃないかな?気づいてないだけで。
396774ワット発電中さん:2005/04/14(木) 23:32:40 ID:TvXMOYiR
別に知らないこと自体が恥とは思わんし、知らないことの方が多いのが普通だし。
スキルや知識に関して云々言うのは何か間違ってると思う。
単に最初、自分の勘違いをデバイスのせいにした、という1点だけでこういう騒ぎになってるのかな?
397774ワット発電中さん:2005/04/14(木) 23:40:59 ID:79csbOWh
>>396
知らないことを知らないと言うことは恥じゃない。
そこまでは良い。

ただ、その後煽りや、嘲笑に対する態度が本人の評価を著しく下げていることに
本人だけが気付いてないと言うことでしょう。

NTSCの基礎を知らなくてもその分野で仕事をしていないのであれば問題にならない
と思う。しかし、そんなことも知らないのか?と言われアホみたいな対応をしたら?
それが現状。

何とも呆れる。
398774ワット発電中さん:2005/04/14(木) 23:41:41 ID:wUT9yQV7
人のミスを見て喜ぶことしかできない低俗な人間が多いから。
399774ワット発電中さん:2005/04/14(木) 23:43:35 ID:79csbOWh
オマケ

俺は○○など知らないがもっと凄いことを知ってるぞ!

こう聞いて失笑しない奴は居ないだろう。
400774ワット発電中さん:2005/04/14(木) 23:58:35 ID:rTApPTN+
低スキルを棚に上げて、俺はすごいんだよ。
俺が間違ってるつったら間違ってるんだよとマヌケな342が笑われてるだけだろ

>> 342
呼ばれて登場342だ。

>>342 他に面白い事無い? ( ̄ー ̄)ニヤリッ


401774ワット発電中さん:2005/04/15(金) 00:04:09 ID:iiWvGU69
そんなこと書いてるおまえも十分クソだ
402774ワット発電中さん:2005/04/15(金) 00:08:50 ID:8k7h0nVX
>>342はインターレースのことは知らなくてもFPGAで誤魔化して、とりあえず解決
したんだろ。それはそれで凄いよ。力ずくの問題解決能力という意味では立派。
ただ>>342の設計した製品は絶対使いたくないけどね。w
403774ワット発電中さん:2005/04/15(金) 00:18:33 ID:KvzXlwDa
そろそろ流れを戻そう。
独りの痛い奴を笑うスレではないはずだよ。
404774ワット発電中さん:2005/04/15(金) 00:20:18 ID:lGCLoGyN
>>342はインターレース?なにそれ?なんて言ってるけど、少なくとも
NTSCのライン数&ドット数を知らないことにはごまかしでも物をでっち
あげるのは無理な気がする。
で、>>368のメール欄が実に怪しい・・・

奴、ホントは知ってるだろ?
405774ワット発電中さん:2005/04/15(金) 00:37:53 ID:KvzXlwDa
どうでも良いよ。
流れを戻してくれ。ウザ過ぎる。
406774ワット発電中さん:2005/04/15(金) 04:24:01 ID:G3eRFWCG
戻せっていったいどこに戻すんだよ?
407774ワット発電中さん:2005/04/15(金) 09:09:41 ID:QhtiVKG/
たぶん >341 あたりまで巻き戻すのでは?
408774ワット発電中さん:2005/04/15(金) 19:26:28 ID:kzsD5kO0
この辺りからが面白いかと

|つ  【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice
http://science3.2ch.net/test/read.cgi/denki/1081231226/22-25

22 名前:あぼーん[あぼーん] 投稿日:あぼーん
あぼーん

23 名前:あぼーん[あぼーん] 投稿日:あぼーん
あぼーん

24 名前:あぼーん[あぼーん] 投稿日:あぼーん
あぼーん

25 名前:あぼーん[あぼーん] 投稿日:あぼーん
あぼーん
409774ワット発電中さん:2005/04/15(金) 20:47:11 ID:KvzXlwDa
つーか、マターリとFPGAとか開発環境について話すスレだったじゃん。
痛いアホなど無視したら良いのでは?
410774ワット発電中さん:2005/04/15(金) 23:38:54 ID:d9jPzlQX
>341 こういう低脳さえが現れなきゃ平和なのにな
411774ワット発電中さん:2005/04/16(土) 00:15:02 ID:o5JUDRrG
冤罪じゃないの?
じゃなかったら意図的に荒れるように誘導か?
412socket774@rc:2005/04/16(土) 11:42:40 ID:ckF4YD1T
昨日メール見てたら
ALTERAとGENNUMがVIDEOアプリ系で
共同開発の意向を示したそうな。
GS1560Aとかアルテラに載せてほすぃ・・・
413774ワット発電中さん:2005/04/16(土) 13:49:53 ID:GucCembd
面接官「住所はは南セントレア市とありますが?」
学生 「はい。南セントレア市です。」
面接官「南セントレア市とはどこの国にあるのですか?」
学生 「愛知です。」
面接官「え、愛知?」
学生 「はい。愛知です。未来の日本の首都です。」
面接官「・・・で、その南セントレア市は当社において働くうえで何のメリットがあるとお考えですか?」
学生 「はい。東京が地震で壊滅したら、首都の国際空港になります。」
面接官「いや、東京に地震が襲ってくるとは限りません。それに東京が壊滅したとしたら愛知の前に大阪に移転ですよね。」
学生 「でも、食い倒れてますよ。」
面接官「いや、食い倒れてるとかそういう問題じゃなくてですね・・・」
学生 「名古屋市役所が国会議事堂に、瑞穂グラウンドが国立競技場になるんですよ。やっとかめ。」
面接官「ふざけないでください。それにやっとかめって何ですか。だいたい・・・」
学生 「やっとかめです。八十日目とも書きます。やっとかめというのは・・・」
面接官「聞いてません。帰って下さい。」
学生 「あれあれ?怒らせていいんですか?とめますよ。新幹線。」
面接官「いいですよ。とめて下さい。新幹線を。それで満足したら帰って下さい。」
学生 「運がよかったな。南セントレア市には新幹線が通ってないみたいだ。」
面接官「帰れよ。」
414774ワット発電中さん:2005/04/16(土) 13:53:37 ID:jvshOFv5
この面接官ダメだな
415774ワット発電中さん:2005/04/16(土) 14:38:47 ID:o5JUDRrG
雑談スレじゃないだがね。
416774ワット発電中さん:2005/04/16(土) 15:17:30 ID:OFYtJPVi
どうでもいいが、
新幹線止めたら帰れないじゃないか。
417774ワット発電中さん:2005/04/16(土) 15:23:22 ID:OFYtJPVi
そうか、センとレアから飛行機で帰ればいいのか、スマンかった。
418774ワット発電中さん:2005/04/16(土) 19:31:09 ID:iY8AV7+k
XilinxのRocketIOを使いこなしている方おられますか?
当方素人ですが、Verilog,VHDLはわかるので、なんとかしてPCI
Expressのカードを作りたいのですが。
419774ワット発電中さん:2005/04/16(土) 23:24:57 ID:YZxqGsEO
PCI Expressの資料って、確か会員じゃないと入手出来なかったよね・・・?
420774ワット発電中さん:2005/04/17(日) 00:46:21 ID:ijZS03/d
すいません、ちょっとお聞きしたいんですが…
Designwaveの1月号をつい最近買いまして、付録の
FPGA使ってみようかとしたところ、ProductIDを書いた
シールをなくしてしまい、開発ツールがインストールできなく
なってしまいました…
この番号って、全部同じで共通なんでしょうかね?
もしそうだったら、どなたか知っている方、教えてもらえませんか?
都会に出ればバックナンバー売ってるので調べに行けるんですが、
なんせ田舎者のため…
421774ワット発電中さん:2005/04/17(日) 00:49:31 ID:VfjBGcTo
>>420
新しくバックナンバーを買え。
基板ももう一枚手に入って一石二鳥だ。
422774ワット発電中さん:2005/04/17(日) 00:51:41 ID:D1bxOP1x
全部同じならシールにしないと思う。>>420には気の毒だが晒しちゃ駄目よん。
423774ワット発電中さん:2005/04/17(日) 00:55:18 ID:D1bxOP1x
つか、あんなID使わなくても、普通にISE WebPackは無償だべ。
424420:2005/04/17(日) 11:28:21 ID:fROJrsJk
無償ですけど、プロダクトIDでライセンスもらわないと
使えないらしいんですよ。
まああきらめて、バックナンバー買いますよ。
2000円程度だし…領収書切れるかもしれないし。
425420:2005/04/17(日) 11:52:56 ID:fROJrsJk
あったー!
通常ゴミは出してたけど、厚紙はリサイクルゴミなので
まだ捨ててなかった!
いやーみなさんありがとう。
426774ワット発電中さん:2005/04/17(日) 12:24:19 ID:D1bxOP1x
>>424
ISE WebPackなんてあの雑誌が出るずっと前からみんな無償で使ってるよ。
改めて雑誌を見ると、確かに妙なことが書いてあるね。追加機能でもあるのかな?
でも、まぁ見つかって良かったね。
427774ワット発電中さん:2005/04/17(日) 13:48:54 ID:vyCoQL6e
メールアドレス登録するだけじゃん。フリーのメアドで充分。
追加機能が増えるとかなら意味あるかもしれないけど、、
428774ワット発電中さん:2005/04/17(日) 19:55:37 ID:UtB2XEDB
フリーのメアドじゃなくて実在するメアドじゃだメッスか?
小泉メールとか?
429774ワット発電中さん:2005/04/18(月) 07:28:16 ID:u383A6Au
>>418
高いシミュレータ無いとシミュレーションできない。
あと、HDLだけではPCI Express動かない。(ドライバとそれを動かすアプリが必要。)

そのあたりがクリアーされているなら、具体的に質問してください。
PCI エクスプレスはやったことはないが、Rocket I/Oなら動かしたことがあるので
答えられる範囲で答えるよ。
430774ワット発電中さん:2005/04/20(水) 00:27:58 ID:nGiSWHkY
財と提携したフィリップ巣のPHYって
ちゃんと使えるの?
教えてエロイ人。
431774ワット発電中さん:2005/04/20(水) 22:16:30 ID:vjz6rWOL
ああ、なるほどPHYだけ(バッファのみ?)を付けて後はFPGAとすると
割と色んな石が使えそうだね。
432774ワット発電中さん:2005/04/21(木) 00:39:04 ID:EXjsDYpU
エロイけど教えません
433774ワット発電中さん:2005/04/21(木) 00:56:31 ID:A55MS1UB
>>431
多分シリパラパラシリ込み込み
だすよ。
434774ワット発電中さん:2005/04/21(木) 23:36:00 ID:M/IlrLxb
Latticeの開発ソフトの日本語版マニュアルってありますか??
Lattice情報少なすぎて困ってます…
435774ワット発電中さん:2005/04/22(金) 01:31:07 ID:PJgBKbpo
なんでALTERAの日本語翻訳データってあんなに古いものばかりなんだろう?
最新版が2000年とか。数も少ないしやる気あるんだろうか?
英語マニュアル読めない日本人は切り捨てってスタンスなのかな
436774ワット発電中さん:2005/04/22(金) 09:05:58 ID:ZpJ/XlHH
>なんでALTERAの日本語翻訳データってあんなに古いものばかりなんだろう?
日本語版を作るのに手間がかかる割に需要が無いからじゃない?
エンジニアじゃない人間に中途半端な翻訳される位なら英文のままの
方が良いってことで。
437774ワット発電中さん:2005/04/22(金) 10:47:10 ID:Z7dGAWea
463の言うとおりなんでしょう
Alteraに限らず、日本語の資料読んだけど、結局英語の見直さないと意味わからん、
ってことがたびたびあるので、英語しか見てない。少しは良くなってるのかな?最近は。
クオリティを保つには資料のアップデートのペースが早いよね。半年に1回ぐらいで
アップデートかかったら、まともなのができた頃には次のリビジョンが出てそう
438774ワット発電中さん:2005/04/22(金) 10:47:36 ID:Z7dGAWea
436だ。やっちまった…orz
439774ワット発電中さん:2005/04/22(金) 20:59:13 ID:EnMD14BU
CPLDでピンを割り振るときに効率よくやるコツってあるんですか?
ギリギリの規模になって上位のものにはできない時に
重要ではないピンの配置をいろいろ変えては試しているんですけど。
マクロセル128で120ぐらいになったら端子をひとつ変えただけでも
「配置できませんエラー」がでる...

440774ワット発電中さん:2005/04/22(金) 21:00:26 ID:PqRGK9PI
貧乏臭い使い方はやめろと・・・
441774ワット発電中さん:2005/04/22(金) 21:21:10 ID:2r0c7S25
> マクロセル128で120ぐらいになったら端子をひとつ変えただけでも
> 「配置できませんエラー」がでる...
変えなきゃいいのに。
442774ワット発電中さん:2005/04/22(金) 21:33:44 ID:ikgWlxt5
>>434-435
単に日本語版の需要が極端に少ないからだと思います。
理由は >>436-437 さんに禿同。 アマチュアくらいにしか需要がないんでないかな?
443774ワット発電中さん:2005/04/22(金) 21:53:32 ID:xSFkJ9p/
×アマチュア
○中学生レベルの英文さえ読めない人
444774ワット発電中さん:2005/04/22(金) 23:30:31 ID:j/q17vds
>>439
 漏れがその様な状況に陥った時、Process Propertiesの、
Fittingの、Advance Optionの所の、Collapsing Input Limitと
Collapsing Pterm Limitの数字をデフォから変えてやったら、
何とか収まった事がある。これらは何なんだか、漏れには
さっぱり分からん。誰か解説して。
445774ワット発電中さん:2005/04/22(金) 23:31:47 ID:j/q17vds
ごめん、在の場合の話ね。
446774ワット発電中さん:2005/04/23(土) 00:27:54 ID:dTU4YkYh
>>444

Latticeのツールにもあったな。

確か、論理圧縮後の積項数上限と入力数上限を変更するオプション。
マクロセルの構造によっては有効。
447774ワット発電中さん:2005/04/23(土) 12:08:44 ID:owurUT5I
ISE 7.1使ってます。
スパ2使ってるんですがDLLがcoregenにありません。
仕方ないのでこの部分だけスケマ->HDL変換してるんですが、スケマ記述が面倒で・・・
こういうやりかたしかできないのでしょうか?
つーかなんでスパ3のようにcoregenにないのでしょう?
448774ワット発電中さん:2005/04/23(土) 15:45:10 ID:KAwutHpx
449774ワット発電中さん:2005/04/23(土) 15:47:03 ID:KAwutHpx
CLKDLLのVHDLからの使い方

http://toolbox.xilinx.com/docsan/xilinx6j/help/iseguide/html/fd_loop_vhdl.htm
こっちのほうがいいか。
450774ワット発電中さん:2005/04/23(土) 17:13:42 ID:MOrWtkgl
DLLだけじゃだめでibufとbufgをつなぐ必要もあるし、回路図入力の方がまだ楽でしょ。
451447:2005/04/23(土) 17:54:43 ID:MOrWtkgl
スパ3でOver 100MHzで楽に動いていた回路をスパ2に移植(DLL部分以外は同じ)したら
XSTでたった63MHz Synplifyでも70MHzしか出ませんでした。
メモリコントローラなのに・・・
回路がよくないんでしょうか?こんなに違うなんて・・・!?
452774ワット発電中さん:2005/04/23(土) 21:15:10 ID:YrmT9VZQ
>>447
そりゃあなた、
VIRTEX −> Spartan
VIRTEX2 −> Spartan2
VIRTEX2E −> Spartan2/E

VIRTEX2/PROのアーキテクチャ −> Spartan3
(あくまでアーキテクチャのみ。モノは別物)
では隔世の差があるよ。
むしろ逆に考えて、スパ2で70MHzがスパ3で100MHzっていうふうに
考えれば、いまのでも納得できるッショ。

配線領域もSLICE構造も大幅UPなんだから、しかたないよ。
453447:2005/04/23(土) 21:30:14 ID:MOrWtkgl
今、回路が悪いんじゃないかと見直しているんですが、
一般的に言ってSDRAMのメモリコントローラをスパ2で作って60MHzそこそこしか出ないってのは回路を見直して
100MHz動作まで持っていけるものなんでしょうか?それともデバイスとしてこんなもんと考えるのか妥当なんでしょうか?
おおよその目安がわからなくて困ってます。
454774ワット発電中さん:2005/04/23(土) 22:12:59 ID:x34DG4dF
>453
とりあえず、XAPP134のコードだとどれくらいになるかだけでも見てみたら?
http://www.xilinx.co.jp/bvdocs/appnotes/xapp134.pdf
Synthesizable High-Performance SDRAM Controllers
455774ワット発電中さん:2005/04/24(日) 15:31:19 ID:JPG537e6
昔、某有名テクニカルライタの人がSpaltan2でPCIインタフェース作るには
かなりのチューニング必要とか書いていたような気がする
PCIとSDRAMコントローラ比べるのも何ですが、、、
456774ワット発電中さん:2005/04/24(日) 18:32:23 ID:iSmMB2rs
>>452
アーキテクチャでカテゴリ分けするなら
Virtex→Spartan2
VirtexE→Spartan2E
Virtex2/Virtex2Pro(PPCなし)→Spartan3/Spartan3E
だろ

Virtex2Pro(PPCコア搭載)にあたるSpartanはない
Virtex4に相当するSpartanはまだない

VirtexEとVirtex2/2proの差がでかいのは同意
Viretex2/2proとVirtex4の差もかなりでかい
457774ワット発電中さん:2005/04/25(月) 09:07:47 ID:NdQuELtB
>昔、某有名テクニカルライタ

クルス?
458774ワット発電中さん:2005/04/26(火) 08:57:24 ID:iRSlLX/3
いつの間にか、naxjpって有料になったんだな。
459774ワット発電中さん:2005/04/26(火) 12:09:08 ID:u1RoRQaB
無料相談も掲示板も無くなって忙しいんだろうね
460774ワット発電中さん:2005/04/26(火) 13:55:15 ID:iRSlLX/3
>http://www.ipa.go.jp/jinzai/esp/15mito/gaiyo/12-16.html
>4.採択金額
>11,000,000円

>7.テーマ概要
>JTAGを包括的にサポートするオープンソースソフトウェアを開発し、中小企業などのハードウェア開発者が、
>よりよいデバッグ環境を安価に構築できるようにするとともに、成果物はGNUツールとして配布し、
>エレクトロニクス開発者向けフリーソフトウェア界へ日本から貢献をすることを目標とする。

>8.採択理由
>組み込みソフトウェア開発において開発効率を高めることができるJTAGサポートソフトウェアを
>自由なソフトウェアとして開発しようというのを評価しました。


何をオープンソースというか、定義の問題もあるのだが、採択理由に「自由なソフトウェア」という表現を刻んだのに
援助を受けた結果が、当時のソース配布を停止を呼びかけ、メディア代を上回る価格を掲げて販売のみに走る
有り様には失望するなあ。
461774ワット発電中さん:2005/04/26(火) 18:22:16 ID:PEtTS7Zp
たぶん
http://www.ipa.go.jp/event/ipax2005/index.html
に出展するはずなので、すきなだけ問い詰めてやれ。
462774ワット発電中さん:2005/04/26(火) 19:42:11 ID:Pe+ALA4/
牙城切り崩し行くぜぇ〜!
463774ワット発電中さん:2005/04/26(火) 19:51:43 ID:xlZFl43Q
 ttp://www.xjtag.com/evaluation.htm

 これの登場が原因らし
464774ワット発電中さん:2005/04/26(火) 19:52:50 ID:xlZFl43Q
あげちまった
ごめんちょ
465774ワット発電中さん:2005/04/26(火) 22:01:46 ID:/cJ0ej3u
>>458
無料版もあるようだぞ。
naxjpのページを熟読して、メールで連絡しれ。

>>460
未踏のプロジェクト終了時点あたりにwebで配布していたソースとかって、
再配布はダメになってしまったのですか・・・。
466774ワット発電中さん:2005/04/27(水) 01:58:50 ID:O9IgF1pH
>>460

> 有り様には失望するなあ。

同意。
かなり期待していたけどあの程度の完成度では4万はだせないよ。
5000円位なら個人でも購入したけどね。
467774ワット発電中さん:2005/04/27(水) 07:38:25 ID:/n32kZPk
無料版って評価版の事?
468774ワット発電中さん:2005/04/27(水) 10:12:00 ID:U1McTVs9
>>465
GPLで配ってたんだっけ?
なら問題ないのでは。

469774ワット発電中さん:2005/04/27(水) 20:26:04 ID:j5njKtGZ
問題ないよ
470774ワット発電中さん:2005/04/27(水) 22:17:25 ID:xbquhyjf
いつの版が GPL?
手元の 079 ソース一式には GPL だとは一言も書いてないし、
080 バイナリ一式は GPL ではないと明記されている。

471774ワット発電中さん:2005/04/27(水) 23:35:06 ID:Sia2mX9B
にちゃんねらーでギコJTAG作ろうよ!OSだってあるんだからさ!
472774ワット発電中さん:2005/04/28(木) 02:01:32 ID:rkvf/ssb
http://www.ipa.go.jp/jinzai/esp/15mito/mdata/12-16.html
IPAの支援を受けている平成15年度2月14日、15日の版までは確実に範囲内みたいな
感じだね。成果をみるとバウンダリスキャンが出来るようになった版の辺りまで。

同じように支援を受けた、rubyの様に、カンファレンスか何かで、きちっとした
研究成果でフリー明記していればいいんだけどねぇ。

目標であるところのオープン・ハードウエア(ファームウエア)・コンソーシアム
みたいな団体で、研究成果としては発表するような動きはしたのだろうか?

採択案件評価書には「JTAGを使ったハードウェア開発で利用できるオープンソース
ソフトウェアの世界が発展していくことが期待される。」とあって、この辺りに
触れてはいないのが残念だなあ。

もしかして、趣味の範囲内で食い潰しちゃってオープンじゃないとか?(^_^;
473774ワット発電中さん:2005/04/28(木) 09:09:17 ID:MwlWYioA
成果出しているんだから他の金貰っただけと言うのより良いと思わ
474774ワット発電中さん:2005/04/28(木) 10:42:56 ID:rkvf/ssb
その成果とは現時点で、、jtagオープンソース(ファーム)開発が、明文化され、
現存・配布していると言う意味合いなら、疑問だな。
475774ワット発電中さん:2005/04/28(木) 19:55:22 ID:cEOUnjOG
疑問ならお前やれ
476財&寺ユーザー:2005/04/30(土) 13:43:41 ID:I/hPqvDH
在る寺の営業マンか技術者
出入り禁止にしたことある椰子いる?

うちは不具合対応に来た技術者の態度が
ひどかったから、代理店の営業に在る寺
の技術者を、もうこさすな!といった。

財の技術は、デバイスは不具合続きで最悪だが
人は特に問題ない。。。

ツールやデバイスはいいのに対応がこれじゃ
はなしにならんな。寺
477774ワット発電中さん:2005/04/30(土) 14:42:50 ID:eOoS6LdP
ある寺にしろ座位にしろ
日本スタッフがアホでも、チョン公でも、チンコロでも
間違いなく納期に納品しさえすればどうでもええやんけ。
所詮こいつら御用聞きつーかおつかいにしか過ぎんだろ?
どうせこいつらが製品設計してるわけでもあるまいし。
478774ワット発電中さん:2005/04/30(土) 15:35:29 ID:MT0svb7W
納期は大事だよ〜
479socket774@rc:2005/05/01(日) 00:22:52 ID:y7/yOdpZ
>>471
パイトウラスターならうちにあるけど(w
480774ワット発電中さん:2005/05/01(日) 09:21:21 ID:IUlqgW0M
>>477
かっこいい!
481774ワット発電中さん:2005/05/01(日) 12:07:52 ID:8xXW/uWD
>>480
かっこいい!
482774ワット発電中さん:2005/05/01(日) 15:59:01 ID:si44v/we
技術力のないメーカに限って下位業者に対して横柄なんだよな。
米アルテラの技術屋に対して文句言うならまだしも、日本で雇われた技術屋なんかに文句言っても
なーんも改善しない。あいつらは翻訳機能つきの伝書鳩だ。
日寺事前にペナルティを含んだ契約書交わしておくとか、
次回安く仕入れるとか、場合によっては賠償を求めて裁判起こす場合もあるだろうが、
いずれも金の話。不具合対応で日本アルテラ技術屋の派遣、出張を求めてもなーーんにも
技術的な問題解決にならんだろ?
まあ、翻訳機能に不具合があって、こっちの言う内容が間違って米寺に伝わってしまうような場合、
別な人間のアサインを求めるというならわかるけどね。いちいち英語でクレーム書くのも疲れるからな。
483774ワット発電中さん:2005/05/01(日) 16:42:11 ID:o/88YLB9
と、英語のデータシートが嫁内者が申してます
484447:2005/05/01(日) 17:52:05 ID:MDmMymq5
回路にいろいろ手を加えてみたんですが77MHzがほぼ限界でした。今の俺の頭ではもうこれ以上思いつかない状態です。
ちなみにXiのアプリケーションノートにあるSDRAMコントローラをSynplifyで合成して72MHz。
俺の場合、バーストモードとかいろいろ機能を削ってるのでこんなほぼ妥当なところじゃないかと思います。
5Vトレラントが気に入ってたのに。。。orz
LCXつけまくるのもなぁ・・・・
485774ワット発電中さん:2005/05/01(日) 18:00:52 ID:CqRzx8FB
>>483
ばーか!!英語のマニュアルぐらい読めずにどうするよ。寝ぼけんなアホ
486774ワット発電中さん:2005/05/01(日) 19:56:53 ID:Lfowp1Yg
と、年間100K未満の下位業者が申してます
487774ワット発電中さん:2005/05/01(日) 20:35:44 ID:ZfxlKv/J
下位業者呼ばわりされてよっぽど悔しいようだな。プゲラ
488774ワット発電中さん:2005/05/01(日) 22:29:14 ID:7egVlDEf
上位だの下位だのって言葉を使ってる時点で心の中の劣等感が見えてきて痛い
489774ワット発電中さん:2005/05/01(日) 23:46:47 ID:kTYO4vQ8
プゲラ って下位専門用語?
490774ワット発電中さん:2005/05/01(日) 23:47:40 ID:CXSkEtzP
482はバカな質問しすぎて、寺も材も代理店もあきれかえってる状態とみた
相手を伝書鳩扱いすればそこまでしか働かんわなw
491774ワット発電中さん:2005/05/02(月) 00:16:29 ID:xhFsrr7b
FPGAメーカごときに質問する馬鹿がいるんだな?いったい何を質問するんだい?
挙句の果てにサポートを要求するって?マヌケの極みかお前?
492774ワット発電中さん:2005/05/02(月) 00:27:08 ID:IEyu6KJW
>>490
>482はバカな質問しすぎて、寺も材も代理店もあきれかえってる状態とみた

超読解力&スキルの君はそうやってるんだろうな。取説も満足に理解できない学力とはさすが下請けにふさわしいな。
明日もはいつくばって働けよ。
493774ワット発電中さん:2005/05/02(月) 00:56:39 ID:llkKApHk
>>488に加えて、「学力」「下請け」あたりにも劣等感があるようです。
取説が理解できるレベルで満足してるみたいです。
かわいそうだから今後放置してあげることにします
494774ワット発電中さん:2005/05/02(月) 01:02:51 ID:IEyu6KJW
と取り説すら理解できない知障がほざいております
495774ワット発電中さん:2005/05/02(月) 01:26:01 ID:iclQbfTf
まぁ・・バグも仕様のうち。各自いろいろなトラブルとぶち当たりながら
自力で解決していくのさ〜

昔も今も変わらないね
496774ワット発電中さん:2005/05/02(月) 01:31:11 ID:oc/i1KwW
と空気嫁無いちゃねら〜がほざいております
497774ワット発電中さん:2005/05/02(月) 04:12:57 ID:6hrGRvFt
ここでのやりとり見てると、大体>>476が寺に切れた様子手に取るようにわかるな。

>>476-データシートに載ってるXXをその通りに使ったけど、説明どおりにならんぞ。すぐ出て来い。

〜翌日〜
寺は大体476の間違いを薄々気づいてたが、念のため目の前で確認させてもらう。それで、、、、やっぱし・・・・

>>寺-それXXというのはYYという意味ですよ。こんなのFPGA以前の問題ですよ。これがわからなければ
FPGA使うの辞めた方がいいじゃないですか?

>>476-お前誰に向かってその口たたいとるんや!お前とこの製品は二度と採用せん。出入り禁止じゃ!

>>寺-お好きなように、とくにお宅に使ってもらわなくても困りませんので、じゃさいなら。

ってことだな。バカにされてそれを反省もせず2chにて同調する奴を探す。
愚 の 骨 頂
日本アルテラの技術屋が知ってることなんてデータシートに書かれてること以外なくて、それ以上こみいった問題が
発生した場合、米国アルテラにいちいち問い合わせるだけということを指摘されてもそれすら理解できない。
恥 の 上 塗 り
498774ワット発電中さん:2005/05/02(月) 04:32:41 ID:6hrGRvFt
優秀な技術者 --->あくまでクールに、例え相手に非があってもうまくなだめすかして、こっちのペースに巻き込んで
協力体制を構築してプロジェクトを成功に導く会社にとってはかけがいのない奴

>>476 ---->自分に非があっても相手に責任転嫁し、まったく協力体制を構築できないばかりか、
プロジェクトも破綻させてしまい、まったく反省もせず、同様の失敗を次のプロジェクトでも繰り返す会社としてもとっとと
レイオフしたいが法律上それもできない石つぶし野郎
499774ワット発電中さん:2005/05/02(月) 12:44:27 ID:lin4S/u4
上げるなヴォケ
500774ワット発電中さん:2005/05/02(月) 15:08:19 ID:dqQ4ijPV
今日は仕事で覗く暇ないのかな〜?
501774ワット発電中さん:2005/05/02(月) 21:26:31 ID:Wc9i1X6s
騒ぐならこっちでやったら? 閑古鳥鳴いてるよ。
http://science3.2ch.net/test/read.cgi/denki/1103970072/
502774ワット発電中さん:2005/05/03(火) 01:03:13 ID:dCK0anyk
>>497 >>498
寺の技術者まるだし(テラワロス
寺の技術は能無しのくずだ。
卑怯やなぁ・・・。
キンタマついとんのかい?(ペタワロス
503774ワット発電中さん:2005/05/03(火) 01:19:24 ID:dJpjAyuI
と寺にスキルの無さを見透かされた屁たれ恥カキの"あくまでも"自称エンジニアがほざいております
504774ワット発電中さん:2005/05/03(火) 01:55:59 ID:9yMmO46p
ま、寺のエンジニアは質問に対して「そんなことも知らないんですか?」と
対応することがあるからむかつくのは解る。
が、それは自分の所為でしょ。
505774ワット発電中さん:2005/05/03(火) 02:00:09 ID:y4k8d1jq
>>502
マンコしかついてねえよ!
506774ワット発電中さん:2005/05/03(火) 10:09:22 ID:tyQGQSHe
>>503
っと、解説者気取りのFPGAのFの字も知らない
幼稚園児が申しておられます(tw
>>505
性転換きぼんぬ。
507774ワット発電中さん:2005/05/03(火) 10:12:15 ID:tyQGQSHe
っていうかさ、なんで外資系って
くずしか入らないの?
やっぱ、ヘッドハンターが能無しのくずだからかな?
マイケロとかマショーとか変な外人が多すぎ(w
508774ワット発電中さん:2005/05/03(火) 10:12:35 ID:tyQGQSHe
っていうかさ、なんで外資系って
くずしか入らないの?
やっぱ、ヘッドハンターが能無しのくずだからかな?
マイケロとかマショーとか変な外人が多すぎ(w
509774ワット発電中さん:2005/05/03(火) 10:51:01 ID:0fouOJ4n
>>ID:tyQGQSHe
と、ここでクズと叩いた外資社員から、無能・無知呼ばわりされた本人がほざいております。
ば 〜 〜 か!
510774ワット発電中さん:2005/05/03(火) 10:55:10 ID:Y7GeiQgn
>>507
くやしい、くやしいなぁ
あまりにくやしくて2度打ちしてやがんの。今日はようやく休みになったか?
休みの日は朝から粘着する最下位DQN企業社員
511774ワット発電中さん:2005/05/03(火) 11:14:58 ID:QnMny1jw
頭は半人前
ムキになるのは1人前
512774ワット発電中さん:2005/05/03(火) 11:24:04 ID:9yMmO46p
レベル低い奴は何で馬鹿にされているのかすら解らないって好例でしょ。
513774ワット発電中さん:2005/05/03(火) 14:04:40 ID:oW++hHQk
技術もオツムも低レベルですから
514774ワット発電中さん:2005/05/03(火) 16:36:27 ID:cwFtGv92
なひたふのMITOUJTAG
ってバージョン1にあがってから実売になったんだね。

・MITOUJTAG BASIC(スタンダードサポート1ヶ月) 69,800円
・MITOUJTAG BASIC(アドバンスドサポート1ヶ月) 139,800円
・MITOUJTAG BASIC(サポートなし) 39,800円

この値段って・・・・orz
515774ワット発電中さん:2005/05/03(火) 19:05:41 ID:ewRDArad
virtex4使うプロジェクトスタートの予定
んで、GW暇だからちょっとACスペック調査しようとDSみたら空っぽ。。
ISE webpackでも使ってタイミング制約当ててsetup/hold見ようとしたら
clock のMax Delayが3ns以上あるのだが。。。
こんなんじゃ、virtex2なんかより遅いぞ。
GWで代理店やってないから、とりあえず色々遊んでみるかorz
516774ワット発電中さん:2005/05/03(火) 19:12:37 ID:2JiOwr8a
DLL使って、内部Delayが気にならないようにすれば?
そのために、DLLだと思うんですが?

517774ワット発電中さん:2005/05/03(火) 20:04:00 ID:BDYitYi8
QuartsIIVer5発表されたね。

所で、Q4.2+SP1でstartix2をコンパイルすると山程↓なメッセージがでるんだけど
簡単な対処方法はないですか?
出力ピン全部に1本1本設定するのは面倒過ぎ。

Warning: Pin "ab0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
518774ワット発電中さん:2005/05/03(火) 20:10:41 ID:XoqY0UvQ
脳内フィルタを入れる(=無視する)
519774ワット発電中さん:2005/05/03(火) 21:20:01 ID:lLWWYNrR
509 :774ワット発電中さん :2005/05/03(火) 10:51:01 ID:0fouOJ4n
510 :774ワット発電中さん :2005/05/03(火) 10:55:10 ID:Y7GeiQgn
511 :774ワット発電中さん :2005/05/03(火) 11:14:58 ID:QnMny1jw
512 :774ワット発電中さん :2005/05/03(火) 11:24:04 ID:9yMmO46p
513 :774ワット発電中さん :2005/05/03(火) 14:04:40 ID:oW++hHQk

☆自作自演の外資系の能無し社員乙(tw
520774ワット発電中さん:2005/05/03(火) 21:23:12 ID:lLWWYNrR
まぁ、外資系は日本語も英語もどっちも
正常にしゃべれない言語難民の池沼ですから(pw
GW中に日本語の勉強をちゃんと汁!(wwwww
521774ワット発電中さん:2005/05/03(火) 22:12:42 ID:ZotBWvvK
外資系も基地外の客を相手にするのは大変だな。
522冷静になろう:2005/05/03(火) 23:12:21 ID:lLWWYNrR
>>521
オマエモナー(w

半導体業界は整理統合が進み、今後数年間で既存チップ
ベンダの40%近くが消滅するという予測を市場調査会社
Gartnerが今週明らかにした。

 チップ業界におけるベンダの数は、1980年代半ばには
120社だったが、2003年には550社近くに達しており、
着実に増え続けている。だが Gartnerは、チップ製造
に関わるコスト上昇と規模拡大により、今後は業界の
整理統合が進むだろうと述べる。既存企業の大半は、
次世代製造工場の設備投資を捻出できないだろうと
いうのが同社の予測だ
523冷静になろう:2005/05/03(火) 23:13:04 ID:lLWWYNrR
Gartnerの半導体研究チーフJim Tullyは声明の中で、
「ベンダが生き残るためには最新設備を整えた大規模
な工場をもつことにより、相当なスケールメリットを
実現する必要がある。そして、チップをかなり大量に
製造する必要がある。標準化された環境で一度に標準
チップを大量生産できるのが理想だ。そして、これら
の標準チップを特定のアプリケーション向けに製造後
にカスタマイズする。こうすると、将来的にチップ
メーカーの数は減ってしまうが、チップが値上がり
することはない。この業界は大きな資本を必要とし、
競争が激しいためだ」と述べた。
524冷静になろう:2005/05/03(火) 23:13:38 ID:lLWWYNrR
 同市場調査会社は、設計が複雑になり、コストが上昇
することのほかにも、システム容量の増加や柔軟性拡大
といった要因がメーカーを倒産に追い込むだろうと語った。
デバイス同士の統合がすすめば、チップメーカーは高速
で機能が強化されたチップを開発するようになる一方で
、チップの消費電力とシステムコストは低下していく
だろう。これらの要因から最終的な製品は小型化に向
かっていく。
525冷静になろう:2005/05/03(火) 23:14:20 ID:lLWWYNrR
Gartnerが予測するもう1つのトレンドは、同業界が現在
のビジネス市場からコンシューマー市場へと重視する市場
を変えていくだろうというものだ。2013年までには、
チップの半数以上がコンシューマーをターゲットにした
デバイス市場向けに販売されるという。
526774ワット発電中さん:2005/05/03(火) 23:29:01 ID:lwqiI0Dg
クマがこのスレに関心を持ったようです。
   ∩___∩
   | ノ\ 非   ヽ
  /  ●゛  ● |
  | ∪  ( _●_) ミ
 彡、   |∪|   |
/     ∩ノ ⊃  ヽ
(  \ / _ノ |  |
.\ “  /__|  |
  \ /___ /
527517:2005/05/04(水) 00:01:47 ID:BDYitYi8
>>518

脳内フィルタかぁ〜、あまり性能良くないからなぁ。
528774ワット発電中さん:2005/05/04(水) 00:22:47 ID:Y6c9oiMF
基地外クレーマー 乙
529M&A:2005/05/04(水) 10:11:11 ID:Y1n7Sf9u
========大感動!愛と涙と友情の最終レス========

     〜未だかつてこれほどまでに感動的な
             最終レスがあっただろうか?〜

 ★このスレッドを読んだ人達の声★
「何気なく開いたこのスレッドとの出会いから、
  基地外クレーマー 乙の可能性が多いと思った。」(30歳、ニート、男)

「感動した!勇気が湧いてきた!人生観が変わった!
 自分にも糞スレを立てられる気がした!」(17歳、GW房、男)

「落ち込んでる時にこのスレッドを見つけ、
 期待しないで読んだが、馬鹿すぎて涙が出た。」(21歳、風俗嬢、女)


これほどまでにみなさんに愛されてきたこのスレッドですが、
残念ながら、このレスで終了となってしまいました。
でも悲しまないでください。
このスレッドはいつまでも
あなたの心の中で生き続けているのですから…





                              糸冬
                          ---------------
                          制作・著作 財&テラ
530訂正(tw:2005/05/04(水) 10:17:11 ID:Y1n7Sf9u
========大感動!愛と涙と友情の最終レス========

     〜未だかつてこれほどまでに感動的な
             最終レスがあっただろうか?〜

 ★このスレッドを読んだ人達の声★
「何気なく開いたこのスレッドとの出会いから、
  基地外クレーマー 乙の可能性が多いと思った。」(30歳、ニート、男)

「感動した!勇気が湧いてきた!人生観が変わった!
 自分にも「基地外クレーマー 乙」レスをつけられる気がした!」(17歳、GW房、男)

「落ち込んでる時にこのスレッドを見つけ、
 期待しないで読んだが、基地外クレーマー 乙すぎて涙が出た。」(21歳、風俗嬢、女)


これほどまでにみなさんに愛されてきたこのスレッドですが、
残念ながら、このレスで終了となってしまいました。
でも悲しまないでください。
このスレッドはいつまでも
あなたの心の中で生き続けているのですから…





                              糸冬
                          ---------------
                          制作・著作 財&テラ
531774ワット発電中さん:2005/05/04(水) 11:34:10 ID:lEqYOEkb
ID:lLWWYNrR
糞よ。
自分に都合の悪いレスは全て自作自演か?
アルテラに己のアホを指摘されればそれを反省もせず、先方の態度が悪いと開き直り、
ここでも再びアホを露呈し、指摘されると今度は能無し呼ばわりか?
問題の本質から耳を塞ぎ、目を背けてりゃ何も問題解決せんだろうが?
すなわち、おまえの無知故のトラブルなんだよ。マヌケが。
お前の書き込み見てるとアホさかげんが手に取るようにわかるんだよ。
技術屋やめろ!
お前にはその知識もなければ、才能もない。人にいちゃもんつけるのが関の山のくっだらん奴なんだよ。
何の役にも立たんから死ねよ。
532774ワット発電中さん:2005/05/04(水) 11:58:49 ID:qCUWf+Bc
サポート期待する時点で終わってる。返答待ちじゃ間に合わない。
エラッタとその対策だけ通知してくれれば90%役割果たしてる。
もっとも、企業の誠意なんて三菱自動車や最近のJR西日本見てれば無駄ってわかるし。
回避策考えるのも技術のうちだし。

クレームつけて他人に解決させてたら、技術者いらんでしょ。
533774ワット発電中さん:2005/05/04(水) 12:27:56 ID:BFhB0tRQ
本人は面白いネタ考えたなとか思ってんだろうな
534774ワット発電中さん:2005/05/04(水) 12:37:16 ID:e2aFO1n/
他人の仕事にケチをつけることこそが一流企業の技術者の仕事であり
出世の道ですからー










困ったもんだ・・・OTL
535774ワット発電中さん:2005/05/04(水) 12:43:36 ID:7170/9MZ
「タコなサポートVSクレーマー」ネタは飽きたよ。もういいかげんやめれ。
536774ワット発電中さん:2005/05/04(水) 13:04:34 ID:C0+t2SJf
質問する側も精進すべきだし、
財や寺も今の寡占状態にあぐらをかいてないで精進しろ、
ってとこだろ。

>>447
漏れがSpartan2向けに作ったSDRAMコントローラだと、
WebPackで80MHzとちょっとくらいだった。

デバイスのおおまかな速度の違いの目安を知りたいのなら、
簡単なカウンタとかを作って比較してみる、
ってのはどう?
537774ワット発電中さん:2005/05/04(水) 13:16:14 ID:Y1n7Sf9u
>>532 >>533 >>534
リアルGW厨、乙!
> クレームつけて他人に解決させてたら、技術者いらんでしょ。
ほほう、えらそうだが、詳しくは書かないがデバイスの機能
不良なので自分で解決しろというのは少々おかしくないかい? 
どうやるのか教えてほしいもんだな。
その機能を使わないようにすればっていう回答なら
寺ワロス。寺の技術者と同じ回答だ。
喪前も出入り禁止措置。(tw
538774ワット発電中さん:2005/05/04(水) 13:19:14 ID:Y1n7Sf9u
>>531
寺厨丸出し。あほかと。馬鹿かと。
喪前は恥ずかしくナインかと!反省もできんのかと!
小一時間といつめ(ry
539774ワット発電中さん:2005/05/04(水) 13:26:57 ID:qCUWf+Bc
>詳しくは書かないがデバイスの機能不良なので

ここがミソですね。もうおしまい。
見えましたww
540774ワット発電中さん:2005/05/04(水) 13:58:42 ID:lEqYOEkb
デバイスの不良それも自信があるなら公表できるよな。やれるもんならやってみろ!
所詮お前の無知が招いた"間違った使い方"
ちょっとちょっと
中卒のお客さんそんなアホな使い方やめてくださいってば!!

>寺の技術者と同じ回答だ。

ガハハハハ。案の定言われてやがんの!!
大 笑 い。俺が感じた匂いをAlteraも感じてるんだ。思ったとおりだ。

不具合を主張するなら、Alteraごときの技術屋をぐうの音も出ないぐらいにねじ伏せられなくてどうするよ。
1.エラーを起こす条件の明示
2.目の前で再現してみせる
ホントにデバイスに問題があるならそれではっきりするはずだ。エラーじゃなくて(スペックに書き漏れた)仕様かも含めてだ。
それもできずデバイスの不具合をいきまくお前は痛いだけ。
お前のアホさかげんを想像すると
いたいいたい病にかかっちまう。
541774ワット発電中さん:2005/05/04(水) 15:11:39 ID:/tjhios0
ぐうの音
ギャフン
シャッポを脱ぐ

年寄り用語
542774ワット発電中さん:2005/05/04(水) 15:23:36 ID:EVBSybEK
sage
543774ワット発電中さん:2005/05/04(水) 15:24:18 ID:EVBSybEK
sage
544774ワット発電中さん:2005/05/04(水) 15:24:57 ID:EVBSybEK
sage
545774ワット発電中さん:2005/05/04(水) 15:25:33 ID:EVBSybEK
sage
546774ワット発電中さん:2005/05/04(水) 15:26:08 ID:EVBSybEK
sage
547774ワット発電中さん:2005/05/04(水) 18:53:17 ID:UkXRvcsy
うぐぅ
548774ワット発電中さん:2005/05/04(水) 19:26:48 ID:X+OVO9+8
>>539
>>540
もうすこしましな回答してみろよ。
おまいらのくだらない寺擁護には
正直辟易とするよ。

デバイスの不具合詳細をここに書けるわけないだろうが。
よく考えろこのくず外資社員が。
お前らの仕事がどれだけ日本をだめにしているか
考えたことあるのかと。
549774ワット発電中さん:2005/05/04(水) 19:28:50 ID:X+OVO9+8
>>540
>お前のアホさかげんを想像すると
>いたいいたい病にかかっちまう。

「水俣病 被害者の会」に通報しました。
550774ワット発電中さん:2005/05/04(水) 19:46:06 ID:1aCiFV7y
デバイスの不具合でなくてお前自身の不具合だから書け無いのか!
551774ワット発電中さん:2005/05/04(水) 19:49:46 ID:1dnobRcV
>>548
>デバイスの不具合詳細をここに書けるわけないだろうが。

そんなことはない。
その不良のおかげでおまえ迷惑してるんだろ?
不良ってことが確かなら公表することはなんの問題も無い。
お前そんな確信も無く他社の社員を糞呼ばわりか?
そりゃアフォにされる罠。
ツメが甘いんだよバカ。


>よく考えろこのくず外資社員が。
残念だったな。
間違いなくお前の会社設立より古い正真正銘の日本の会社だ。
552774ワット発電中さん:2005/05/04(水) 19:53:24 ID:qCUWf+Bc
ただいま。行楽から帰宅。
イタイオヤジ、まだやってましたか。

うん、仕様の瑕疵じゃなくてデバイスの不具合なら書けるよね。
片鱗でも。

>エラーを起こす条件

そ、これ大事。
553774ワット発電中さん:2005/05/04(水) 20:06:31 ID:91B7dZHA
447
554774ワット発電中さん:2005/05/04(水) 20:06:58 ID:91B7dZHA
446
555774ワット発電中さん:2005/05/04(水) 20:07:32 ID:91B7dZHA
445
556774ワット発電中さん:2005/05/04(水) 20:08:08 ID:91B7dZHA
444
557774ワット発電中さん:2005/05/04(水) 20:08:42 ID:91B7dZHA
443
558774ワット発電中さん:2005/05/04(水) 20:09:17 ID:91B7dZHA
442
559774ワット発電中さん:2005/05/04(水) 20:09:48 ID:91B7dZHA
441
560774ワット発電中さん:2005/05/04(水) 20:10:22 ID:91B7dZHA
440
561774ワット発電中さん:2005/05/04(水) 20:17:25 ID:qCUWf+Bc
ID:X+OVO9+8

>H立って納入業者の品質管理には徹底的に
>けちつけるが自分たちの製品は・・・DQN。
>H立おわってんな(w

外資云々ってよりは、タダのコンプレックスオヤジだなぁ。
全部Schemaの74系ライブラリって感じ。

562774ワット発電中さん:2005/05/04(水) 20:34:06 ID:K9NsO964
荒れてるなぁ・・・
まぁ、ほとんどのプロは働いてるか遊びに出てるかで
残ってる連中ったら・・・・・

あ、漏れもじゃん orz
563774ワット発電中さん:2005/05/04(水) 20:51:29 ID:3CWrcZoL
ほとんどのプロは働いているか遊びに出てるか
"自営業 悩みごと相談室 4"に出没していますw
564774ワット発電中さん:2005/05/04(水) 21:24:29 ID:z7BZPQhl
なるほど ID:X+OVO9+8 は日立に寄生してる害虫か。
よっぽど不満が鬱積してるんで、かわいそうにアルテラに八つ当たりか。やれやれ

>H立って納入業者の品質管理には徹底的に
>けちつけるが自分たちの製品は・・・DQN。

当たり前、装置メーカはその製品に対する最終責任を負うんだからな。
565774ワット発電中さん:2005/05/04(水) 23:47:14 ID:qCUWf+Bc
http://www.ascii.co.jp/pb/ant/msx/

5月中旬から予約開始。予価19800円。発送は秋。
予約5000台に満たなければ破談。

ザコンでビラまいてた
566774ワット発電中さん:2005/05/05(木) 22:29:49 ID:kip2TRx8
もるひん...
567774ワット発電中さん:2005/05/05(木) 23:41:59 ID:1S1kdbP1
おやおや!日立の寄生厨であることがバレて沈静化か?
さんざん日立をけなしてたくせに、あの威勢はどうした?
日立から出入り禁止言われるのが怖いか?そんなことでびびってるなんてそれでも男か?
568774ワット発電中さん:2005/05/06(金) 19:39:49 ID:Ur3xLwlJ
>>565
どこに書いてあるのか分からない・・・・売る気ないんだろうな
569774ワット発電中さん:2005/05/06(金) 20:00:03 ID:osdar9c4
>>568
検索したら出てきたけど、試作の奴はCyclone EP1C12Q240C8の載ってる
ボードだな。ほとんどの人がFPGA実験基板としか見なしてなかったりして。

>>566
実際に動く試作は出来ているから問題ない…かな?
570774ワット発電中さん:2005/05/06(金) 21:30:40 ID:g2Hozg0j
MSXは(プログラマとして)いろいろひどい目にあったからw今さら見たくもないけど
全回路図が公開されるならFPGA評価ボードとして買ってやってもいいぞ。
571774ワット発電中さん:2005/05/06(金) 22:34:04 ID:ZEyUcWN6
HDLとFPGAの接続を見てハァハァできるんなら凄い奴だ。
572774ワット発電中さん:2005/05/06(金) 23:27:54 ID:rO3UMISN
ttp://www.ryoyo.co.jp/xilinx/seihin/spartan/spartan3_starter.html
スパ3で、VGAとPS/2、シリアル付き
573774ワット発電中さん:2005/05/07(土) 00:13:46 ID:n3J5A2jJ
今売ってくれるんなら買いたいけど、
秋まで待つんならスパ3Eスターターが出るしなー
574774ワット発電中さん:2005/05/07(土) 01:27:46 ID:YYBuRb7q
組み込みで、ちょっと派手目な表示の時にいいかなと。
棒グラフ、円グラフ、音も出るし、ROM立ち上げならオープニングのMSXロゴも消せたはず。
MOTOR ONでリレーがカチリw。
575774ワット発電中さん:2005/05/07(土) 23:13:07 ID:/qX5rFhA
> ROM立ち上げならオープニングのMSXロゴも消せたはず。
実機では無理だったがな。
576774ワット発電中さん:2005/05/08(日) 00:25:37 ID:AQadvOMy
クマがこのスレに関心を持ったようです。
   ∩___∩
   | ノ\ 広  ヽ
  /  ●゛  ● |
  | ∪  ( _●_) ミ
 彡、   |∪|   |
/     ∩ノ ⊃  ヽ
(  \ / _ノ |  |
.\ “  /__|  |
  \ /___ /
577774ワット発電中さん:2005/05/08(日) 00:26:12 ID:AQadvOMy
クマがこのスレに関心を持ったようです。
   ∩___∩
   | ノ\ 非  ヽ
  /  ●゛  ● |
  | ∪  ( _●_) ミ
 彡、   |∪|   |
/     ∩ノ ⊃  ヽ
(  \ / _ノ |  |
.\ “  /__|  |
  \ /___ /
578774ワット発電中さん:2005/05/08(日) 00:28:27 ID:AQadvOMy
 外資系の屑シャインは使えない!
  ̄ ̄ ̄ ̄ ̄ ̄○ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
           O 。
                 , ─ヽ
________    /,/\ヾ\   / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
|__|__|__|_   __((´∀`\ )< というお話だったのサ
|_|__|__|__ /ノへゝ/'''  )ヽ  \_________
||__|        | | \´-`) / 丿/
|_|_| 从.从从  | \__ ̄ ̄⊂|丿/
|__|| 从人人从. | /\__/::::::|||
|_|_|///ヽヾ\  /   ::::::::::::ゝ/||
────────(~〜ヽ::::::::::::|/        = 完 =
579774ワット発電中さん:2005/05/08(日) 00:29:25 ID:AQadvOMy
                   ,.-―っ / ̄ ̄ ̄ ̄ ̄
                人./ノ_ら~ | ・・・と見せかけて!
           从  iヽ_)//  ∠    再  開 !!!!
          .(:():)ノ:://      \____
          、_):::::://(   (ひ
          )::::/∠Λ てノし)'     ,.-―-、   _
______人/ :/´Д`)::   (     _ノ _ノ^ヾ_) < へヽ\
|__|__|__( (/:∴:::(  .n,.-っ⌒    (  ノlll゚∀゚) .(゚Д゚llソ |
|_|__|_人):/:・:::∵ヽ | )r'        ー'/⌒ ̄ て_)~ ̄__ イ
||__|  (::()ノ∴:・/|::| ./:/         /   ̄/__ヽ__/
|_|_| 从.从从:/ |__|::レ:/      ___/ヽ、_/
|__|| 从人人从 ..|__L_/      .( ヽ     ::|
|_|_|///ヽヾ\ .|_|_     /⌒二L_    |
────────       ー'     >ー--'
580774ワット発電中さん:2005/05/08(日) 00:30:15 ID:AQadvOMy
        巛ノi
        ノ ノ                  / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
     ノ')/ノ_ら      ∧_∧       | いきなり出てくんな!!外資系の屑シャインが!
      、)/:./、      ( ´Д`)      | ビックリしたぞゴラァ!!!
     )/:./.:.(,. ノ)    `';~"`'~,.       \   ________
     \\:..Y:.(  ・ ''    :,   ,. -―- 、|/
_____ 从\、,. ,; .,、∴';. ・  ( _ノ~ヾ、ヽ
|__|_ _(_:..)ヽ:∴:@)       ノ(゚Д゚ #) )
|_|__|_人):|:・:::∵ヽノ)    (_(⌒ヽ''" `ー'
||__|  (::()ノ∴:・/|::|( \    \ \) )        _
|_|_| 从.从从:/ |__|::|ノ   \  ミ`;^ヾ,)∃        < へヽ\
|__|| 从人人从 ..| /:/ _,,,... -‐'''"~   /ー`⌒ヽ、  (( (゚Д゚llソ |
|_|_|///ヽヾ\ ./:/ _ \        /     /T;)   /~  ̄__ イ
─────── ノ (,    \/__/__,ノ|__`つ  ヽ__/
             ´⌒ソノ`
581774ワット発電中さん:2005/05/08(日) 00:47:03 ID:2YmN3Buk
>> ROM立ち上げならオープニングのMSXロゴも消せたはず。
> 実機では無理だったがな。

そうなのか品川のS社のやつは行けたよ。
漢字タイトラーの中身がMSXってのは結構有名で。
メーカーによって差がある?

9800円だったらなぁ。
アルテラ協賛にならないかな。
ROMのライセンスも開放していただいて。
582774ワット発電中さん:2005/05/08(日) 19:02:35 ID:25unYL0U
ROMいじれば、どうにでもなるでしょ。
ふつうのMSXにふつうのカートリッジでは無理なはず。
583774ワット発電中さん:2005/05/09(月) 12:00:44 ID:n+wTnJF+
普通のカートリッジの定義が不明だが
MSXカートリッジのコネクタ部にはZ80CPUのバスがそのまま出てるので
その気になれば何でもできると思うよ

あのバスならx86CPUボードをつっこんでMS-DOS走らせることだって
可能だろうよ
584774ワット発電中さん:2005/05/09(月) 12:44:10 ID:MdxUqfGg
PCマザーボードとつないでWindows2000が立ち上がりマスタ・・・


とか。(それって、MSX側は何もしてないってば)
585774ワット発電中さん:2005/05/09(月) 13:28:19 ID:OV+YUHRa
>>583

MSXバスはDMA関連の信号が無いから、共有メモリとか
通しての接続になってオーバーヘッドが大きいかな。
MSX側のI/OはZ80通してのアクセスになるからね。
586774ワット発電中さん:2005/05/09(月) 20:35:28 ID:LGX908Tq
結局581の言う機械にMSXロゴは付いてないんでしょ?
同じICを使ってる・・・よりはMSXに近い程度の話だよね。
587774ワット発電中さん:2005/05/09(月) 20:43:18 ID:NSlOXztR
>>586
不良在庫をROM交換で再利用あたりでは。
588774ワット発電中さん:2005/05/10(火) 03:15:34 ID:9BhgZjWL
MSXなんかどうでもいいよ。
なんでMSXの話題なんかで盛り上がってるんだ。
589774ワット発電中さん:2005/05/10(火) 12:59:16 ID:uOzv+j7f
>>588
復刻MSXがFPGAを使って復刻しているから。漏れももし発売されてもFPGA評価ボード
としてしか興味ないな。
590774ワット発電中さん:2005/05/10(火) 15:55:15 ID:+hceCqY5
QuartusII 5 の話がしたい
591774ワット発電中さん:2005/05/10(火) 15:56:56 ID:lVumASpo
4.2のライセンスでは動かない。
592774ワット発電中さん:2005/05/11(水) 01:05:48 ID:8ViQJCuJ
web版はOK
593774ワット発電中さん:2005/05/11(水) 01:09:29 ID:+rcaYmCK
Q5使用中。
Web版で、RTLビューアが使えるようになった。元から?
開いてるファイルのタブが現れた。ウインドウを掘らないでいいのでちょっと便利。

MSXのソースってオープンなのかな。
ヴィデオエンコーダ使いたいな。
あとフォント...これは駄目か...
594774ワット発電中さん:2005/05/11(水) 19:53:29 ID:0RLcIfMD
> ヴィデオエンコーダ使いたいな。
> あとフォント...これは駄目か...
何を言いたいのかようワカラン
595774ワット発電中さん:2005/05/11(水) 21:07:15 ID:uWpDwu5K
XILINX ISE7.1をWin XP SP2にインストールした人いますか?
インストール途中で止まってしまうのは、ウェブアンサーで何とかしたけど
ショートカットを作る時に指定されたファイルが無いとかで、全然できません。
何かコツでもありますか?
おとなしく6.2でがんばった方がいいのでしょうか?
596774ワット発電中さん:2005/05/11(水) 21:41:43 ID:HW3bcE1i
>>595
俺もISE7.1iをWinXP SP2にネットワークでインストールしようとしたが、
75%の段階で"Error 52"が出てインストールできず。
俺はダイアルアップの環境しかないので、電話賃分の労力が水の泡に
なってしまい、泣き寝入りするしかないのか。

幸いISE6.2のCD-ROMが押入れに眠っていたので、それをインストール
している。
現在、ISE7.1i+サービスパックのCD-ROMを送ってくれるように、TEL
(東京エレクトロンデバイス)にお願いメールを出している。しかし4日
経った今日、TELから返事は無い。
597774ワット発電中さん:2005/05/11(水) 21:58:39 ID:uWpDwu5K
どうも日本語のフォルダー名が原因のようですよ。
スタートメニュー、プログラムなどがあると、ショートカットが
作成できないってエラー。スタートメニューぐらいなら そもそもいらないけど
実際の使用中のWebリンク先なども作成されず、使いにくいったらありゃしない。
あたしゃ6.3に戻しましたよ。
日本語のままでも行けるようになるまでISEは やめとこ。

仕事名をフォルダ名にしているので、漢字フォルダ名でないと、
都合が悪いのです。
598774ワット発電中さん:2005/05/11(水) 22:04:40 ID:yH3i2bW6
Nios2はweb版のQ2では使えないのかorz
寺!
なんとかしる!
599774ワット発電中さん:2005/05/12(木) 01:42:07 ID:UJn+MWB8
寺はお布施を要求しております
600774ワット発電中さん:2005/05/12(木) 02:20:36 ID:FsrHZr68
お布施もいいが、無料にして布教に努めた方が賢明だと思う
601454:2005/05/12(木) 02:24:20 ID:GT2mUF3s
寺に財もっていったら、説教されるかな?
602774ワット発電中さん:2005/05/12(木) 12:25:51 ID:KELmh7Dm
>600
そうなると、お布施した人がまたブチギレますよ
603774ワット発電中さん:2005/05/12(木) 13:27:56 ID:V9HHN6LY
604774ワット発電中さん:2005/05/13(金) 12:31:42 ID:r3AQBYEa
>>602
お布施した人にはもっと御利益あげればいい
605774ワット発電中さん:2005/05/13(金) 12:59:41 ID:gRyEgrVq
なんで無償のコジキコゾーと、お布施して間接的にでもツール開発援助に手助けした
人間が同じ扱いになるのかワカラン。

>>604のとおりで、もっとご利益をあげればいい。

それを考えると寺は無償と有償の違いがあまりなく(デバイスファミリだけ?)
お布施する気にならないんで、きっとデバイス代の一部が
寄付金になっているに違いない(キラン!
606774ワット発電中さん:2005/05/13(金) 21:21:11 ID:j9HNfSD3
早くQuartus2Ver5の正規版CDが欲しい。
4.2とWEB版5で動きが違う所があるんだけど、
WEB版だからなのかVer5だからなのか良く分からない。
607774ワット発電中さん:2005/05/14(土) 02:27:27 ID:+41PUbkc
くをーたす5がだうんろーどできない
608774ワット発電中さん:2005/05/14(土) 03:35:16 ID:zzZaQTef
今確かめたけど、寺サイトは何にも問題ないよ
609774ワット発電中さん:2005/05/14(土) 22:35:29 ID:8SDUYPV3
>>607
Quartus2ってメジャーバージョンアップのときはCD-ROM送付だよ。
ダウンロード可能なのはサービスパックだけ。
いつも宣伝は早いけど、ブツが届くのは遅いなぁー
代理店に電話したらRに焼いて送ってくれるってサ。
正規版は出荷が遅くなるらしいからね。
インクリメンタルP&Rに期待
610774ワット発電中さん:2005/05/15(日) 01:23:54 ID:U0gAB+jI
>>609
>ダウンロード可能なのはサービスパックだけ。
プッ!
611774ワット発電中さん:2005/05/17(火) 00:11:10 ID:P8LERTPY
ピッ!
612774ワット発電中さん:2005/05/17(火) 12:12:55 ID:i/51ztGy
ボッ!
613774ワット発電中さん:2005/05/17(火) 23:36:58 ID:+wt7PcsR
↑DTMFか?
614774ワット発電中さん:2005/05/18(水) 12:31:46 ID:heLCJ7jP
いや、「ブッビッボッソッ!ジャンガー!!」といって欲しかった。

青空球児・好児のネタです。
615774ワット発電中さん:2005/05/18(水) 15:39:12 ID:MjDwkNAb
DTMFワラタ


質問ですが、
罪の制約ガイド、1036頁あったけど、
皆様がよく使ってるのはいくつくらいなのでしょうか。
616774ワット発電中さん:2005/05/20(金) 00:51:34 ID:FDXXH2kV
locとpullupと・・・それくらい
で、とりあえず間に合ってます。
617774ワット発電中さん:2005/05/20(金) 02:53:54 ID:JMRmKqXn
漏れはram_styleとwrite_mode
618774ワット発電中さん:2005/05/20(金) 12:49:43 ID:fOA80vXx
https://www.ascii.co.jp/1chip/

Cyclone MSX予約開始です。
ただいま68台
619774ワット発電中さん:2005/05/20(金) 13:00:49 ID:pVybkJXq
>>618
EP1C12 の実験ボードとして買ってみるかな。
VHDLソースまで付いてるくらいだから回路とかも公開されてるんだろうし。
620774ワット発電中さん:2005/05/20(金) 14:37:23 ID:DJ1CLH6o
分散型電源のメリットデメリットってどんなことがありますか?教えてください。
621774ワット発電中さん:2005/05/20(金) 14:40:47 ID:qMgmSLoK
>>620 ID:DJ1CLH6o
マルチ
622774ワット発電中さん:2005/05/20(金) 19:19:05 ID:5noQOx/c
未使用入力ピンを内部でプルアップしてくれるような設定はないの?
623774ワット発電中さん:2005/05/20(金) 20:33:13 ID:krmHaACV
>>622
とりあえずその質問だけでは誰も答えられないと思われ、
とオシエテクンにやさしい俺が来ましたよ。

学生の方なら頑張ってデータシート読んでください。
社会人の方ならデバイスか、そのボードを買ったところに
聞いてください。
624774ワット発電中さん:2005/05/20(金) 22:01:06 ID:CmoaoIVj
>>622

ある事もあれば無いこともある、
とオシエテクンにやさしい俺2号が来ましたよ。
625774ワット発電中さん:2005/05/20(金) 22:57:39 ID:9SL3yGIJ
MSX、一台予約しますた。

>>620

Bellnixの営業に聞けば、熱く語ってくれます。


>>622
出力に向けときゃええやん。
626socket774@rc:2005/05/20(金) 23:33:41 ID:4eZ7uTWA
MSX、おいらも1台よやくすますた
アンケート欄、予約サイトを知った媒体を教えろとあったので
このスレのリンクをだまって貼っといた(w

>>622
未使用入力ピンは自分で設定しないと×
入力専用ピンはプルアップも無いから
外部で処理する必要があると思われ
627774ワット発電中さん:2005/05/20(金) 23:56:41 ID:60Hkr3To
>>618
そうかCycloneボードとして考えるとずいぶんお徳に見えるな。
某ヒューマンデータも見習え。
628774ワット発電中さん:2005/05/21(土) 00:01:17 ID:9klKX11W
>>627
予約人数に達しなければ同じ。
達しても一回限り。

お前商売って者を解ってるか?ヒューマンの板はアレでも相当安くて便利なんだよ。
自社で基板起こしてみろ。
これだから素人は
629774ワット発電中さん:2005/05/21(土) 00:03:38 ID:wr5xYW2Q
>>627
5000台も作るんなら安くなるのは当然。
ヒューマンデータとは量産規模が100倍は違うだろ。
630774ワット発電中さん:2005/05/21(土) 00:15:27 ID:2ci778nC
>>629

んでも、単純にゲート数とか見れば>>572に張ってある
スパ3の方がお徳では??I/Oの豊富さはMSXボードだけど。
631774ワット発電中さん:2005/05/21(土) 00:38:18 ID:L6wjtiwX
>>630
1C12の方が全然上。乗算しなければ。
でも、2万なら俺ならもうちょっと足してXESSの3S1000ボード買うかな。
632774ワット発電中さん:2005/05/21(土) 00:39:27 ID:aNI602fD
>>630
5000台ってことは約1億の金が動くわけか。


どことなく胡散臭い感じがするのは何故でしょう。
633774ワット発電中さん:2005/05/21(土) 00:51:18 ID:IW7QBh2A
ところで初日で60台ちょっと?
あと100日前後で5000台・・・・・無理じゃないか?
634774ワット発電中さん:2005/05/21(土) 00:55:45 ID:wr5xYW2Q
>>633
http://www.itmedia.co.jp/pcupdate/articles/0505/09/news052.html
この発表の途中で西氏が壇上に詰め寄り激昂。その場で「5000台の予約数に満たな
かった場合には、自分のポケットマネーで不足分を全部買ってでも発売してみせる!」
と宣言をしたので、予約さえしておけば入手できる可能性は高そうだ。
635774ワット発電中さん:2005/05/21(土) 01:12:28 ID:QLhLSDrk
既に400台目前。
ふむ。予約しておくか。
636774ワット発電中さん:2005/05/21(土) 02:09:11 ID:6XRz8qHk
エセに何派ー¢はいるんかな?
637774ワット発電中さん:2005/05/21(土) 03:26:45 ID:unhrEpbP
>>634
西は大風呂敷だけどね

もっとも、そこら辺が好きだったりするわけだがw
孫と違って、その時は本気で言ってたりするしね

で、おいらも予約済み
638774ワット発電中さん:2005/05/21(土) 13:01:07 ID:j/vYWKkD
予約しますた
639774ワット発電中さん:2005/05/21(土) 13:47:56 ID:wr5xYW2Q
500台超えましたね。
640774ワット発電中さん:2005/05/21(土) 21:56:42 ID:nA7+VlVl
4999台で予約終了
641774ワット発電中さん:2005/05/21(土) 23:30:30 ID:L6wjtiwX
そこで欽ちゃん登場ですよ。
642774ワット発電中さん:2005/05/22(日) 00:05:21 ID:BnRHMLPA
チョトワロス
643774ワット発電中さん:2005/05/22(日) 10:39:50 ID:2DdPA0em
>>641

仮装大将のつもり?
644774ワット発電中さん:2005/05/22(日) 11:06:36 ID:IXxuYEKB
2台予約しますた。

現在の予約注文数: 666台
商品化決定まで、あと 4334台
予約受付終了まで、あと 90日

645774ワット発電中さん:2005/05/22(日) 14:57:36 ID:05kufrcZ
よし、課の若い連中にも予約させるか
これで予約注文8台分確保w

経費で落ちねぇかなぁ
646774ワット発電中さん:2005/05/22(日) 15:03:56 ID:sxcRu7yF
つーか、VHDLなりVerilogのソースをベクターあたりで@2000円位で晒した方が、
儲かるような気がするんだけど気のせいですか?
647774ワット発電中さん:2005/05/22(日) 15:13:35 ID:0e1pnUAF
ハードを売る事が商売の基本になってるからな。
648774ワット発電中さん:2005/05/22(日) 15:16:48 ID:05kufrcZ
データだけだと、コピーが出回っちまうからなぁ
649774ワット発電中さん:2005/05/22(日) 15:23:54 ID:sxcRu7yF
なるほど。
そういう見方もありますね。
正味はv9938のIPの値段と考えればいいのかなw
650774ワット発電中さん:2005/05/22(日) 15:57:44 ID:l2mol2hB
つーか、ハードだけの値段で見てもそれほど暴利とは思えんが・・・
651774ワット発電中さん:2005/05/22(日) 16:01:37 ID:HerRdSvV
サイクロ\7000, メモリ\2000,コネクタ\2000 PCB \2000 +ソフト&ドキュメント

と予測してみる。
652774ワット発電中さん:2005/05/22(日) 16:09:56 ID:l2mol2hB
>>651
部品代はもうちょっと安いだろうがアセンブリ代も掛かるし、慈善事業じゃないから
適正な利益は載せなきゃ事業として許されないだろうからね。
まぁ我々FPGA厨としてはMSX厨のおかげで(?)面白い商品が手に入る(かもしれない)
わけでありがたい限りであるよ。
653774ワット発電中さん:2005/05/22(日) 17:41:08 ID:tvwTKYJ2
おまえら何も知らねえんだな

個人の趣味なら50台でも成り立つがメーカーが絡むと今回くらいの金額が無いと動かないんだよ

絵背の中の人も半ば利用されて悔しいだろうね
654774ワット発電中さん:2005/05/22(日) 18:26:50 ID:0e1pnUAF
>>649
動作だけ互換だと正式なIPじゃないだろ。
655774ワット発電中さん:2005/05/22(日) 20:10:07 ID:p5dWmV+R
>>653
わかんないよ。ロイヤリティー10%として1000万円くらいもらえるかも。
656774ワット発電中さん:2005/05/22(日) 21:03:36 ID:WiqJ+5lf
>>649
TMS9918
657774ワット発電中さん:2005/05/22(日) 22:30:09 ID:EZrFi6zM
現在735台
658774ワット発電中さん:2005/05/23(月) 01:04:06 ID:ZAX8rHDF
>>656
MSX2バージョンアップキットにはV9938のIPが入るはずだね。
しかし、すっかり1チップMSXのスレになってしまったなぁ。w
659774ワット発電中さん:2005/05/23(月) 11:01:33 ID:IaPtoGZu
http://image.itmedia.co.jp/pcupdate/articles/0505/09/l_si_msx-03.jpg
こんなバカでかい基板あってもMSX以外に使えないだろ?ユーザが利用できるピン数も40pinってことか?
それじゃ汎用性なんてまるでないんじゃないの?
しかも486相当のHDLが提供されてPC-98ボードとかなら、それはそれで面白いかもしれんが
糞会社が集まってNEC包囲網を作って結局頓挫したMSXなんてそれが今更どうしたって感じ。
西もいつまでたってもアホだな。
MSXネタは他でやってくれ。ジャマだから
660774ワット発電中さん:2005/05/23(月) 21:47:11 ID:esfkBIbJ
クロックデータリカバリー回路難しすぎ。。。
8b10b変換まではわかったけど
やってる人いない?
661774ワット発電中さん:2005/05/23(月) 22:06:31 ID:jnwvOl8F
>660

OPENC*RES.*RG
662774ワット発電中さん:2005/05/23(月) 23:03:58 ID:yDJz1MKb
> MSX2バージョンアップキットにはV9938のIPが入るはずだね。
なんだかなぁ。
それも有料なんでしょ。完成前のソースだったら、Webにあったし。
べつに今さら「お墨付き」なんか要らないよなぁ。

> しかも486相当のHDLが提供されてPC-98ボードとかなら
98ユーザの間からは、そういう動きはないのかね?
> 糞会社が集まってNEC包囲網を作って結局頓挫したMSXなんてそれが今更どうしたって感じ。
つーか、NECは製品化はしなかった、だけの話だけどね。なに吠えてるんだか。
663774ワット発電中さん:2005/05/23(月) 23:24:51 ID:7JoPZlJt
>>660
8b10b変換の資料が見れるところ、教えてください。
664774ワット発電中さん:2005/05/24(火) 00:21:58 ID:9tI9atkV
665774ワット発電中さん:2005/05/24(火) 00:24:14 ID:aJrnuhyh
666774ワット発電中さん:2005/05/24(火) 00:25:09 ID:aJrnuhyh
667774ワット発電中さん:2005/05/24(火) 00:42:44 ID:cKwfTnAy
ありがとう(_o_)自分で設計して遊んでみま〜す。
668774ワット発電中さん:2005/05/24(火) 07:51:45 ID:VIFJ587u
クロックリカバリー
IP買うしかないかな
そんな金ないし。。。
でも、PLLなんか扱ったことないし。。。
うーん
669774ワット発電中さん:2005/05/24(火) 10:02:44 ID:oJzLzSWh
670774ワット発電中さん:2005/05/24(火) 13:25:03 ID:0KGRytkq
8B10Bの特許はもう切れたんだっけ?
671774ワット発電中さん:2005/05/24(火) 13:30:01 ID:ZwhORYFV
>>670
>>669の原典は20年以上前だから、常識的には切れてるはずだよね。
672774ワット発電中さん:2005/05/24(火) 14:04:28 ID:0KGRytkq
調べてみた
USP 4,486,739 (December 4, 1984)
Franaszek, et al.
Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission code

http://patft.uspto.gov/netacgi/nph-Parser?Sect1=PTO1&Sect2=HITOFF&d=PALL&p=1&u=/netahtml/srchnum.htm&r=1&f=G&l=50&s1=4486739.WKU.&OS=PN/4486739&RS=PN/4486739

出願日がJune 30, 1982で、特許権は出願から20年or権利化から17年の遅い方だから
どっちにしてもexpireしてますね。
673774ワット発電中さん:2005/05/24(火) 15:18:54 ID:cKwfTnAy
ベースとなる技術(?)が頭にたたきこまれてないから、
8b10bの英文よめんかった…orz
674774ワット発電中さん:2005/05/24(火) 23:51:24 ID:aJrnuhyh
最近はシリアル通信と言えば埋め込みクロックが当たり前みたいになってきてるからな
調歩同期だクロック同期だと言っていたのがだいぶ遠くなってきた気がする
675774ワット発電中さん:2005/05/25(水) 01:09:31 ID:nzDDSh9r
すごい初心者ちっくな質問でスマソ。
趣味でこれからFPGA触ってみようと思うんだけど、
どのくらいのゲート数でどんな規模のものができるのかがピンと来ません。
とりあえず、モーターのPID制御でもやってみようかなと思ってるのですが、
趣味レベルでは何ゲートくらいあったら十分でしょう?
digikeyみると色々種類があって迷う orz
676774ワット発電中さん:2005/05/25(水) 01:16:09 ID:5xkPRWoa
>>675
ヒューマンの基板から入れ。
取り敢えずCPLD辺りから入って感覚を掴むところから入らないと
説明しても理解できないだろう。
677774ワット発電中さん:2005/05/25(水) 01:23:52 ID:jsjSz8pR
>>675
PID制御ってFPGAでできるの?
ソフトでやるイメージなんだけど
どれくらいのLCでできそう?
678774ワット発電中さん:2005/05/25(水) 01:43:51 ID:hIZJptbM
CPUでやることはみんなFPGAでできる。CPUも作れる。GHzでは動かんけど。
679774ワット発電中さん:2005/05/25(水) 06:46:26 ID:cBYTkSkz
PID制御の古典的手法はアナログでopAmpでやるって感覚の
俺はもうジジイってことなのだろうか?
680774ワット発電中さん:2005/05/25(水) 07:17:24 ID:jsjSz8pR
>>678
まあ、できるできないで言えばできるんだろうけど
どんな構成になるのかとかちょっと興味あるんで
681774ワット発電中さん:2005/05/25(水) 19:35:12 ID:LfyvbEeN
CPUコア内臓のFPGAのキット(開発環境含む)で、最も安い物はいくらぐらいするのだろう。
個人じゃやっぱミリ?
682774ワット発電中さん:2005/05/25(水) 20:48:55 ID:TWfBr5fY
>>681
19800円
683774ワット発電中さん:2005/05/25(水) 23:58:40 ID:TnUsjrKL
FPGAのコンパイル時間、どうにかならんものかねぇ。
PIII−800Mのマシンでは1分2分はざらだ。
今時のPCだとさくさくとコンパイルできるかなぁ。
684774ワット発電中さん:2005/05/26(木) 00:16:45 ID:kzUBT0+L
1分2分は「あっというま」という感覚になっちゃってるんだけど
Athlon64 3200で10分ぐらいでできる程度に分割して設計
フルセットでコンパイルすると数時間単位なのが普段になってる
周波数出すためにシード振るとさらに時間かかるし
685774ワット発電中さん:2005/05/26(木) 00:22:35 ID:g/+vN1wc
今時のPCだとさくさくだよ
686774ワット発電中さん:2005/05/26(木) 00:35:08 ID:MUdn8YAj
最近の国内FPGA勢力はどちらが優勢なの?1年前までS社の大崎で
働いていたんだがその時は9対1でALTERAが優位だった。
H社へ来たらXILINXが多いような気がする。勢いが変わったのかな。1年ぶり
のFPGAなので状況がわからん。ま〜近々営業さんに会うのだが・・
営業の口からではない状況が知りたいんだよね。
687774ワット発電中さん:2005/05/26(木) 00:44:58 ID:g/+vN1wc
>>686
周期的に行ったり来たりって感じだよね。
比較的低価格のデバイスだと、過去から
Xilinx: XC2000 XC3000 XC4000
Altera: EPF8000
Xilinx: XC5000
Altera: EPF10K EPF6000
Xilinx: Spartan/-2/-2E
Altera: Cyclone
Xilinx: Spartan-3
Altera: Cyclone 2
って感じか。モデルチェンジ周期が微妙にずれてるからね。
プロのエンジニアであれば、両方使いこなせなければはっきり言って失格。
688774ワット発電中さん:2005/05/26(木) 06:53:25 ID:MUdn8YAj
今時点での勢いはALTERAなのか。
689774ワット発電中さん:2005/05/26(木) 06:56:38 ID:HQsC2zCj
大崎のS社だと デバイスの性能よりも鉛フリー対応の方が重要だから
1年前ならそんな感じだろう。今はどんな感じなんだろ?
690774ワット発電中さん:2005/05/26(木) 07:01:13 ID:o0FDlUjk
今年厚木のS社の仕事はA社(指定)を使いました。
691774ワット発電中さん:2005/05/26(木) 12:45:06 ID:Bia0uvxo
1分2分 って、えらい小規模だなー。 俺は1時間くらいざらだが。
それでも初期の頃のFPGAに比べたら速くなったもんだと思ってる。
昔、罪のザクトでやってた頃は、1昼夜2昼夜はざらだった。
692 ◆YMO/ALTERA :2005/05/26(木) 12:55:20 ID:ms4YOkYR
この分野だけは、超っ速環境が必須だのー。
(オススメは、高クロックのAthlon64かな)

SMP対応とか、分散対応とかは、サードパーティツールでは対応してるの?
俺っちアマチュアなので、フリー版が精一杯。
693774ワット発電中さん:2005/05/26(木) 15:21:47 ID:e2Fk/TXc
>>691

PCがへたれなんじゃないの?
後はFPGAを貧乏臭い使い方してるとか。
694FPGA初心者:2005/05/26(木) 20:17:57 ID:IW7gzb6B
とつぜんですみませんが、FPGAについて質問をさせてください。
私は、FPGAでの開発を3日まえくらいから独学で始めたのですが、
なんせ初心者なものでわからないことだらけです。
SFLという言語で回路を作成し、コマンドラインでVHDLへ変換、
QuartusIIでシュミレーションし、USBでFPGAへロードという手順
で作成しています。FPGAはアルテラのサイクロンUで、ボード自体
は学校の特注品でけっこう高価なものみたいです。
そこで質問なんですが、シュミレーションで動作は正しいことが確認
できましたが、ボードで動かすと、反応しません。この理由としては、
クロックが正しく動作していないと思うのですが、ピン配置はサンプル
プログラムのように正しく指定していると思います。
そもそもクロック信号はピンを指定するだけで大丈夫なのでしょうか?
初心者なので、なんかトリックでもあるのかなぁとも思っています。
分かりにくい質問だと思いますが、どんなアドバイスでもいいので
だれかよろしくお願いします。
695 ◆YMO/ALTERA :2005/05/26(木) 20:27:38 ID:BrhU4wj/
>>694
この場合の反応ってなんだね?
その基板にはLEDドライバの類は載ってるか?
あと、基板付属のサンプルは完動したか?
696774ワット発電中さん:2005/05/26(木) 21:13:44 ID:LemZlmN/
>>694
>シュミレーションで動作は正しいことが確認できましたが、ボードで動かすと、反応しません。
原因は山ほど候補がある。
>この理由としては、クロックが正しく動作していないと思うのですが、
根拠は?

学校にオシロあるならクロックの波形見てみ。
ないなら、
クロックがなくてもLEDが点灯するソースを作って確認。
クロックがなくてもLEDが消灯するソースを作って確認。
うまくいかなければクロックの問題の可能性は低い。
697774ワット発電中さん:2005/05/26(木) 21:31:33 ID:TDLdTuVD
ボード自体を疑うなら、ダウンロードするだけで動くサンプルとか
学校ならクラスメートの作った動作確認済みの回路とかあるだろう
その辺も含めて原因を絞り込んでいかないと
今のままではいろいろな原因が考えられすぎて、答えようがない
698FPGA初心者:2005/05/26(木) 22:02:14 ID:IW7gzb6B
遅くなりました。みなさん、書き込みありがとうございます。

このボードは今年の2月に学校に入ったらしく、先生方を含めて
誰も扱ったことがなく、ボード作成会社のサンプルプログラム1つ
と、英語の仕様書があるくらいです。それを、私を含め、二人の学生
に渡し、ゲームを作成するという目標で、期限は1ヶ月でやりなさいという
ことなのです。そんなむちゃくちゃな(;_;)って感じです。サンプルはちゃんと
動いていますが、ソースがVerilogで長くて初心者の私には理解できませんでした。


ボード概要としては、
FPGA部  :アルテラ Cyclone デバイス 型番:EP1C20F400C8
LED,7セグ :1608タイプの緑LEDを8個と緑7セグを6桁
USB、メモリ、キーパッド、汎用スイッチなど

作った回路は至って簡単なカウンタです。プログラムソースとしては、
output dout<8>;
reg cnt<8>;

dout <= cnt;
//C風に書くと、
for(;;) {
cnt++;
if( cnt == 0xff )
cnt <= 0x00;
}

こんな感じで、doutを8つのLEDにつなぎ(ここのピン配置は確実にあっている)、
LEDの変化を見て動作を確かめている段階です。しかし、このLEDが常に8つとも
光ったままなのです。LEDはアクティブローみたいで、doutをつないでいるので
初めは0x00なので光り、だんだんと光りが減っていくはずなのですが、常に光った
ままなので、クロックがちゃんと伝わっていないんだと考えました。
699774ワット発電中さん:2005/05/26(木) 22:19:20 ID:MPaBs3Da
おいおい?
クロックはいくつなの?
700683:2005/05/26(木) 22:20:39 ID:JBxK8/yT
そうか・・・世間ではウン時間かけるのが普通なのか・・・
Cycloneの最小規模で15%しか食わないような代物で四苦八苦してる俺には想像できねー!

>FPGA初心者
クロックがチョッパヤなので、LEDは実際には点滅しているのだが点灯しっぱなしに見える、
という状況と見た。
701774ワット発電中さん:2005/05/26(木) 22:22:32 ID:MPaBs3Da
↑ストラとか使っている人はコンパイル中に一服とかよくやっているよ
702FPGA初心者:2005/05/26(木) 22:31:58 ID:IW7gzb6B
クロックは25Mhzだと書いてあった気がします。
あと、速すぎて常に光っているように見えるのかなとも思い、
dout <= NOT cnt;
として初めに光らないようにしました。すると、今度は目で見て何も
変化がありませんでした(光らない)。ということは、クロックが伝わっていない
?これならば、速すぎても光るはずですよね?
とういか、クロックは発振器なんかを作成せずとも、ピンさえ指定すれば普通はいいん
ですよね?
703 ◆YMO/ALTERA :2005/05/26(木) 22:34:23 ID:1FrK1Acx
>>698
豪華な基板だなおい。

ピンアサインがちゃんとできてないってオチじゃあるまいな?
まずはクロック使わず、LEDを定数項でプルアップ/プルダウンする
論理をつくってみては?

そのつぎが、LEDドライバとスイッチを直結する論理。

そのつぎが、クロックジェネレータを使ったもの。

お楽しみはそれからだろ。
それでも使いこなせないようだったら、その基板俺にロハで( ・∀・ )ヨコセ!
704 ◆YMO/ALTERA :2005/05/26(木) 22:36:53 ID:1FrK1Acx
>>702
クロックはどう分周してるんだい?
705FPGA初心者:2005/05/26(木) 22:37:12 ID:IW7gzb6B
25MHzです。私も初めはそう思い(後からですみません)、
dout <= NOT cnt;
と変更して確認しましたが、今度は何も光りませんでした。
速すぎても、これならば光るのが確認できるはずですよね?
本当に初心者なのですみません。
706774ワット発電中さん:2005/05/26(木) 22:41:57 ID:LemZlmN/
>>702
まず情報を小出しにするのは止めよう。
少なくとも >>694 の情報ではアドバイスしようもない。
君から出た情報をまとめると
・何かしらFPGAのキットを使って動作させようとしている。
・サンプルプログラムは動いた
・dout <= cnt ならばLEDが光り、dout <= NOT cnt ならLEDは消灯する。

で、今の質問は
>クロックは発振器なんかを作成せずとも、ピンさえ指定すれば普通はいいん
>ですよね
サンプルプログラムは動いたということなら、それでいい。
707FPGA初心者:2005/05/26(木) 22:42:11 ID:IW7gzb6B
>>704
クロックを分周はしていません。というか、PLLなどもよくわかりません。
電源を入れれば、初めに設定されているクロックが入ってくるんではないのですか?
708774ワット発電中さん:2005/05/26(木) 22:48:45 ID:VDq9ocsf
>>702

もうちょっとおちつけ。

LEDの点滅なら、50%デューティで10Hzとか5Hzぐらいまで落とさないと解らないよ?
709774ワット発電中さん:2005/05/26(木) 22:50:05 ID:jRxu29s7

(^_^) 初心者に優しインターネットでつね
710708:2005/05/26(木) 22:50:41 ID:VDq9ocsf
あ、勿論、目視で確認する場合ね。
711FPGA初心者:2005/05/26(木) 22:53:21 ID:IW7gzb6B
申し訳ないです。本当に右も左もわからないとこからスタートしたので、
感覚のみでやっています。みなさんのアドバイスでかなり勉強させてい
ただきます。

クロックを遅くするにはどのような方法をとればよいのでしょうか?
712774ワット発電中さん:2005/05/26(木) 22:54:31 ID:MPaBs3Da
落ち着いてまずはschematicsで入力に押しボタンSW,出力にLEDでもつないでみたら?
713774ワット発電中さん:2005/05/26(木) 22:55:52 ID:jRxu29s7
>>711
カウンタは作れる?
714774ワット発電中さん:2005/05/26(木) 22:57:26 ID:LemZlmN/
>>711
というよりも・・・

さっきも書いたとおり
>クロックは発振器なんかを作成せずとも、ピンさえ指定すれば普通はいいん
>ですよね
サンプルプログラムは動いたということなら、それでいい。
それでいいが、情報が全て事実なら君の考えている通り発振が届いてない可
能性も少なくない。
クロック遅くするより何よりその確認が先決。オシロはないのか?
715FPGA初心者:2005/05/26(木) 23:01:17 ID:IW7gzb6B
すみません、schematicsがわかりません。教えて頂けないでしょうか?

カウンタと言われると、16進カウンタとかですか?動作速度を考えなければ
作れるとは思います。
716FPGA初心者:2005/05/26(木) 23:06:55 ID:IW7gzb6B
774ワット発電中さん、私の質問に付き合ってくれてありがとうございます。

サンプルプログラムは確かに、トップモジュールではクロックのピンを指定
していますが、その下のモジュールではどのような操作をしているのかは理解
出来ませんでした。

オシロスコープはありますが、2年前に実験で使って以来使っていませんので
ちゃんと使えるかも微妙ですね。
717774ワット発電中さん:2005/05/26(木) 23:18:17 ID:MPaBs3Da
おいらはまずクロックについては忘れてスタティックな動作の確認をした方が良いかと思うぞ。
別にオシロなんか要らなくて、LEDが付いているのでそれで充分だと思う。
具体的には2つのLEDのうち片方を’1’、もう片方を’0’にする。
で、予定通りの動作になる?
718774ワット発電中さん:2005/05/26(木) 23:21:58 ID:LemZlmN/
>>716
まず分周しろといっている人は >>698
>常に光ったままなので
に反応しているのです。源振でカウンタそのまま回したら、
速すぎて光りっぱなしに見えるから。
ところが dout <= NOT cnt に変えたら今度は光らなかったと
いうなら、いくら分周しても正常動作する見込みは薄いです。

オシロがないなら、
後は >>712 さんの言うような方法が問題の切り分けにちょうどよいと
思われます。
ボードにスイッチが付いているようなら
dout <=sw;
こんな感じでクロックを噛ませずに出力してみる。
LEDが反応するようなら今度はswをFFでいったんラッチしたも
の(クロックでサンプリングしたもの)を出力してみる。

簡単に>>717さんの方法でも良いかも。
719<!-- Begin badge -->:2005/05/26(木) 23:25:59 ID:IW7gzb6B
>>717
なるほど!!その方法で試してみます。しかし、すぐにでも確認してみ
たいのですが、もう帰宅してしまって明日学校に行ってからでないと出
来ないんですよね(;_;)本当に申し訳ないです。

話は変わりますが、当面の目標としては、VGA制御回路を作成してディスプレイ
に色を出すことですね。実は、ここから作成し始めましたが、全く反応なしだった
のでここまで戻ったんです。
720FPGA初心者:2005/05/26(木) 23:47:22 ID:IW7gzb6B
みなさん、アドバイス有難うございました。
明日、いろいろとやってみてまた夜に結果報告に現れます(^_^)
721774ワット発電中さん:2005/05/27(金) 00:24:29 ID:Xm9AMHxi
いや,もう聞きたくない
722774ワット発電中さん:2005/05/27(金) 00:30:12 ID:yArHJgx8
最低限の知識がない教えてボケにも親切な板だなぁ。
死ね、で終わるのが普通だぞ。
723774ワット発電中さん:2005/05/27(金) 00:39:19 ID:1eReoTOh
ソフトより格段にやってる人間が少なくて、書き込みも少なめだから
"死ねボケ"ではスレが冷めるわけよ。
724774ワット発電中さん:2005/05/27(金) 00:39:27 ID:x7lyPbHX
初心者こそひとつひとつオシロで確認しながらやったほうが間違いないぞ。
まずオシロの使い方をおぼえれ。
725774ワット発電中さん:2005/05/27(金) 02:46:59 ID:AP7d+zxn
お前らどこまで釣られたら気が済むんだ。
726774ワット発電中さん:2005/05/27(金) 09:04:10 ID:ffhHm3YQ
俺も30超えておもうんだけど、こういう手順を新人が来るたびに
教えないといけないわけよ。なんか楽な方法ないかな〜とは常日頃思う。

VBでアプリ組むのに比べると最初の一歩が難しすぎる。
英語でもいいんだけど、何か良い教科書ないかな。
727774ワット発電中さん:2005/05/27(金) 10:47:09 ID:ffhHm3YQ
これだとオシエテクンだ。

http://www.amazon.com/exec/obidos/tg/detail/-/0792374398/ref=pd_sxp_f/104-3067436-8785552?v=glance&s=books
この本は結構良い本だった。
既存のボードを使ってどうLEDを制御するかが、MAX+Plus2を使ってわか
りやすく書いてあった。

けど、そもそもどういう状態(電圧、電流)で、LEDがつくのかっていうのが書いてない。
だからLEDが動かないときに、オシロを使うっていう発想がでてこない。
パソコンに向かっていろいろ設定変えるのもいいけど、そのまえに自分の目の前で
おきている現象をよく観察して、理解してほしい。

そういう力がつく本ないですか?
728774ワット発電中さん:2005/05/27(金) 11:12:46 ID:Bs9l1pVL
質問した香具師は高校生か釣だろ?
真剣に反応すんなよ。調べる気になればツールを説明したHPなんていくらでもあるじゃん。
CQ出版からも200X版と称したFPGA/PLD説明本が出てるし。
729774ワット発電中さん:2005/05/27(金) 12:14:20 ID:ZjZ+2lJ9
非同期シリアルで、PLLを使わないで、
うまく同期をとる方法ないですか?
730774ワット発電中さん:2005/05/27(金) 12:29:44 ID:pSiNVM53
>698
> ゲームを作成するという目標で、期限は1ヶ月でやりなさいという

↑この時点で既になんか間違ってるような、初心者にはね。
731774ワット発電中さん:2005/05/27(金) 12:34:24 ID:LUANkxKv
↑数当てゲームとかでないの? これなら新人の教育用に俺もやらせた事あるが・・・
732774ワット発電中さん:2005/05/27(金) 12:47:11 ID:Bs9l1pVL
ゲーム製作会社ならこんなゲームは作ってはいけませんと言う悪しき見本だな。そんなゲーム
733774ワット発電中さん:2005/05/27(金) 13:05:46 ID:UBlpEhN6
オシロ使えないやつがVGA信号発生回路作れるわけがない

終了
734774ワット発電中さん:2005/05/27(金) 13:41:30 ID:mSwn1zKt
とりあえず虫の良い話らしいので叩いておこうか。

バシャ
735774ワット発電中さん:2005/05/27(金) 14:11:31 ID:Bs9l1pVL
今月号のトラ技に載ってたRCFって実際使ってる香具師居る?
順序回路に頼らざるを得ない場合はFPGAよりずっとスマートだし、開発効率も高そうなんだが?
これってCPU/DSPアレイという解釈で合ってる?
736774ワット発電中さん:2005/05/27(金) 14:39:09 ID:x7lyPbHX
>>735
確かモーホテクノロジwの奴だよね。
トラ技の記事は読んでないのだが、この手のって開発環境が凄い高価って
印象がある。その辺どうなのかな。
737774ワット発電中さん:2005/05/27(金) 19:50:51 ID:rO+V+oGS
>>729
十分高いクロックでサンプルして・・・
738774ワット発電中さん:2005/05/27(金) 20:50:00 ID:ZjZ+2lJ9
>>737
やっぱりそれしかないかな。。。
いちおうクロックは40Mあるから、8倍くらいでオーバーサンプリングすればいいか

それにしても高速型232Cなんて
進歩ないな、俺orz
739774ワット発電中さん:2005/05/27(金) 23:19:40 ID:dBHR6tlV
PLL駄目なんだろ?
じゃ、DLL使え。
740774ワット発電中さん:2005/05/27(金) 23:59:01 ID:Up1SQvk3
>>738
何に使うつもりか知らないけど
RS232Cがなぜそれほど高速にできないのか考えて
あまり無理しないでね
741774ワット発電中さん:2005/05/29(日) 11:06:33 ID:3+SzBwpd
買っておいたスパルタン3のスターターを使って遊ぼうとおもったんですけど
FPGAの書き込みがうまくいかない、、、、、、、
チュートリアルとか、そういう初心者向けの資料がある場所があれば、
おしえてもらえませんか?
742774ワット発電中さん:2005/05/29(日) 12:41:47 ID:un4DQ719
まずはここでしょうね。

www.fpga.co.jp/

こんなずばりのドメインが最近まで空いていたとは。
743774ワット発電中さん:2005/05/29(日) 12:52:03 ID:un4DQ719
久しぶりに覗いたら、"軽石"はここでも説教たれてるのか...。
暇なやっちゃ。
744774ワット発電中さん:2005/05/29(日) 20:35:09 ID:NMc8U9gn
あまり血の巡りが良くない奴が粘着ってるな
745774ワット発電中さん:2005/05/30(月) 00:55:45 ID:psfuT6SJ
頭が軽石。
746774ワット発電中さん:2005/05/30(月) 03:11:36 ID:QqM+4LgL
>>743
だれが軽石か教えてよ。スポンジ君
747774ワット発電中さん:2005/05/30(月) 08:40:01 ID:oOPqz1/K
>>746

軽頭本人キター!!
748774ワット発電中さん:2005/05/30(月) 12:14:29 ID:bwUawyIX
説教だけの軽石頭よりも、スポンジ君の方が何かと吸収しそうでイイ!
749774ワット発電中さん:2005/05/30(月) 22:34:39 ID:kMu8m2L4
合成完了
疲れた
もう帰る
配置配線はまた明日
750774ワット発電中さん:2005/05/30(月) 23:51:11 ID:SEMCvXZk
合成できたものの、
配線遅延で涙呑む。
751774ワット発電中さん:2005/05/31(火) 00:58:22 ID:mJKS0Txj
too many terms..が懐かしい。
752774ワット発電中さん:2005/05/31(火) 11:21:30 ID:CO8f6YLf
>>アルテラのセミナー行った人
Nios2開発キットの割引券貰えた?
割引券目当てに6/7参加するか考えてます。
753774ワット発電中さん:2005/05/31(火) 14:21:33 ID:GBZKOl0p
そんなベテランの方々に質問です。
16550互換機能をFPGAで作ってちょんまげ、と依頼があった場合、貴殿ならどのくらい工数を見積もりますか?

私の場合シミュレーション検証を入れて3W(土日含まず)といいたいのですが、ノロマすか?
754774ワット発電中さん:2005/05/31(火) 15:16:09 ID:C04u86nu
www.opencores.orgでウマー
755774ワット発電中さん:2005/05/31(火) 15:16:50 ID:XJp+NG6K
ここで聞いていいのかどうか分からないけど…
alteraのサイトでMAX+PLUSUのライセンスをダウンロードしたいんですが、
PCのシリアル番号を登録してメールアドレスを打っても送られてきません。
前に一度「学生および大学向け MAX+PLUS II」のほうのライセンスをDLしてしまったんですが、
これだとうまく動かなかったので再度落としたいんですが、一度登録すると二度とそのPCではDLできないんでしょうか?
どうすればいいでしょうか
756774ワット発電中さん:2005/05/31(火) 15:29:41 ID:uhiT2bCF
>>753
そんなもんでしょ。
特急料金で2ヶ月くらい請求できりゃ1週間でもやるけど。w
757774ワット発電中さん:2005/05/31(火) 18:28:19 ID:OWDjVCw5
>>755
苦楽しろ
758774ワット発電中さん:2005/05/31(火) 18:29:16 ID:OWDjVCw5
念のため。
クラックしろとは言ってないぞ。
苦楽するんだ
759774ワット発電中さん:2005/05/31(火) 18:38:29 ID:hAJgdKiz
>>752
今帰ってきたとこ。
NiosII評価キットの割引券はもらえなかったけど、
alteraのホームページで登録すれば最大80%offで買えるって
ちいさなリーフレットが入っていたよ
760774ワット発電中さん:2005/05/31(火) 19:30:31 ID:QO/mUBMb
最大80%オフってサイトを探してもどこにもないんだけど
761 ◆YMO/ALTERA :2005/05/31(火) 20:45:59 ID:C4pg47Zd
>>755
在るのライセンス鯖はときどき落ちるよーな希ガス
急ぎでないなら粘れ、半日程度の間隔を置いて再リクを。
ところでmax+2じゃなくてquartusiiじゃ、ダメなのかい?

quartusじゃ非サポートのデバイスがあったようなキモする…
762774ワット発電中さん:2005/05/31(火) 23:00:31 ID:oigJif9U
回路を小さく作るテクニックはどうやって覚えた?
リソースを使い切るフィッティングのコツとか
いろいろあがいているけどいまいちよくわからん・・・
763774ワット発電中さん:2005/05/31(火) 23:11:55 ID:uhiT2bCF
「リソースは使い切らんよね」
「うん、リソースは使い切らんよ」
764774ワット発電中さん:2005/06/01(水) 09:20:48 ID:BK4zMMFn
>>753
普通の仕事ならそんなもんでしょ。
16650も結構機能あるから、仕様の洗い出しとドキュメントで1Wは取られると思う。
実際書いてシミュレーションしてFPGAで動かして1W。
あとはお客が求めている試験しだいで+1〜2Wかな。

実際には16550Aでいいんですよね、とか、16倍のクロックは外から入れるのか、
IPコア内部でDCMなりPLL使って良いのかとか、あるターゲットだけで動けば
よいのか、よそへのポーティングを考えているのか、テストの範囲を明確にするとか
とかそういう細かい詰めが一番手間だと思われ。

とりあえず、社内的に今の基板でシリアル動かさないといけないから急いで作れ、
って言われたら>>756の言うように、一部俺様仕様で1Wくらいかな。
765774ワット発電中さん:2005/06/01(水) 14:25:17 ID:gtSOMJLI
フルエミュレートかどうかでもけっこう違ってくると思う
客先がカチカチにインターフェイスを固めてくれていた方が楽かも
766774ワット発電中さん:2005/06/01(水) 21:40:44 ID:OhYolERQ
某JTAGがヴァージョンUPでつよ
買え
767774ワット発電中さん:2005/06/02(木) 00:34:15 ID:aqtpB2cn
やだ
768774ワット発電中さん:2005/06/02(木) 20:16:01 ID:8I3D5MnQ
金儲けに走っても止む無しだな
769774ワット発電中さん:2005/06/03(金) 15:24:27 ID:vHFDZOID
体験版すらないのか。
770774ワット発電中さん:2005/06/03(金) 18:06:35 ID:OytMexOr
すみません、一つ質問があるのですが、ご存じの方、教えてください。

Foundation ISE 6.3.0.03iで、インプリメントしたら、次のような
warningメッセージが出ます。この意味はいったい何なのでしょうか。
「文字変換エラー: "Unconvertible UTF-8 character beginning with 0x8b" (行番号が小さすぎる可能性があります)」
行番号と言われても、回路図で入力しているので、何が行番号なのか
わからないのです。

もう一つは、
このXilinx ISEについてくる回路図エディター(けっこう使いにくい)の
取説の日本語版というのは、ありますでしょうか?
Xinlinxのページを見に行ってきましたが、日本語版は見つけられませんでした。

どうぞ宜しくお願いします。
771774ワット発電中さん:2005/06/03(金) 19:47:11 ID:nT0wUs0u
だせえからフォルダ名とかファイル名に漢字使うなよって意味だろ たぶん
772774ワット発電中さん:2005/06/03(金) 21:08:53 ID:OytMexOr
>>771
なるほど、了解しました。たしかに漢字を使っていました。
ありがとうございました。

(でも行番号っていうメッセージが.......うーん、変なの)
773774ワット発電中さん:2005/06/03(金) 22:20:56 ID:J8QtxRYq
ソフトも家電製品も、想定外の使い方をした場合は動作保証してくれないの!
774774ワット発電中さん:2005/06/03(金) 22:26:54 ID:sEJsNDsg
クレーマーが無くならない理由がわかるスレ進行ですな。
775774ワット発電中さん:2005/06/03(金) 22:52:24 ID:MD3PpZZt
ハードウェアもMSのソフト並にしょうがない&再起動で
ゆるしてもらえると楽なのに…とか言ってみる
776774ワット発電中さん:2005/06/03(金) 23:21:49 ID:cLlaWrLu
>>773
ソフトなんて想定内の使い方をしたって動作「保証」はしてくれないだろ。
特別な契約でもしない限りね。
777774ワット発電中さん:2005/06/04(土) 16:36:40 ID:TaWXIEYT
770=772は脳内フィルタによってメッセージの英語部分は見えないんだろうね

文字変換エラー: "fjksldfsdakfl;sdふじこ" (行番号が小さすぎる可能性があります)」
って見えてるのかな?
778774ワット発電中さん:2005/06/04(土) 20:51:08 ID:y/KOlRqE
てか、その「行番号」なんたらって、どこをどう訳してるの?
779774ワット発電中さん:2005/06/04(土) 23:24:18 ID:tSexL4Cp
これ買った人報告よろ。
ttp://strawberry-linux.com/products/spartan2/
780774ワット発電中さん:2005/06/04(土) 23:30:25 ID:Sh2LoFD0
まともな訳があると思ってる時点で終了
781774ワット発電中さん:2005/06/05(日) 00:14:50 ID:lAfAjR95
Quartus2に付属しているタイミングシミュレータと
Model Simみたいな本格的なシミュレータと
機能的にどういう違いがあるのでしょうか?
782774ワット発電中さん:2005/06/05(日) 00:17:43 ID:Wmps+EkP
>>781
テストの詳細をHDLで記述できるかどうか、かな。
783774ワット発電中さん:2005/06/05(日) 00:50:17 ID:7fvVSeoP
Model Sim=論理回路上のシミュレータ
Quartus=FPGA内の配線を考慮したシミュレータ
Model Simで動いてもquarutusで動かないことは初心者には良くある。
784774ワット発電中さん:2005/06/05(日) 00:53:28 ID:Wmps+EkP
>>783
でもQuartusで決まった配線遅延はModel Sim側へback annotationできるべ?
785774ワット発電中さん:2005/06/05(日) 00:59:15 ID:7fvVSeoP
>>784
そんなこと出来るの?実はModel Simはあんまり使ったことない。
786774ワット発電中さん:2005/06/05(日) 01:49:29 ID:Ojs/K1Yl
今頃SPARUTAM2なんかイラネ
787774ワット発電中さん:2005/06/05(日) 07:43:59 ID:llzisgZB
>>783
お前、全く知らないのに知ったかぶりして間違いだらけのレスつけるな。
お前はQのおまけテストベンチ作成ツールでお遊びしてるのがお似合い。
788774ワット発電中さん:2005/06/05(日) 21:51:40 ID:6zBjLtf/
>>779

全然売れてないでしょ。
SP2だし自前のツールは1年前から開発中じゃね。
彼は自分の専門で無い所にあちこち手を出しすぎて収集付かなくなってるのでは?
789774ワット発電中さん:2005/06/05(日) 22:48:17 ID:Kd3tT/H2
配置配線の結果を入れられないなら
Model Simの方が使えないツールと言うことになってしまう気がするけど

それともHDLでテストベンチを作成して、 Model Simにかけて、論理合成後のファンクショナル・シミュレーションをする
そのあとでQuartus2のシミュレータで配置配線の結果も考慮したシミュレーションをする、と言うことですか?
790774ワット発電中さん:2005/06/05(日) 23:39:17 ID:IUYxzPcY
SP3L+SH tiny+SDRAMなら買うぞ
791774ワット発電中さん:2005/06/06(月) 00:02:05 ID:oHNFzIyn
>>789

だからさぁ、ModelSimには2種類のシミュモードがあって、
1つ目はデバイスに依存しないRTLレベルのファンクションチェックで使用するファンクションシミュレーションと
2つ目は配置配線ツールで実デバイスへの配置を行った遅延情報を元にシミュレーションを
行うゲートレベルのシミュレーションがあるわけよ。
792774ワット発電中さん:2005/06/06(月) 00:42:00 ID:pdJduQ8n
ファンクションSimとタイミングSimの意味を知らない。
ファンクションSimだけならフリーのシミュレータでもそこそこいける。
問題はタイミングSimの方で
メジャーなシミュレータだけが、デバイスメーカから論理合成/配置配線後の遅延情報を提供してもらえる。ここら辺のファイル形式とか
インターフェースが統一されると状況は変わるんだが、今のところフリーシミュレータだとファンクションSimしか使えない。
ファンクションSimしかできないシミュレータなんて意味がないから、
ソフトウェア言語なんかと違っていつまでたってもフリー文化が根付かない。
関係ないけどModelsimのマンマシンインターフェースの方はコロコロ変わるね。マイナーバージョン変更で変えるのはいい加減やめて欲しい。
793774ワット発電中さん:2005/06/06(月) 00:50:14 ID:WnmVCJ3l
SDF
794774ワット発電中さん:2005/06/06(月) 01:03:33 ID:oHNFzIyn
というかなんでもかんでも無料にすれば良いという物では無い気がするけど。
ゲートレベルシミュレーションソフトが無料であったとしても
使うのは学生や趣味の人だけじゃないの?
FABはそんなので検証したデータは受けとらないだろうし、
一般企業が回路データを提出する時に「○○(無料ツールの名前)で検証してあります」
なんて恥ずかしくて言えないしね。
795774ワット発電中さん:2005/06/06(月) 01:14:14 ID:pdJduQ8n
IcurusはEDIFをサポートしててXilinxから情報入手してるって書いてるね。
フリーウェアのタイミングシミュレーションは最近全然チェックしてないので上に書いたこと間違ってるかもしれん。脳内マスクして
796774ワット発電中さん:2005/06/06(月) 01:17:33 ID:Gvs3P93f
割り込んで申し訳ないが、フリーのverilog文法チェッカーってない?
Icarusでシミュレーションしてるんだけど、結構文法チェックあまいんで…
797774ワット発電中さん:2005/06/06(月) 01:22:51 ID:pdJduQ8n
検証は、現状できるフリーウェアがないからやりようがないが、
gccとかフリーのコンパイラ、フリーのインストーラを使った商用ソフトウェアならいくらでもある。
ちなみにインテルコンパイラだってロハだ。
798774ワット発電中さん:2005/06/06(月) 01:28:33 ID:pdJduQ8n
>>796
あったら俺も知りたい。
現状は論理合成ツールでチェックしてたりする。
時間かかるんだよな。でも、知りたいのは文法だけじゃないのでしゃーないけど。
商用の合成ツールを評価モードとかでロハで使えない?
結局、Icarusってどこまでのシミュレーションできるのか教えて。
799774ワット発電中さん:2005/06/06(月) 06:50:39 ID:BiQidP6c
アルテラが超がんがってモデルシム並みのシミュレータを
ただでつければ誰も文句言わないのに
石屋は石で儲けろと
800774ワット発電中さん:2005/06/06(月) 07:44:09 ID:ifRYPBEI
評価版になるけど、アイデンティファイは、文法チェッカとしてそこそこ使えた
801774ワット発電中さん:2005/06/06(月) 07:47:54 ID:ifRYPBEI
>>792
基本的な操作(コンパイル、ライブラリの設定、ロード、waveへの登録)などを
コマンドラインからやるようにしたら、あまりバージョンアップの影響を受けなく
なったよ。
もっと初見でも使いやすくしてほしいというのは同意。

ASICの人たちは、シミュレーションばっかりやっている人も多いけど、FPGAで
食っている僕たちはシミュレータ以外にも、使わないと行けないCADがいっぱい
あって大変。

ただ、Modelsimよりも、クオータスとか、Niosとか、EDKとかの方が、全然ひどい。
802774ワット発電中さん:2005/06/06(月) 15:48:49 ID:mhDO2gDl
6.0はよかったけど6.0dになってシミュレーションの開始、停止ボタンがそれまでのwaveの方から本体の方に移動となった。
finishをきちんと書かず、適当なところで波形見ながらstopさせる癖があったので、停止させたいところでマウスカーソルを
大きく移動させる羽目になってめちゃ面倒に思ってる。ところでModelsimは随分前から対応してたけど、SynplifyもSystemVerilogに
対応してたんだね。使ってみよかな。ISE/Quartusは対応してないから下手に手を出すとはまるかな?
803774ワット発電中さん:2005/06/06(月) 17:03:02 ID:gwdFdjLx
stableなmodel simが欲しいです
804774ワット発電中さん:2005/06/06(月) 18:08:23 ID:mhDO2gDl
>>801
300百万もするので風当たりも強くなるのかと?

>>803
3ヶ月周期のバージョンアップかbug fixか知らんがマジ何とかして欲しいな。FPGAツール全般だけど。
新しい言語への対応も終わって、もうちょっと落ち着いてくれるかな?
805774ワット発電中さん:2005/06/07(火) 16:09:58 ID:U6TenStO
>>802
はまって、レポよろしこ
806774ワット発電中さん:2005/06/07(火) 16:30:37 ID:q2kHJRS9
XILINXのCoolRunnerって良さそうなんだけど、使ったことある人使用感教えてくれませんか?
807774ワット発電中さん:2005/06/07(火) 16:54:27 ID:Mb97JJD+
寺のセミナ逝ってきた。

ダラダラと新製品の紹介で、あまり面白くなかった。こんなもんなのかな〜?メーカーのセミナーなんて。
特別クーポンもらったけど、ちっとも安くないじゃん〜!開発キットは3〜5割引位かな?
NIOS2普及させたいんならNIOS2評価キットを1万5千円位にしてくれよ、3万円は高杉。
808774ワット発電中さん:2005/06/07(火) 17:33:42 ID:gkJ8yALR
評価キットが三万円!?
セミナー行った人だけ?
ほすぃ
809774ワット発電中さん:2005/06/07(火) 18:28:29 ID:2ZkINlSj
808ってメデタイ奴だな。
810774ワット発電中さん:2005/06/08(水) 00:48:32 ID:dyMRXBBs
>>807 おいらも逝ってきた。期待してなかったし、そのとおりだた。(w

新製品というのはないよね。前回からの更新って感じ。
nio2のマルチプロセッサなんかお勧めの前提が大嘘だし。
質疑応答があったらつっこんでやるとこだ。
演者によっては、まるで棒読み。

v5.0に添付のCDじゃなくて、データライブラリCDが欲しかった。
3Fの\200割引のブッフェは腹一杯になったが、不味かった。
キーホルダも2個目になた。

寺のヤル気が見えなかったというのがセミナの印象。
811774ワット発電中さん:2005/06/08(水) 09:56:18 ID:fpgtoZy2
>>808
評価キット(定価4万)だぞ?開発キット(定価14万)じゃないよ?3万で欲しい人もいるんだ・・・
ちなみにUSだと評価キットは$299だ。
812774ワット発電中さん:2005/06/08(水) 10:35:02 ID:50cXniHk
>>788
ブランドデビューのときは、どこもだいたいそんなもんじゃね?
数うちゃあたる
まぁやり方としてはよくないけどね

立ち上げ時にアイテムをしぼり込んでるところは、初めからタニマチがついてる
だがそんな奴ばかりではない

>>799
>石屋は石で儲けろと

後発や二番手三番手はそれがしたくてもできないのよね
で、いつまでも勝てないと
813774ワット発電中さん:2005/06/08(水) 17:09:51 ID:FD9282uU
Nios2の継続ライセンス
もっと安くしろや
ゴルァ
814774ワット発電中さん:2005/06/09(木) 08:45:15 ID:JOgRxWrw
>>813
使うな
815774ワット発電中さん:2005/06/09(木) 17:01:33 ID:Ked4rl0c
>>814
継続(renewal)は,バージョンアップで更新が受けられる費用.
現バージョンのままでいいなら,永久に使えます.
代理店からデバイスを買っているなら,(問題が解決するかどうかは別にして)
問い合わせの応答はもらえる鴨.
816774ワット発電中さん:2005/06/11(土) 00:15:56 ID:4TxTYKmY
2005年1月のDesign Waveの付録基板は使えるものでしょうか?
817774ワット発電中さん:2005/06/11(土) 00:38:12 ID:/eAoicUH
>>816
目的と君のスキル次第。別に使えないような欠陥はないと思う。
2.5VのJTAGは自作するとか金で解決するwとかしなきゃいけないかな。
818774ワット発電中さん:2005/06/11(土) 01:07:21 ID:8c6rzi/+
ユーザに貸し出したボードが動作不良のクレームで帰ってきました。
財のS-3のIOピンがGNDとショートしていました。
それなりの枚数を出荷しているボードですが、こんな現象は初めてです。
考えられる原因はなんでしょう?
ちなみに最初は問題なく動作していました。
819774ワット発電中さん:2005/06/11(土) 01:15:49 ID:/eAoicUH
>>818
チップ外してチップ側でのショートを確認したんだよね?
IOに過大電圧を掛けると保護ダイオードが短絡モードで飛ぶことはある。
そういう可能性がないのであれば、チップメーカーに解析依頼だな。
820774ワット発電中さん:2005/06/11(土) 01:39:21 ID:4TxTYKmY
>>817
>2.5VのJTAGは自作するとか金で解決するwとかしなきゃいけないかな。

ここもう少し詳しくヨロ
注文中で、楽しみにまってまつ。在庫が△(僅か)なので来るかどうか心配。
821774ワット発電中さん:2005/06/11(土) 01:47:02 ID:/eAoicUH
>>820
ヒューマンデータさんとこが詳しいよ。
http://www.hdl.co.jp/XCKIT/dwbd/index.html
822774ワット発電中さん:2005/06/11(土) 07:14:56 ID:4TxTYKmY
>>821
dくすでつ^^
823774ワット発電中さん:2005/06/11(土) 09:27:35 ID:aqX3K8j2
トンくす?
豚が笑うってこと?
824774ワット発電中さん:2005/06/12(日) 16:58:32 ID:uXpRTW3t
大変なことになったぞ
罪のWebPackのインストーラーにとんでもないことが書いてあったぞ

==========


これは、GNU 劣等一般公衆利用許諾契約書です。英語が正式の許諾契約書ですが、
参考のため、英語のものに続き、日本語訳を掲載しております。
==================================================

GNU LESSER GENERAL PUBLIC LICENSE
Version 2.1, February 1999

Copyright (C) 1991, 1999 Free Software Foundation, Inc.
59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
Everyone is permitted to copy and distribute verbatim copies
of this license document, but changing it is not allowed.

[This is the first released version of the Lesser GPL. It also counts
825774ワット発電中さん:2005/06/12(日) 17:18:03 ID:mN8skWCP
別に驚くほどのことでもない。
WebPACKの膨大なソフトウェア群の一部にGPLのツールが同梱されているという
だけのことであって、WebPACK自体がGPLになるわけではない。
EDKにだってGNUのツールはいっぱい入っているぞ。
826774ワット発電中さん:2005/06/12(日) 18:36:46 ID:dkl6tHmw
LGPL ≠ GPL
827774ワット発電中さん:2005/06/12(日) 19:13:41 ID:mN8skWCP
おっとLGPLだったか。よく読まなかったスマソ
でもWebPACK7.1をインストールしてみようとしたら
ザイリンクス期限付きソフトウェア製品ライセンスと、GPLとLGPLの3つが出てきた。

ところでどう大変なの?>>824
828774ワット発電中さん:2005/06/13(月) 13:52:01 ID:S2es6Bqp BE:231746077-
XilinxのISEで各モジュールの回路規模(スライスなど)が
知りたい時どうすればいいかおしえてくださし
829774ワット発電中さん:2005/06/13(月) 14:04:21 ID:Sbb/1ycf
>>828
Fitter Report
830774ワット発電中さん:2005/06/13(月) 14:28:53 ID:KDqUzG4+
model sim を入れたんですが Process Viewウィンドウに選択肢を登場させる
にはどないすべきかご存知の方教えてくださひ
831774ワット発電中さん:2005/06/13(月) 16:03:34 ID:S2es6Bqp BE:383090099-
>>829
早速のレスありがとうございます。
私の使ってるISEは7.1iでFitter Reportがありません。
それに代わるMap Reportがあるんですけど。
そのレポートを見てもモジュールごとの回路規模がでてきません。
わかる方よろしくお願いします。
832774ワット発電中さん:2005/06/14(火) 00:27:59 ID:6HJCfD4F
左上の窓で合成するモジュールを選んでマップすればだいたい分かる。
833774ワット発電中さん:2005/06/14(火) 01:10:04 ID:I7oP2aws
834774ワット発電中さん:2005/06/14(火) 01:41:42 ID:oRNu6yP8
>>833
別にタブー視されている話ではないし、既に散々議論されていること。
個人がblogに書くのは自由だが、わざわざここに張るほどの意見とは思えん。
835774ワット発電中さん:2005/06/14(火) 04:07:21 ID:6HJCfD4F
>>833
それ、FREEWINGさんがJTAG関連のことをGPLにしてSourceForgeに登録しよっかなーと、
自身の掲示板に書いた翌日に書かれたものなんだよね。
836774ワット発電中さん:2005/06/14(火) 06:33:12 ID:++dAf7FT
何でも只厨的にはこういったまともな考察も叩きの対象って事でFA。
文句があるなら自分で開発しろ。
837774ワット発電中さん:2005/06/14(火) 08:21:18 ID:+K8i8rJs
まぁでも たいしたもん作ってるわけでもないのに
GPLコジキ思想を唱えるのはチト生意気という気はするな
838774ワット発電中さん:2005/06/15(水) 00:12:22 ID:tAHg3ERd
くだらんソフト作っといてデバッグやらせるためにばら撒いて、
安定したところで何万という値段設定して、GPL叩き。
はっきり言って、こういう奴は糞。

死   ね
839774ワット発電中さん:2005/06/15(水) 00:22:52 ID:zE8BCzY8
成果物は自由なソフトウェア、GNUツールとして配布といいながら、IPAから金を引き出した後に、
ソース・バイナリとも撤収という偉業モナ。
840774ワット発電中さん:2005/06/15(水) 07:15:16 ID:urSVwg88
見習おう。
841774ワット発電中さん:2005/06/15(水) 08:31:06 ID:pOOiuBMy
あれ依頼あそこは見に行っていない
842774ワット発電中さん:2005/06/15(水) 08:31:31 ID:pOOiuBMy
依頼だって、、orz
843774ワット発電中さん:2005/06/15(水) 08:52:22 ID:Ixjft9eq
使いたいソフトが有料になると怒る貧乏人ホゲー
844774ワット発電中さん:2005/06/15(水) 10:08:23 ID:iN37Q4cD
自分はBASICが買えないので評価版を使ってます。
845てらだ:2005/06/15(水) 14:16:11 ID:XlyM2Zbm
リーク!!
アルテラのツール半額セール もうすぐ終わりますよ。
http://www.altera.co.jp/b/lowcost/pro-lowcost.jsp
846てらだ:2005/06/15(水) 14:21:53 ID:XlyM2Zbm
NiosのプログラムをROMに入れて、ロードする方法?
いっちばん簡単にやるにはどうすれば良いのでしょうか?
何処か、具体的な方法教えてくれるとこ 無いですかね。
MAXを別に付ける方法はめんどくさいです
EPCSに入れる方法も、具体例が見つかりません・・・・
847774ワット発電中さん:2005/06/15(水) 19:38:49 ID:DiV49D8X
旧NIOSはどうなるのでしょう。
学生のためにフリーにしてくれたりしてないかな、アルテラさん
848774ワット発電中さん:2005/06/15(水) 19:39:02 ID:DiV49D8X
旧NIOSはどうなるのでしょう。
学生のためにフリーにしてくれたりしてないかな、アルテラさん
849774ワット発電中さん:2005/06/15(水) 19:54:16 ID:0/Fbn+he
学生のためにフリーにする理由がどこにあるのか?

その学生が、ニオスを使いまくって寺のファンになってくれて
将来就職したとき仕事で財ではなく、寺を推すようになるのであれば
フリーや値引きする意味はあると思うが、
遊びで趣味で使うから、学生割引だ無料だ、といっても多分だめ。
850774ワット発電中さん:2005/06/15(水) 19:57:59 ID:0In363eT
学生なら環境の揃ったNIOSなんて使わずに、フリーIPでhackしてほしいよなぁ。
851774ワット発電中さん:2005/06/15(水) 19:58:55 ID:0/Fbn+he
正規の研究で使いたいなら研究室の予算で買ってもらえば良いし、(たぶん少し安く買える)
遊びで高価なツールを使いたいなら、FPGAとか回路とかそういう仕事をしている会社でアルバイトして、
暇なときにその会社のツールでちょこちょこっと作らせてもらって遊ぶのがよい。
852774ワット発電中さん:2005/06/15(水) 20:03:06 ID:PDEHqbtq
>>838
でもビジネスってそういう汚いもんじゃん・・・

>>833のブログでなひたふ氏が言ってる「はじめに戦略ありき」ってのは、
そのことをさしてんでしょ

まぁ「タブー視」ってのは、なひたふ氏がそう思い込んでるだけで、
議論することはタブーでもなんでもないやね
853774ワット発電中さん:2005/06/15(水) 23:36:23 ID:Z2649FH3
>>838
じゃあどうやって儲ければいいのか?
商売君が考えてるほど楽じゃないと思うがね。
854774ワット発電中さん:2005/06/16(木) 00:23:14 ID:K8m2xxpv
>>846
リファレンスボード丸写し&ユーティリティツールでROMへGO!
855774ワット発電中さん:2005/06/16(木) 00:24:20 ID:K8m2xxpv
>>848
旧Niosはフリーでしょ
新Niosは金かかるけど
856774ワット発電中さん:2005/06/16(木) 01:24:10 ID:hlnF7edW
伸び悩みですねー
西さん、自腹切るかな。
https://www.ascii.co.jp/1chip/
857774ワット発電中さん:2005/06/16(木) 01:26:17 ID:U4pxMivz
個人的にはCQの例のプロジェクトにキタイ
858774ワット発電中さん:2005/06/16(木) 03:19:58 ID:D8AcGFuM
何それ?
859774ワット発電中さん:2005/06/16(木) 08:04:22 ID:x0QWr1bd
>>854
開発キットのコピーでないときはどうするの?
860てらだ:2005/06/16(木) 08:25:59 ID:HFpeCkKJ
>>854 そんな実力と、お金があったら苦労しませんよ。
>>859 だよね。
  Niosもっと簡単にCPUボード作れないと一般に普及しないよな
861774ワット発電中さん:2005/06/16(木) 12:38:16 ID:+q0OSuW4
>>859
NiosIIなら
http://www.altera.co.jp/literature/ug/ug_nios2_flash_programmer.pdf
の2−1以降を参照する。
Nios II Development Kits Version 1.1 Errataも参照。
それでも躓いたら、Find Answers、MySupportを活用。
難しくは無かった。
862774ワット発電中さん:2005/06/16(木) 14:51:41 ID:U4pxMivz
>>858
ET2004かなにかで紹介してたFPGAが二つのったPCモドキ
863てらだ:2005/06/16(木) 22:22:46 ID:HFpeCkKJ
861>> 是非、その難しくなかったと言うモデルを見せて下さい。
図面とプロジェクトファイルと 画像で・・・・ もう、おんぶにダッコだけどしょうがないっす。。
お願い申します。
864774ワット発電中さん:2005/06/16(木) 22:30:38 ID:/tncKrdc
世の中には別の職業の道もありますと逝っておこう
865774ワット発電中さん:2005/06/16(木) 22:41:59 ID:MYpir779
自分で「実力がない」なんて言ってる奴は、せめて金で解決してほしいよな。w
866774ワット発電中さん:2005/06/17(金) 00:48:17 ID:QrFZjKZa
Niosそんな難しいかな? なにに引っかかるんだろ。

なにが難しいのかリストアップしていたら何かわかるかも
なにが難しいのかもわからないならもうどうしようもないかも

ここのBBSで訊いてみるのも手かな
http://www.fpga.co.jp/
検索してみると結構個人サイトもあったりするよ
http://search.yahoo.co.jp/search?p=Nios+SOPC+Builder&ie=UTF-8
867774ワット発電中さん:2005/06/17(金) 01:37:08 ID:sc8vC+wn
>>863
自殺することをお勧めする。
遺書には「2chで自殺するよう言われた」と書いておけ。
868774ワット発電中さん:2005/06/17(金) 01:56:24 ID:t6uP7yly
アルテラ Quartus II ver 5.0 に関するお知らせ
ってのがきたんだが・・・
この前のバグといい、SP無しの新バージョンは危険かも。
869963:2005/06/17(金) 03:59:02 ID:H/w7Icvk
>>967
オウ。新でやるよ。
2chのこのスレで867にいじめられたから死ぬと遺書に書いて死んでやる。
覚悟しとけ!!
870てらだ:2005/06/17(金) 11:26:27 ID:jSh+9oN/
>>869 まあまあ 気を静めて! ここには変な人も多いから。
>>866 さん・・・・やっぱり わっかんないスょ
何がわかんないかというと、EPCSとSopcBuilderが作り出す Boot_loadre_sorce というフォルダの事。
多分ローダーなんだろうけど、説明がないから 誰か教えてください 
>>867 は無視
871774ワット発電中さん:2005/06/17(金) 21:38:10 ID:H93VoIAG
アナデバの数百MHzのDSPの信号処理は、FPGAの信号処理で、何メガあたり
に相当しますか?
872774ワット発電中さん:2005/06/17(金) 21:55:50 ID:2ORg5g3h
>>871
一概には言えない。
873774ワット発電中さん:2005/06/17(金) 22:28:42 ID:H93VoIAG
>>872
例えば、高解像画像メモリのマルチ水平ラスター信号を並列スキャンする応用で考えると
という条件つきではどうですか? 水平スキャンは2本、5本、10本、。。。
874774ワット発電中さん:2005/06/17(金) 23:22:49 ID:DzYtiV9f
>>871,873
質問が意味不明だぞ。 どんな信号処理するんだ?

>FPGAの何メガあたりに相当するか
って言われても、リソースの許す限り並列演算可能だから比較しようが無いと思うんだが・・・
stratix2GX だと 300MHz動作可能な18×18の乗算器が最大384個入ってるって書いて
あったが、こんなんで参考になるか?
875774ワット発電中さん:2005/06/18(土) 09:45:38 ID:2QYgjbLk
>>874
しかしそれはあくまでもカタログスペック
配線遅延を考えると300MHz動作は事実上無理
876774ワット発電中さん:2005/06/18(土) 09:48:23 ID:oRGGaIBv
>>874
入力は2次元の2値画像でフレームメモリから読み、それを
ラスターシリアル信号に変調して出力します。シリアル信号は並列処理で2〜10ビーム。
STRATIX2GX 300MHz PCI接続PEN4 PCと
BLACKFIN(アナデバDSP)600MHz PCI接続PEB4 PCでは、どっちが勝つでしょう?
877774ワット発電中さん:2005/06/18(土) 09:51:49 ID:oRGGaIBv
シリアル送信出力を並列出力する処理を、VHDLで書けますか?
VHDLは1週間研修でかじっただけでつ。
878774ワット発電中さん:2005/06/18(土) 12:17:51 ID:2QYgjbLk
>>877
SERDESでぐぐれ
同期シリアルのSERDESなら学生の演習問題レベルの難易度
879774ワット発電中さん:2005/06/18(土) 12:51:50 ID:ZXxQPpv0
アナデバのDSP VS FPGA の話題が出たついでに
アイピーフレックスのDAPDNAはFPGAの何メガに相当しまつか?
FPGAはスパ3かサイクロン2あたりでおねがいしまつ
880774ワット発電中さん:2005/06/18(土) 13:49:03 ID:IFOxvBjJ
564054940989メガ相当
881774ワット発電中さん:2005/06/18(土) 14:44:01 ID:Juq+uHAp
DSPもそうだけどUSB2.0/IEEE1394a/bあたりもフルスピードは無理よね。
これらインターフェースをFPGAで作った人居る?
882774ワット発電中さん:2005/06/18(土) 14:51:23 ID:pqmr0EES
>>881
まぁ普通はPHY外付けだわな。
883774ワット発電中さん:2005/06/18(土) 15:26:58 ID:YP+S1+yx
昨日やっとQuartusIIVer5のCDROMが届きました。
884774ワット発電中さん:2005/06/18(土) 15:36:24 ID:oRGGaIBv
>>878
SERDES はIBMの記事を見つけた。
学生時代は、HDLはミニコンではあったが、
回路自動生成の概念は当時は無かった。
そのパラシリマルチスキャンにDSPがいいのかFPGAがいいのか構成をわからんでいる。

885774ワット発電中さん:2005/06/19(日) 01:06:51 ID:tqujOr4u
馬鹿は自殺したかな?
886774ワット発電中さん:2005/06/19(日) 11:35:40 ID:7/sJfn4Q
助けて、SPARTAN3スターターキット買ったけど
パラレルが無くてコンフィ愚できないよ
お金無いんだけど安いUSB-パラレル変換ケーブル
知りませんか?
887774ワット発電中さん:2005/06/19(日) 11:39:24 ID:hdDxVtoS
>>886
USB-パラレル変換ケーブルだとそういう用途に使用できない可能性が高くないかな
888774ワット発電中さん:2005/06/19(日) 11:50:32 ID:pyIuwfqm
>>877

USB-パラレル変換ケーブルは殆どだめす。
純正がいいかと。
あと、FT245とかFT2232でやるとか。

PCMCIAカードだと使えるのあります。
889886:2005/06/19(日) 11:54:19 ID:7/sJfn4Q
>>887
やっぱりそうなんですね(´・ω・`)
スターターキットに灯がともる日は来るのか
金ためるかな・・・

890886:2005/06/19(日) 12:02:40 ID:7/sJfn4Q
>>888
USB_DLケーブル自作ですか!?
そんな敷居の高いこと私には無理です
PCMCIAカードも高そうだし、
やっぱり金ためるか…
891774ワット発電中さん:2005/06/19(日) 12:19:12 ID:uBjJaZQ4
>>890
そもそも君はハード屋に向いてないんじゃ(以下略
892774ワット発電中さん:2005/06/19(日) 12:31:08 ID:iphgb2e3
>890
XILINX純正のHW-USB、確か1万円代で買えるよ。
もちWebPackでも動作する、結構良い。
893774ワット発電中さん:2005/06/19(日) 13:06:00 ID:md0knKK9
>886
ノートPCにパラレルポートを接続しダウンロードケーブルを使う
ttp://www.hdl.co.jp/SPP-100/
894774ワット発電中さん:2005/06/19(日) 13:35:21 ID:bFmy3M4d
純正1万なら自作は2万くらい
895774ワット発電中さん:2005/06/19(日) 14:07:13 ID:md0knKK9
直販だと、HW-USB $149.00(USD) = 16178 円だけど、
個人で代理店を通すと手数料だの送料だので最悪2万を超えるかも。。。
896774ワット発電中さん:2005/06/19(日) 15:33:54 ID:ICFeF1M8
>>886

「安物買いの銭失い」という言葉がぴったり。
897886:2005/06/19(日) 16:11:36 ID:CikkjYqb
>>896
じゃかーしい。下手に出れりゃつけあがりくさって。
テメェたたっコロスぞ。
898774ワット発電中さん:2005/06/19(日) 16:47:02 ID:UXAK7XL7
便乗して質問なんだが、
XilinxのMultiLINXとかHW-USBとかの
USB接続のダウンロードケーブルって個人で購入できるの?
検索しても出てくるのは商社ばっかりなんだけど…

うちの今のパソコンがParallel Cable IIIと相性が悪くて、
誤動作しまくりで事実上使用不可な状態なんだよね(つД`)
PCIバスで拡張したパラレルポートだと、
Parallel Cable IIIを認識すらしないし('A`)
899774ワット発電中さん:2005/06/19(日) 16:50:34 ID:hru8w3T3
>>898
XilinxのBuy Onlineで買えるっしょ。>個人
900774ワット発電中さん:2005/06/19(日) 17:11:43 ID:UXAK7XL7
>>899
早速の回答dクス。

製品情報のところから「購入」をクリックすると
商社の案内のページに飛ばされてたので、
てっきり商社からしか購入できないのかと思ってた。
>>895の「直販」ってこれのことだったのね。
うっかりしてますた。

(´д`)。oO(しかし、若松とかヒューマンデータとかでこういう製品も扱ってくれればいいのにな…
        やっぱりみんなパラレルケーブルで間に合ってるのか…
        次にパソコン組むときは互換チップセットやめてインテルの香具師にしよう…
901774ワット発電中さん:2005/06/19(日) 17:18:12 ID:hru8w3T3
ちなみにウチはVIAチップセットのAthlon 64だけど、ヒューマンデータの
パラレルケーブルで全く問題出てないよ。
902774ワット発電中さん:2005/06/19(日) 17:22:31 ID:b51eT6bJ
VIA C3をライター専用で使ってまつたかこ
903774ワット発電中さん:2005/06/19(日) 18:56:09 ID:UXAK7XL7
うちのチップセットはSiS745…
90年代と比べてSiSの評判が良くなってる頃に買ったんだが、
まさかこんな落とし穴があるとは…orz
て優香、拡張ボードのパラレルポートすら相性問題が発生してるのが我ながら痛すぎる('A`)

以前は超定番の440BXで当然のように問題なく使えてたので、
やっぱインテルかなーって思ったんですよ。
VIAもAMDプラットフォームとしては定番だから問題ないのかな…

このご時世、今使ってるのと別の拡張パラレルポートを試したくも、
もうそういうボードなんて売ってないし、
 デスクトップ用PCMCIAボード→>>893の香具師→Parallel Cable III
なんてやり方しかないのか(藁
904774ワット発電中さん:2005/06/19(日) 20:54:46 ID:PZJJc+2F
>>903

パラレルポートはチップセットじゃなくてSuperI/Oチップの問題だって…。
最近のVIAやAli(Uli)はSuperI/O機能がサウスブリッジに統合されている奴もあるけど。
905774ワット発電中さん:2005/06/19(日) 21:08:40 ID:f+I0T79v
PLL発振器をFPGAのクロック入力に使用すると、
FPGA内部のPLLと、外部のPLLがカスケード接続になって、
おかしな誤動作起こすことってありますか?
皆さんは、どんな発振器を使ってます? PLLそれとも水晶発振器?
906774ワット発電中さん:2005/06/19(日) 22:15:39 ID:UXAK7XL7
>>904
なんか細かいな…SuperI/Oも含めてチップセットって言うんじゃなかったっけ?
て優香、最近はSuperI/Oがサウスに統合されてない香具師もあるんだ。
907774ワット発電中さん:2005/06/19(日) 23:02:53 ID:PZJJc+2F
>>906

チップセットのデータシートみればわかるけど、今は含まないっていうかBIOS-ROMと同じ扱いでしょ。
Intel製チップセットなんかもマザーボードによるけどWinbond,SMSC,ITEなんかのSuperI/O使ってるよ。
SiSだと自社製のSiS950ってSuperI/Oチップもあるけど、WinbondやITEの奴使ってるマザーボードも多い。
908774ワット発電中さん:2005/06/19(日) 23:09:45 ID:UXAK7XL7
>>907
ああ、なるほど、レガシ扱いの機能はチップセットから追い出してる、ってことだね。
情報d。
909774ワット発電中さん :2005/06/20(月) 03:16:40 ID:C8aN1JDt
>>905
 最低1個は水晶使うけど。
 
 
910774ワット発電中さん:2005/06/20(月) 23:33:41 ID:GKCztCyi
>>905

PLL式水晶発振器 EPSON SG-8002とか。
その場で書いてくれるので便利。

ただし、SDIとかヴィデオ系に使うとジッタで泣くことがあります。
911774ワット発電中さん:2005/06/21(火) 08:14:08 ID:z4G0/dJW
>>910
そのPLLのSG-8002使ってんですが、周辺スプリアス-15dBで悪杉。
FPGAのクロック入力でPLLがカスケード接続となって
C/N酷くなri、ジッタ発生ってるのかなぁ? 画像が1画素シフトする。
912774ワット発電中さん:2005/06/21(火) 12:17:43 ID:gLMVlPfb
キンセキのEXO-3ていいよね
913774ワット発電中さん:2005/06/21(火) 15:51:09 ID:5MLg3D/t
>>911

思い当たる事は全部潰してみれば?
原振タイプの発振器と交換してみればすぐに分かるじゃん。
でもなかなか売ってないんだよね。
914774ワット発電中さん:2005/06/21(火) 18:32:23 ID:z4G0/dJW
>>913
漏れのボードはPLL使ってないCPUのクロック入力にSG-8002使ってるんで、
問題は起こらないのではないかと思う。

別の香具師がFPGAのクロックにPLLを使ってそういうことになってる。
漏れだったらなぜPLL出力のC/N悪化が起こるかのメカニズムを突き詰めるんだけどね。
915774ワット発電中さん:2005/06/21(火) 18:34:36 ID:gtt6Jn7u
ISE WebPackで、xilinx XC9572 5Vタイプを使ってるのですが、
VHDLソースで出力ピンに1代入しても0代入しても1しか出力されないのですが
何故でしょうか。シミュレーションでは動いてるんですが、
実機では動きません・・・

1つのスイッチからの入力をCPLDを介してそのまま出力すると、H,Lともに
出力され、完璧に動作してるのですが、2つのスイッチからの入力の
and、nandをとると、両方ともnandになってしまいます。
ISEでの設定が間違ってるのでしょうか?
よろしくお願いします。
916774ワット発電中さん:2005/06/21(火) 19:20:40 ID:JpDWEbvP
>>915
VHDLソースをうpしてみたらどないでしょ。
917774ワット発電中さん:2005/06/21(火) 19:55:01 ID:z4G0/dJW
>>916
香具師はVHDLできないんだよw
確かにそういう観点のチェックも必要だよな。
918俺様 ◆f0ugTrYQRI :2005/06/21(火) 20:22:46 ID:R/JT3aBc
(;´Д`)ハァハァ  VHDLでちゅか…
昔でちゅね〜 歌舞伎町に買いに逝ったでちゅよ!
ドキドキしながら買った覚えがあるでちゅね〜
フルカラーの52ページで5K円でちた!
919774ワット発電中さん:2005/06/21(火) 20:53:05 ID:6n4C0g2W
>>918
フルカラーの52ページの本は漏れも買ったことあるが、
残念ながらその話題は板違いだ。
920917:2005/06/21(火) 22:04:55 ID:z4G0/dJW
>>915 さん
スマソ 勘違いしますた。 >>917の記事は取り消します。
921915:2005/06/21(火) 22:44:45 ID:gtt6Jn7u
とりあえず、この最小限構成のソースでも動作しません。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led is
Port(led1,led2: out std_logic);
end led;

architecture Behavioral of led is
signal A :std_logic;
begin
A<='0';
led2<='0';
led1<=A;
end Behavioral;
1入れても0入れても1のままなんです。
デバイスを交換してみたりしましたが、同じ症状です。
何か重要な設定があるのでしょうか・・・
922915:2005/06/21(火) 22:49:38 ID:gtt6Jn7u
あ、タブのまま貼り付けてしまってインデントされてませんね。すみません。
923774ワット発電中さん:2005/06/21(火) 23:03:22 ID:A+29hnU9
>>915
とりあえずピン・アサインを確認しれ
924774ワット発電中さん:2005/06/21(火) 23:03:40 ID:SAdvHzy8
>>915

おまいのWebPACKのバージョンはいくつかな?
7.xだと正常に動かないバグがあったと思う。
とりあえず最新版にするのがいいかも。
925774ワット発電中さん:2005/06/21(火) 23:57:45 ID:rvlnfEsN
最小限構成の脳味噌回路
926774ワット発電中さん:2005/06/22(水) 00:32:54 ID:l7seHiCc
FPGA/CPLD を自作ボードで使おうと思っていますが、
可変電源装置は何がいいでしょうか?

ヤフオクをみると、KENWOOD の PWR18-2TP とかが出ていて、
3系統出力、ファンレス、デジタル制御(0.01V、0.01A単位)と悪くないと
感じなのですが、実際 3.3Vと5Vが同時に出せれば十分でしょうか?
(1.8Vは要らない?)

あ、使おうとしているのは、とりあえず、以前のデザインウェーブに
付録でついていたALTERAのチップです。
927774ワット発電中さん:2005/06/22(水) 01:28:52 ID:SQF/5E45
可変電源って何?

>実際 3.3Vと5Vが同時に出せれば十分でしょうか?
-3.3、-5Vが要るよ
928774ワット発電中さん:2005/06/22(水) 01:44:28 ID:5bBfphsH
悪いのは設計者の頭だったというオチでしょ。
どうせ。
929774ワット発電中さん:2005/06/22(水) 01:45:10 ID:24Pq9rae
>>928
おまえの口も
930774ワット発電中さん:2005/06/22(水) 02:59:45 ID:KRS67Hel
論理合成をした段階と配置配線をした段階で
使われるLCの数が変化したりすることはあるのでしょうか?
制約条件は論理合成の段階で効いてくるのですか?
それとも配置配線の段階で効いてくるのですか?

Quartusだけで完結させていると今ひとつその辺の感覚がつかめなくて困ってます
931774ワット発電中さん:2005/06/22(水) 07:04:50 ID:Cx/4hcVt
>>926
電源の立ち上げ条件を満たすかどうか微妙なので、
5V単一で入れてボード上にレギュレータ置いた方が変なトラブルが無くていいかと。
>>928
CPLDの件のこと?
ISE7.1.01iにはCPLDのフィッターにとんでもないバグがあって、02iで直ってたりするが。
932 ◆YMO/ALTERA :2005/06/22(水) 12:19:52 ID:9SMTD0dR
>>930
フィッティングの段階で
・LCをI/Oに割り当て
・パッキング(LUT-ONLYとFF-ONLYをひとつのLEに押し込む)
などが行われるので、タイミング的に余裕があるときは思いの外詰め込める。

逆に、phys synth で、fanout を緩めるため F/F が複製されることもある。

制約は、合成よりもフィットで使われる…とおもう。
933915:2005/06/22(水) 19:37:45 ID:72I/ZLLb
レスありがとうございます。おかげで動きました!
ISEのバグでした。7.1.01iから7.1.02iにしたら問題なく動作してます。
934774ワット発電中さん:2005/06/22(水) 20:04:09 ID:gJuLZJeF
おめでd
VHDLの文法的には問題なくても、バグを誘発する書き方っていうのもあるから気をつけてね。
935774ワット発電中さん:2005/06/23(木) 07:03:21 ID:9Qfcw9C3
>>930
論理合成ツールを別の物使うとよく分かるけど、
せっかく論理合成時に制約を与えて思った回路を
作り出しても、配置配線で台無しになることは日常
茶飯事。

逆に配置配線時に、こういう結果を得たいときは
論理合成の段階でこういう制約が必要とかもあるよ。
936774ワット発電中さん:2005/06/24(金) 13:10:43 ID:JDEYVLzq
>>1
そろそろ痔スレ建て頼む。
937774ワット発電中さん:2005/06/24(金) 22:32:06 ID:8dlEn0Vi
じゃぁ、あと64レス埋めますか。
938774ワット発電中さん:2005/06/25(土) 00:33:10 ID:wzRTDm69
あああ、もう!!
アルティマ営業のH賀君バカでイライラするよ。
右から左に物を流すしか脳のないピンはね商売のくせになんであんな偉そうなんだ?
939774ワット発電中さん:2005/06/25(土) 00:42:04 ID:ItlMCznY
そこでパルTックマン参上
940774ワット発電中さん:2005/06/25(土) 01:47:32 ID:ynCD2Mra
ふと思ったんだけどGALつうかDIPタイプのPLDってもう入手/使用困難?
941774ワット発電中さん:2005/06/25(土) 02:11:52 ID:PDPSbk8n
>>940
LatticeとかAtmelとか普通に買える。でも今となっては書き込みがめんどくせー。
942774ワット発電中さん:2005/06/25(土) 06:16:26 ID:PE/02okr
>>935
>配置配線で台無しになることは日常茶飯事。

配置配線の指定はFPGAの場合どうやるの?
配置配線指定で、コンパイラ/アナライザは遅延も計算した論理合成してくれんの?
943774ワット発電中さん:2005/06/26(日) 00:06:07 ID:rtrPP/9K
>>938
地方の零細企業殿、いやがらせ書き込みオツ!
取引止めれば?

それに彼営業じゃないし。

944774ワット発電中さん:2005/06/26(日) 00:26:22 ID:D2i4MlAs
>>943
本人乙
945774ワット発電中さん:2005/06/26(日) 13:50:57 ID:3Fm3i1SH
またアホ技術者のある寺たたきか?

糞の>>476はどうした?

>>476 == >>938
946774ワット発電中さん:2005/06/26(日) 14:06:40 ID:9ElAGg6p
アホに構うな
947774ワット発電中さん:2005/06/26(日) 14:10:25 ID:3Fm3i1SH
アホだよなぁ。自分の無知を指摘されたらある寺を出入り禁止にして2CHで戯言をほざき、営業マンの人格攻撃。
しかも無知ゆえの不具合をもって製品自体の瑕疵騒ぎ。アホもここまでくるとひどい罠
948774ワット発電中さん:2005/06/27(月) 03:40:46 ID:mRKxHSFf
>>938
アルティマのH賀のことはよく知っているが、そのH賀がオマエに対して不遜な態度
をとるぐらいなんだから、よっぽどオマエorオマエの会社は嫌われているんだろうな。

しょうもない無理難題ふっかけて代理店の人間に八つ当たりして、気に入らないから
って2ちゃんで名前出して晒して。
右から左へ流してナンボが商社ジャン。寺が直販しない限りそりゃ無理な話だろうて。

親会社のマクニカとかアルティマが、H賀の1週間ぐらいの訪問先調べて、思い当
たる客先ってことで訴えられたいいんじゃねー?

H賀も許さないだろうし、兄貴の勤めている商社からもおまえの会社相手にされなく
なったら、どこからFPGA買うんだろうな.

ところで、おまえ仙台or九州の企業じゃない?その口語調、よく知っているやつに
似ている。そこならアルティマさん以外ともトラぶっているのは知っているから
想像がつく。
949935:2005/06/27(月) 09:21:10 ID:CBdstQ7N
>>942
配置配線の指定は、論理合成ツールじゃなくて、ISEとかクオータスの
オプションを使う。(これで回答になってますか?)

あと、論理合成ツールの出す速度の見積もりは全然当てにならない。
前の方にも書いてあったけど、ロジック部分(LUTの段数)よりも、配置配線の
影響による遅延の占める割合が大きくなってきている。
レオナルドや、シンプリファイプロで、200MHzくらいで動くような豪快な結果
がでても、配置配線してみると100MHzくらいだったりする。
950774ワット発電中さん:2005/06/27(月) 09:31:11 ID:CBdstQ7N
>>938の気持ちもわからんでもない。と、一言だけ擁護しておく。
彼に言いたいこともいくつかあるが、身元が割れそうなので勘弁。

ただ、言葉使いとかが失礼とかそういうのは、全くないでいすよ。
ただ単に、ビジネスとしてうちがあまり重要視されていないというだけ。
これは取引額少ないからしょうがない。

まあ、結局アルテラ本体と直接何とかしないと、今の状況は変わ
らないでしょうね。
951938:2005/06/27(月) 11:54:26 ID:tSxOOMru
>>948

一言だけね。
訴える?やってみれば、できるならね。
952938:2005/06/27(月) 11:58:15 ID:tSxOOMru
>>950

大人げなかったかなとレス読んで思いました。
ちょっとだけだけど。

953774ワット発電中さん:2005/06/27(月) 12:00:09 ID:SoxPM8Cu
http://osaifu.com/ny__152/
現在50万人突破で登録無料!勝手にお金がたまる、友達紹介で1ヶ月1万円は稼げます。
954774ワット発電中さん:2005/06/27(月) 13:57:27 ID:l1fadhEe
H賀が話題だってことで,ひさしぶりに覗いたら面白いやつが居るなぁw

これだからFPGAユーザ連中は好きだ.ASICやってる連中は頭固くてツマラン.

938,お前みたいなやつ最高にすきじゃぁぁぁぁ!
955774ワット発電中さん:2005/06/27(月) 16:37:49 ID:qDng1llu
>>949
ありがd。
配線遅延が論理合成ツールで考慮されず、配置配線ツールではじめて
配線遅延が計算できるのはわかりますた。

VHDLは1週間の演習の研修を受けただけで、未だVHDLを使える仕事に
ありつけてない。そうか、あのコンパイラ(アナライザ)も
配線遅延は考慮してないのか。そういう話も講座で聞きたかった。

こんな仕事が無いんでは・・・そろそろ漏れの会社も倒産かな・・・
956774ワット発電中さん:2005/06/27(月) 17:50:39 ID:MWnuoGcC
1週間程度の研修くらいで仕事もらったら泣くしかないぞ。
コンパイラーなどは無料で手に入るから暇があったら勉強しておくと良いぞ。


957774ワット発電中さん:2005/06/27(月) 18:19:41 ID:Sgy5vb6k
大丈夫大丈夫。
一週間も勉強しても身につかないような生産性の悪い言語とかツールは作った香具師が悪いんだよ。
君はちっとも悪くない。
958てらだ:2005/06/27(月) 22:57:55 ID:YNtzRhgf
久しぶりに結構盛り上がっている時やないですか・・・ H賀さんねぇ
K村さんはショボイ会社にも低調ですよ。 担当変えてもらったらどうですか。
959774ワット発電中さん:2005/06/27(月) 23:38:42 ID:aXO5J0gX
芸能人や公人ではない一般人の誹謗中傷、プライバシー暴露は禁止してます。
一般人の電話番号や住所などを見かけたら削除依頼にどうぞです。
もし、プライバシーが公開されてしまった場合は、ぜひ最寄の警察にも相談してください。
警察、裁判所から要請があれば、捜査協力してそのような書き込みをした人をつれてってもらってます。
警察か裁判所以外の問い合わせでは一切動きませんので、警察に行くことをお勧めします。

だそうです。
960774ワット発電中さん:2005/06/28(火) 01:53:15 ID:VwiZ9j0F
https://www.ascii.co.jp/1chip/

もうだめぽですかね。
Alteraさん、組織票発動してくらはい。
西さん自腹の公約果たしてくれてもイイですけど。
961774ワット発電中さん:2005/06/28(火) 02:07:07 ID:2efcnVQg
予約特典の"抽選で豪華商品"ってどこが豪華なんですかw
962774ワット発電中さん:2005/06/28(火) 03:02:39 ID:wvO3H0J/
まったく反省もしてないし、進歩もないなあのつぶれ豚。
テメエだけの思いいれで、ファミコン未満の規格なんか作りやがって、所詮対NEC敗者連合じゃねえか。
そんな規格にいまさら誰がつきあうかよ。そんなだから会社潰すんだよ。アホが。
963774ワット発電中さん:2005/06/28(火) 03:07:29 ID:OGGjYfNv
>>962
おまい何か恨みでもあるのか?w
964774ワット発電中さん:2005/06/28(火) 11:39:35 ID:owh1F2L4
>>960
やじうまwatchででも紹介してもらえば?
965774ワット発電中さん:2005/06/28(火) 12:08:24 ID:/gfBERqT
> 所詮対NEC敗者連合じゃねえか。

NEC台頭以前から存在してたがに
966774ワット発電中さん:2005/06/28(火) 12:21:24 ID:6lzp2LQZ
>>965
お前なーーんにも知らんな。
967774ワット発電中さん:2005/06/28(火) 20:43:26 ID:ZfBYUZCV
西ポケットマネー分がジャンク屋に流れんかな?
968774ワット発電中さん:2005/06/28(火) 22:56:24 ID:SpGZRz2p
>>962が敗者なのは間違いない。
969774ワット発電中さん:2005/06/28(火) 23:31:19 ID:5AryrP3m
Quartus2のスケマエディターでピンへの入出力を内部バスから結んだときに
全然バラバラのピン配列になってしまうような現象は経験ある方いませんか?
双方向バスhoge_bidir_bus[31..0]を双方向ピンhoge_bidir_pin[39..8]につなげているのですが
hoge_bidir_bus3がhoge_bidir_pin19、hoge_bidir_bus31がhoge_bidir_pin17みたいな感じになってしまいます。

ひさびさにFPGAを扱うことになって、古いファイルがスケマで残っていたのでそのまま使っています。
代理店とのつきあいも最近ないもので、ここで質問させてもらいました。
970774ワット発電中さん:2005/06/29(水) 01:51:13 ID:myq3I1bK
NEC PC8001のN-BASIC開発だって西が絡んでたんだ
971774ワット発電中さん:2005/06/29(水) 01:51:30 ID:8NMaNB4H
>>960
少なくともMSX2以上のスペックでないと、魅力がない。ゲーム等の資産・遺産
972774ワット発電中さん:2005/06/29(水) 03:49:20 ID:JbIXZYxg
【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 03
http://science3.2ch.net/test/read.cgi/denki/1119984464/

ひっそりと次スレ。使い切ったら移動。
973 ◆YMO/ALTERA :2005/06/29(水) 11:45:44 ID:AimIPU88
974774ワット発電中さん:2005/07/02(土) 14:55:41 ID:p7I1BU0/
おまいら、とりあえず埋めとけ
975774ワット発電中さん:2005/07/02(土) 18:57:33 ID:aRXfQTr1
鎮めまーす
976774ワット発電中さん:2005/07/02(土) 19:00:00 ID:aRXfQTr1
沈めまーす
977774ワット発電中さん:2005/07/02(土) 23:07:33 ID:kA+QHRsW
無駄に埋めなくても雑談に使ったりスレを振り返ったり反省会をしたり
次スレの展望を語ったり有効活用すればいい。
978774ワット発電中さん:2005/07/02(土) 23:43:52 ID:ReWKsXpl
全部書かないほうがいいよ。スレが消えずに残るから、ずっと読める。
979774ワット発電中さん:2005/07/05(火) 20:11:09 ID:GwH/51No
雑談なら自称本スレのこちらでどうぞ。
http://science3.2ch.net/test/read.cgi/denki/1103970072/
980774ワット発電中さん:2005/07/08(金) 17:22:37 ID:u6j5E8/q
そろそろ埋めるべ
981774ワット発電中さん:2005/07/08(金) 17:23:17 ID:u6j5E8/q
カウントダウン 21
982774ワット発電中さん:2005/07/08(金) 17:25:13 ID:u6j5E8/q
間違えた 19
983774ワット発電中さん
オチで咬むタイプ