■次世代POWER/PowerPCを語る Vol.15

このエントリーをはてなブックマークに追加
1MACオタ
PowerPCとPOWERアーキテクチャのプロセッサを語るす。
http://www-1.ibm.com/technology/power/
http://www.motorola.com/powerpc/
前スレも色々ニュースがあったすね。
新Power Mac G5 http://pc.watch.impress.co.jp/docs/2004/0618/apple.htm
Blue GeneのTop500での躍進 http://www.research.ibm.com/resources/news/20040620_bluegene.shtml
Xbox "XENON"のリーク http://forums.xbox-scene.com/index.php?showtopic=231928
次世代iMacの予告 http://japan.cnet.com/news/tech/story/0,2000047674,20069613,00.htm
次わ、
7/13の新POWER5サーバー発表
http://news.com.com/IBM+plans+July+launch+of+Power5+Unix+server/2100-1006_3-5256338.html
と、Power Mac G5/2.5GHz dualの実機報告が楽しみす。
PPCアーキテクチャ、改めPOWERアーキテクチャの一員となるCell, Xbox2関係のネタも歓迎す。
前スレ等わ>>2
※注1:お宝、リンケージ、Mac&Pal等の腐れサイトの転載をすると呪われるす※
※注2:コピペと行間の広すぎる書き込みわ呪われるす※
2MACオタ:04/07/05 03:42 ID:qOOzSPJP
3MACオタ:04/07/05 03:43 ID:qOOzSPJP
4名称未設定:04/07/05 03:45 ID:RVEnJppm
をを、新スレ発見。
オタ氏(本物?)、乙。
5MACオタ:04/07/05 03:51 ID:JcNczgdG
頭にも書いたすけど、CNETによると7/13にpSeriesのPOWER5サーバーが発表されるとのことす。
http://news.com.com/IBM+plans+July+launch+of+Power5+Unix+server/2100-1006_3-5256338.html
iSeriesと同様にeServer p5という名前に変更されて1/2-wayの520, 2/4-wayの570に続いて最大
16-wayのモデルが出て来るとのことす。これMCMパッケージどうかわ謎す。
16-wayのモデルがp5 570になるとか書いてあるすけど、既存のi5 570と被るすから誤報だと思うす。
動作クロックわ最大1.9GHzとのことで、POWER4のトップエンドモデルに匹敵するす。
  ------------------------------
  The Power5 processors are expected to be offered at speeds of 1.5GHz, 1.65GHz and 1.9GHz, sources
  familiar with the products said. They're built on a manufacturing process that permits chip with features
  130 nanometers (billionths of a meter) wide, though a coming Power5+ version will be built with a
  90-nanometer process that should allow smaller, faster and cooler chips.
  ------------------------------
過去のIBMのプレゼンでわ、POWER5わSMT有りでもPOWER4より低消費電力とのことすから、高クロック
での動作も余裕があるんだと思われるす。
6名称未設定:04/07/05 05:00 ID:gJL4bJlL
さっさとこのスレ削除以来出してこい
7名称未設定:04/07/05 05:55 ID:yqQ3Fp39
Macはどうみてもうんこすから、MACオタはつまりうんこオタす。
毎日うんこを食べて満足す。
8名称未設定:04/07/05 08:44 ID:kRB533b/
おつかれ
某糞スレなんて気にせずばんばん行こう
正直Macオタの書き込まないスレなんてつまらんし
誰かがちょこちょこ情報のせてくれるんならいいが
そんなことも無いわけで

信者と呼んでも結構w
9名称未設定:04/07/05 10:48 ID:6IUYZYWy
時期の開発CPUは「PowfulCPU」だと聞いてます(某関係者)
10名称未設定:04/07/05 11:10 ID:pI7xsGm+
>>7
コンクリ詰めの女子高生ってウンコ喰わされたらしいから酷い話だ。で今度は男を監禁か。
人を狭いところに押し込むのを一生の仕事と決めたのだろう。妙な趣味を持つと一生苦労する。
11名称未設定:04/07/05 13:49 ID:0crYfKhs
スレタイの「す」が抜けてるのは、なぜだ。
偽MACオタか。
12名称未設定:04/07/05 16:08 ID:pv5MkXFr
だれか、Power5試した人いる?

smtと、ちょっとクロック上がった以外に差があるのかな?
13名称未設定:04/07/05 20:11 ID:Q+YKA9Se
すがないす
14MACオタ:04/07/05 20:42 ID:JcNczgdG
>>5の訂正すけど,日本ビジネスコンピュータの40周年記念セミナーの資料
http://www.jbcc.co.jp/seminar/semina/40anv/ibm_i5.pdf
によると,i5 570 (=p5 570)わ4-wayのプロセッサモジュールを4個積んで16-wayになるんだ
そうす。どうやらこの記事で出てくる16-wayモデルってのわ,このことみたいすね。
ということでMCM版のPOWER5わ,もう少し先ということになりそうす。
15名称未設定:04/07/05 21:26 ID:x2rKHHyr
>>14
ところでMACオタはなんで読点が「、」になったり、「,」になったりするの?
16名称未設定:04/07/05 21:48 ID:h7acXnIi
ここは重複スレッドです
移動お願いします

次世代PowerPC統合スレ
http://pc5.2ch.net/test/read.cgi/mac/1085766206/l50
17名称未設定:04/07/05 21:55 ID:qqjXgk//
114 :MACオタ :04/07/05 21:50 ID:h7acXnIi
ageるす
18MACオタ テリヤキ:04/07/05 23:47 ID:Ndkj6vzf
その時の気分かな。
19名称未設定:04/07/06 00:19 ID:HbsKjOyC
http://www.top500.org/list/2004/06/
http://www.top500.org/list/2003/11/

Dual 2.0 GHz Apple G5/Mellanox Infiniband / 2200CPU Max:10280/Peak:17600[GFlops]

PowerEdge 1750, P4 Xeon 3.06 GHz, Myrinet / 2500CPU Max:9819/Peak:15300

Dawning 4000A, Opteron 2.2 GHz, Myrinet / 2560CPU  Max:8061/Peak:11264
20MACオタ:04/07/06 08:28 ID:rgFmGAOF
ThinkSecretがUCLAでXserve G5 256台のクラスタが稼動予定と伝えているす。
http://www.thinksecret.com/news/ucla.html
こういう分野にPower Mac/Xserveが売れるのわ、結構なコトすね(笑)
21MACオタ:04/07/07 20:02 ID:CU656Lr8
先月、IBMとMotorolaが通信分野向けにIBMのBladeCenterを共同で拡販していくという発表をしていたす。
http://www-1.ibm.com/press/PressServletForm.wss?MenuChoice=pressreleases&TemplateName=ShowPressReleaseTemplate&SelectString=t1.docunid=7192&TableName=DataheadApplicationClass&SESSIONKEY=any&WindowTitle=Press+Release&STATUS=publish
これってどうせ、IntelチップのBladeCenterのことなんだろうと高を括っていたら、なんとMotorolaの製品ページ
に970FX搭載のブレードが掲載されているす。
https://mcg.motorola.com/cfm/templates/general.cfm?PageID=2417&ProductID=269&PageTypeID=13

ダイサイズやL2容量の点から、970FXってかつてのG4と同様にハイエンド組込向けのプロセッサであって、
デスクトップ向けでわ無いと思っていたすけど、確実にG4のリプレースとして浸透しつつある感じす。
結局のところAppleわ、以前と同様に「小さいチップを2つ積む」戦略を続ける羽目になる訳すけど、G4時代と
ちょっと事情が変わってきたのわ、「巨大で高クロックで高性能なプロセッサ」という路線が消費電力の壁に
ぶち当たって進歩が怪しくなってきたことす。
22名称未設定:04/07/07 20:12 ID:OmlyQeAR
PowerPCがこの先生きのこるには?
23名称未設定:04/07/07 21:51 ID:prdZHTLq
あぁ…早くCELLプロセッサを見たい…
24名称未設定:04/07/07 21:54 ID:9ssu3dnh
プロセッサの外観を見たところでなー
25MACオタ:04/07/07 22:28 ID:CU656Lr8
CELL関連の発表があると伝えられていたVail Computer Elements Workshopが
先月の27日にあったすけど、ぜんぜん情報が流れてこないすね。。。
http://www.unf.edu/ccec/ieee/vail_2004wkshps.html
26名称未設定:04/07/07 22:48 ID:1JvXdzAe
今年中にPMG5のスピードバンプモデルは出るんだろうか。それが気になる。
せっかくだから俺は、出る方に賭けるぞ。
貯金は、PMG5のフラッグシップ機を買うことはできるけど、シネマディスプレイと一緒に買うことはできないという中途半端な額のままで、もうちょっと待つ。
27名称未設定:04/07/07 23:03 ID:sESs1RTb
>>26
貯金の前に改行を覚えたほうがいいと思うぞ
28名称未設定:04/07/07 23:07 ID:1JvXdzAe
ごめんなさい。
29名称未設定:04/07/07 23:08 ID:DdXVtm6n
>>26
発表のタイミングを考えると、早くても年明けと俺はみる。
30MACオタ:04/07/08 00:09 ID:ahKDhF03
このスレでわ,情報を書き込むときわ
名前を「MACオタ」にして書き込みすることが推奨されるす。

http://mentai.2ch.net/mac/kako/960/960823499.html
84 名前: MACオタ@本物(笑)>83 さん 投稿日: 2000/06/16(金) 12:53
  以前から書いてる通り,誤情報書かなきゃこのハンドル使う分には構
  わないすよ。
31MACオタ:04/07/08 00:12 ID:ahKDhF03
ところで、このスレに出入りしているMACオタの使い方すけど
  -------------------------------
  リンク集として使う(オタの解釈・翻訳わ、話半分に聞く。)
  -------------------------------
の他に
  -------------------------------
  無料の人間検索エンジンとしてつかう。
  -------------------------------
というのがあるす。
質問を書き込んでおくと、オタが必死でネット上で検索してきてくれるす。
32名称未設定:04/07/08 00:33 ID:Gv4uwMRj
>ahKDhF03

もうおまえは来なくていいよ・・つまんないから。
33名称未設定:04/07/08 04:44 ID:pad0PfPX
自分ではろくに話題も触れないバカが偉そうなこと言ってもねえ。
まあMacを使っていると言うよりもMacに使われれている
大概のMacバカはそうだがな。
34名称未設定:04/07/08 05:28 ID:d647evDZ
IBMがPC向けのCPUでマルチコアをいつ採用してくるのか楽しみだよな
すでにハイエンドでは実績のあるIBMだけに未来は明るい
35名称未設定:04/07/08 09:05 ID:kDYUGCvx
問題は生産能力だけどね。
36名称未設定:04/07/08 09:14 ID:z3JgqDeo
マルチコアになると、ますますノートとデスクトップの間の性能差が
開きそうで嫌だなあ。
37名称未設定:04/07/08 09:17 ID:6zv1RDXs
マルチコアマンセー!
38名称未設定:04/07/08 09:33 ID:rRyb5pTk
つーか、MACオタ自体が噂サイトなどから
情報を引っ張ってきて偉そうにしてるだけで
Macを有効に使ってるとは言い難いんだが(笑)
39名称未設定:04/07/08 10:07 ID:PwW4X0jD
どうでもいい
40名称未設定:04/07/08 11:03 ID:Gv4uwMRj
>38
別に「Macを有効に使うスレ」じゃないので無問題。
41名称未設定:04/07/08 19:32 ID:yoqo+nXt
評論家がその道のプロでない事は多々あるわけで
軍事評論家は戦闘のプロではないし
自動車評論家はプロのドライバーではないし
MacオタはプロのオタクであってMacのプロではない
42MACオタ>41 さん:04/07/08 19:57 ID:aPvsXWug
>>41
  ----------------------------
  Macオタはプロのオタクであって
  ----------------------------
ヲタクわ、持てる技能が実生活に全く役に立たない故にヲタクなんすけどね(笑)
43MACオタ:04/07/08 20:07 ID:aPvsXWug
 さて、前スレに書いた新Power Mac G5のDeveloper Noteの話題なんすけど、
http://pc5.2ch.net/test/read.cgi/mac/1085935103/937
海外の掲示板でAPI (Apple Processor Interface)についての記述が削除されていると、一部で話題になっているす。
確かにDual 1.8GHzのリリースで昨年の11月に改訂された版迄わ、
  -----------------------------------
  The U3 I/O implements two independent processor interfaces. The processors
  range from 1600 to 2000 MHz in clock rate and connect to the U3 I/O through the
  Apple Processor Interface (API). The processor clock is derived from a PLL which
  multiplies the reference clock by preset intervals of 6 or 8 times.
  -----------------------------------
って記述があったすけど、この段落わ現行のDeveloper Noteで完全に削除されているす。
 もともとAPI (Apple PI)ってのわ、一昨年のMicroprocessor Forumの予稿発表以前に970がGP-ULって名前
で噂に登場した頃に併記されていた名前で、Appleが自社開発したFSBだと噂されているす。"API"の名前自体
わ、IBMのドキュメントにも登場することから、Apple/IBMで共通に使われているコードネームであることわ確かす。
http://www-306.ibm.com/chips/products/powerpc/newsletter/mar2004/newproductfocus2.html
44MACオタ@続き:04/07/08 20:22 ID:aPvsXWug
 昔に指摘した話すけど、IBMの970用チップセットCPC925を使っていることになっているMomentumの970FX
評価ボードの拡大写真をよく見ると、Apple製のASICの型番がついているす。
http://pc3.2ch.net/test/read.cgi/mac/1076858361/362
 どうやら、IBMわAppleのU3チップをCPC925というブランドで売っているのわ確かなんすけど、その後CPC925
ベースのシステムは>>21のMotorolaのブレードなんかも含めてアナウンスが結構増えてきたす。POWERアー
キテクチャのビジネスモデルとして、「技術ライセンスとファウンダリで儲ける」ことを目論んでいるIBMとしてわ、
970のコア技術の一つであるFSBわ自社から自由に販売できる技術であって欲しいのわ明らかだと思うす。
 AppleとIBMとの間でどういう約束が成立したのかわ不明すけど、AppleわAPIバス(プロトコル?)の権利を
IBMに売ったんじゃないすかね?Appleとしても直接競合しない組込分野に売れるのであれば、不利益がある
訳じゃないすから。。。その結果がDeveloper NoteからFSBがAppleの自社技術であると強調した件の削除で
わないかと思われるす。
45名称未設定:04/07/08 20:25 ID:6zv1RDXs
POWERアーキテクチャ万歳!
46MACオタ@続き:04/07/08 20:30 ID:aPvsXWug
余談すけど、IBMがいくら巨大な開発力を持つといってもデスクトップや組込向けに適したFSBを開発する
能力わ全く無いらしいす。
PowerPCの60xバスわ、周知の様にMotorolaの88kのFSBを基にしているし、CELLについても
  ------------------------------
  Cellの話題はほとんどなかったけど、開発者談で面白いネタが1つ。
  開発初期段階、IBMとSCE&東芝の設計方針に大きなギャップが
  あったそうだ。IBMは民生向けのCPUを手がけた実績が少ないので、
  全てにおいて常識が異なっていたらしい。
  例えば、LSIのパッケージ端子数を決める際にも、IBMは民生向けでは
  考えられない4000端子とかいう数字を持ち出してきて、他2社をびっくり
  させたそうだ。
  ------------------------------
なんて話をゲーハー板で聞いたす。
http://game6.2ch.net/test/read.cgi/ghard/1079879892/778
970のFSBもApple開発と言う話わ、結構信憑性があるすよ。
47名称未設定:04/07/08 21:11 ID:aAio88c6
4000端子 (;´Д`)…ハァハァ
48名称未設定:04/07/08 22:07 ID:6zv1RDXs
4000端子…(*゚∀゚)=3 ムッハー
49名称未設定:04/07/08 22:09 ID:ey8L554w
4000端子…(´・ω・`)ショボーン
50名称未設定:04/07/08 22:28 ID:VWm6kdNL
4000端子...(*μ_μ)イヤン
51名称未設定:04/07/08 22:34 ID:XDX+wgKy
U3チップの開発にはものすごく苦労したというようなApple側のコメントをどこかで読んだような記憶が。
52名称未設定:04/07/09 10:10 ID:hdlb8ZMQ
84 名前: MACオタ@本物(笑)>83 さん 投稿日: 2000/06/16(金) 12:53
  以前から書いてる通り,誤情報書かなきゃこのハンドル使う分には構
  わないすよ。


勘違いしてる奴だな…キャップも使わん奴に本物も偽物も無いだろ
誰が何の目的でMACオタを名乗ろうが全く問題ない。
53名称未設定:04/07/09 11:36 ID:dSE5eqfC
>>52
はいはい
54MACオタ>52 さん:04/07/09 12:04 ID:cag8JVgW
>>52
そのコピペ、日付を良く見ると色々な意味で味わい深いかと思うす。
55MACオタ:04/07/09 12:57 ID:cag8JVgW
Intelの業績に関するニュースすけど、ちょっと面白いことが書いてあるす。
http://www.reuters.com/newsArticle.jhtml?type=reutersEdge&storyID=5621773
  -------------------------------
  Analyst Tai Nguyen of Susquehanna Financial Group estimated that AMD shipped about 100,000
  Opteron processors in the second quarter, up 67 percent from 60,000 in the first quarter.
  -------------------------------
AMDのOpteronの今年第1四半期の出荷は6万個、第2四半期で10万個程度とのことす。Appleわ
Power Mac G5を今年の1-3月期で174[千台]、未発表の4-6月期も200[千台]程度わ売っているす。
この分だけでもPowerPC 970の出荷わ四半期当たり30万個程度ということで、64-bitプロセッサの市場
でわ、結構大きなシェアを持っていることが判るす。
56名称未設定:04/07/09 15:42 ID:NP+5zzbt
>>55
Athlon64も勘定しないとどうだかわかりませんね。
もしかすると並ばれちゃうかも?(笑
57名称未設定:04/07/09 16:07 ID:r2uLQEiz
64bit OSで動いている数で比べればもっと興味深いよ、きっと。
58MACオタ>56 さん:04/07/09 18:18 ID:cag8JVgW
>>56
  -----------------------------
  Athlon64も勘定しないとどうだかわかりませんね。
  -----------------------------
プロセッサ関係の統計でわ、MPサポートの無いチップわサーバー用に使えないすから別になると思われるす。
目下のところEM64T Xeon, Opteron, PPC970, Itanium2と外販しているサーバー用64-bitチップでわ、PPC970が
悪くない市場シェアを持っているという程度に受け止めておけば良いかと。。。
59名称未設定:04/07/09 19:22 ID:ig5R9t4W
>プロセッサ関係の統計でわ、MPサポートの無いチップわサーバー用に使えないすから別になると思われるす。
いくらなんでもソレはこじつけが過ぎると思うす。
60名称未設定:04/07/09 19:47 ID:jOam3+Dq
>>58
ということは>>55のOpteronの出荷数はモデルナンバーの1xxは除外してるってこと?
61MACオタ:04/07/09 20:28 ID:cag8JVgW
>>59 さん
プロセッサ関係のシェアわ"desktop", "norebook", "Server"で分けることが多いすよ。
http://www.arnnet.com.au/index.php?id=1010584967&fp=16&fpid=0
http://www.theregister.co.uk/2004/04/21/q1_pc_cpu_shipments/

>>60 さん
1xxってそんなに出荷されているすかね?シングルコアのPOWER4なんかと同様にHPC分野専用で
CrayのRed Stormとか以外に用途が無い気がするすけど。。。
Red Stormわプロセッサ間の接続にOpteron内蔵のHTを使わずにCray(ちなみに製造わIBM)の
SeaStarチップを採用しているす。
http://cs.anu.edu.au/Student/comp4300/lectures/cray04.pdf
62名称未設定:04/07/09 20:30 ID:k7sCrSBZ
PowerPC関連の新しい話題とか無いすか?
63名称未設定:04/07/09 20:34 ID:zNGCj1eY
>>61
シェアがServerで分ける事が多いのは分かったが

  -------------------------------
AMDのOpteronの今年第1四半期の出荷は6万個、第2四半期で10万個程度とのことす。Appleわ
Power Mac G5を今年の1-3月期で174[千台]、未発表の4-6月期も200[千台]程度わ売っているす。
  -------------------------------
Opteronの出荷数がServerでのシェアだとして、
G5の販売台数もServerでのシェアなのかい?、これはDesktopだろ

Server用だからAthlon64は含まずOpteronだけと言うなら
G5もG5 Serverの出荷数だけと比べないといけないだろ
64名称未設定:04/07/09 20:37 ID:nTzE2Bdh
MACオタ死ね。
65名称未設定:04/07/09 20:47 ID:3MtAupuD
2ちゃんの鯖もただのデスクトップだし、いいじゃん
66名称未設定:04/07/09 20:49 ID:jOam3+Dq
僕たちのアイドルMACオタさんっていいかげんでご都合主義なんですね、ガッカリしました。
67名称未設定:04/07/09 20:50 ID:kGS9AOnw
Athlon64だって鯖になるんだからいいじゃん
68MACオタ>63 さん:04/07/09 21:25 ID:cag8JVgW
>>63
  ---------------------------
  G5の販売台数もServerでのシェアなのかい?、これはDesktopだろ
  ---------------------------
desktopに使われようが、workstationに使われようが、統計上Xeonなんかわ全て"server"のカテゴリに
入れてるらしいすから、あんまり用途自体わ関係無さそうす。
69名称未設定:04/07/09 21:33 ID:zNGCj1eY
>>68
  ---------------------------
desktopに使われようが、workstationに使われようが、統計上Xeonなんかわ全て"server"のカテゴリに
入れてるらしいすから、あんまり用途自体わ関係無さそうす。
  ---------------------------

で、それはXeonの場合であってG5ではないわけだが。
DesktopのG5がServerカテゴリになるというソースでもあるのかな
XserveG5がServerじゃないのかね
70MACオタ:04/07/09 21:40 ID:cag8JVgW
 アメリカの国家予算獲得の時期なのか、HPC方面からIBMのハイエンドチップのロードマップが聞こえてくる
ようになってきたす。
 で、POWER6なんすど目標動作クロック5GHzという、とんでもない数字を考えているらしいす。例のXbox2用
プロセッサの3.5GHzという件もあるすけど、IBMわIntelと同様に最適パイプライン段数わ現行のプロセッサより
更に大きな数字であるべきだという結論に達した模様す。やはり真面目にアーキテクチャの研究をすると似た
ような結論に到達するすかね。。。
 もちろんこの設計にわタネも仕掛けもある訳で、IBMわ既存のメモリのプリフェッチング機構を更にインテリジェ
ントに改善することでデータアクセスのボトルネックに対応するつもりの様す。構造体の配列のような飛び飛び
のデータアクセスにわ、自動的にキャッシュをバイパスしてレジスタにデータを送り込むような仕組みを組み込む
とのことすけど、注目点はこのようなインテリジェントなデータアクセスのために一時噂に上った"FastPath"なる
専用ハードウェアを使うつもりらしいす。

誰に煽られたのかIntelのNetBurstを嬉しがって叩くMacユーザーもいるすけど、IBMがこの路線に追従する
ことが明らかになった時に何を言うすかね(笑)
71名称未設定:04/07/09 21:47 ID:MAFE5pmQ
>>70
で、POWER6のプロセスは?
72MACオタ@続き:04/07/09 21:57 ID:cag8JVgW
Blue Gene/Lの性能の一端は今年のTop500ランキングにも示されたすけど、IBMのもう一つのHPCプロジェクト
Blue Purple (4096-way POWER5システム)についても多少の詳細が聞こえてきたす。
 ・動作クロック:当初の目標2GHzに対して1.9GHzに後退したらしい
 ・ViVA-1と呼ばれるベクトルプロセッサ機能搭載
 ・ピーク性能わ30TFlops
 ・一般的な用途でピーク性能に対して20%の効率を維持 (POWER4ベースのシステムでわ4%程度とか。。。)
ViVAなんすけど、POWER5が持つbarrier synchronization機構(他のプロセッサの命令実行完了を待って
次の動作を開始する仕組み)を利用してシングルコアのPOWER5 8個を一組としてベクトルプロセッサとして
使用するとのことす。ViVAの利用にわコンパイラのサポートが必要とのことすから、POWER5にわ密かに
関連する専用命令が追加されていると思われるす。
POWER5のインタコネクトの構成わPOWER4から大きく変更されて、プロセッサダイが4個入ったMCMを更に
2個組にした"Book"と呼ばれる構成が基本になるようになっているすけど、これわViVAの一単位に対応
してのことだと思われるす。
73名称未設定:04/07/09 22:00 ID:3MtAupuD
明らかになったときはMac最高IBM万歳と言うんでないの?
MacFanと後藤氏の記事次第なんかな
まあ自分が常にニュートラルならどうなってもその状況を楽しめるさね
74名称未設定:04/07/09 22:02 ID:MAFE5pmQ
命令追加じゃなくて、外側に専用ハードでもつくんじゃないか?
75MACオタ@続き:04/07/09 22:05 ID:cag8JVgW
ViVAのアイデア自体わ、昔からPOWER系プロセッサをHPC分野に売っている日立が以前から採用している
「擬似ベクトル処理機構」と同じモノすから、日本の技術として誇って良いかと思うす。
IBMわ日立との相互ライセンスで、この技術を使えるんだと思われるす。
76名称未設定:04/07/09 22:06 ID:Ipl5EKfQ
で、9月のiMacにはなにが載るのよ?>MACオタ
77名称未設定:04/07/09 22:10 ID:zn3MVrHD
>>69

ま、今回はどちらも言い分も正しく聞こえるわな。
データがあって、それをどう解釈するかってだけだし。
俺にはMACオタの解釈の方がより有用だと思うよ。
78名称未設定:04/07/09 22:16 ID:MAFE5pmQ
http://enterprise.watch.impress.co.jp/cda/parts/image_for_link/5245-2633-3-2.html

POWER6は65nmか…。
しかし、今のPOWER5が130nmなのに来年のPOWER5+で90nm、
再来年のPOWER6で65nmってのはテンポよすぎじゃないか?
IBMのロードマップはあてになりませんな。
79MACオタ:04/07/09 22:16 ID:cag8JVgW
後藤氏がRambus Developer Conference 2004のXDRロードマップからCELLについて色々予想しているす。
http://pc.watch.impress.co.jp/docs/2004/0709/kaigai101.htm
80MACオタ>78 さん:04/07/09 22:19 ID:cag8JVgW
>>78
65nmわSONYから相当資金が入っている上に、IBM, SONY, 東芝連合の共同プロジェクトすから進みわ
速いんだと思うす。
81名称未設定:04/07/09 22:23 ID:vJrgQ4t8
Macは、Opteronに対抗出来る石をのせるのには、何時頃になりますか?
82名称未設定:04/07/09 22:31 ID:MAFE5pmQ
processor freq. int(base) fp(base)
PowerPC 970 1.8GHz 828 1036 Estimate by IBM
PowerPC 970 FX 2.0GHz 890 1100 Estimate by IBM
PowerPC 970+ 2.5GHz 1082 1361
Opteron 150 2.4GHz 1655(1566) 1528(1439)
Opteron 148 2.2GHz 1477(1405) 1490(1393)

しばらく先になりそ…(;´Д`)
83名称未設定:04/07/09 22:34 ID:muz+7pvX
わっしょいわっしょい
84名称未設定:04/07/09 22:36 ID:iOjms1xu
>>81
それは煽りなのか・・・?
85MCAオタ:04/07/09 22:36 ID:tRJGavot
MACオタの見解
Athlon64はDesktop向けだからServerから除外
PowerMacG5はDesktopだけどServerの内

は〜マッキンマッキン
86名称未設定:04/07/09 22:40 ID:1EbTmnEH
65nmプロセス…(*´Д`*)ハァハァ
87名称未設定:04/07/09 22:42 ID:3MtAupuD
>>85
それはレスを読まなすぎだ
88名称未設定:04/07/09 22:44 ID:MAFE5pmQ
ttp://www.nminoru.jp/~nminoru/memo/spec/spec_cpu2000.html
>>5のスコア登録が楽しみだなぁ…(*´Д`*)
Itanium 2にfpで勝てるか?
89名称未設定:04/07/09 22:46 ID:nTzE2Bdh
インターネットセルロンペンティアムでいいじゃん
90MACオタ>82 さん:04/07/09 22:46 ID:cag8JVgW
>>82
SPEC CPU benchmarkわ、あんまり普通のアプリとわ関係無いすよ。昔の話すけど
 ・SPEC95 int
  604e/350: 14.6
  750/233: 11.0
 ・SPEC95 fp
  604e/350: 9.0
  750/233: 8.1
実性能でG3がもてはやされたのわ、周知のとおりす。
91名称未設定:04/07/09 22:52 ID:MAFE5pmQ
>>90
んなこといったら何も比較できなくなりますがな…。
Pen4だってiccで最適化されてるから、人気のP6やPenMよりSPECでは圧倒してるわけで、
潜在能力が高いことは確か。
92MACオタ>89 さん:04/07/09 22:54 ID:cag8JVgW
>>88
そのサイトって昔Motorola G5の腐れルーマーに引っかかって、トンデモな数値を掲載してた阿呆サイトじゃ
ないすか(笑)
まともなSPEC情報の抽出サイトならAcesHardwrareの"SPECmine"がお勧めす。
http://www.aceshardware.com/SPECmine/
93名称未設定:04/07/09 22:57 ID:MAFE5pmQ
>>92
そのサイト既に知ってる罠…。本家がめんどいから貼り付けたまででつよヽ(´ー`)ノ
一応、SPEC95とSPEC92時代の資料ももってるし…。
94MACオタ@訂正:04/07/10 01:09 ID:4lHVJssd
>>93でBlue Purpleとか訳のわかんない名前を書いちゃったすけど、Blue Planetの間違いす。
これじゃ同じくPOWER5のクラスタであるASCI Purpleと入り混じっちゃってるすね(笑)
95名称未設定:04/07/10 01:13 ID:viOYI6ex
>>93
>そのサイト既に知ってる
>一応、SPEC95とSPEC92時代の資料ももってる

…自慢?
96名称未設定:04/07/10 03:23 ID:Jj7aM5k4
このスレは
 
 ・自分の夢想に都合の良いデータさえ手に入れば客観性はどうでもいいというバカ
 ・オタのいいかげんさに突っ込みさえできれば良いという粘着
 ・客観的に面白いデータでさえあればAppleだろうとIBMだろうとIntelだろうとAMDだろうとどうでもよいコウモリ
 
の三種類の人たちでお送りしております。
97名称未設定:04/07/10 03:32 ID:vtE2Z+yy
なかなか面白いじゃないか
適度な荒れ具合こそこのスレの本質かな
98名称未設定:04/07/10 08:02 ID:1m2SGlNx
>>96自身はその三種類のうちのどれなの?
99名称未設定:04/07/10 09:31 ID:DMAyMC7V
>>98
全部でしょ
100100オタ:04/07/10 11:08 ID:HnJc+Z9n
す。。。
101名称未設定:04/07/10 11:17 ID:maG5HMPp
MACオタ死ね。
102名称未設定:04/07/10 11:23 ID:p3VLCGvw
>>96
まあ所詮、全員マカですから。
103名称未設定:04/07/10 14:14 ID:+CQZnKEP
俺MacとPCとPalmとPPCとLinuxザウとMSX・MX-10が部屋に転がってるけど
なんでも使うのはただのウィナだってマカに差別されるよ

酷いよ
104名称未設定:04/07/10 18:00 ID:exMss+P4
>>103
デフォはMSXだもんね!
105名称未設定:04/07/10 18:05 ID:25HFWXiB
>>104
そのIDドザか?
106名称未設定:04/07/10 18:06 ID:Fos1Q7rl
.exe
Microsoft
Pentium4
107名称未設定:04/07/10 18:18 ID:+CQZnKEP
>>104
あんまふざけた事いうから
つい蛙の合唱を組んぢまったじゃないか
108MACオタ:04/07/10 20:10 ID:4lHVJssd
噂レベルすけど、OS X 10.4のターミナルでsysctlコマンドの出力を見ると、カーネルパラメータとして
物理プロセッサ数と論理プロセッサ数が返ってくるとのことす。
SMTサポートってことすかね。。。
109名称未設定:04/07/10 20:13 ID:Ywj/dGd4
で、いつごろSMTつきのPPCがでるのかねぇ?
110名称未設定:04/07/10 20:16 ID:b6+l/MN+
来年。
111名称未設定:04/07/10 21:18 ID:7P6aIwVz
CPU設計者たちがTLPへと走るのには明確な理由がある。それは、CPU性能を伸ばすのはそれが一番手っ取り早い道だからだ。
112名称未設定:04/07/10 21:50 ID:iZuyI48C

                ____
              ''"::::::::::::::::::::ヽ
         \   ∠::::ノ│ヘ::::::::::::: ヽ|ヽ ====
          \ / ●   ゞ::::::::::゙ |、|  ======
           '\       ─:::∪:: |、|
         ∠::|  X\   ●" イ:::::|-| そんなエサで私がネヴァーーー!!☆
         ∠::、(_/      /:::i/⌒l
            /\    ∪/::::i/ ̄/  =====
            〈  `ー┬イ::::∠ _ノ  ====
            \ \_ネヴァダ  \
             \∠ ̄)  _/\   ======   (´⌒
               | ̄ _,/___ \___  (´⌒;;(´⌒;;
                ̄ ̄\__|_)_|_)(´;;⌒  (´⌒;;  ズザザザ
113名称未設定:04/07/10 23:12 ID:GDm4mQtz
最初の604ePowerMacのクロックって200Mhzじゃなかったっけ?
それに604eRISCワークステーションのFSB、外部キャッシュが
PowerMacと同じ100Mhzとも限らない
>>90は数字を出してもっともらしく言ってるけど
信憑性ないな
あと関係ないけど、G3のSPECは公表されてない
それは推測値
114名称未設定:04/07/10 23:25 ID:D4oabMni
なんかまた変なのが来たな
115名称未設定:04/07/10 23:33 ID:NpxxkZDj
変なのが来るのは日常茶飯事です。
116MACオタ>113 さん:04/07/10 23:39 ID:4lHVJssd
>>113
もう知らないヒトも多いと思うすけど、AIM時代のプレスリリースにわ必ずSPEC95の成績が記されていた
すよ。もはや90年代のプレスリリースを探すのも結構大変すけど、有り難いことに日本IBMにわ80年代
末からのプレスリリースが今でも参照できるようになっているす。
http://www-6.ibm.com/jp/domino05/ewm/NewsDB.nsf/1997/08052
  ----------------------------------
  [PowerPC 750/740マイクロプロセッサーに関する技術情報]
    --- {中略] ---
  266MHzの性能:
PowerPC 750(推定):
     12.4 SPECint95および8.4 SPECfp95(1)
PowerPC 740(推定):
     11.5 SPECint95および6.9 SPECfp95(1)

  [PowerPC 604e/350MHzマイクロプロセッサーに関する技術情報]
    --- {中略] ---
  350MHz版の性能(推定):
14.6 SPECint95および9.0 SPECfp95(2)
  ----------------------------------
例によって(est.)ってとこにケチをつけるのわ自由すけど、公開された数値であることわ確かす。
117MACオタ@補足:04/07/10 23:48 ID:4lHVJssd
そんなこんなのデータを集めてたサイトも、もう残っているところわ少ないすけどMacSpeedzoneの
アーカイブに当時のデータが残っているす。
http://macspeedzone.com/archive/4.0/ChipComparisonsSPECint.html
今見ると懐かしいす(笑)
118名称未設定:04/07/11 00:41 ID:FIUBnKj1
>>108
マジで論理プロセッサ数が返ってくるみたいす。
http://pc5.2ch.net/test/read.cgi/mac/1088501438/611
119名称未設定:04/07/11 00:42 ID:mMeJu3es
MACオタ死ね。
120MACオタ:04/07/11 00:43 ID:vXYeZFgG
>>108のネタすけどTigerスレで検証してくれたみたいす。
http://pc5.2ch.net/test/read.cgi/mac/1088501438/611
  ----------------------------
  $ sysctl -a|grep cpu
  hw.ncpu = 1
  hw.cpufrequency = 999999996
  hw.availcpu = 1
  hw.ncpu: 1
  hw.activecpu: 1
  hw.physicalcpu: 1
  hw.physicalcpu_max: 1
  hw.logicalcpu: 1
  hw.logicalcpu_max: 1
  [以下、略]
  ----------------------------
121x86オタ:04/07/11 00:46 ID:gy6Zf9Cd
>>118->>120
ワロタ
122名無しさん@そうだ選挙に行こう:04/07/11 10:48 ID:IVy2Nw/W
PowewrPCおそ!PowewrPCおそ!
悔しかったらVRAM512MByte内臓仕様のPowewrPC出してみ!
123名無しさん@そうだ選挙に行こう:04/07/11 10:53 ID:x2JgfNZ9
VRAM512MByte...
124122:04/07/11 10:54 ID:IVy2Nw/W
誤 PowewrPC
正 PowerPC

昔にα21164を4基つんだグラフィックアクセラレータがあった。
汁熊君のCMで、それで作ったCGが流れていた。
125名無しさん@そうだ選挙に行こう:04/07/11 11:38 ID:fUQDT6e1
ていうか、PowerPCはCPUでハードウェア的にはVRAMの容量がいくらだろうと関係ないのだが。
しかも字は「内臓」じゃなくて「内蔵」だし。


自分の知識のなさを「釣り」で逃げんのなしね。
126名無しさん@そうだ選挙に行こう:04/07/11 12:31 ID:fHd2PD8Y
マジレスすんなよ…。
127名無しさん@そうだ選挙に行こう:04/07/11 13:02 ID:4UFRGZ5b
もういいからコプロにOpteron詰んだPowerMac出してくれ
128名無しさん@そうだ選挙に行こう:04/07/11 13:14 ID:q30FhOU2
豪勢なコプロだなおい
129122:04/07/11 13:41 ID:IVy2Nw/W
ロジックボードに、32bit整数演算を補うためのコプロ用ソケット(LGA775)欲しい。
コプロ石は安いし。

>>122>>124
わざとに、厨房っぽく書いてみたけど、
将来、グラフィック描画もメインCPUが行うようになると思われ。
cellがそうだし。
台湾MAGオタも否定はしないだろう。
130名無しさん@そうだ選挙に行こう:04/07/11 13:43 ID:udZUbLJt
131122:04/07/11 13:53 ID:IVy2Nw/W
>コプロ用ソケット(LGA775)
コプロが刺さってないと動かないアプリ続出w
132名無しさん@そうだ選挙に行こう:04/07/11 14:23 ID:57z4BlH/
夏厨?
133名無しさん@そうだ選挙に行こう:04/07/11 14:37 ID:Fzh6Dwoo
真性?
134名無しさん@そうだ選挙に行こう:04/07/11 14:42 ID:lkgkT/9j
昔はグラフィックの描画もCPUがやっていた。
懐かしいものだな。
135名無しさん@そうだ選挙に行こう:04/07/11 15:02 ID:r5KNHSMe
速攻で>>IVy2Nw/Wをあぼーん設定してみた。
136名無しさん@そうだ選挙に行こう:04/07/11 15:17 ID:4UFRGZ5b
あぼーんするには惜しい、一味違った話題提起だ。

グラフィックス描画周りのやりとりがもっと汎用CPU的な物に
遷移してったら、数年後には可能性あるかもねえ。
ただそれは、その方が効率が良いという背景が固まらなければ
意味のない移行となる。そういう設計が一般的になるには
まだまだGPUは特化された物が必要になると思うけど。

リアルタイムレイトレーシングの時代はどれくらいで来るのかなー。

うーん、面白い話題かなと思ってレスをひねり出して見たはいいが、どうにもスレ違いだな。
やっぱ俺もあぼーんするかな
137名無しさん@そうだ選挙に行こう:04/07/11 15:41 ID:N0aMQNSa
知らない人はレスしないで!
リアルタイムレイトレーシングっつうか>>136の考えてる
未来には、しばらく前から片足突っ込んでる状況みたいよ。
今はCPUよりもGPUを120%活用する時代なんかな。
139名無しさん@そうだ選挙に行こう:04/07/11 15:47 ID:IVy2Nw/W
ラスターグラフィックスが主体だった時代は、VRAMが主メモリと別であるメリットが多かった。
ベクターグラフィックスでは、主メモリとは別にVRAMが存在することのデメリットが多い。
膨大な座標データをVRAMと主メモリでやり取りしたり、CPUからVRAMに直接アクセスするたびに
CPUに比べて低速なデバイスをCPUがロックしなければいけない。
座標データのVRAMへの転送がどれだけ遅いか・・・汁物ぞ汁。

>グラフィックス描画周りのやりとりがもっと汎用CPU的な物に
>遷移してったら、数年後には可能性あるかもねえ。
RADEON9700以降、以前のハードワイヤードをやめて、汎用CPUの方向に向かっています。

>リアルタイムレイトレーシングの時代
SGIは8年以上前に達成していたのでは?

もう、そろそろあぼーんされたかなぁ?
140名無しさん@そうだ選挙に行こう:04/07/11 16:42 ID:2M3qjKF/
PS2って今のチップはGPUみたいのとCPUみたいのがワンチップになってますけど初期の別チップ時代より早く通信できるの?
規格が決まってるだろうからあまり意味ない話かもしれませんが。。
141名無しさん@そうだ選挙に行こう:04/07/11 16:47 ID:4UFRGZ5b
>>リアルタイムレイトレーシングの時代
>SGIは8年以上前に達成していたのでは?

そーなんだ?
こないだリアルタイムレイトレーシングの記事どっかで読んで、
原理上ポリゴン数無制限っての読んでぶったまげた門外漢ですた

そういうのが家庭用PCで標準になったらOSの見せ方も別物になるんだろうなあ
142名無しさん@そうだ選挙に行こう:04/07/11 17:20 ID:gy6Zf9Cd
リアルタイムレイトレーシングなんてどうでもいいから、
MACオタの新情報マダー???
143名称未設定:04/07/11 22:24 ID:KTUOgxPj

           _,ノ‐''''''^¨¨¨⌒ ̄⌒^^''¬-、,_
        ._v-''¨`.,,vー─-、    .,,vー─-、  .¨'ーu_
      _ノ'″  ./′    ¨┐ ./     ゙'┐   .゙'┐
     ,/′   ./       .ミ .i′      .)     ゙\
    ,/′     |     ● | } _●,     |      \
   ./′    ._,,、-ミ.    .  /¨レ       .人,_      ミ
  .,ノ′  ._ノ'″  \_   ._,rlト冖へy   _/  ¨'‐u     .゙lr
 .,i′  /ー-v、.,,_   ¨^^¨´〔    〕.¨^^¨′  __.,、 ゙\.    {
 〕   ./′    .⌒'''''    \,,,,,,ノ′  v-ー'''¨′   ゙┐   }    ______________________
 |   ノ  .────ー      }      __,,.,、v--ー''  {   .]  /
 |  :|        .__,..      .!      `        .}  .} <   >>1乙 http://www.border.jp/uploader/img/7281.jpg
 }  .|   .--:;:冖^ ̄       .|      ¨¨¨¨¨¨゙フ¨¨′ .}  }  \
 .|  .}    .\_         .}        ._/    }  .:|     ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄


144名称未設定:04/07/11 22:37 ID:X9MRubAf
ドラえもんって毛深いんだね
145名称未設定:04/07/11 22:40 ID:IVy2Nw/W
>>143
それは「ロリーコンピューター」ってやつでしょうか?
しかもクラスタリング構成だし。
146名称未設定:04/07/11 23:13 ID:tDfbys7R
昔、21264を積んだ外付けビデオカードがあったけど、
PCIの速度がネックになってた
GPUとCPUでメモリをシェアするほうが今は合理的なんだろうけど、
GPU側のソケットなんかの問題が・・・
147名称未設定:04/07/12 01:15 ID:OfwpJ7yD
バックサイドGPUの方向で
というかCPUにPCIexpのコントローラとメモリコントローラ積めばいいのか
148名称未設定:04/07/12 02:14 ID:2/4yzNS0
>PCIexp
MacはPCI-X+AGP-Proを採用した。
せっかくPCのバスの使いまわしを辞めたのだから、独自のVGAスロットを用意して欲しい。

VMXの大域を256bitあるいは512bitに拡張し、VMXとGPUを直接繋ぎ、
GPUはVMXをパスして主メモリにアクセスできるようにして欲しい。
149名称未設定:04/07/12 02:35 ID:9ZR6v05E
>>148
確かに一般のPCの使い回しからは遠ざかったけど
PC/AT互換機からの使い回しである現実は相変わらずだな。
そんな事に金を使う余裕があるなら先にすべき事がいくらでもあるだろ。
150名称未設定:04/07/12 05:24 ID:ICq5c8D8
うわごとみたいな書き込みばっかり('A`;)
151x86オタ:04/07/12 05:27 ID:CMWbGok6
MACオタさんマダー???
GPUがらみの妄想はツマランです。
152名称未設定:04/07/12 05:55 ID:FvjqA9Z3
>>150
それは2ちゃんねる全体がそんなもんだから。。。

一億3000万のうわごとサイト、2ちゃんねるでお送りします。。。
153名称未設定:04/07/12 19:32 ID:Hc0JU4ir
154MACオタ:04/07/12 21:25 ID:V1y6IPt4
IBMがPPC970に関する新しいアプリケーションノートを公開しているす。
http://www-306.ibm.com/chips/techlib/techlib.nsf/techdocs/AB70A3470F9CC0E287256ECC006D6A54/$file/970-software.pdf
リトルエンディアンをサポートしないとか、キャリーやオーバーフロー処理での64-bitと32-bitでの違いとか、
知られている話も多いすけど、新たな情報もあったす。
 ・POWER4同様に16MBページをサポートしている
 ・同じくPOWER4のHypervisorモードをサポートしている
 ・論理/仮想/物理メモリのうち仮想メモリわ65-bit(PPC64でわ80-bitと規定)、物理メモリわ42-bit
  (同じくPPC64でわ62-bitと規定)
前スレにも書いたすけど、hypervisorのサポートによりsupervisorレベルで走るOSとわ別のセキュリティ機構を
設けたり、POWER4/5のLPARやVirtualization機構のように複数OSを走らせたりすることも可能な筈す。
155名称未設定:04/07/12 22:17 ID:skoiyOZn
>>148
PCI Expressてたしかポイントツーポイントでほかのデバイスと共有しないらしいからいいんでない?
156コリン ◆cQwColinC2 :04/07/13 00:14 ID:pzrTuZi4
まだこんなスレたててたのか!!!

ところでなんで
■次世代PowerPCを語るす vol.6
http://pc.2ch.net/test/read.cgi/mac/1035290918/l50

は過去ログ等に無いのーー?????
教えてMACオタさんwwww!!!!

>>8
MACオタ
 必 死  だ   な     

>>102
ドザとMACオタの二人でほとんどを占めてると思うよ。
157x86オタ:04/07/13 00:18 ID:IXMO4cyo
コリンさんは邪魔なのでから退去してほしいです。
158名称未設定:04/07/13 00:22 ID:z9+UCMOZ
>>156

ちゃんと調べようや。


>隊長! スレッド 1035290918.dat は、html化されるのを待っているようです。
>しばらく待つしかない。
159名称未設定:04/07/13 00:30 ID:2juNlIxR
>>156
何事も無かったように復帰したな。
お帰り。早かったね。
160名称未設定:04/07/13 00:33 ID:avpZpIBi
>154

仕様上はpower4とかわらないのですね。

Xserveかなにか?でAIXサポートするためにpower4と同じにしたのかな。
HMCなしでhypervisorを制御できる方法があればいいんだけど。

また、複数OSを動かすには、ブートデバイスとかnetworkカードとか
複数いるんじゃなかったっけ?
161名称未設定:04/07/13 00:46 ID:YkPvcaam
http://www.asahi.com/science/update/0712/002.html
幅0.3nmの導電性プラスチック電線ってなんかCPUに使えるスか?
162名称未設定:04/07/13 00:57 ID:R32J91Hv
>>161
まだ量産性とかコストとか半導体製造のほかの工程との
相性とかの問題があるだろうから、それが半導体の配線に使われるのは
あったとしてもだいぶ先だろう
163名称未設定:04/07/13 01:00 ID:R32J91Hv
>>160
AIXサポートするとしても、XserveじゃなくてIBM製PPCブレード向けだろう

>>また、複数OSを動かすには、ブートデバイスとかnetworkカードとか
>>複数いるんじゃなかったっけ?
いらないんじゃないかな?
Macヲタさんの出番です


164名称未設定:04/07/13 02:21 ID:4ONWfHgh
コリン引退しる!一回と言わず何回でも引退しる!
165名称未設定:04/07/13 07:19 ID:KRAJzK1A
また、粘着コリンか! 懲りんヤツだが単に文句逝ってるだけなので来ないでヨロシ。
166名称未設定:04/07/13 10:03 ID:YkPvcaam
http://www.asahi.com/business/update/0713/050.html 
ソニー・コンピュータエンタテインメント(SCE)は12日、
据え置き型ゲーム機「プレイステーション」の次世代機を来年5月に米国で開かれる
「エレクトロニック・エンターテインメント・エキスポ」(E3)で発表することを明らかにした。
来年9月の東京ゲームショウにも出品され、来年中に発売される見通しだ。
とするとcellの詳細はいつ頃わかるかな?
167MACオタ:04/07/13 12:55 ID:6X5kDolV
バージニア工科大のSystem Xすけど、やっとXserve G5が全部納入されて組み上がったとの
ことす。http://www.tcf.vt.edu/
  --------------------------------------
  Upgrade In Progress:
    Assembly - Completed!
    System Stablization - In Process
    Benchmarking - approx. start, July 16, 2004
  --------------------------------------
もともとたいした出荷量がある訳じゃないXserveすけど、とりあえず970FXの供給わ安定
した模様す。Power Mac G5/2.5GHz dualもそれほど遅れないと期待して良いすかね。。。
168名称未設定:04/07/13 15:09 ID:HL34U8Nu
随分遅れたねぇ。長い事機能してなかった訳だな……。
大口顧客にガンガン対応出来る企業じゃないか。
169名称未設定:04/07/13 15:53 ID:GWrwtzvj
遅れたのはIBMの責任なんで
170名称未設定:04/07/13 16:25 ID:hSQnAkDa
>>169
そーゆうのも含めてアポーの責任。
171MACオタ:04/07/13 17:34 ID:6X5kDolV
IBMがPOWER5搭載のpSeriesを発表したす。
http://www-1.ibm.com/servers/eserver/pseries/news/features/2004/annc_0713.html
なぜかSPECint2000が欠けているすけど、TPC-C, SAP, SPECjbb2000, Linpack,
SPEC OMPM2001, SPECfp2000なんかでぶっちぎりの成績を上げているす。
http://www-1.ibm.com/servers/eserver/pseries/campaigns/benchmarks/index.html?ca=pSeries&me=A&met=announce&P_Creative=P
172MACオタ@続き:04/07/13 18:02 ID:6X5kDolV
いくつか代表的なベンチ結果を書いておくす。
・SPECfp2000
  POWER5/1.65GHz: 2221(peak)/2121(base)
  POWER5/1.9GHz: 2702(peak)/2576(base)
  POWER4+/1.7GHz 1776(peak)/1642(base)
  Itanium2/1.5GHz 2161(peak)/2161(base)
・SPECjbb2000 [Mops/s]
  POWER5/1.9GHz x 16-way: 633.1
  POWER4+/1.7GHz x 32-way: 553.5
  SPARC64 V/1.89GHz x 32-way: 663.1
  PA-RISC 8700+/875MHz x 64-way: 614.3
  Itanium2/1.5GHz x 32-way: 580.5
おそらく主要な性能向上わ、リネームレジスタが増えてHPC的な処理でのボトルネック
が無くなったこととSMTのお陰と思われるす。逆に、この二つの効果が薄いシングル
タスクでの性能が見えるSPECint2000わPOWER4と変わらないので公開してないって
ことじゃないすかね?
173名称未設定:04/07/13 18:35 ID:cx/ZmytP
>>170
そういう論理でいくと、最近あったIntelの新チップセットの
問題による製品回収は採用したメーカーの責任、って事に
なるわけだが、違和感があるような、ないような・・・。
174名称未設定:04/07/13 18:41 ID:l1JvReTx
>173
発売した商品を十分な量生産出来なかったんだから
Appleの責任というのは間違いではないかも。
パーツ生産の遅れが原因であっても、そう言われる
のは仕方ないかと。
175名称未設定:04/07/13 18:45 ID:LzuDC/Jv
消費者に対する責任はAppleにあって
Appleに対する責任はIBMにあるんじゃないのかな?

それぞれが、それぞれの立場で責任とれば良い話。
つっても、終わった話をダラダラやっても、あまり建設的ではない気がするが?
176名称未設定:04/07/13 18:51 ID:HL34U8Nu
一方でAppleは大手顧客に頭下げながらも、IBMにもあんましデカい顔できないような気がしてならない。

いやなんとなくだけど
177名称未設定:04/07/13 19:49 ID:ipp+6FIK
PBをG5化しないのは発熱だけの問題ではないとみた。
今年になってIBMの供給能力に問題があることが判明したし。


178名称未設定:04/07/13 20:41 ID:xa4ObN6D
POWER5遅いす。もうだめぽ。
179名称未設定:04/07/13 21:22 ID:gFCWdFLO
>>175
バージニア工科大の場合、いったんPowerMacで組み上がったクラスタを、
早々にXserveにリプレースすると最終的に判断したのは顧客側だろうし。

納期遅れのリスクがあるのはある程度承知していたかもしれんし、誰に
責任があるか、憶測を重ねても建設的ではないのは確かだな。
180名称未設定:04/07/13 22:14 ID:Z6QQeBce
そろそろMacオタの設計したCPUをみてみたい。
181名称未設定:04/07/13 23:09 ID:IXMO4cyo
こりゃItaniumでわしばらく追い越せそうにないすね。。。
182名称未設定:04/07/13 23:14 ID:k4Qf5hOU
そろそろ
「Macオタ」
に改名して欲しい。
183名称未設定:04/07/13 23:50 ID:SFxokLyn
>>とりあえず970FXの供給わ安定
PowerMac G5の下位2機種に970FXが搭載される日も近いすか?
184名称未設定:04/07/14 00:17 ID:DrU/1kKY
安定供給…(*´д`*)ハァハァ
185名称未設定:04/07/14 00:53 ID:OR8Yp+YI
>>172
リネームレジスタはそもそもSMTのために増やされたのではないのかね?
186名称未設定:04/07/14 01:50 ID:5e/Jh1wo
リトルエンディアンってVPCでよく聞くけど、何???
誰か教えて!
187名称未設定:04/07/14 02:05 ID:r96b1D6t
ぐぐれ
188MACオタ:04/07/14 06:33 ID:JSAY0LKk
後藤氏のCELL記事す。
http://pc.watch.impress.co.jp/docs/2004/0714/kaigai102.htm
ここに至って、CELLのプログラミングパラダイムの問題を扱っているすけど今更って感じすね。
ちなみにcell architecture対応の物理演算のライブラリならBlue Gene用に開発が進められている
と思うす。ゲームに使えるようなモノかわ知らないすけど。。。
189MACオタ:04/07/14 06:42 ID:JSAY0LKk
それからメイン大学にまたXserveクラスタができるとのことす。
http://www.umaine.edu/news/071904/ArmySupercomputer.htm
256-node (多分512-way)で、例の米陸軍の計算用に建設されるMACH 5のテスト用に建設するんだそうす。
バージニア工科大のSystem Xと比べるとMACH 5系のクラスタわインタコネクトにギガイーサを使う分
大幅にノード間通信性能わ落ちるすけど、目的が比較的並列性の高いコードを走らせるんで問題無いと
考えているみたいす。
190名称未設定:04/07/14 09:36 ID:409EtFVV
CELLのプログラミング マンドクサ
191名称未設定:04/07/14 09:50 ID:BdmTJyU1
AIBO制御のプログラミングに並列動作オブジェクトって考え方があるんだが
なんか似たような雰囲気を感じる>CELLプログラミング
192名称未設定:04/07/14 09:52 ID:4TwFH9AT
AIBOはApertos起源。
CELLにはあいつらからんでないのかねえ。
193名称未設定:04/07/14 10:27 ID:OR8Yp+YI
>>188
スパコン用のライブラリがそのままゲームにつかえるわけなかろう。 MACオタよ
194名称未設定:04/07/14 10:30 ID:OR8Yp+YI
もうゲームは簡単な共通の物理シミュレーションライブラリに、
ゲーム性付加するレイヤーやインターフェース重ねてみたいなつくりかたになりそーだな。
195名称未設定:04/07/14 10:40 ID:Sksm1J1G
>>192
今年、SCEIのOS開発部に配属されたCSL横手氏はApertosの研究開発者だよ。
196MACオタ>193 さん:04/07/14 12:49 ID:qUTe6oUz
>>193
  ------------------------------------------
  スパコン用のライブラリがそのままゲームにつかえるわけなかろう。
  ------------------------------------------
基本的にわ、そういうスケーラビリティを持つのがCELLアーキテクチャの筈す。
Blue Gene/LのSIMD FPUとCELLのAPUが同じ命令セットとわ思えないすから、そのまま
使える訳わ無いすけど、コアのローカルメモリのサイズに合わせて問題を分割して複数コア
へソフトウェア・セルを発行するというアルゴリズムわ同じすから、同じソースをCELL用に
リコンパイルすることができる筈す。
197MACオタ:04/07/14 21:38 ID:qc9g5kbX
6/7-11に開催されていたDesign Automation Conferenceの論文とプレゼンが読めるようになっているすけど、
"ISSCC Highlight"というセッションでPOWER5の発表の論文が出ているす。
http://www.dac.com/41st/41acceptedpapers.nsf/0c4c09c6ffa905c487256b7b007afb72/dab47d5459bb5d6487256e54007a245b?OpenDocument
内容わベースとなるPOWER4に対して、プロセス縮小によるダイサイズの余裕とたっぷりあった開発期間の
おかげで十分手をかけてカスタム設計の回路とレイアウトを投入し、性能・消費電力共に良くチューニング
されているという話す。詳細を知りたい方にわ中身を読んでもらうとして、素人目にも面白いネタわ、こんな
ところす。
 ・Elastic Interfaceが改良されて、研究室レベルでわ2GHz動作も可
  (= 970バスわコアの半分の周波数なので、3GHz越えのコアに対応可能)
 ・Shmooプロットによると、130nmプロセスで2.2GHz程度までオーバークロックの余地あり
  (ただし動作条件25Cなので、実際にここまで上げる前に90nmプロセスに移行する筈すけど。。。)
 ・省電力設計によりダイナミックな電力わ25%、静的な電力わ50%削減。合計で33%程度の電力を削減
198名称未設定:04/07/14 23:23 ID:zA8JZX07
199名称未設定:04/07/15 00:09 ID:9oi5FCVo
>>198
どこの店か書かないと晒す意味ないじゃん('A`)
200名称未設定:04/07/15 00:38 ID:NFGzylXI
200
201名称未設定:04/07/15 00:39 ID:3VyoTbVr
インテル入ってるっつって入ってなかったら問題だけど…そんな些細なコトに目くじら立てんでも…
202名称未設定:04/07/15 00:46 ID:2E3CJpzV
新しくなったG5スパコン「System X」が稼働開始

http://pcweb.mycom.co.jp/news/2004/07/14/016.html
203MACオタ>202 さん:04/07/15 02:07 ID:6I9ureR9
>>202
一応、ルーマーサイトに分類されてるThinkSecretですらこの手の記事に関係者のコメントのひとつも
取ってきてるというのに、webサイト見ただけで記事を書いてるこの海上忍ってのわバカすか?
204MACオタ:04/07/15 07:36 ID:6I9ureR9
Appleの第3四半期会計報告を聞いたす。まとめわMacMinuteのが良いすかね。
http://www.macminute.com/2004/07/14/q3highlights
 ・新型の発表でiBook 240[千台] (前期比+19%),PowerBook 243[千台] (前期比+12%)
  と伸びた。
 ・同様にeMacも伸びたが,モデル末期でiMacわ不振。Power MacもG5の不足で不振。
  Power Mac 160[千台],Xserve 13[千台] (合計で前期比-1%)
  iMac 60[千台],eMac 183[千台] (合計で前期比+12%)
 ・新型iMacわG5搭載。遅れわ970FXの製造問題のため!
 ・970FXの製造問題わ7-9月期にも尾を引きPower Mac G5/2.5GHz dualも遅れる
 ・970FXの製造問題解決わ2005年第1四半期になる模様
 ・それでもIBMのロードマップにわ満足している
 ・日本市場わ引き続き縮小中(笑)
205名称未設定:04/07/15 08:41 ID:/5f2/zQ3
>>204
>・970FXの製造問題解決わ2005年第1四半期になる模様

先なげーなっ!
206名称未設定:04/07/15 08:50 ID:8XLcIrU+
モトでもIBMになってもCPUは鬼っ子だな
CPUがよくなったらOSが問題になってのくり返しだったりして
207名称未設定:04/07/15 09:04 ID:qtvuR8nW
IBM、お前もか…。
208名称未設定:04/07/15 09:10 ID:zTZ1UuFB
>>197
いつもいつも「わ」は、やめろ、、おまえの文章読む度に気持ち悪くなる
オリジナルのつもりか?
ひんねまった、お前の精神が俺の大切なPCをくさらせる
209名称未設定:04/07/15 09:17 ID:x2fJAEcR
>ひんねまった

( ゚д゚)???
210名称未設定:04/07/15 09:43 ID:g5fBjWHU
>>208
かなーり昔からわーわーわーわー言ってるので
正直飽きた&慣れた<ヲタ
211名称未設定:04/07/15 09:48 ID:LitfQDTI
MACオタ死ね。
212名称未設定:04/07/15 09:52 ID:XPMhsjMB
・日本市場わ引き続き縮小中
この理由は何と分析してるの?
213名称未設定:04/07/15 10:12 ID:JvfGUDNZ
>>204
>・970FXの製造問題わ7-9月期にも尾を引きPower Mac G5/2.5GHz dualも遅れる
> ・970FXの製造問題解決わ2005年第1四半期になる模様

MacMinuteのほうにはこれに関する事どこにも書いてないんだけど……
214名称未設定:04/07/15 10:23 ID:XPMhsjMB
MacCentralにはこのように。
"Frankly, Japan is our weakest-performing [geographic area]," said Cook.
He said the company is continuing to make changes to try to attract customers.
To that end, the company's Osaka store is succeeding.
初代iMacユーザー(ライトユーザー)はどこにいったのかね。
デザイン会社は皆OS9の動くクイックシルバーに買い替えてるけどね。
215名称未設定:04/07/15 11:38 ID:fijL17Z8
Japan revenue was $172 million, up 2%
立派な数字じゃねーか
216名称未設定:04/07/15 13:11 ID:pKRldNLL
CPUの性能に満足したら、今度は数不足か。
問題解決までにまたx86勢に引き離されて、Mac買う理由が見出されなくなるというオチ?

低発熱方面のアプローチができず、NetBurstの二の舞のようなパイプライン増加。
結局未だに、ひと世代遅れな事をやってる印象が拭えませんな。
217名称未設定:04/07/15 18:26 ID:1pR4N2Ks
Powerではマルチコアを先取りしているけどね…
218名称未設定:04/07/15 19:47 ID:5LYQXowH
>>213

>Appleの第3四半期会計報告を聞いたす。
とあるから、自分で聞いた内容なんでないの?
219MACオタ:04/07/15 21:16 ID:6I9ureR9
>>213 さん
この辺とか。。。 http://www.appleinsider.com/article.php?id=553
  ----------------------------
  ・ Apple believes IBM's G5 manufacturing problems will also affect the company's quarter ending
   September, but it is confident that everything is "under control."

  ・ Supply of 1.8 and 2.0 GHz Power Mac G5s are expected to remain constrained this month, but
   should catch up to demand in August. Meanwhile, Apple says the 2.5 GHz Power Macs will be
   available in limited supply for the duration of the current quarter.
  ----------------------------
Appleの会計年度で2005Q1すから、今年の10-12月期にわ解決ということだと思うす。

>>215 さん
売上ベースで見ると、前年同期比で-2%, 前期比で-1%す。安いモノしか売れてないってことわ
iPodが売れてるだけなんじゃないすか?
220名称未設定:04/07/15 22:00 ID:oaYuNr5R
収入源が拡散するのは企業基板として良いことだ。


数年後、Appleが日本からMac事業撤退とかならんといいけど
221名称未設定:04/07/16 00:34 ID:x7HVa/JI
POWER5ってキャッシュの連想度とかレイテンシも改善されてるのかね?
ソースカモン
222名称未設定:04/07/16 09:28 ID:fa1VZ64O
最近975関係のニュースが無いね
223名称未設定:04/07/16 16:08 ID:0VMOoA/+
FX生産安定が来年だとしたら、975なんか
sっぱり諦めてデュアルコア版を先にやってたりして
224MACオタ:04/07/16 17:46 ID:U69IVv/q
IBMわeServer p5の各機種についてAppleのdeveloper noteに相当するredbookを
出しているす。520, 550, 570でだいたい書いてあることわ一緒すから570のだけ
読めば十分かと思うす。
http://www.redbooks.ibm.com/redpapers/pdfs/redp9117.pdf
 ・今回発表になった520, 550, 570わ全てPOWER5とeDRAMのL3を一つのパッケージに
  入れたDCM (Dual Chip Module)を採用している
 ・IBMとしてわ、(多分90nmのPOWER5+で)3GHzまでのクロック向上を計画している
  らしい。(p.18)
  ----------------------------------------
  ... and it has been designed to support speeds up to 3 GHz.
  ----------------------------------------
 ・省電力モードわ、クロックを落とすのでわ無く命令の実行レートを落とすようになって
  いる。最低のモードでわ32クロックに一回命令を実行ユニットに送るようになっている
  とか。(p.19)
 ・POWER5わメモリとしてDDRとDDR2をサポートしているが、今回のラインナップでわ
  570のみがDDR2をサポートしている。ちなみにDDR2-533とのことす。
225名称未設定:04/07/16 17:57 ID:0VMOoA/+
んじゃもう次期G5は975じゃなくてPower5でいいよ。
載せれば載るんだろ? 載せろよ。
大きさ? 熱? コスト? 知らねえよ頑張れ禿。
226MACオタ@続き:04/07/16 17:59 ID:U69IVv/q
この文書、もう一つ非常に面白い記述があるす。
  ----------------------------------
  In the POWER5 chip, more rename registers have been introduced
  (for Floating Point operation, rename registers are increased to 120),
  that are essential for out-of-order execution and vital for the SMT.
  The number of rename registers greater than 102 is the optimum number,
  based on the analysis of several common codes.
  ----------------------------------
一般に使用されるコードでリネームレジスタが102個以上あることが最適とのことす。
どうやらPOWER4のリネームレジスタの数(GPRで80, FPRで72)ってのわ明らかに
少なすぎた訳で、デュアルコアのためにダイ面積を削減することを目的として、シ
ングルコアでの性能で妥協したものと見えるす。
思えば昨年、リネームレジスタが少ないせいでLinpackのスループットが上がらない
というIBM筋の説明を頑強に信じない自称プロという変なヒトがいたすね(笑)
227MACオタ:04/07/16 18:15 ID:U69IVv/q
IBMからのOEMで日立もPOWER5のunixサーバーを発表しているす。
http://www.hitachi.co.jp/New/cnews/month/2004/07/0715.html
日立ってPowerPCアーキテクチャのライセンスを受けてスーパーコンピュータ分野でわ独自
設計のプロセッサを作ってるすけど、今後わどうするすかね。。。
228名称未設定:04/07/16 22:31 ID:iUD8/G6D
Afternoon

●Croquer dans la pomme が、現在開発中のPowerBook G5は、12" 1.4GHzでバッテリー駆動時間が55分しか持たず、まだまだ解決すべき問題があるようだと伝えていました。
229名称未設定:04/07/16 22:40 ID:55SW9uZK
eDRAMってすごいのかな
1T-SRAMって最近聞かないけどどうなったのかな
230名称未設定:04/07/16 22:41 ID:iUD8/G6D
PowerBook G5
Croquer dans la pomme では、 1.4GHzの PowerPC G5を搭載した「PowerBook G5 12インチモデル」のプロトタイプでは、バッテリー駆動で55分しかもたず、依然として問題が山積しているようだと伝えています。
231名称未設定:04/07/16 22:51 ID:iUD8/G6D
Power Mac G5
Croquer dans la pomme では、PowerPC 975プロセッサ( コードネーム "Trinity") を搭載した「Power Mac G5」は、2005年1月に発表されるようだと伝えています。
232名称未設定:04/07/16 22:56 ID:iUD8/G6D
■ iMacがホットプレート状態に
9月から販売が開始される新型 iMacは、開発の最終段階で発熱によるトラブルが生じ、
内部デザインの見直しがあったようです。情報によると、液晶パネル裏側に配置された
ロジックボードから、予想以上の熱が発生し、液晶表示にムラを生じさせたため、
内部パーツの配置と冷却系のデザイン変更されたとのことです。この仕様変更により、
発売時期が大幅に遅れる結果となったようです。
233233>MACオタ:04/07/16 23:38 ID:LtAvSZgx
PowerPC975って結局どんなスペックに決まったわけ?
234MACオタ>229 さん:04/07/16 23:38 ID:NlSZKYKA
>>229
eDRAMわSRAMより遅いすけど,消費電力が小さい上,少ないダイ面積に大容量を
詰め込めるのが特徴す。
  ----------------------------------
  1T-SRAMって最近聞かないけどどうなったのかな
  ----------------------------------
Mosys社すか?その1T-SRAMの知的所有権の問題で,目下訴訟中みたいす(笑)
http://www.eetimes.com/showArticle.jhtml?articleID=18700412
技術的にわ,1T-SRAMわ論理回路用の半導体製造プロセスで造れるeDRAMす。最近わ,
DRAM用プロセスと論理回路用プロセスをチップ状で混在できるようになったので,
必要性わ薄れたかと思うす。
235MACオタ>233 さん:04/07/16 23:51 ID:NlSZKYKA
>>233
コードネームGR-ULのPowerPC 97xってチップが計画されているらしいという以外わ,
全く謎す。
236名称未設定:04/07/17 02:04 ID:FMJtFvTJ
>>227

http://www.hitachi.co.jp/Prod/comp/hpc/SR/11ktop.html

これがPowerPCのライセンス受けて作った新しいスパコンでは?
237名称未設定:04/07/17 02:10 ID:FMJtFvTJ
>>235

GRって付いているってことはPOWER5(コードネームGR)ベース
ってことでしょうね。
238237:04/07/17 02:17 ID:FMJtFvTJ
追記っす。

http://www.midrangeserver.com/tfh/tfh032204-story01.html
によると、POWER4はGigaProcessor(IBMで初めてGigaHZに乗った)
という名前からGPというコード、POWER4+はPの次でGQ、POWER5は
そのまた次でGRだそうです。ちなみにPPC970はGigaProcessor
Ultra Lightの略でGP-ULだそうですので、GR-ULはGR(POWER5)
Ultra Lightでしょうね。

>
the Power5 chips, which are also known internally
as the "GR" processors. (The first Power4 chip was
code-named "Spinnaker" internally and also referred
to as "GigaProcessor," or GP for short. IBM then
called the Power4+ GQ and the PowerPC 970 GP-UL,
or GigaProcessor Ultra Lite.)
239名称未設定:04/07/17 02:42 ID:G9VLbfZt
>>232
そうなったってかまわないんじゃ?
どのみちWSも出ることだし。
発売時期が大幅に遅れるのはいつものことだし。
アップルのお家芸の欠陥は1つ二つではないわけだし。
それに追加されたって大したことじゃない。
240名称未設定:04/07/17 08:05 ID:FbZrclqU
975がPOWER5ベースなのか(・∀・)
じゃ、980とかはなんなのかね?
241名称未設定:04/07/17 08:09 ID:J3gB98f0
デュアルコア
242名称未設定:04/07/17 09:26 ID:FbZrclqU
980はデュアルコアなのか(・∀・)
243名称未設定:04/07/17 09:45 ID:ZA4kpZ6J
PowerPC 999(スリーナイン)
244MACオタ>236 さん:04/07/17 09:48 ID:iatqXHjD
>>236
それPOWER4+そのものを使ってるす。SR8000の頃わPowerPC ISAの専用プロセッサを自前で設計
していたすよ。
http://www.hotchips.org/archive/hc11/hc11pres_pdf/hc99.s1.2.Kurihara.pdf
245MACオタ>239 さん:04/07/17 09:55 ID:iatqXHjD
>>239
その腐れルーマーのソースわ四半期会計報告の前に掲載されたAppleInsiderの記事なんすけど、
http://www.appleinsider.com/article.php?id=550
会計報告のQ&AセッションでAppleから否定されて、腐れルーマーと判明済みす。
ちなみに当のAppleInsiderの未練たらたらなこの話の記事わ、これす。
http://www.appleinsider.com/article.php?id=553
  ------------------------------
  An analyst later alluded to today's AppleInsider iMac report on heating issues, asking Oppenheimer
  if heat issues were also to blame for the delay of the new iMacs, or if the issues stemmed exclusively
  from chip constraints. Oppenheimer would not deny the heating reports, but chose not to discuss the
  them, stating only that the processor constraints are the "most critical issue." Oppenheimer later
  reiterated the aforementioned statement when pressed further about heating problems in the new iMac.
  ------------------------------
過去にAppleInsiderわ全く同じネタをPower Mac G5の遅れの原因として報じてるすから、誰か脳内妄想を
投稿し続けているソースの一人が、こういうネタが好きなんだと思うす(笑)

  
246名称未設定:04/07/17 10:38 ID:6NUy8tm/
GPU用のメモリの転送能力は数十GB/sとか凄いことになってるみたい(※)ですが、
CPU用のメモリの帯域幅は現状から大幅に増えることは無いんですかね。
基本的に現状で足りてる?

(※) ttp://pc.watch.impress.co.jp/docs/2004/0716/kaigai103.htm
247名称未設定:04/07/17 11:12 ID:DXyWLZcO
後藤タンの予想ではPS3は帯域51.2GB/secの256MBかぁ…スッゲ(;゚∀゚)=3ハァハァ
248名称未設定:04/07/17 11:55 ID:ujCCUeZY
RADEON9700わ帯域21GB/secす。
(X800わ50GB/secぐらいありそうす。)
PS3わ悔しかったら500GB/secぐらい出すす。
249MACオタ>246 さん:04/07/17 12:01 ID:iatqXHjD
>>246
とりあえず2年以内にDDR2-800のデュアルチャンネルで12.8GB/sまでわ、ロードマップ的に確定だと
思うす。
  ----------------------------
  基本的に現状で足りてる?
  ----------------------------
そういう意見もあるらしいす。詳しくわ、このスレにも良く来るアム厨のヒトに聞くと良いかと思うす。
AMDわデュアルコアOpteronでメモリインターフェースを強化するつもりわ無いらしいすから。。。
250名称未設定:04/07/17 13:02 ID:aWgSOp4C
アムロ厨
251名称未設定:04/07/17 13:05 ID:FbZrclqU
アム厨って痛いよね(・∀・)
ほんとにアンチIntelきどりたいんなら、Motorolaつかえっての(・∀・)
252名称未設定:04/07/17 13:16 ID:aWgSOp4C
俺の一番好きなトラメタは今のところ誉められる場所がない模様
お前らもっとアレゲ石にも投資しる イフィとかC3とか
253MACオタ:04/07/17 13:47 ID:iatqXHjD
IBMの四半期報告でもG5問題の質疑応答があったらしいす。
East Fishkillの地方紙すけど,ここの記事が詳しいす。
http://www.poughkeepsiejournal.com/projects/ibm/bu071604s1.shtml
  -----------------------------------------------
  Loughridge [CTO of IBM] returned to the 300-millimeter East Fishkill plant's rise
  in chip yields and said, ''This is the original ramp that we had planned for, albeit
  at a one- to two-quarter lag. We do hundreds and hundreds of different chips
  in our Microelectronics Division and we're meeting all of our customer
  commitments except one. ... That's one out of hundreds.'
  -----------------------------------------------
East Fishkill工場の歩留まりが4-6月期わ1-3月期の二倍になり,7-9月期わ更に二倍になる
って言ってるすけど,これって元が異常に悪かったと告白しているのと同じでわ(笑)
Apple以外の数百の顧客の要求わ満たしているって言っているすけど,それって既にもう大半
の客が逃げ出した後とも解釈できるす。
ちなみに陽に数字わ出されていないものの,Technology Groupの収支から計算すると半導体
部門わ,相変わらず大幅赤字みたいす。
254名称未設定:04/07/17 14:29 ID:ujCCUeZY
Intel大幅赤字期待ageす。
255MACオタ>254 さん:04/07/17 15:14 ID:iatqXHjD
>>254
市場の期待より業績が悪かったんで叩かれてるIntelすけど,前年同期比+18%と十分
儲けているす。http://www.eet.com/semi/news/showArticle.jhtml?articleID=23900583
皮肉なのわ,
  ------------------------------------------------------
  Inventory levels grew by approximately $427 million during the quarter, with
  approximately half of the increase coming from microprocessor inventories,
  ------------------------------------------------------
とプロセッサの在庫が増え過ぎているのが不評の原因で,しかも
  ------------------------------------------------------
  Intel said its transition to 90-nm manufacturing on 300-mm wafers made progress
  during the quarter, with yields up and a crossover with 130-nm microprocessor
  fabrication volumes.
  ------------------------------------------------------
その原因が90nmプロセスの歩留まりが良すぎて,造り過ぎちゃったなんて状況す。
IBMもせめて低クロックの970FXの歩留まりくらいわマトモなら,こんなに赤字を出すことも
なかったすけどね。。。90nmプロセスの量産技術で両者の差がはっきり出たと言えるす。
256MACオタ@補足:04/07/17 15:39 ID:iatqXHjD
>>253に補足しておくすけど、旧Microelectronicsを含むTechnology Groupの収支わ、リンク先
にも書いてあるように$111[百万]の黒字す。
でもこれって収入にAMCCにPowerPC 4xxの製品ラインを売り払った$227[百万]が含まれている
すから、http://www.itmedia.co.jp/news/articles/0404/14/news012.html
事業そのものでわ、相変わらず$116[百万]の赤字す。
前期が$154[百万]の赤字すから、まあ多少わ減ってるすか(笑)
257名称未設定:04/07/17 16:24 ID:FbZrclqU
>>255
しかし、その見解をどこまでうのみにできるかねぇ…。
LGA775版のPrescottなんか供給不足なんだけど。
実際は、Prescottが予想より売れてないとか、
TDP枠内に電力が押さえられなくて不良在庫抱えてるんじゃないの?(・∀・)
258名称未設定:04/07/17 16:49 ID:FbZrclqU
不良在庫って言葉は不適切だな。単なる不良品?
259名称未設定:04/07/17 17:01 ID:iZQCmdmk
ttp://www.theinquirer.net/?article=16823
プレスコってバグがあるって聞いたけど
260名称未設定:04/07/17 17:02 ID:SwrP9xAE
>>257
その為のCeleronDでしょ
261名称未設定:04/07/17 17:03 ID:FbZrclqU
>>259
アム厨ですか?(・∀・)
今時のCPUは程度の差こそあれバグなんてあたりまえじゃね?(・∀・)
262名称未設定:04/07/17 17:05 ID:iZQCmdmk
>>261
Motorola使ってるが
263名称未設定:04/07/17 17:09 ID:SwrP9xAE
エラッタなんて何処にでも有るよPerscott、Athlon64、PPC何れにも
264名称未設定:04/07/17 17:11 ID:FbZrclqU
>>262
ほほぅスマン(・∀・)
このスレ、オタ以外殆どドザしかいないと思ってたわ(・∀・)
265名称未設定:04/07/17 18:22 ID:aWgSOp4C
色々使ってる奴が普通でそ
むしろWindowsだけって奴の割合が少ないんじゃなかろうか。
266名称未設定:04/07/17 20:17 ID:QkvGpG3B
power5でテストされたlinux kernelは手に入りますか?
マルチコア+SMTのスケジューラとブートシーケンスの部分を知りたいのです。

もしくは、power5の詳細アーキテクチャって公開されてるんですか?
267名称未設定:04/07/18 00:33 ID:j58FPf0V
>>257
fabから見れば出荷可能なシリコンが十分な割合でとれているが、パッケージングして
市場に出す段階でだぶついているということでしょうな。
268名称未設定:04/07/18 01:48 ID:1b1L9noF
>266

今の2.6 kernelならば対応しているんじゃないですか?power5向けの
コードがたくさんはいっていますし。

マルチコアとSMTについては、arch/ppc64/kernel/smp.c
あたりで、cpuグループとか、siblingcpu(SMTの兄弟仮想cpu)の設定を
しています。
cpumask_t sibling_cpumask = cpumask_of_cpu(i ^ 0x1);
smtでは奇数番号と偶数番号のcpu(SMT)のセットが物理cpuになるみたいですね。

ブートシーケンスについては、prom.cあたりで、
my_smt_enabled = SMT_OFF;
my_smt_enabled = SMT_ON;
my_smt_enabled = SMT_DYNAMIC;
の設定していますね。open_firmwareで設定できるみたいです。

powerPC基礎アーキテクチャ以外のドキュメント(Power4差分とか、Power5差分とか)
は見たことがありません。
269名称未設定:04/07/18 22:43 ID:JYWJTgSR
>>204
遅レスだが、アポがiMacにG5が乗るって公言しちまってんだな
270名称未設定:04/07/19 16:56 ID:GWiJSnCf
>>268
どうもです。2.6.7拾ってきました。
PowerPCはpowerのサブセットとの認識だったのでppc64がサポートしているとは知りませんでした。
ひたすらコード読んでいます。

何を知りたかったかと言うと、
コア内のSMT部分の起動
コアの起動
がどうなっているのかを知りたかったんです。
つまり、ISAとして命令があるのか、インテルのAPICのような仕組みなのかということです。
promサービスとして外部割り込みで実装されているんだと思うのですが…

PowerPCアーキテクチャのドキュメントも念のため覗いてみます。
271268:04/07/19 18:59 ID:lLFWdLOb
>>270
わたしも細かく追っていませんが、openfirmwareでONにすれば
OSからは一つのprocessorとしてみえる、OFFにすればSMTは見えない。
Dynamicのときはよくわかりませんが、動的にSMTのON/OFFができるのだと
思います。これに耐えれるかどうかはOS次第でしょう。

ONにさえしておけば、プロセッサ間割り込みで割り込めるのでは?
また、起動は通常のSMPと同様にpromから起動ではないですか?

AIXはDynamic LPARといっているので動的にCPU数が変わるのに
耐えれるのでしょうが、Linuxは耐えれないとすればSMTはONに固定
なんじゃないですか?
272MACオタ>270 さん:04/07/19 19:06 ID:Rfk0HQGL
>>270
  ------------------------
  つまり、ISAとして命令があるのか、
  ------------------------
ソフトでスレッドの優先順位が変えられるすから、間違いなくある筈す。
273268:04/07/19 19:11 ID:lLFWdLOb
POWER3のころの64bit対応プロセッサからは、POWERはPowerPCアーキテクチャに
なりました。つまりPOWER4もPOWER5もPowerPCアーキテクチャです。
というわけで、POWER4もPPC970も命令は同じだと思います。POWER4にPOWER2互換の
命令があるかもしれませんが、古い実行オブジェクトに対する互換用でしょう。

>PowerPCはpowerのサブセットとの認識だったのでppc64がサポートしているとは知りませんでした。
274MACオタ>270 さん:04/07/19 19:32 ID:Rfk0HQGL
>>270
268さんも書いているように"ppc64"ってのわ、文字通り64-bit PowerPC(PPC) ISAって意味すけど。。。
275268:04/07/19 19:47 ID:lLFWdLOb
書き方がわかりにくかったかもしれませんが、
32bitプロセッサの頃には
POWERアーキテクチャとPowerPCアーキテクチャは別でしたが、
64bitPOWERを作るときにPowerPCアーキテクチャ(64bit)を採用しました。
つまりPOWER3,4,5はPowerPCアーキテクチャなのです。
最近までPowerPC64bitアーキテクチャ(ppc64)はPOWER3,4,5だけだったので
linuxのppc64対応コードはPOWER3,4,5用です。

最近やっとPowerPC970がPowerPCとして初めて64bitアーキテクチャを
採用しましたが、POWER4のUltraLightなのでもちろん、POWER4互換で
あり、ppc64の中のコードで対応できると思います。
276MACオタ:04/07/19 19:55 ID:Rfk0HQGL
>>224と同じくIBMのredbookネタす。暫定版が公開されている"Introduction to Advanced POWER
Virtualization on IBM eServer p5 Servers"っていう文書にも、いくつか初出の情報があるす。
  ------------------------------
  All pipeline latencies in the POWER5, including the branch misprediction penalty and load-to-use
  latency with an L1 data cache hit, are the same as in the POWER4.
  ------------------------------
ということで、基本的なパイプライン段数なんかの構造わ、POWER4と同じとのことす。一部で、実行結果
のフォワーディングのために、依存命令があると整数演算に2クロックを要するというPOWER4の欠点?が
解消されているとの期待があったすけど、この辺の詳細わ不明す。

ちなみにPOWER5の諸機能のLinuxサポートについても、
  ------------------------------
  Linux partitions support many of the virtualization engine system technologies, such as
  mico-partitioning, SMT, Virtual LAN, and Virtual SCSI client.
  ------------------------------
ということで、SMTやhypervisorモードの諸機能についてわ完全にサポートされていそうす。
277名称未設定:04/07/20 02:38 ID:WYb4Kb0n
なら、上位機種としてPower搭載モデルキボンヌ。
もしくはpSeriesのMacOS Xサポートを。
278名称未設定:04/07/20 11:53 ID:IJ2ffg6E
POWERプロセッサーってモトのPPC603qみたいにJAVAのアクセラレーター積んでるの?
CELLだけど開発言語ってやっぱりCなのかなぁ?
279MACオタ:04/07/20 12:54 ID:ZC07RLYX
秋のMicroprocessor Forum (Fall Processor Forumに改名したとのことすけど。。。)で
Freescale SemiのデュアルコアG4とIBMのBlue Gene/Lの発表があるとのことす。
http://www.mdronline.com/fpf04/conf.html
  -------------------------------------
  ・Freescale's First Dual-Core PowerPC Processor
   presented by Toby Foster, System Architect, Freescale Semiconductor
  
  ・The BlueGene/L Processor for Massively Parallel Supercomputing
   presented by Alan Gara, Chief Architect, IBM.
  -------------------------------------
追っ付けアブストラクトも追加されると思うす。
280MACオタ:04/07/20 12:59 ID:ZC07RLYX
こちらわ噂すけど、970FXをそのまま二つ並べた970MPってプロセッサの情報が
流布してるす。
 ・各々1MBのL2を持つデュアルコア
 ・改良されたPowerTune搭載
 ・970FXとピン互換
 ・154 mm^2 (13.23mm x 11.63mm)
281名称未設定:04/07/20 13:03 ID:/djQJqRd
970MPって、発熱が物凄いことになりそうすけど、噂によると消費電力はどうなってるすか?
282名称未設定:04/07/20 13:43 ID:NnMKFPUc
iMacG5って結局何が載りそうなんでしょう?
消費電力落とすだけ?
283名称未設定:04/07/20 14:18 ID:IJ2ffg6E
>iMacG5
デュアルコアG4(64bit級)
1.2GHz
284名称未設定:04/07/20 14:23 ID:NnMKFPUc
>>283
> >iMacG5
> デュアルコアG4(64bit級)
> 1.2GHz

G5確定ってAppleの中の人が言ってるのに、
1.2GHzなんて 現行G4iMacと比べても駄目なのに..
285名称未設定:04/07/20 14:36 ID:/0x1JTMa
PowerBookは
>Freescale's First Dual-Core PowerPC Processor
あたりで茶濁されるかもわからんかな。

970MP期待。デュアルコアそっちのけで実質QuadなG5キボン
286名称未設定:04/07/20 21:01 ID:p29nEjct
>>279
CELLはないのか・・・
287名称未設定:04/07/20 21:07 ID:NXlEYscH
>Freescale's First Dual-Core PowerPC Processor

これってe600のことなんかね?
PowerBookにこれが乗るんなら買いたいなぁ。
288MACオタ>286 さん:04/07/20 21:22 ID:Oc+GTC5C
>>286
私もこんどこそCELLの発表があると期待したすけどね。。。
289名称未設定:04/07/20 21:26 ID:IZ7U1Slg
970MP DualでQuadになるってのが正解?
290MACオタ:04/07/20 21:48 ID:Oc+GTC5C
970MPに関する話の展開わ,今のところ腐れルーマーっぽいボロが出てこないので,結構
信憑性あるのかもしれないす。伝えられる970MPの仕様わ,機能やパイプライン構成等
ほとんど970FXと同じでL2を1MBに増やした上,on-chipバスで繋いでるという構造らしいす。
設計手法としてわデュアルコア・Opteronと同じすけど若干疑問なのわ,
 ・L2を1MBに増やすなら何故シングルコアの970FXで同じコアを使わなかったのか?
 ・90nmで150mm^2というのわ,いくら何でも大き過ぎてコストも高い
 ・シングルコア性能でPOWER5の性能が遥かに高いのが明らかなのに,なぜ今更
  POWER4コアなのか?
 ・いくらAppleがMP重視の方針だとしても4-wayわパーソナルコンピュータ用としてわ
  大規模過ぎ。
考えられる使い道としてわ,デュアルプロセッサモデルをデュアルコアのシングルプロセッサ
モデルに置き換えて,筐体の小型化を図るって位す。。。
291名称未設定:04/07/20 21:54 ID:UWjTB44E
970じゃPowerBookも無理そうだしなぁ。
もし本当の話なら、Apple何か考えてるかもねこりゃ。
292名称未設定:04/07/20 21:58 ID:BlwgsVVj
Xserveの強化とか。性能が見合えば50万ぐらいのPMだってある程度の
需要はあるのでは?
293名称未設定:04/07/20 22:18 ID:UWjTB44E
975の後って言われてたよーな気がするマルチコアの先倒しが目的かな?
win陣営より先に高額でもマルチコア出して、3GHz先延ばしで苛立ってる
ハイエンド側の需要を、高価でもいいから満たしてやるつもりとか。
294名称未設定:04/07/20 22:24 ID:6pYKiI4A
POWER5ベースのデュアルコアPowerPCマダー?
295名称未設定:04/07/20 22:28 ID:hRseiG9G
MACオタのスレにまで厨房が来る様になったか。
遅レスだが、
>>158 >隊長! スレッド 1035290918.dat は、html化されるのを待っているようです。
>しばらく待つしかない。
Vol.14 http://pc5.2ch.net/test/read.cgi/mac/1085935103/
Vol.13 http://pc5.2ch.net/test/read.cgi/mac/1080761743/
Vol.12 http://pc3.2ch.net/test/read.cgi/mac/1076858361/
Vol.11 http://pc.2ch.net/test/read.cgi/mac/1074680986/
Vol.10 http://pc.2ch.net/test/read.cgi/mac/1056473723/
Vol.9 http://pc.2ch.net/test/read.cgi/mac/1055435063/
Vol.8 http://pc.2ch.net/test/read.cgi/mac/1052773133/
Vol.7 http://pc.2ch.net/test/read.cgi/mac/1049825553/

 ( ´,_ゝ`)プッ
みんなhtml化を待っていますが、何か?
296名称未設定:04/07/20 23:43 ID:MTvluCiM
そんな1週間も前の
297名称未設定:04/07/20 23:50 ID:6pYKiI4A
心の病気なんだよ…。
298名称未設定:04/07/21 01:12 ID:Pr+GYZ3O
>>295
つまり、もうやる気なしっつーことね!
299名称未設定:04/07/21 01:15 ID:mO6nEMUm
過去ログ見たけりゃ、●を買えってことですよ。
300名称未設定:04/07/21 02:12 ID:g0hzib3w
●買う香具師っている訳?
301:04/07/21 02:27 ID:laFKGW7B
>>300
一人で複数個持ってるのも、珍しくないよ
302名称未設定:04/07/21 02:45 ID:mO6nEMUm
2ちゃんのヘビーユーザーさんですね。
303名称未設定:04/07/21 02:49 ID:aJWk1S8A
2ちゃん検索のdat読み機能を使うという手も無くはない。。まぁコストはかかるが。
isp.2ch.netにダイアルアップする手も。。。。。
304名称未設定:04/07/21 19:18 ID:sqTC8yV6
あっ!
305MACオタ:04/07/21 19:33 ID:ewTlGe5G
PPC970MPの話もそろそろ大規模に出回ってきたすから、今日明日にも腐れルーマーサイトに掲載されそうす。
で、いろいろ考えてみたすけど現行のデュアルプロセッサモデルをシングルチップのデュアルコアに切り替える
というのわ悪くない考えだという結論に落ち着いたす。
 ・バスを2つのコアで共有することになるが、970わOpteronと違ってプロセッサごとにメモリインターフェースを
  持っていたわけじゃないので、ボトルネックわ今まで通りSystem Controller - メモリ間で変わらない。
 ・キャッシュ整合のためのバストラフィックが無くなるので、総合的にメモリレイテンシわ低くなる
 ・発熱の増大にわ、既に水冷化で対応済み
 ・プロセッサのコスト増大わ、マザボのコスト低下である程度補える
 ・値段についてわ、現行Opteron/Athlon64と同程度なので、シングルチップでの採用ならそれほど高価でも無い
 ・マザーボードわ小さくできるので、同じ筐体でドライブ等の拡張の余地が生まれる
良いことずくめすね(笑)
個人的にわ、L2わ共有の大容量にした方が、重い正面のプロセスと軽い目のバックグラウンドたくさんという
デスクトップ用途向けに良いと思うすけど、既存のコアを単純に組み合わせるというSoC的設計が楽なのわ
当然の話す。
306MACオタ:04/07/21 19:57 ID:ewTlGe5G
余談というか妄想っぽい話になるすけど、Power Mac G5のようにメモリコントローラからプロッセッサごとに
独立の高速バスがのびるというアーキテクチャにわ、まだまだ改善の余地があるかと思うす。殊に、U3の
ようにプロセッサと同レベルの微細プロセスで製造が行える場合、メモリコントローラにキャッシュを混載
したり、バックサイドキャッシュを接続することでメモリバスの負荷を大きく低減して、FSBが高速で独立して
いる効果をメモリバスがボトルネックにならずに発揮できる筈す。

この場合、メモリコントローラのキャッシュの入替えアルゴリズムをある程度インテリジェントにして、
プロセッサからの書き込まれたデータをメモリに送るのを、極力メモリアクセスの無いタイミングに行うこと
で、メモリの読み込み動作を妨害しないようにする「遅延ライトスルー」的な実装が好ましいんじゃないすか
ね?通常の動作でメモリの読み込みわ書き込みより頻度が多い筈すから、このやり方成立すると思うす。
307名称未設定:04/07/21 22:36 ID:hpvTYqfO
L2は共有の大容量よりある程度の容量をコアが個々に持ってる方がいいと思っとるます
自分の持ち分のみを使う限りは整合性の確保をせんでよいのがそう考える理由
ここんとこの考え方は人それぞれやね
308名称未設定:04/07/22 00:39 ID:O1oCBeCH
ところで「 前スレ等わ>>2す 」に、
■G5が出てきたらごめんなさいす■ http://pc.2ch.net/mac/kako/1025/10258/1025880736.html
といった自分の立てた初期スレを入れるのはともかく、
なんで、ご丁寧に3に
■G5なんて(゚ε゚)キニシナイ!! す■
■G5情報募集中す(電波を除く)Part2■ /mac/kako/1017/10172/1017211524.html
■G5情報募集中す(電波を除く)■ /kako/1017/10172/1017211524.html
「G5!ホントすか??」/1010/10101/1010115321.html
「ついに! G5!!!」/mac/kako/1000/10007/1000793921.html
を入れておいて、

  同時進行で盛り上がってたスレッド(しかも先に立ってた、本スレとも言うべきスレッド達)を無視し続けるのか。

そこまで他を無視しながらルール違反の重複スレをたて続けるのはどう言うことなのだろうか。
309名称未設定:04/07/22 01:03 ID:V84nyhCV
また粘着か…。いい加減にしろよ。
310名称未設定:04/07/22 01:04 ID:KPd9leUe
↑うるせぇ暇人
311名称未設定:04/07/22 01:17 ID:XbrkbD6V
コリンもどき志ね
312名称未設定:04/07/22 01:31 ID:M18Fzenb
そんな事でイチイチウルセーのは
もどきじゃなくて
コリン本人だろ。
313名称未設定:04/07/22 01:33 ID:V84nyhCV
レス300を越えたスレで、今更>>2のことを持ち出してイチャモン付けるような基地外はコリン以外に思い付かない。
まあ、もしコリンじゃないとしても、基地外には変わりないが。
314名称未設定:04/07/22 02:07 ID:EuFLARIL
スルーしてくださいお願いします
315310:04/07/22 02:34 ID:KPd9leUe
>>308に対してのレスだったんだ。
すまんすまん。
間に入られてたとは。。
316名称未設定:04/07/22 19:18 ID:9giwJG7l
AppleがよくてもIBMが150sqmmのチップを量産する力はあるのでしょうか?
供給不足にはならないのですかね。
317名称未設定:04/07/22 21:10 ID:ZunffeAP
今まで買ったPCのCPUとコンピューター名の命名規則

CPU名     コンピューター名
Pentium     i80586
Pentium MMX i80586mmx
PentiumPro  i80686
Pentium!!    i80686mmx
Pentium!!!   i80686sse
Pentium4    i80686sse2
Pentium4HT   i80686sse2ht

PentiumM   ???

Pentium4HTまでの法則では、PentiumMは何になるのでしょうか?

PentiumMとPPC750のアーキテクチャではどっちがカッコイイの?
318名称未設定:04/07/22 21:18 ID:SZiPoEqr
( ゚д゚)ポカーン
319名称未設定:04/07/22 21:36 ID:qWIpad8T
…?
320名称未設定:04/07/22 22:21 ID:SszhemsP
>>317
しかも内容がデタラメ
321名称未設定:04/07/22 22:32 ID:qWIpad8T
i80686sse2htに該当するページが見つかりませんでした。
"i80686sse2ht"を含むページは見つかりませんでした。

検索のヒント
- キーワードに誤字・脱字がないか確かめてください。
- 違うキーワードを使ってみてください。
- より一般的な言葉を使ってみてください。
322名称未設定:04/07/22 22:58 ID:Teeu9MxW
メールをBBSに貼らないように。
323名称未設定:04/07/22 23:08 ID:XbrkbD6V
PentiumのPentaってアメリカ国防総省がペンタゴンと呼ばれる五角形のビル(函館にもあるが、、)
と同様数字の5を表す言葉だよ。M68040を搭載したマシンをQuadraと呼んだ様に。
80586の数字では登録商標にできないので、5(ペンタ)を使った造語にしたまでさ。
80686だったらヘキサ何たらになる筈だが。
324名称未設定:04/07/22 23:13 ID:F+zXJ4HW
PentiumMはPentium3ベースだからそれに近いもんだろきっと。

でもなんでこのスレでやってんだ?
325名称未設定:04/07/22 23:26 ID:G1r9eC8o
>323
登録出来ないから数字やめたんだったっけ?
cylix等の互換CPUに586とか付けられないように
数字をやめたって覚えてた・・・。
326名称未設定:04/07/22 23:35 ID:I3I/sURW
i80386      < MC68030  勝
i80486      > MC68040  負
Pentium     < PPC603e  勝
Pentium MMX  < PPC603e  勝
PentiumPro   < PPC604e 勝
Pentium!!     < PPC750  勝
Pentium!!!    > PPC7400 負
Pentium4     > PPC7455 負
Pentium4HT   > PPC970  負
327名称未設定:04/07/22 23:43 ID:LOXfL4mZ
お暇ならAMDも絡めてみてくれ。
328名称未設定:04/07/23 00:12 ID:ipIXa4R+
>>326
様々な点でおかしいが…。
釣り行為ですか?(w
329名称未設定:04/07/23 00:17 ID:0op67fFF
いくらオタの私物スレだと揶揄されても、結局まともなネタ振りでPPC
話れるのってオタとほんの僅かな人達だけ。
この矛盾ってなーんかなぁ…。
330名称未設定:04/07/23 00:43 ID:IT4j5R18
>>328
Pentium!!!    > PPC7400 負
Pentium4     > PPC7455 負
を理解できないモト信者。
331名称未設定:04/07/23 00:59 ID:pRsEdCTn
>>330
いや…>>326にはPPC601がないし
大体603は604の廉価版であって604は603の後継ではない。
332名称未設定:04/07/23 01:04 ID:w82yK8gb
対応するCPUはこうじゃないのか?
Performa使いだったのか知らんが603に出番無いよ

Pentium <-> PPC601-604
Pentium MMX <-> PPC604e
PentiumPro <-> 該当無し
333名称未設定:04/07/23 01:06 ID:w82yK8gb
しまった、チェック見直ししてたらかぶってしまった・・・
334名称未設定:04/07/23 02:38 ID:KLkiw87l
P6は当時としてはかなり高性能なコアだよ。
1998年くらいまでろくにクロックあげずによゆーこいてたからな。
335名称未設定:04/07/23 02:40 ID:KLkiw87l
PentiumPro   < PPC604e 勝
Pentium!!     < PPC750  勝
Pentium!!!    > PPC7400 負
だから、PenProやPen2は実力だしきってないからこれはおかしい。
336名称未設定:04/07/23 03:15 ID:w82yK8gb
>>334
確かにP6アーキテクチャのint性能は優秀だと思うけど、
クロックあげずによゆーこいてたってのはいかがなものか?

PentiumProは二次キャッシュのせいでクロックがあがらず、
仕方なくPentium2仕様のL2に変更したわけだから必死のパッチでしょ。
しかも当時の許容されるTDPのなかでとなると尚更。
それをプロセス技術で挽回しなんとかクロックアップを成し遂げただけじゃないのか?

そもそも、仮に本当だとしても本当はもっと高クロックに出来たのに
意図的にしなかったからその分を考慮に入れろなんておかしな話だけどね。

とは言えどっちが勝ってるのかなんて知らんけど。
337名称未設定:04/07/23 10:54 ID:SNS1WqFr
Pentium4HT   > PPC970  負

当初の予定通り今頃PPC970FX 3GHzが出回っていれば、

Pentium4HT   < PPC970FX 勝(整数、浮動小数点演算の両方で勝利。)

だったのに・・・ 歩留まりが改善されれば3GHzの石も取れそうなので、
あまり心配することはない。(心配なのはアップルの新機種リリースのタイミング。)

PPCがPentiumを完全に追い越し、再びPPCがリードを保つ日は近いと思われ。

【将来予想】
Pentium4HT   < PPC970FX 勝
PentiumXXX  .< PPCXXX  勝
PentiumYYY   < PPCYYY   勝
PentiumZZZ   < PPCZZZ   勝

漏れ的には、IntelはPPCに絶望的に負けて、さっさとi80886を発表して欲しい。
338名称未設定:04/07/23 11:40 ID:J1Mo1bh/
970FXで3GHzの予定なんかあったか?
339名称未設定:04/07/23 11:59 ID:UMJO+JQG
Jobsの脳内予定
340名称未設定:04/07/23 12:32 ID:m+AbIMfN
3GHzは975で予定されてた周波数って言うのは無視?
最初は「970系は問題あったけど975系は問題ない」だったんじゃないのかと。
341名称未設定:04/07/23 13:31 ID:xeRsog2l
975系の話自体これまで眉唾物だからなぁ。
Power5が出てきたから多少は現実味のある噂が出てくるんじゃない?
342名称未設定:04/07/23 13:31 ID:SNS1WqFr
975って何?
台湾MAGオタソースキボンヌ。
343MACオタ>342 さん:04/07/23 19:46 ID:hPiS2FRw
>>342
POWER5ベースのG5わ97xもしくわ980だという以上の信頼できる情報わ無いす。975とか976とか言い出し
ているのわ腐れルーマーだけすよ。あと台湾IBMのこれもあるすけど(笑)
http://www-901.ibm.com/servers/eserver/pseries/tw/specialreport_1.html (既にリンク切れ)
344MACオタ:04/07/23 19:47 ID:hPiS2FRw
970MPの続報すけど、なんだか元情報の提供者がピンコンパチじゃないとか言い出しているす。
少しボロが出たかなって感じもするす。。。
345名称未設定:04/07/23 23:10 ID:/YrJz+nl
ぅぉ

ロードマップってルーマーネタだったのか
ソース確認せず信じ込んでたスマソ
346名称未設定:04/07/23 23:27 ID:Y3EPcUov
今日もPOWER5ベースのPPC発表されなかった。
347名称未設定:04/07/23 23:31 ID:/YrJz+nl
大丈夫きっとCELLとか載る
348名称未設定:04/07/23 23:41 ID:tifovo25
そしたら俺は素直にMac → PS3にSwitchするよ。
349名称未設定:04/07/24 00:52 ID:Jmm6IVmW
>>336
Pentium2はPentiumProの廉価版という考え方も出来るもんね
350名称未設定:04/07/24 01:28 ID:klzBjDL6
当時のPentiumProは、同クロックのPPC604eと同等の整数演算速度、
同クロックのPPC601と同等の浮動小数点演算速度だった。
PPC陣営から見てみれば驚異的な性能ではなかった。
(モトのへっぴり腰G4のせいで、その後脅威となる。)
PentiumProは、サーバ用のCPUであり、4GByteを超えるメモリ接続をサポートしていた。
欠点としては、16Bit命令で書かれたアプリの実行速度がPentiumを下回ることだった。
PentiumProの後に出たPentium2はまさにPentiumProの廉価版である。
PentiumProのL2キャッシュはCPUクロックで動作するのに対して、
Pentium2のL2キャッシュはCPUクロックの半分で動作するなど、
PentiumProに比べて色々グレードダウンした。
Pentium2でグレードアップした点は、MMXを搭載していることと
16Bit命令で書かれたアプリが高速に動くようになったことぐらい。
Pentium3(当初PentiumPro2って呼ばれていた?)になって、
PentiumProと同様にL2キャッシュはCPUクロックで動作するように戻った。
351名称未設定:04/07/24 01:41 ID:h7Rqs6Id
その次世代とは関係ない話はいつまで続くのかな?
352名称未設定:04/07/24 01:46 ID:n10kPRZZ
温故チンチン(←なぜか変換できない)とか言うだろ。
353名称未設定:04/07/24 01:55 ID:G5XX9jnP
温故知ン値ン
354名称未設定:04/07/24 02:31 ID:jSLc4iO4
うんこちんちん(←何故かへんかえできない
355名称未設定:04/07/24 03:35 ID:NLSlfpGi
>>350
嘘が混じっている。

>Pentium2でグレードアップした点は、MMXを搭載していることと
>16Bit命令で書かれたアプリが高速に動くようになったことぐらい。
クロックが上昇した。
また、L2の低速化を指摘するならば併せて容量増大も指摘するのが妥当。


>Pentium3(当初PentiumPro2って呼ばれていた?)になって、
>PentiumProと同様にL2キャッシュはCPUクロックで動作するように戻った。
Pentium3の初期モデルKatmaiは外付けハーフスピードL2。
等速になったのはCoppermineから。
356名称未設定:04/07/24 07:52 ID:sDV0CHVX
デュアルコアにするのと、演算ユニットを増やすの(例えばfloat/int各2個なのを倍にするとか)と、どっちがどうなのか誰か解説してくれない?
357MACオタ>356 さん:04/07/24 09:37 ID:o4eBAZE1
>>356
スーパースケーラ的にやたら演算ユニットを増やしても、単一アプリ/スレッドでわ、その全てを同時に動作
させることが可能なほどプログラムの並列度わ高くないす。だからいくら演算ユニットを増やしても効果は
飽和してしまうすよ。
マルチコアわ、最初から複数プログラムやマルチスレッド/マルチタスクで演算ユニットを使い切るという
ことが前提すから、上記のような心配わ無いす。

ちなみに単一コアでのSMTの類わ、プログラムの種類によって可能な並列化の程度が大きく違うことに
着目して、演算ユニットを使い切るような処理にチューニングされて設計されたプロセッサで、普通の
演算ユニットを使い切れないようなコードを複数は知らせることで、演算ユニットの有効活用を図っている
す。
358名称未設定:04/07/24 14:46 ID:UI8J8cPY
Think Secretに970MPの話が出てるな。
やはり信憑性が高いんだろうか?
359名称未設定:04/07/24 14:59 ID:YuX8VxHV
●Think Secretが、IBMはコードネーム「Antares」と呼ばれている、PowerPC 970の
デュアルコアプロセッサー「PowerPC 970MP」の開発を行っていて、8月にプロタイ
プが完成し、来年1月に生産が開始されるかもしれないと伝えていました。この
PowerPC 970MPは、1GHz EI busで最高3GHz動作となるようです。(翻訳)


…プロタイプ?
360ハーマイオナニー:04/07/24 15:27 ID:PAcePlKt
いちいち揚げ足とらなくてもいいでしょ
361名称未設定:04/07/24 16:43 ID:WDh8+LSl
>>359
きっと、プロトタイプの「ト」がぬけちゃったんだよ
だから、プロタイプはプロトタイプに読み替えるといいよ
362名称未設定:04/07/24 17:07 ID:KiUY4dHl
素人目にはPowerPC 970ベースでシングルチップのデュアルコアって
発生する熱の密度がえらく高くなってしまうような気がするんだがどうなんすかね。
363名称未設定:04/07/24 17:54 ID:ri24EVtA
特に対策もなく90nmのままデュアルコア化してもPrescott並じゃないの?
だとしたら載せられなくはないんだろうね、水冷でキャパも余裕が増えて尚更。
364MACオタ>362 さん:04/07/24 18:02 ID:o4eBAZE1
>>362
コア2つに対して、ダイ面積も(L2増やしたおかげで)2倍より大きいすから、熱密度わ970FXとかわらないす。
365名称未設定:04/07/24 18:16 ID:wqzfsYaa
核融合を起こします。
366名称未設定:04/07/24 18:23 ID:ri24EVtA
>>364
そっか、密度で言えばそうだよね。

ところでL2ってどれくらい増えるんですか?
x86勢並の1MB×2か2MBになるんですかね?
367MACオタ>366 さん:04/07/24 19:12 ID:o4eBAZE1
>>366
ThinkSecretや出回ってる資料でわ1MB x 2とのことす。
自分で書いてて思ったすけど、>>290で書いた「なぜ突如1MBに?」という疑問わダイ面積を増やして
熱密度を下げるのが目的かもしれないすね。昔、後藤氏がPentium MのL2容量に関してそういう予想
をしていたす。
http://www.watch.impress.co.jp/pc/docs/2002/0820/kaigai01.htm

ただ、IBMでわ750FX/GXの消費電力に関する資料でFX -> GXのようにL2が大きくなると、L2に起因する
待機時の電力消費が大きな割合を占めるようになると主張していたすから、全然的外れかもしれない
すけど。。。
http://www-306.ibm.com/chips/techlib/techlib.nsf/techdocs/1C29A8A2ACA1BC4187256E99006EC8D4
368名称未設定:04/07/24 19:23 ID:WQ1p6Uft
なんでこう、PentiumもPPCも似たような周波数と速度なんだろうねぇ?

もうちょっと、こう、PPCならちょっとお高いバージョンならPentiumの2倍ぐらいの速度が出て、
Intel信者がキィィィッっていうところをみたいんだが、なんとかならぬか。
369Intel信者:04/07/24 19:25 ID:s+q7SZAk
キィィィッ
370名称未設定:04/07/24 19:28 ID:JhMYVZR4
>>368 そこでQuadですよ
371名称未設定:04/07/24 19:28 ID:ecdjtRWg
まあ周波数競争は終わったわけだし、
http://www.intel.co.jp/jp/products/benchmarks/notebook/index.htm?iid=jpipp_home+tools_compare&
このようにintel自身が、Pentium-M1.7GHz >Pentium4 2.6GHzとか言ってる
372Motorola信者:04/07/24 19:28 ID:yu7GBdLT
キィィィッす。。。
373MACオタ>368 さん:04/07/24 19:33 ID:o4eBAZE1
>>368
消費電力の問題さえ無ければ、Prescotte Pentium4の設計上の目標動作周波数わ〜5GHzと見られているす。
で、冷却に潤沢にお金をかけた「お高い」構成を見て、あなたわキィィィッって言うすか(笑)
374名称未設定:04/07/24 19:38 ID:JhMYVZR4
>>373
PowerPCのユーザはもう十分過ぎるくらいキィィィッって言ってきたと思われ
375MACオタ:04/07/24 19:43 ID:o4eBAZE1
ただ、現実にPOWER5の性能を見てIntel自身がキィィィッってなってるのわ事実す。
IntelのItaniumロードマップについての発表すけど、
http://news.com.com/Intel+fills+in+more+details+on+Itanium+family/2100-1006_3-5281908.html
(日本語のまとめ: http://www.geocities.co.jp/SiliconValley-Cupertino/6209/wadai04/20040724.htm)
  ------------------------------
  同時に忙しいときには(多分,電圧を上げてクロックを上げ,チップ温度が上限を超えない限り)短時間
  の間,高速で動かすことができる機構を取り入れており,これをFoxton Technologyと呼んでいます。
  ------------------------------
この機能、現在でも一部のマザボベンダがベンチマーク対策に実装しているすけど、プロセッサベンダ自身が
やるのわ前代未聞す(笑)
376Intel信者:04/07/24 19:46 ID:s+q7SZAk
>>375
その機能は当の昔から言われてるからな。べつにPOWER5の性能をみてから決めたわけじゃないかと。
377名称未設定:04/07/24 21:04 ID:TATS3BDy
無理なく実装できるならいい機能じゃんねぇ。
マカーとして悔しいのはPenM系に相当する石ラインナップが無く
高性能ノートが無い現状ですかねえ。
そっちの方がキィィィィッ

オタでもいいけどfreescaleのチップってどんな状況なん?
ノート系は当面970無理そうだしfreescaleに期待してんだけど
378MACオタ:04/07/24 21:25 ID:o4eBAZE1
>>376 さん
ベンチ結果を公開したのわ最近すけど、POWER5の詳細仕様わ一年前に発表されているす。

>>377 さん
  -------------------------
  freescaleのチップってどんな状況なん?
  -------------------------
多分90nmプロセス製造のMPC7448が出て、次が>>279のヤツす。
379名称未設定:04/07/24 21:31 ID:TATS3BDy
thx。デュアルコアG4かぁ。
freescaleの90nmは大丈夫かねぇ。
380名称未設定:04/07/24 21:39 ID:RM/AjkEx
ウチの会社の90nmもリークでかいぞ記念カキコ。
381名称未設定:04/07/24 21:45 ID:TATS3BDy
>>380
あ、ザイログ社のKさん。
382名称未設定:04/07/24 22:01 ID:Qnkg/+Gr
ウチんトコの65nmは順調っス!
383名称未設定:04/07/24 23:00 ID:klzBjDL6
POWER6マダァー?
384名称未設定:04/07/24 23:43 ID:KqSpbPe5
今日もPOWER5ベースのPPC発表されなかった。
385名称未設定:04/07/25 02:53 ID:tR9XWBNu
今日はまだまだこれからですよ!
386MACオタ:04/07/25 12:00 ID:P2FPZjAc
リング型マルチプロセッサーが遂に来たす
ttp://www.geocities.co.jp/SiliconValley-Cupertino/6209/wadai04/20040724.htm
387MACオタ>騙り さん:04/07/25 12:46 ID:ZBcF9/NG
>>386
何言ってんだか判んないすけど、POWER4もOpteronもリング型インタコネクトを採用しているす。
388名称未設定:04/07/25 18:03 ID:7ETTdWfM
>>386
IntelのTukwilaってすごいね。最大8コアだってよ!
389名称未設定:04/07/25 20:48 ID:YbOHeJhO
そんな殺伐としたスレに救世主が!

    ⌒*___*⌒
      ヽ|・∀・|ノシ よんだぁ?
      |__|
       | |

390名称未設定:04/07/25 23:17 ID:WVui7EeK
全然殺伐としていませんが。
お前、そのAA貼りたいだけとちゃうんかと。
391名称未設定:04/07/25 23:53 ID:eSB+pe8B
結局975って何だったんすか?
392名称未設定:04/07/26 00:47 ID:oHxNvLyM
俺俺 俺だよ
393名称未設定:04/07/26 02:09 ID:EJnUqB25
で、PowerBookG5いつ?
394名称未設定:04/07/26 03:02 ID:/o8ruiCK
>>393
>>389の救世主に聞いてみれば?
395名称未設定:04/07/26 13:54 ID:rkd+ZW3K
ここにも救世主わいるす。。。
http://news11.2ch.net/test/read.cgi/eq/1089764428/12
396名称未設定:04/07/26 23:04 ID:C+W5aSj0

       ○ ドシタ?
       ノ|)
  _| ̄|○ <し

\サカダチデキネ /
  `-----v--○
     ○ ノ|ヽ
  _| ̄|  <し

        \○ < オッケー
    __○  |
   ノ) /|  <し

       \○
  \_○  |
  ノ  /|  <し

     \/ \○  カマン !
      |○  |
      /|  <し

      |/ \○
      |○  |
      /|  <し

       (      ○」
     _/ ̄   「/
      ノ○   />


397名称未設定:04/07/27 00:16 ID:hpl6JiDy
OpenGLマンセ〜ヽ(´ー`)ノ
398MACオタ:04/07/27 01:37 ID:lOWsZIyv
小ネタすけど,Petrov Groupって調査会社がCELLのIBM社内での位置付けについての調査
レポートを売り出してるす。
http://home.businesswire.com/portal/site/google/index.jsp?ndmViewId=news_view&newsId=20040726005033
  ----------------------------------------------------
  We will be assessing the impact of this new IBM technology on systems along
  the entire cellular computing cascade. At the high end of this cascade are
  supercomputers, in the middle are workstations and servers, and at the bottom
  are personal gaming and other consumer entertainment devices -- all based on a
  common compute cell publicly referred to as Cell processor.
  ---------------------------------------------------
株屋どももIBMからCELL応用のスーパーコンピュータが出ると見てるということす。
399名称未設定:04/07/27 08:10 ID:iGVwZKAd
はっはっは、私も株屋すけど、このPetrov Groupは腐れルーマーもいいとこす。
400名称未設定:04/07/27 08:34 ID:gBXrvYMH
デュアルコアCPU 3Ghzが来年出るとして それのDualがG5に乗るとしたら
計12GHZのG5と考えていいのでしょうか?
401名称未設定:04/07/27 09:03 ID:eS/FIiL1
マジレスすると、よくありません。
402名称未設定:04/07/27 09:28 ID:MW86dX6i
デュアルコアになったらG5の筐体を小さく出来るんですかね
誰でもいいから教えてチョンマゲ
403名称未設定:04/07/27 09:59 ID:vFGya2nV
>>402
多少はね。

でもきっと筐体そのままで、今のしょぼい内部の拡張性をUPさせると思うがな。
404名称未設定:04/07/27 10:28 ID:MW86dX6i
>>403
なるほど
確かにいまの拡張性は大きさの割にしょぼすぎるので
改善して欲しいところですな

405名称未設定:04/07/27 11:16 ID:fY88AYDC
デュアルコアて、なに?
どんなメリットがあるの?

IBMはなんで、サーバー(CMのやつ)にPOWERシリーズ使わないの?
406名称未設定:04/07/27 11:21 ID:mwWVJ1yg
>サーバー(CMのやつ)

ブレードのCMか?
あのCMのトンチンカン君と同じような程度の質問だね。
407名称未設定:04/07/27 11:27 ID:bEK10IZo
>>405
このスレに書いてあるからそれくらい読め
408名称未設定:04/07/27 11:30 ID:Y5L8s+1p
あのCMは説明する側が悪いの
わしみたいな2ちゃんねらじゃあるまいし単語の小出しで相手に物事を伝えられると思ってるのか
409名称未設定:04/07/27 11:45 ID:fww7LvgQ
CMの15秒〜30秒で正確な事を沢山伝える
あの程度で興味が有ったら IBMへ で充分。

iPod CMなんてカラーをバックに
シルエットが踊ってるだけで
iPod,Apple等の文字を出してるだけでしょ。
イチイチ説明してない。
410訂正:04/07/27 11:46 ID:fww7LvgQ
>>409
× CMの15秒〜30秒で正確な事を沢山伝える
○ CMの15秒〜30秒で正確な事を沢山伝えるのは無理。
411名称未設定:04/07/27 11:52 ID:Y5L8s+1p
あ,いやCMの善し悪しはともかくとして
あのトンチンカン君に対する説明君の対応がさ,現実とすればだめだめだと思わない?
412名称未設定:04/07/27 12:20 ID:mwWVJ1yg
まぁ、アレは某"Middle"みたいなもんで、痛い登場人物をモチーフにした
アメリカンCMなので、日本人に受けは悪そうではあるわな。
って、ブレードとかThinkPad支給編とかウイルス編とかは地方では放送されてないかな。
413名称未設定:04/07/27 13:23 ID:1JIJtcI7
>>405
手っ取り早く言えばあれはXserve並のものだよ。
414名称未設定:04/07/27 14:27 ID:eS/FIiL1
DELLのCMの「メモリはニゴロでぇ〜」とかよりマシと思ったが。
415名称未設定:04/07/27 15:48 ID:utrMTFCc
女「ブロードバンド始めたいんですけどぉ。」
ナ「それなら、Intel Pentium4プロセッサ1.7GHz。」
女「オリジナルCDも作りたいしぃ、DVDで映画も見たいし。」
ナ「コンボドライブも用意しております。」
   ・
   ・
   ・
ナ「シンプルをあなたに、DELL」

というCMを思い出した。
416名称未設定:04/07/27 15:58 ID:opWi0c/O
DELLのCMはたまらんほどアタマ悪そうだった。
狙ってやってるのかどうか知らんが。
417名称未設定:04/07/27 22:19 ID:OLp9dbZH


    ⌒*___*⌒
      ヽ|・∀・|ノシ iMacG5の画像で許して
      |__|    ttp://www.tanteifile.com/baka/2004/07/18_01/image/02.jpg
       | |


418名称未設定:04/07/27 23:25 ID:utrMTFCc
>>417
これ知ってるわ。
包丁持たせて公道に置いて人の反応を見る香具師。
419名称未設定:04/07/27 23:35 ID:vVCHftb+
eweekでもPowerPC970MPの話。
ttp://www.eweek.com/article2/0,1759,1627893,00.asp
420名称未設定:04/07/27 23:54 ID:uhIzfFgN
POWER5ベースのチップより970MP(POWER4ベース)が先に出るのか?
421名称未設定:04/07/27 23:55 ID:uhIzfFgN
なんか、今年中にでもPOWER5ベースのチップが出るという噂があったような気がしてるんだが。

(レスが分かれてしまった。スマソ)
422名称未設定:04/07/28 00:04 ID:QzeDylCm
975の事? ルーマーネタでそ
423名称未設定:04/07/28 01:06 ID:dOflYTbv
      ━━━━一
      ━━━━一
      ━━━━一
      ━━━━一

何だかよく判りませんがポッキー置いときますね。
424名称未設定:04/07/28 01:28 ID:4e8KOid5
>>423
下から二番目が一番長い
425名称未設定:04/07/28 13:01 ID:nO8B/K7/
MACオタ死ね。
426名称未設定:04/07/28 18:41 ID:7Pi43u3N
1:G5は(今んとこ)対応アプリ以外ではG4とあんまり変わらない。
2:熱が出すぎて大変。
という認識でよいですか?どなたか教えてくだされ。
427名称未設定:04/07/28 19:09 ID:6jywDG1O
でも、発売されてるG5搭載Macは
G4Macより高クロック
428名称未設定:04/07/28 19:28 ID:t6HSJZpc
パイプライン構成の違いから、クロックぶん早いというわけではないけどな。
429名称未設定:04/07/28 19:44 ID:bhNp+uNY
>>426
燃料投下乙。

>>428
演算器はG4よりリッチだし、メモリ帯域も圧倒的に違うので、基本的に同クロック比較でもG5>G4。
430名称未設定:04/07/28 20:03 ID:fGNKWBn+
>>426
歴史は繰り返されるものでして、、、

ちょうどG4が出始めた頃も同じような事言ってる人たくさんいた
「G4に最適化されたソフトがほとんどないからG3で十分だよね」

「一般人」としてはiシリーズにおりてきた時が買い時なんじゃないかな?
ということでiMacG5がでたら買いでしょ。
431名称未設定:04/07/28 20:11 ID:QpseDyfO
ということはもうすぐですね!
432名称未設定:04/07/28 20:14 ID:X9/4KiXg
そういうことですな。
新チップ投入から1年で、人柱さん以外もそろそろ買い時。
433名称未設定:04/07/28 20:35 ID:FsPUtv0o
>>429
ただしMacOS X v10.3以下使用の場合は1.6GHz G5<1.5GHz G4なのは事実。
チップ自体の潜在能力が高いのは前者だろうからTigerでは改善されてるだろうけど。
434名称未設定:04/07/28 21:19 ID:vrh8AeQb
>>426
PPC750 → MPC7400
      と
MPC7445 → PPC970
の移行が同体感な糞OSに本気に吐き気がする。
すさまじいOSのボトルネックを感じざるを得ない。
原因はグラフィックAPI回りか?
435名称未設定:04/07/28 21:22 ID:QpseDyfO
>>434
>PPC750 → MPC7400
>      と
>MPC7445 → PPC970
>の移行が同体感

ちょっと意味が分からない。
436名称未設定:04/07/28 21:39 ID:JjZSzLA2
今日も暑かったですね。
437434:04/07/28 21:57 ID:vrh8AeQb
>>435 さん
PMG3 → PMG4
    と
PMG4 → PMG5
への機種移行時のマシンの体感速度が同じ

糞OS = MAC OS X
す。。。
438名称未設定:04/07/28 22:56 ID:fGNKWBn+
Pen3→Pen4の時は逆転現象起きてたよね?
そこんとこどうなの?
439名称未設定:04/07/28 22:58 ID:49kUkZut
JR南武線ご利用のお客さまは、乗り換えです。
お出口は左側です。
溝の口を出ますと、鷺沼にとまります。

We will soon make a brief stop at Mizonokuchi.
Passengers changing to the JR Nanbu Line please transfer at this station.
The stop after Mizonokuchi will be Saginuma.
440名称未設定:04/07/28 23:20 ID:9N4FnIzv
>>438
ええ、そんな感じです。
そこんとこもそんな感じ。
441名称未設定:04/07/28 23:40 ID:7Pi43u3N
>427-438
勉強になりまいた。確かにメモリバス等の差は大きいですな。
パイプラインなど内部構造の話は勉強不足にてよくわかんねえですけど。
しかしG5はあのでかいヒートシンクがついているかぎり、業務用チップという
感がぬぐえませんな。
 アレはチップを低発熱化する方向が正解なんすかね。それとも冷却技術の向上が
イイんすかね?
442MACオタ>441 さん:04/07/28 23:44 ID:TSVrPs08
>>441
今のところIBMご自慢の省電力技術PowerTuneを有効にしているMacintoshが無いすから真の実力わ
未だ不明す。9月に出てくるiMac G5を見れば判ると思うす。
443名称未設定:04/07/28 23:49 ID:9N4FnIzv
大した効果ないから手間取ったに一票

石の量産遅れてるだけってのならいいけど。
444名称未設定:04/07/29 07:15 ID:D59iBoW/
ヲタの好きな後藤なんとかってのは、アニメヲタなのか?
ttp://pc.watch.impress.co.jp/docs/2004/0726/kai35.htm
445名称未設定:04/07/29 07:37 ID:RjQSyzuD
宇宙のステルヴィアか。。。
446名称未設定:04/07/29 08:29 ID:SyyEtYNY
>>444
>その結果、半年前から猛然とアニメコンテンツの収集を始めた。
とあるのでほぼ確定。

それにしても
>しーぽん(ステルヴィアの主人公)
名前ふざけ過ぎ……
447名称未設定:04/07/29 09:04 ID:NPi4N5It
しーぽんは多分愛称
448名称未設定:04/07/29 09:11 ID:NPi4N5It
具グルと本名は片瀬志麻だそうな
449名称未設定:04/07/29 09:59 ID:46JxIe9k
覚悟しぃや!!
450名称未設定:04/07/29 11:18 ID:zzfsji5e
>>446

 じつは、過去20年間、ほとんどアニメは観ていなかった。
まともに観ていたのはかつてのサンライズアニメ全盛期まで。
特に、最近10年はアニメ無し状態が続いていた。
451名称未設定:04/07/29 11:40 ID:iR9ieuQB
アニオタってのはアニメ歴の長さで決まるもんじゃなかろう
アニメにはまったのが1ヶ月前でもオタはオタだ
452名称未設定:04/07/29 13:59 ID:MUicVL20
暦が短く知識も浅いからオタと呼ばれるんちゃうんか。
453名称未設定:04/07/29 17:36 ID:RjQSyzuD
幼少の頃、アソパソマソにはまった香具師はアニオタ
これマジ
454MACオタ:04/07/29 18:23 ID:vSUjHjaw
POWER5のSPEC CPU2000の結果がspec.orgに登録されているす。
http://www.spec.org/cpu2000/results/res2004q3/
SPECint2000の登録が本当に無いってのも驚いたすけど、SPECint_rateの各ベンチマークの
結果を見る限り、何故公開しないのか不思議な程スゴい性能が出てるす。例えば197.parser
の実行時間(当然、短いほど良い)をベース値で比較すると、
  POWER5/1.9GHz (4-core, 8-thread): 234
  POWER4/1.7GHz (4-core, 4-thread): 388
  POWER4/1.7GHz (8-core, 8-thread): 361
ってな感じで、本物の8-wayのPOWER4よりPOWER5のSMTが速いなんて結果が出てるす。

ちなみにSPECint_rate, SPECfp_rate共にSMT有りで登録しているす。流石にSPECfp_rateわ
SMTに足を引っ張られている感があるすけど、あえてSMT有りで登録してるのわSPECintを
登録しないのと同じく、これからのハイエンドプロセッサにシングルスレッド性能わ意味が
ないというIBMの決意表明なのかもしれないす。。。
455名称未設定:04/07/29 18:37 ID:laOda7Sm
これじゃPOWER5ベースのPowerPCをますます期待しちゃうよ!
456名称未設定:04/07/29 20:09 ID:hmorQsX8
>>455
むしろPOWER5搭載PowerMacキボンヌ。
457名称未設定:04/07/29 20:37 ID:6MasG7vw
オレは32-wayでブッチぎる予定なんでヨロシク!
458名称未設定:04/07/29 20:49 ID:1kquI2NT
もちデュアルでね。
459名称未設定:04/07/30 00:34 ID:g7Ufob1Z
OpenGL ES…(*´Д`*)ハァハァ
460名称未設定:04/07/30 00:34 ID:er/h0Qz9
461名称未設定:04/07/30 01:52 ID:nlfnIvjV
OpenMax (*´д`*)ハァハァ
462名称未設定:04/07/30 02:20 ID:g7Ufob1Z
Open Max…(*゚∀゚)=3 ムッフー
463名称未設定:04/07/31 00:26 ID:/hvtYP9i
OpenGLってV-Sync待ち描画出来るの?
464名称未設定:04/07/31 00:37 ID:GlT/TV7o
できるよ。
465名称未設定:04/07/31 00:54 ID:+KRuPnbg
http://www.barefeats.com/g5c.html
Cinebench 2003 -CPU Render Testによる比較

Xeon 3.06GHz MP 655
Opteron 2GHz MP 523
G5 2.0GHz DUAL 522
G5 2.5GHz DUAL 633

うちでは安いPCなんていらない。
まあeMac、iMac、iBookでも十分安いんだけどさ。
どうせ実際に購入するのはハイエンドPCになるから。
IBMが来年1四半期にデュアルコア前倒しするみたいだけど、
60万円くらいまでなら現金一括で買うから高性能で美しいPCを出して欲しいね。
貧乏人は勉強して、時間を浪費して、自作でもしてろって。
これが金持ちの結論だよ。
あと、Longhornβまたまた遅れるみたいだね。悲惨。
466名称未設定:04/07/31 00:58 ID:Q8dy8RWX
将来家を現金一括で買ってみたいなーと夢を
467名称未設定:04/07/31 01:18 ID:CHlQqach
>>465
>Longhornβまたまた遅れるみたいだね。
スレ違いながら、その手のカキコが毎度気になってんだけど、
XPが長命で羨ましいと思ったりはせん訳?
金持ちが少ないリソースで姑息な売り方を続けるAppleを好んで、
莫大なリソースを投じた期待のOSの発売日を贅沢に伸ばして
煮詰めているMSの様子を「悲惨」と形容するのは、ちょいと解せない。
468名称未設定:04/07/31 01:20 ID:OqkK8lXf

>期待のOSの発売日を贅沢に伸ばして煮詰めている

469名称未設定:04/07/31 01:29 ID:fJiEJsLc
Xpのどこが長命なんだ?
470名称未設定:04/07/31 01:29 ID:Q8dy8RWX
まあ現物見てからよな
そしてどっちが良いというポリシーも無く必要ならLonghone買うだろう
471名称未設定:04/07/31 01:33 ID:s+fG7n+F
465のカキコを要約サービスにかけると

IBMが来年1四半期にデュアルコア前倒しするみたいだけど、
60万円くらいまでなら現金一括で買うから高性能で美しいPCを出して欲しいね。

となりました。
Longhornはどうでもいい話。
472名称未設定:04/07/31 01:40 ID:NUkeMg92
>>468
>莫大なリソースを投じた期待のOSの発売日を贅沢に伸ばして
>煮詰めているMSの様子を「悲惨」と形容するのは、ちょいと解せない。

ちょっと美化し過ぎだね。
莫大な「人」「金」「時間」をつぎ込んでも遅々として進まないのははっきり言って
上層部の舵取りがヘタッピなだけ。 この間もLonghornのプログラマのかなりの人数を
XP SP2にまわしてみたりしてたし。 MSはビルもスティーブも無能だからしょうがないけど。
473名称未設定:04/07/31 01:44 ID:/hvtYP9i
>XPが長命で羨ましいと思ったりはせん訳?
>>465じゃないけど、MacOS9はアポーがデスクトップコンピューター事業から
撤退するまで現役であり続ける超長命が約束されているOSです。
(ハゲが茶化してお葬式なんかしちゃったけど・・・)
DOS/Vユーザーから見たらうらやましい限りです。
474名称未設定:04/07/31 01:54 ID:NUkeMg92
>>473
いや、現存するOS9対応機が壊れるまででしょ、もう販売終了しちゃったし。
むしろWinNTや95系の方が、、、
475名称未設定:04/07/31 01:59 ID:kD/PUaZd
BCN、2004年上半期PC店頭市場分析を発表
〜PCは低迷、iPodのシェアは50%以上に
http://pc.watch.impress.co.jp/docs/2004/0730/bcn.htm

そろそろロックイン効果発動だな。事実上の標準(デファクトスタンダード)。
Appleはいまや音楽業界のリーディングカンパニーの1つとなった。
PC事業なんかまったく利益が上がらないことは周知の事実。
収支決算でもMicrosoftの独り勝ち状態。粗利87%だからね(w)

新しい分野を開拓したAppleには敬意を払うよ。そして、
PC業界(Apple CEO)、映画業界(Pixer CEO)、音楽業界(Apple CEO)の3つで
カリスマとなったスティーブ・ジョブズ氏。
彼は世界中で最も偉大なCEOだ。

なあ、次世代POWER/PowerPCを語る Vol.15スレのみんなもそう思うだろ?
476名称未設定:04/07/31 02:00 ID:CHlQqach
>>472
美化と来たか。
舵取りの下手さではできない事を『公約』するジョブズも同レベルでしょうに。

収益モデル違うとはいえ、appleの稼ぎ方は節操ないでしょ。
iPod好調なんだから多少落ち着けとか思うんだが。
477名称未設定:04/07/31 02:02 ID:OqkK8lXf
>>476
藻前の発言には具体性が足りない。

・ジョブスのした『公約』って何? 例の3GHzの件?
・Appleの稼ぎ方が節操ないって、どういう意味で?
478名称未設定:04/07/31 02:03 ID:/hvtYP9i
>新しい分野を開拓したAppleには敬意を払うよ。
仲介会社要らない。(しかも外資系うざいし。)
アーティストに音楽直売してホスィ。
479名称未設定:04/07/31 02:03 ID:CHlQqach
>>475
正直iPod前はリッピング機能喧伝で業界から叩かれてたappleが
まさか音楽ハードで収穫逓増を味方に付けるとは予想できなかったやな
480名称未設定:04/07/31 02:08 ID:fJiEJsLc
なんだか禿しくスレ違いになってきてるな。
481名称未設定:04/07/31 02:11 ID:s+fG7n+F
465で狂ったな
482名称未設定:04/07/31 02:23 ID:h1UIA7Nc
>>475
改めてそう言われると、ジョブズって凄いな
483名称未設定:04/07/31 02:27 ID:NUkeMg92
>>480
次世代PowerPCの話が無いときは雑談スレになる。
これ、このスレの慣習ね。
484名称未設定:04/07/31 03:09 ID:w1a7aQop
>>475
しかも民主党首席経済顧問で民主党政権の次期大統領候補の一人。
485名称未設定:04/07/31 05:23 ID:v9ambRoc
話題もない事もないと思うけど。
http://homepage.mac.com/macandpal/
新PowerBook G4に色々な情報

Apple Insiderは複数の情報筋の話として、次のリビジョンの
PowerBook G4には「PowerPC G4 extended」と呼ばれる
モトローラのチップが搭載されそうだと伝えていた。
そのチップの動作周波数は2.0GHzとされているが、それ以上
の詳細は不明。

ower Mac G5 Dual 2.5GHz:出荷が8月中旬に延期

Apple InsiderやMacMinuteによると、Power Mac G5 Dual 2.5GHz
の出荷が8月中旬まで遅れることが明らかになった。
486名称未設定:04/07/31 08:07 ID:sotsjpm6
>>485
モトローラ製(フリースケールではない)ということは噂になってた7448のことかな?
extendedということは90nmプロセス移行の他に機能的な拡張があるのか……?
487名称未設定:04/07/31 08:41 ID:2m0uV6bs
バッテリ駆動時間8時間を達成
488名称未設定:04/07/31 09:37 ID:rVmZjKmh
>>467
多くの人が使っているXP homeのサポート期間は、ほどなく終了しますけどね…
延長が発表されたのは、professionalだけ。
489名称未設定:04/07/31 11:16 ID:XAs4ExG+

一方、macnews.net.tcは、
モトローラのMPC 7458および7448が近くリリースされるとの情報を伝えた。
それらプロセッサーの動作周波数は1.8GHzという。

同サイトはPowerBookについて次のように予測している。

「もしもう一回PowerBook G4が出るとしたら、それが2.0GHzになることはないだろうと見ている。」
「2GHzのPowerBookは低消費電力のG5プロセッサーを搭載するものになると予測される。」

「MPC 7448」は現行のG4チップ(MPC 7447A)の後継とされており、
秋のPowerBook G4に搭載されるかもしれないと言われている。
490MACオタ:04/07/31 14:59 ID:w7ZNv8Nb
腐れルーマーを貼るよりは雑談のほうがまだマシす
491名称未設定:04/07/31 17:44 ID:htwWYKu+
>>486
extended・・・・・・・・64-Bit extended!!

iMacもG5化されることだし、
OSもTigerでさらにG5(64bit)に最適化されるだろうから、
G4の64bit拡張はありえるかも?
492MACオタ:04/07/31 18:25 ID:N5z+mZ/9
IBMが"eFuse"技術のプレスリリースを出してるす。ここの記事が判りやすいすけど、CNETも掲載してるので
週明けにわ翻訳が読めるかと思うす。
http://www.reed-electronics.com/electronicnews/article/CA440647?spacedesc=news
要わ、electromigrationを応用して従来製造段階でレーザーで焼き切って設定していた回路ブロックの有効/
無効を運用中にソフトウェア的に行えるという話す。サーバーのように高信頼性のために特殊な冗長性を
必要とする場合に役に立つという触れ込みすけど、PCにわ関係無さそうす。
まあ、IBMが社内的に歩留まり向上策の一つとして使えるくらいすかね。。。
493名称未設定:04/08/01 11:31 ID:bOAj83a2
http://www.itmedia.co.jp/news/articles/0407/31/news010.html
アンチMac派のITmediaニュースより『AppleのPower Mac G5供給に遅れ』
970FXが日の目を見ることはあるのだろうか。。
494MACオタ>493 さん:04/08/01 11:57 ID:yFlqsruZ
>>493
>>204とか>>219にあるように、Appleから状況説明済みの話す。供給が安定するのわ、早くて9月、普通で
10月と見て良いんじゃないすか?それより遅れるとApple, IBMともに悲惨極まりない状況ということになる
すね。。。
495名称未設定:04/08/01 12:16 ID:NSXGC1oS
やっぱセカンドソースを用意した方が良いんじゃない?
Motorolaにライセンス生産してもらうとか。ありえんけど。
496名称未設定:04/08/01 21:53 ID:93793Cz2
インテル、さらにつまずき--Noconaプロセッサの補助チップに不具合
http://japan.cnet.com/news/ent/story/0,2000047623,20070161,00.htm

以下URL略
インテル、3.6GHz版のPentium 4が深刻な供給不足に
2004/07/30 11:55
インテル、今度は4GHz版Pentium 4のリリースを延期
2004/07/30 10:44
インテルCEO、度重なる開発の遅れに苦言--従業員の姿勢に疑問を呈す
2004/07/28 14:25
インテル、新型ノートPC用チップセット「Alviso」の投入を延期
2004/07/20 12:27
インテル、Express 915/925チップセットを回収へ--ICH6チップに製造ミス
2004/06/28 10:50
米インテル、次世代モバイルチップ Dothanの発売を延期へ

こんな終わった企業と天下のIBMをくらべるだけ無意味なのだが。
497名称未設定:04/08/01 22:17 ID:aXK+yHoP
プロユーザなら買うでしょ。50万以上しても売れると思うよ、性能が高いなら。
498名称未設定:04/08/01 22:18 ID:OijCVDxE
「インテル終わってる」ね。

>こんな終わった企業と天下のIBMをくらべるだけ無意味なのだが。
まあ970FXの供給が最初から順調でさらにJobsの公約通り3GHz達成してたら同意してたんだけどね。
499MACオタ>496 さん:04/08/01 22:40 ID:yFlqsruZ
>>496
  ------------------------
  こんな終わった企業と天下のIBMをくらべるだけ無意味なのだが。
  ------------------------
しかしIntelわ堂々の黒字決算、IBMの半導体部門わサーバー部門と会計を統合した上、PowerPC 4xxの
製品ラインを売っぱらってやっと四半期黒字にこぎつけた。。。って体たらくなんすけど(笑)
500名称未設定:04/08/02 00:10 ID:VpBmcyt0
IntelもMicrosoftも、どんなにこけても体力が全然違うから時間さえかければ必ず競争相手に勝てるわけで。
IBMはともかくAMDやモトはどっかできっちり稼げる部門がないと。Flashで稼げる間はいいけどさー。
501名称未設定:04/08/02 00:43 ID:a8/jESqJ
MacオタのIBM叩きには正直うんざりだな(笑)
502名称未設定:04/08/02 00:50 ID://UXca+C
でも、事実だからな。
503名称未設定:04/08/02 01:18 ID:RZ4wFAc+
ま、頭の悪そうなIntel叩きはすべて夏アム厨の仕業ってことで。
504名称未設定:04/08/02 01:43 ID:ZrbYIVDS
>>503
と夏淫厨が申しているす
505名称未設定:04/08/02 18:21 ID:jZoNJAAP
ジョブズたん手術成功オメ!
506名称未設定:04/08/03 09:23 ID:+aCcWm4w
いやわからんすよ
アメリカ人とくに西の連中はoptimisticな嘘をつくことを罪悪と思ってないすから
507名称未設定:04/08/03 23:29 ID:XCv8XFV1
−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−
俺様用しおり
         ,-、            ,.-、
        ./:::::\          /::::::ヽ
       /::::::::::::;ゝ--──-- 、._/::::::::::::::|
       /,.-‐''"´          \:::::::::::|
     /                ヽ、::::|
    /                   ヽ|
     l                         l
    .|    ⌒                | < 今日はここまで読んだにゃん〜♪
     l  , , ,           ⌒     l  
    ` 、      (_人__丿    、、、   /
      `ー 、__               /
         /`'''ー‐‐──‐‐‐┬
−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−
508名称未設定:04/08/04 12:54 ID:xkOEYgmP
とんじるぅ?
509MACオタ:04/08/04 21:21 ID:1CXQjtut
ネタ枯れなんで、バージニア工科大のXserveクラスタの写真集でも貼っておくす。
http://www.tcf.vt.edu/upgrade_gallery/upgrade_pics.html
510名称未設定:04/08/05 11:06 ID:8MUM95/w
ネタ枯れなんで、ゲーム機のニュースでも貼っておくす。

任天堂買収に関心? マイクロソフトがと独誌
ttp://headlines.yahoo.co.jp/hl?a=20040805-00000052-kyodo-bus_all

任天堂は良質なソフトを作るけど、ハードウェアの能力はSONYに劣るってイメージが個人的にはあるんだけど、
任天堂のハードウェアの能力ってどうなんですか?
例えば、ゲームキューブはPS2より(性能的に)劣る?
511名称未設定:04/08/05 11:56 ID:XyiIyCls
ネタ枯れにしても、明かに板違い。
512名称未設定:04/08/05 12:03 ID:QnDIbsUh
SONYならCELLネタ・IBM繋がりで話題の意味はあるかもだけどねえ。

GBAのデュアルスクリーンな新型とソニーのPSPって対立構造も面白そうだけどねえ。ハードの性能を追い込むよりもソフトウェアの開発に注力する任天堂は根強いだろうけど市場の拡大は狙えないかもなあ。
インパクトで押されっぱなしに感じるね。

任天堂ほどゲームの質で勝負できる会社なら、Sonyに食われてコンテンツ商売を見限る事になっても、自社ソフト専用機体として集中化していっても生き残れるとは思うけど。

……Appleみたいだな。
と無理矢理板違いから引き戻そうとしてみる。
513名称未設定:04/08/05 12:53 ID:+x0/jJae
ゲームキューブもPowerPCだったな、そういえば。
XBOX2もPowerPC、PS3もPowerPC…。
任天堂の次期マシンもPowerPC?
514名称未設定:04/08/05 13:11 ID:NID//hb4
MACオタ死ね。
515名称未設定:04/08/05 18:59 ID:b9Ka6m7o
>510
発売時期が二年近くズレてるゲーム機の性能を比べるコト自体間違い
後から出す方が先に出たモノを見て研究してから出せるんだから高性能に決まってるジャン…
516名称未設定:04/08/05 23:01 ID:Uu/Dlc8y
>>509
ところでベンチマークはまだなのかね、処女工科大学の。
2位とかにいきなり入ってきたら楽しいんだけど。
517名称未設定:04/08/05 23:07 ID:LzSsD7/I
>>515
>後から出す方が先に出たモノを見て研究してから出せるんだから高性能に決まってるジャン…

いくらゲーム機と言ったって開発期間はかなりかかるっす。
ライバルメーカーが出してから研究開発してたら3、4年は出せないっす。

それからいくらハードが良くてもゲームしか出来ないのだから良質ソフトをいかに出せるかが鍵っす。
518コピペ:04/08/05 23:12 ID:YHOMe08i
9801はマルチ16にマルチ16はIBM−PCに触発して作られた。
IBM-PCのCPU選択に、IBMはモトローラを希望していたが、某F社が6809を
大量に発注したため、モトローラはIBMの注文を断らざるをえなかった。
IBMがモトローラCPUを採用していたら、世界は変わっていた。
68000なら確実、6809でも遅かれ早かれモトローラが天下を。
インテルはパソコン層相手に商売をしながら、復業の模索をしなければ
ならないだろう。
ミニコンとパソコンの住みわけは確実。GUI化もモトローラから興るだろう。
おそるべし、F社、CPU 2個作戦にファイヤー!!
案外、この世界のインテルCPU採用マシンはマターリしてることだろう。

9801が発売されなかったらこうなっていたっ!
http://pc.2ch.net/test/read.cgi/i4004/1009616193/ 
519MACオタ:04/08/06 02:56 ID:3yXx3o9G
先月IBMがアップしてた750GXのerrata情報すけど、TheInquirerに笑いモノにされてるす。
http://www.theinquirer.net/?article=17680
CR演算命令が規定の動作クロックで動かないというバグに対して「規定の周波数より下げて使う」ってな、
あまりにあからさまな回避策を推奨しているす(1GHz -> 900-933MHz) (笑)
750GX自体が1.1GHzで発表されてたのに、いつのまにか1GHzのグレードが最高と言う事になっていたという
情けない事実を思い起こすと、更に味わいが深いかと思うす。

諸問題噴出のIntel同様、IBMも腐った体質が顕わにされつつあるすね。。。
520名称未設定:04/08/06 06:34 ID:uWTvV8Au
PMG5 2.5GHz出荷の噂が流れてますが……。
どうにかできあがった石をIBMが提供したんですかね。
それとも歩留まりの改善があったのかしら?
521名称未設定:04/08/06 13:23 ID:CKKHGl6w
>IBMも腐った体質が顕わにされつつあるすね。。。
といいつつ、MACオタの愛用ノートわThinkPad X40。。。
522名称未設定:04/08/06 15:05 ID:72mF8uzo
俺今本気でG5貯金崩してThinkPad買おうか迷ってる。
間を取って、HPのタブレットPCという選択肢で落ち着きそうだが。
523名称未設定:04/08/06 15:08 ID:GYYkhGmw
タブレットPC...プ
釣りにすらならんぞ
524名称未設定:04/08/06 16:52 ID:72mF8uzo
ほえ?なんかマズいんか?HPのって。
525名称未設定:04/08/06 18:21 ID:FohrmlAp
>>522
G5 -> ThinkPad -> TabletPC

って一貫性が全くないな。
パソコン使って何をやりたいかすら >522 の中では漠然としているんだろうな。

俺が >522 に胸を張って勧められるのは「とにかく安いパソコン」だ。
526名称未設定:04/08/06 19:35 ID:Du5OO70E
ほんとMACオタの腐った体質が顕わにされつつあるすね。。。
527名称未設定:04/08/06 22:55 ID:dxLQiifY
このスレの住人らしく、ナンバーズ3で970,750の番号だけを買い続けてたら
ようやく昨日10万円当選した!

970であたったから、やっぱりPowerMacG5を買わないといけないのかねぇ。
それとも、いつ登場するか分からないPowerBookG5を待つか・・・。
528名称未設定:04/08/06 23:10 ID:HnVT/pd1
>>527
PowerBookG5になると970じゃない可能性が高いから却下。同じ理由でiMacG5も。
という事で早くポチしなさい。
529名称未設定:04/08/07 00:21 ID:BCW/xEa1
ところで975はどうなっているの?これが次の3GHz用の石なの?
530名称未設定:04/08/07 00:25 ID:DqppP1Yf
970MPが次の3GHz用の石
531名称未設定:04/08/07 00:36 ID:BCW/xEa1
970と975はやめて970MPにしたということかしら?
532名称未設定:04/08/07 01:06 ID:mQqRkmbA
ちょっと古い記事ですが。
http://pc.watch.impress.co.jp/docs/2004/0805/kaigai108.htm

来年はまさにデュアルコア元年といった感じになりそうだね。
しかし、現状見ているとにわかに信じがたい気もするが、、、

来年はCPUにとってもチップセットにとっても良い年でありますように!!
533名称未設定:04/08/07 02:17 ID:b8FSsXTs
最近、IntelやAMDのCPUの性能向上が止まってきてるな
AMDもやっと利益が出てきてるから、無謀な価格競争もしないしね
そのうちPowerPCも整数演算でx86の性能に追いつくだろうな
534名称未設定:04/08/07 02:26 ID:WzKSG4Nx
まあPowerPCの性能向上も止まってるわけだが。
公約通りに3GHzのPowerMacが今頃発売されてたらなあ…。
535名称未設定:04/08/07 02:58 ID:z8IieO4a
どうにもならんと思うぞ、実際。PCだって2.8G以上は大して変わらん。
だいたい、750のとき、250と300の区別が体感でついたか?
536名称未設定:04/08/07 03:20 ID:mQqRkmbA
>>535
マーケティングと実際の性能は全く別次元の問題。

インパクトとして「3GHzが公約通り出ていればなぁ」って事。
537名称未設定:04/08/07 03:43 ID:z8IieO4a
マーケティング上もそうだろ。3Gだからってばかばか売れたか?んなわきゃない。
PowerMac必要なユーザはそのとき最速なのを買うだけだろ。
3Gじゃないから買わない、なんて言ってるのはなってても買わないって。
538名称未設定:04/08/07 04:04 ID:4Mn+B1YC
>>535
「大して変わらん」とか「体感で」ってのは単なる大雑把な言い方であって、速度が違うものは
違うわけだし。レンダリングとかエンコーディング処理とかの時間は如実に変わってくるし。

>>537
例えば、1.8GHzのデュアルを、出たばかりの頃に買ったヤツ。
3GHzのデュアルが出れば買い替えるかもわからんけど、2GHzのデュアルに買い替える
可能性は低いだろう。

また、3GHzのデュアルが出てれば、Intel系のプロセッサに対して、アドバンテージは開き、
ディスアドバンテージは縮まる(あるいは逆転する)。Intel系を使ってるヤツ、あるいは
Intel系に移行しようかなと思ってるヤツに対して訴求力が増すだろう。
539名称未設定:04/08/07 04:04 ID:4Mn+B1YC
というか、こんなにくどくどと言う必要があるほど、
「3GHzデュアルが公約通りに出てた方がよかった」
という意見はおかしいか?
540名称未設定:04/08/07 05:02 ID:C7kSsABx
まぁappleは良いもの作るけど、商売は上手くないからなぁ。
iPodは売れてiTMSは成功してるけど、3GHzとiMacG5出したからって
かつてiMacがバカ売れした様には売れないだろうな。
まぁ仮にアメリカで売れたとしても、きっと日本じゃだめぽ。
541名称未設定:04/08/07 07:03 ID:7JMDEwfe
まあ3GHzは出ないけど来月以降底上げがまってる訳だが
着実にステップアップしてくれればそれで良い
542名称未設定:04/08/07 08:01 ID:SkPAn1LL
>>540
2.5GHzデュアルのPowerMac G5が出るのはもうすぐだし、
3GHzと2.5GHz単純にクロックで比べても1.2倍にしかならない
全製品をG5にした方が3GHzデュアルのPowerMac G5が出るよりインパクトはあるよ
543名称未設定:04/08/07 09:35 ID:ZzXDbFoJ
>>542
どう考えても「3GHzデュアル!」でチップの知名度上げた後に、「Mac全機種に"あの"G5を搭載!」
ってやった方が良さそうに思えるよ。
普通の人はG5自体を知らないんだから、iPodに載ったって一般人にインパクトなんて与えられない。

542の考えてるマーケットが、「PPC970は結構凄いらしい」ってことを知ってるオタク・マニア層か
ハイスペック志向のMacユーザだけなら別だけど。
544名称未設定:04/08/07 13:30 ID:r2t04iGP
2.5GHzマシンの出荷がようやく行われたようですが……
IBMの歩留まり解消したと見るべきでしょうか?
545名称未設定:04/08/07 13:49 ID:mQqRkmbA
>>544
なんか同じレスを見たような、、、

出荷が開始されたとしても、実際の出荷数やペースを見ないと分からんな。
546名称未設定:04/08/07 14:05 ID:TSAit2ao
ハイスペックなG5(3GHz?)にふさわしいソフト
ってなんだろ。

やっぱりDTVかしら?
結局MayaはMacに移植されて成功したのかな。

商用UNIXで走っている商用ソフトは 全然知らないのだが
移植するといいとソフトは何かあるのかしら?
547名称未設定:04/08/07 15:20 ID:GNFvmMKt
ハイスペックが生きるのは俺の仕事に近い方なら、やっぱ
CAD系だと思うんだけど。
メーカーで使ってるソフトってほとんど、もとはUNIXで、
今はWIN用があるけど、全くマックには移植されてない。
548名称未設定:04/08/07 15:51 ID:Qf4lm2dY
ハイスペックを生かしたいのは業務系は全部だろうね。
音楽、グラフィック、映像など。
でも業務系は保守的だから、
すぐにG5に飛びつくのは少ないんじゃないかな?
OSXがやっと定着しはじめたばかりだし。
549名称未設定:04/08/07 17:46 ID:GZF+kAEj
>>ヲタ様
すれ違い気味ですが、ヲタ様のご見解をくださいませんか?
G5/1.6のロジックボードにG5/2.0のCPUを載せると、ちゃ〜んと
2GHzで動作するんでしょうか?
550MACオタ>549 さん:04/08/07 17:55 ID:Wa0vfo11
>>549
自分で確かめた訳じゃ無いすけど、しないって聞いたす。
551名称未設定:04/08/07 18:58 ID:9uncT1L7
昔は同一クロックならpowerpcって言っていたのに、今やアスロンやペンティアムM
の方が上なんだろうね。
552MACオタ:04/08/07 19:34 ID:Wa0vfo11
海外の掲示板で報告が上がっているすけど、970FX/2.5GHzのPVR(プロセッサバージョン)値わ、
0x003C0300とのことす。
つられて出てきた情報によると、既に2GHz Dualモデルも同じバージョンで、どうやら全てのPower Mac G5が
970FXに移行しつつあるとのことす。

最近Power Mac G5を買った方わ、ターミナルで
   ioreg -l | grep cpu-version
って打って、PVRの値と購入日を教えてくれると助かるす。
553名称未設定:04/08/07 19:49 ID:NrTm88qR
>>552
それが本当なら、もう少し、様子をみればよかったか・・・
数日前に2G注文したよ。まだ届いてない。
FXならよいが。
554名称未設定:04/08/07 22:27 ID:zwTJKM5T
MACオタって仕事は何やってるの?
555MACオタ:04/08/07 23:50 ID:Wa0vfo11
IBMが8月版のSystem Peformance ReportでPOWER5 unixサーバーのSPECint2000の成績をやっと公開
したす。
http://www-1.ibm.com/servers/eserver/pseries/hardware/system_perf.pdf
           SPECint2000(peak/base)
  p5 520/1650      1248/1201
  p5 550/1650      1248/1200
  p5 570/1900      1452/1398

  POWER4/1700      1158/1064 (IBM p655)
  Itanium2/1500     1404/1380 (HP rx4640)
  Opteron250/2400    1584/1437 (Sun JWS W2100z)
  Xeon 2MB/3200     1563/1532 (Dell PWS650)

整数演算でもItaniumにわ追いついたすね。
556名称未設定:04/08/07 23:54 ID:EVPqL0d8
>>555
思ったより早くないね
ガッカリだ
557名称未設定:04/08/07 23:57 ID:uTgKgkdw
PowerPCもこれくらい早くなればいいな
558MACオタ>556 さん:04/08/08 00:07 ID:RD53RCTa
>>556
  -------------------
  思ったより早くないね
  -------------------
そうすか?POWER4とPOWER5わコアわ、ほとんど共通すからクロック比で20%程度性能が向上して
いるのわ、結構驚きすけど。。。
559名称未設定:04/08/08 00:11 ID:1I0uN1D7
なぜクロックの低いItanium2にまけてるのん?
だれかおせーて。
やっぱりレジスタが128本あるのが有利なのん?
560名称未設定:04/08/08 00:15 ID:bxKkzd0h
VLIWでILPを極限まで追求した設計だから...
低クロックでもIPCを稼ぐアプローチ
561名称未設定:04/08/08 00:23 ID:dK3djuIZ
>>559
クロックあたりの性能神話に敗北してるから
562名称未設定:04/08/08 01:12 ID:mY/3u1tx
PowerBookにG5は載らないの?
563名称未設定:04/08/08 01:21 ID:znhnTdO7
今のところ載っていないね。
564名称未設定:04/08/08 01:30 ID:mY/3u1tx
今じゃない所では載るのかな?
565名称未設定:04/08/08 02:19 ID:owZO8f1E
3年後にはおそらくのってるでしょ。
566MACオタ:04/08/08 02:57 ID:RD53RCTa
余談すけど、970FXのPVR情報を見て、970MPネタの信頼性ちょっとアップす。
出回ってる資料に"970FX DD3.0以降対応の云々"って記述があったすから。。。
567名称未設定:04/08/08 03:45 ID:8YF3G5s6
>>560
ILPってinstruction-level parallelismですか。
一番上にどすごいものが出て焦りました。
ttp://www.acronymfinder.com/af-query.asp?Find=Find&String=exact&Acronym=ilp
568名称未設定:04/08/08 12:15 ID:qvbc6ewS

                    |||||          シュタッ !!
               |||||    __   |||||
           |||||     __ .ヽ|・∀・|ノ  __     |||||
        .__.....ヽ|・∀・|ノ |_.赤._| ヽ|・∀・|ノ  __
        ヽ|・∀・|ノ |_.黄._| .  |. | ...... |_.桃._| ヽ|・∀・|ノ
        |_.青._| .  〉 >           < 〈 .   |_.緑._|
            / >                     < \

             殺伐とした世に颯爽と登場
              我らはようかんファイブ!!

569名称未設定:04/08/08 14:23 ID:pBFASE9/
I Like Pie.  笑った。
570名称未設定:04/08/08 14:51 ID:1UdPts2F
別にコアを増やしたところでリニアに性能があがる訳ではない。
むしろ高負荷時にも遅くなりにくいのがマルチプロセッサの美点。
ゆえにサーバにはマルチプロセッサの要求が強い。
571名称未設定:04/08/08 14:59 ID:zwdKDllO
コア増やしたから高負荷時に遅くならないんじゃなくて
サーバー運用するとスレッドがいっぱい立つから複数のコアにうまく負荷を分散できるって考えた方がいいんでない
572名称未設定:04/08/08 18:40 ID:5Zag4TfJ
>>571
つまり2ch向きってことでつね?
クソスレを自動であぼーんする機能も憑きますか?
573名称未設定:04/08/08 23:07 ID:NDcmpSc0
>>570
今のパソコンで重い処理とされる画像処理、動画処理はマルチスレッド化しやすいから
マルチコアは有効だとおもうけどなぁ
574MACオタ:04/08/08 23:21 ID:RD53RCTa
日本IBMのBlueGene解説ページす。良くまとまってると思うす。
http://www-6.ibm.com/jp/solutions/lifesciences/solutions/column/no1/index02.html
575名称未設定:04/08/09 01:08 ID:BSCKXqAH
UNIX USER2004年9月号より転載:Xserve G5、PowerPC G5を搭載した1Uサーバー
http://www.itmedia.co.jp/enterprise/articles/0408/07/news001.html

興味のある人は一度読んでみては?

個人的にいちばん魅力に感じたのは、”接続クライアント数には無制限”かな。
576名称未設定:04/08/09 12:11 ID:330zDNLC
>>575
>接続クライアント数には無制限

G5以前からそうでじゃなかったっけ?
577名称未設定:04/08/09 12:15 ID:/768dj14
>>576
知らなかった人にとっては魅力的に移るんだろうね。
がめつい他社のライセンスしか知らんとよけいに。
578名称未設定:04/08/09 13:03 ID:YIYco2iX
>>577
別にクライアント数に応じてソフトの中身大幅に変えてるわけじゃなかろうに。>某他社さん

これが、「クライアント数が多いバージョンはすごく頑張って安定するように作ったし
開発費もかさんでます」とか言ったら値段に違いが出ても仕方ないと思うだろうけど、
ソフトでそれはないし。ハードウェアならいいパーツを余分に使ったとかで値段が高く
なるのは分かるんだけど。いや、何か不思議だな、とオモタ。

あ、もしかしてクライアント数が多くなるほど落ちやすいから、その分サポート費用がかさむ
のを見越して値段に反映してるのだろうか。
579名称未設定:04/08/09 14:16 ID:r4PWXwVS
          .\.         見       名古屋./
               \.      て   ∧_∧ .人 /    ぅぉぇっぷ
  東京人         \.    る r(⌒)・∀・ )   /     ∧_∧
   ↓            .\    な(YYて)ノ   )  ./       ( (゚)Å)
  ∧_∧東京>名古屋  \.  っ.  | | |   /      ノ つ !;:i;l 。゚・
 ( ´∀`)             .\!  .(__)_) /       と__)i:;l|;:;::;:::⊃
 (   /,⌒l            \  ∧∧∧∧/       ⊂;::;.,::;.;;;'::.:.;::.⊃
 | /`(_)∧_0.         \<   ま >     『本日も非常事態』
 (__)(゚∀゚; )⊃⌒⊃←名古屋人 < 名    >
~~~~~~~~~~~~~~~~~~~~~~~~~~~~    < 古 た >
―――――――――――――――< 屋    >―――――――――――――――――――――
        ___ オラッ!      .< か    >          ハハハ
    ドッカン |   | 出て来い名古屋人∨∨∨∨.          ∧_∧
    ∩∩  |   |   |  ∩∩    ./\ │ /\       ( ^∀^)<あほか
   | | | |  |   |   |  | | | |     ./  ./ ̄\   \     ( つ ⊂ )
  ..(  ,,) .|   |   | (・x・ )   / ─( ゚ ∀ ゚ )─  .\    .)  ) )
  /  .つ━━ロ|ロ ドカン l   |U  /   \_/      .\  .(__)_)     (^∀^)ゲラゲラ
〜(   /   |   |   |⊂_ |〜./    / │ \       \
  し'∪   |   |   |   ∪ /  なごや〜なおぎゃ〜   \
          ̄ ̄ ̄ ̄     /  .∧__∧       ∧__∧    .\
      ガッキーン       /  ( ゚∀゚ )のりたけ( ゚∀゚ )     .\
580名称未設定:04/08/09 20:56 ID:fUwbcTbr
>Mac OS Rumorsが、コードネーム「Antares」と呼ばれる最高3.5GHzで動作するPowerPC 970MP
>(最初はPowerPC 9700と呼ばれていた)は、来年の2月か3月に発表される改良型PowerMac G5に
>採用されるようだと伝えていました。

半年ちょっとか、、あっという間だしこりゃ待ちだね。 デュアルコアでもIBM&Appleは他社を先行か。
2.5Gが出荷遅れてなかったら買ってしまったとこだったよ、危ない危ない。
581名称未設定:04/08/09 21:05 ID:YIYco2iX
>>580
で、970MPがさらに遅れる罠。(w
582名称未設定:04/08/09 21:35 ID:eLSC6WUF

BlueGene…1ペタFLOPS…(;゚∀゚)=3ハァハァ
583名称未設定:04/08/09 22:37 ID:KEeQiRmO
PVR値を調べてみた。
"cpu-version" = <00390202>
購入時期は3月末。整備再生品。
584MACオタ>583 さん:04/08/09 22:41 ID:/gDWB8c5
>>583
情報感謝するす。中身わ普通に970すね。
585名称未設定:04/08/09 23:20 ID:ZzK9x8HQ
>>552
"cpu-version" = <00390202>
7月購入 新Dual2GHz
586power5:04/08/09 23:39 ID:KZn1f69J
BlueGeneは、メモリ:演算性能:ネットワークのバランスはいいけど
ただのクロックの遅い32bitプロセッサの集合じゃん....
587名称未設定:04/08/09 23:41 ID:9PJBL7wg
>>552
>>585と同じく <00390202>。
7月購入 新Dual1.8GHz
"cpu-version" = <00390202>
2G昨年9月末購入。
予約は6月24日 (´・ω・`)
589名称未設定:04/08/09 23:52 ID:nVHqoqdm
ありゃ変な名前残ってたな。
今んとこ2.5GHz以外全部一緒??
590MACオタ>皆様:04/08/10 00:18 ID:SVF+e0ax
>>585, >>587-589
ご報告感謝するす。今のトコ2.5GHz Dualを手にした幸運なヒト以外に、970FXわ国内に入ってないみたいすね。
591名称未設定:04/08/10 01:28 ID:10aEsGqf
●Mac OS Rumorsが、コードネーム「Antares」と呼ばれる最高3.5GHzで動作する
PowerPC 970MP (最初はPowerPC 9700と呼ばれていた)は、
来年の2月か3月に発表される改良型PowerMac G5に採用されるようだと伝えていました。


IBMがデュアルコアの前倒しをアナウンスしてたけど、
問題を抱えた970から970MPへと移行するみたいだね。
POWER4ベースでデュアルコアのCPUがPowerPC970MPだっけ。
これが登場すれば、Opteronに対してもかなりのアドバンテージだね。

IntelやAMDの顧客のほとんどはもっと低速な石を搭載したPCを使っているのに対して、
Appleの新規顧客は最初から高速なG5を全員が利用するというのが凄いところ。
592名称未設定:04/08/10 01:48 ID:h9cMlvdc
>>591
おいおい、>580で既出のネタをまた貼り直す意味は何だ?  しかも

>Appleの新規顧客は最初から高速なG5を全員が利用するというのが凄いところ。

意味不明なんだが。
593名称未設定:04/08/10 02:26 ID:e0K4+ia/
>>590
未変更即買いと、BTOだと違うんじゃなかろうか。
即買いだと在庫品即発送だから970ってことない?
594名称未設定:04/08/10 04:16 ID:0hce+WF1
>>591
IBMがそんなことを言ったところで……( ´・ω・`)シンジラレナイ
偉そうな事たれる前に、970FXどうにかしろい。
595549:04/08/11 01:26 ID:rsYGVbj+
>オタ様

>>549
のフォローです。ご参考まで。

G5/1.6にG5/2.0のCPUを載せてみました。
まず起動直後から、HardwareTestをかけた時のような轟音が鳴り
響きました。気にせず起動し終わるのを待ちます。
Temperature Monitor 2.1で温度を確認してみると、起動直後34℃
と表示され、もとの1.6と大して変わらず。
次に「このMacについて」を開いてみると、「プロセッサ 2GHz
PowerPC G5」と表示されます。
本当に2GHzで動作しているのか確認しようと思い、Xbench 1.1.3
で測定してみると、CPUのスコアが118。
もとの1.6はスコアが123だったのでほとんど変わらず。
逆にQuartzとOpenGLは、181→167、176→148と明らかにスペ
ックダウン。
やはり2.0GHzでは動作していないみたいです。
やっぱ、ロジックボードの167MHz(1.6)と233MHz(1.8、2.0)の
違いはどうしようもないみたいですね。
おとなしくもとの1.6に戻しました。
596MACオタ>549 さん:04/08/11 05:57 ID:ai3CHuX3
>>595
面白い話すね。感謝するす。
597MACオタ:04/08/11 06:01 ID:ai3CHuX3
ThinkSecretがPower Mac G5/1.8GHz Dualの品薄を伝えているす。2GHzの方わ、順調だという話すから
>>552に書いたような下位機種の970->970FXへの移行が本当だとすると、1.8GHz品のG5の供給がiMacと
競合している可能性があるす。
iMac G5のうち少なくとも上位機種わ1.8GHzってことすかね。。。
598MACオタ@補足:04/08/11 06:02 ID:ai3CHuX3
599名称未設定:04/08/11 08:37 ID:60/nl50J
970MPのコアを半分殺した品は出てこないんだろうか
600名称未設定:04/08/11 17:47 ID:d5EOgilU
>>MACオタ
日本にも入ってきてるようだ。>970FX
ttp://www.vts-sekine.com/Cgi-bin/yybbs/yybbs.cgi
601MACオタ>600 さん:04/08/11 19:33 ID:ai3CHuX3
>>600
8月以降の出荷分が970FXということなんすかね?
せっかくなら2ちゃんねるにも投稿して欲しかったす。。。
602名称未設定:04/08/11 21:07 ID:d5EOgilU
>>601
フフフ... 変則2ちゃん投稿なのですよ。
603名称未設定:04/08/11 21:12 ID:d5EOgilU
>>601
フフフ... 投稿では「先日」と書いてあるが、実は今日なのですよ。フフフ.....
604名称未設定:04/08/11 23:24 ID:eSjPuMkP
970FXの1.8/2.0GHzが潤沢ってのは、クロック落とせば歩留まり問題無し!
ということなんでしょうか?
605名称未設定:04/08/12 00:39 ID:0UCL6I6A
FXになるのが本当ならモデルチェンジを発表するだろ
だってスペック結構かわるでしょ、消費電力とか。

imacの発表と同時に
2.5G x2
2.2G x2
2.0G x1
発表でケテーイ?
606名称未設定:04/08/12 01:00 ID:lUpwBax/
>>605
いや、クロックが変わらんなら「告知無しの仕様変更」レベルですませるんじゃないのか?
それからわざわざiMacの発表とかぶせないだろ。
607名称未設定:04/08/12 01:09 ID:yL/F0sS7
>>605
......いや、俺の知り合いも今月BTOして2Ghz届いたが、
MACオタが書いてるFXのPVR値が本当なら、
普通にFXだったんだが....
608名称未設定:04/08/12 01:18 ID:B09b1Svh
確か2Gと1.8Gの在庫が多くて2.2Gを出せなかった(FXの供給の
問題もあると思うが…)とも聞くし時期的には10月あたりに
マイナーなクロックアップがあるんでないの?

それで来年970MPに繋ぐとか。
609名称未設定:04/08/12 01:32 ID:0UCL6I6A
そして10月をターゲットに2chカウントダウンが始まる


10月まで カウントダウン(いよいよXXXですね等)
11月 何もなく orz の嵐
12月 やっぱりxxxしてよかった の書き込み多々
1月  カウントダウン
以降繰り返し
610名称未設定:04/08/12 02:04 ID:orQLidC7
オレは発表される虹色の物体を見るだけでも満足、アレで白い飯五杯はイケる(*´Д`*)ハァハァ
611名称未設定:04/08/12 03:41 ID:2g59EjCg

>>552
"cpu-version" = <003c0300>
8月初めBTO、今週到着。G5-2Ghz
内心、少し驚いた。オタの言う通り8月出荷分からかもしれん。
このPVRが本当にFXなのかどうか知らんが、他にFXとわかる方法は無いのか?

http://mac.oheya.jp/etc/img-box/img20040812033915.jpg

2.5が遅れてるのは水冷のせいか?
612MACオタ:04/08/12 07:17 ID:qMzebkF4
>>604 さん
  ---------------------------
  970FXの1.8/2.0GHzが潤沢ってのは、
  ---------------------------
ちっとわ>>597とか過去ログ読んで欲しいす。。。

>>611 さん
  ---------------------------
  このPVRが本当にFXなのかどうか知らんが、他にFXとわかる方法は無いのか?
  ---------------------------
PVRの値=プロセッサの同定なんすけど。。。これ以上のことわ、ヒートシンク剥いでチップの刻印でも
見て欲しいす。
613名称未設定:04/08/12 09:36 ID:XeF4uqj4
次のパワブは何を使うのかな?970FXが使えるなら、7448イラネ
今までアポはマザボ使いまわしてきたけど、今後如何に2つの石をまわしていくのかビミョー
614名称未設定:04/08/12 11:48 ID:Gz0r45g2
615名称未設定:04/08/12 13:24 ID:RD9ZTG44
いいよこのさい55分の1.4GHzでも
616名称未設定:04/08/12 13:42 ID:lUpwBax/
>>615
省電力化できていないって事は発熱もすごいはずだぞ。
617名称未設定:04/08/12 15:40 ID:RD9ZTG44
いいよ熱いのには慣れてるから
618MACオタ>614 さん:04/08/12 17:06 ID:ltJxObIA
>>614
腐れルーマーサイトの記事を鵜呑みにするってのも相当イタいすけど(笑)
619名称未設定:04/08/12 18:27 ID:w8GCuP0C
>>578
マーケティングの問題だよ
同じ商品でもなるべく高く売ろうという
620名称未設定:04/08/12 19:40 ID:lUpwBax/
Mac OS Rumorsが、iMac G5に関して伝えていました。
PowerPC 970/2GHz,1.8GHz,1.6GHzやDDR400メモリーを採用し、来年には23インチ液晶を搭載した
Special Editionが用意されているらしいです。

うーん。なかなかハイスペックじゃないですか。 こりゃ期待も膨らむなあ。
しかし、筐体はどんな感じになるのだろうか、、、
621MACオタ>620 さん:04/08/12 20:30 ID:qMzebkF4
>>620
それガセネタ確定す。iMac G5スレにも書いたすけど、阿呆が大事なところの翻訳をサボってるす。
  -------------------------------------------------------
  1.6, 1.8, and 2.0GHz PowerPC 970 (not 970FX) processors
  -------------------------------------------------------
というように「970FXでわ無い」と明言してる訳で、始めっからAppleのリリースと矛盾してる腐れルーマー
なんすよ(笑)
622名称未設定:04/08/12 20:32 ID:+INKfNfb
去年、1.8Gシングル買った人を徹底して追い込むな。
623名称未設定:04/08/12 21:23 ID:cxodl//b
>>611
>他にFXとわかる方法は無いのか?

メニューから、「ハードウェアの概要」見ればわかるらしい。

PowerPC 970の場合の表示

ハードウェアの概要:
コンピュータの機種:Power Mac G5
CPU タイプ:PowerPC 970 (2.2)

PowerPC 970FXの場合の表示

ハードウェアの概要:
コンピュータの機種:Power Mac G5
CPU タイプ:PowerPC G5 (3.0)

http://mac.oheya.jp/etc/img-box/img20040812212118.jpg
624MACオタ>623 さん:04/08/12 21:39 ID:qMzebkF4
>>623
  -------------------------
  メニューから、「ハードウェアの概要」見ればわかるらしい。
  -------------------------
それも見てるのわPVRの値す。
PVRわ上位16-bitがプロセッサID(PID)、下位16-bitがリビジョンIDになっているす。
970の場合、PVR=0x00390202ってのわ、PID=0x0039 (PPC970)、revision=0x0202 (Rev.2.2)。
970FXの場合、PVR=0x003C0300が、PID=0x003C (PPC970FX)、revision=0x0300 (Rev.3.0)と読むす。
625名称未設定:04/08/12 21:44 ID:cxodl//b
>>624
うむ。そうか。
それにしても何で「G5」って記述に変わったんかな。
626名称未設定:04/08/12 21:53 ID:lUpwBax/
>>625
むしろApple的にはPowerPC G5と書くのが自然だからね。
PowerPC970と表記していた方が不自然だったと。
627名称未設定:04/08/12 23:00 ID:GEslc7wz
G3が出始めのころ、Apple System Profilerが「PowerPC 750」とか
表示してたのが、OSをバージョンアップしたら「PowerPC G3」って
表示に変わったことがあったよ。
628名称未設定:04/08/13 00:19 ID:ax8eJvn6
AltiVecとVelocity Engineの関係と同じようなもんだろ。
IBM的には「Generation 5」ではない。
629名称未設定:04/08/13 01:45 ID:aK2OHGRD
RISCプロセッサまんせーヽ(´ー`)ノ
630名称未設定:04/08/13 01:51 ID:QNlZDDSd
http://pcweb.mycom.co.jp/articles/2004/08/12/pmg5/003.html
>Dual 2.5GHzには、新しい64ビットCPUの「PowerPC 970FX」が採用されて
>いる。6月に同時発表されたDual 1.8GHzとDual 2GHzは、130nmプロセス
>で製造された従来のPowerPC 970だが、Dual 2.5GHzにはより微細な90nm
>プロセス版が搭載されているのだ。
631名称未設定:04/08/13 02:30 ID:yVhmSNa0
10年前から思考停止している奴がいるな
632名称未設定:04/08/13 22:52 ID:SFE8oSh3
>>606
たしかにクロックやマザーの仕様が変わらなければ、サイレントアップデートになるだろうね。
従来通りならば。
633名称未設定:04/08/14 01:21 ID:1VNOzE9x
970FX搭載のG5がユーザーに届き始めたようだ>MYCOM PCWebより
初回出荷は少ないらしいが、出荷状況が安定するのは1〜2ヶ月後かな。
634611:04/08/14 02:16 ID:NTN0Vmvh
この一連の話の流れを読むと、私の報告は信じられてないような気が.....
今まで嘘書いたこと無いんだが..........
2ちゃんでは仕方ないのだろうか。
635名称未設定:04/08/14 02:41 ID:DUDXbe7V
>>634
そうか??
そうとれるようなレスは特にないと思うが、、
636611:04/08/14 03:25 ID:NTN0Vmvh
>>635
うむ。そうだと良いんだが。
だめ押しでもう一枚。デジカメで撮影した。ユーザーネームにはモザイクかけた。
http://mac.oheya.jp/etc/img-box/img20040814032404.jpg


私の2Ghzは、8月はじめにBTOし、今週届いたわけだから、遅かったわけじゃない。
2.5Ghzは、CPUの確保で遅れているのだろうか?

それとも、大半が970で、FXだった私はたまたま組か。
637名称未設定:04/08/14 03:44 ID:HRs8gM0E
水冷機構はCPUより製造が遅そうだからねぇ
638名称未設定:04/08/14 04:17 ID:xqtjJIkb
>>636

単純にクロック耐性の問題かと。FXだって量的には2Ghzの方がたくさん
採れると思うが。
639名称未設定:04/08/14 13:45 ID:H3+MeYP2
       ∧  ∧
       |1/ |1/
     / ̄ ̄ ̄`ヽ、
    /        ヽ
   / ''''''   ''''''   |
   |(●) (●)    |     このスレは、
   / ,,ノ(、_, )ヽ、,,   |     わりと、
  / ` -=ニ=-     |     良スレだすね・・・
 {    `ニニ´     |
  ヽ、       ノ  |
   ``ー――‐''"   |
    /          |
   |          | |
 
ムーメンが、このスレに興味を持ったようです。
640名称未設定:04/08/14 20:53 ID:H3nqiYhm
>>639 なんだよコイツはw 初めて見た。
641名称未設定:04/08/14 21:25 ID:6PmxAs7G
570 名前:名無しさん@そうだドライブへ行こう メェル:sage 投稿日:04/08/11 06:57 ID:xb9dRrTS
        -――‐- 、
        /        ヽ   ♪ 駄スレが
      / ヽ_乂_乂_乂丿 l      駄スレが
      |             |       ススムくん
     |   ,:=・=:、  ,:=・=:、 |
    /           `ヽ
   /  r―0――――――┐丶
    |   |___0__o__,!  l
   ゙、  l           / ノ
    ヽ、ヽ、――0-⊂二二ニ⊃
     >-‐(⌒)== |       |
     (_ノ ̄  ̄  \__ (⌒)
      /        └┬' ̄
      / _____  ⊥-‐(∬∫) 、
 ,,,-―''^ ̄        (  (∫∬∬) ) ̄`ー-、
                  `ー-ニ二ニ-‐'



642名称未設定:04/08/15 00:41 ID:DtnA20nt
【五輪】女子サッカー スエーデン女性サポーター全裸で応援【画像あり】
http://news17.2ch.net/test/read.cgi/news7/1091879568/

警察に捕まったらしい。
643名称未設定:04/08/15 00:46 ID:GGj+2FLw
釣られません!!!! 助けてください!!!!!!
644名称未設定:04/08/15 01:00 ID:/ASQ8cfe
>>642
news7って私のニュース速報板じゃんw
懐かしいな
645名称未設定:04/08/15 02:09 ID:6DsKUmmn
だ、騙されないぞう、、、
646名称未設定:04/08/15 03:21 ID:yCeB9ICr
なもんEURO 2000の時いたよ。どこのサポーターか忘れたが。
ビューティーショットで放送にも映ったぞ。
とマジレスしてみるか。

トップレスなどむこうじゃそんな珍しいことではない。
ビーチクと大騒ぎする日本の方が世界から見りゃ逆に珍しい。
647名称未設定:04/08/15 03:31 ID:ZBdcOxJK
でもジャネットジャクソンは・・
648名称未設定:04/08/15 04:03 ID:yCeB9ICr
オタ、俺のレスも含めて削除依頼出してくれ。ちょっとお遊びが
過ぎたようだ。烈しくスレ違いだしな。
649名称未設定:04/08/15 12:52 ID:rZ9AiVBl
仮に新G5が970MP×2になった場合4つのスレッドが実行出来るわけだが
OS]や現行アプリは対応してるのかな?
XENON×2も4つのスレッドが実行出来るが対応アプリはTEMPEGくらいだそうな。
650名称未設定:04/08/15 13:08 ID:xYvbOY3n
で、3GHzはまだですか?
651名称未設定:04/08/15 13:09 ID:SiUVKdjI
>>649
普通はCPUの数を決め打ちしたりしないのであるだけ全部使うはず。(効果があるかはともかく)
652MACオタ>649 さん:04/08/15 13:47 ID:vy0Mi5lX
個人的な感想すけど,デスクトップ用途に4-wayわ無駄以外の何物でもないと思うす。
腐れルーマー好きのMacユーザーの妄想でしか有り得ないんじゃないすかね。。。
653名称未設定:04/08/15 14:04 ID:1azgP2dk
Xserveならまだしもなぁ>4-way
PowerMacならCPU一個減らしてその分HDDやら拡張できるように
したほうがいいような…
まあ妄想も気持ちいいもんだが
654名称未設定:04/08/15 14:40 ID:P196keAq
レンダリングとか動画のエンコードとかぐらいすかね。。。 <4-wayが有効な用途
655名称未設定:04/08/15 15:07 ID:P196keAq
あっ、あとトリップ検索とか。。。
656名称未設定:04/08/15 15:11 ID:BcozRuuM
かなしいとき〜  かなしいとき〜

意気込んで4-wayのPowerMacを3年ローンで買ったのに、数ヶ月後のシングルCPUに全ての
ベンチマークで負けたとき〜

最上位機種をローンで買ったのに、新型のミドルレンジにまけたとき〜
657Cubeユーザ:04/08/15 15:41 ID:ujwGM/gs
VirtualPCとかいろいろあると思うけどなぁ。>4-wayの使い道
次の"Tiger"では検索能力の強化があるんだからそれにも有効のような。

>>652
潤沢なリソースを無駄というのであれば、
そういう人はiMacを使えばいいと思うんだけど。

>>653
プロセッサリソース減らしてまで、周辺デバイスの拡張性を取りたい理由がわからない…。
658名称未設定:04/08/15 15:45 ID:la0+RQnK
マカに念仏
659名称未設定:04/08/15 16:12 ID:Eh9TQKkK
馬頭に観音
660名称未設定:04/08/15 18:34 ID:loCNHAEV






ういろうセブンだ!!
 .___   .___    ___   .___    ___   .___    ___
ヽ|・∀・|ノ ヽ|・∀・|ノ ヽ|・∀・|ノ ヽ|・∀・|ノ ヽ|・∀・|ノ ヽ|・∀・|ノ ヽ|・∀・|ノ 
 |_.白._|   .|_.黒._|   |抹茶|   |小豆|   .|珈琲|   |ゆず|   |さくら|  
  | |     | |     | |     | |     | |     | |     | |

七つの味を残らずポポポィのポィだ!!

よ う か ん フ ァ イ ブ に は ま け な い ぞ !!!

661名称未設定:04/08/15 18:52 ID:K9OvFiD5

 | ああ〜 やっぱ小学生は    /
 |    シマリがいいな〜!! /
.   ____
   | |・∀・| /⌒⌒ヽ
   | |\  |`イ  ノハぃ) カク
.  (( |_|_ィ⌒`」 ‖' 、 ソ|
    ノ と、_入`_,つ λ う
 カク


  幼 姦 マ ン 登 場
662653:04/08/15 19:37 ID:1azgP2dk
>>657
970MPが出るならそういうことも考えられるだろ。
Appleがそのへんのところどう考えてるのかは分からないけど、
今のままではそれは無駄が多いと思う。
663657:04/08/15 20:30 ID:ujwGM/gs
>>662
今のままでは無駄が多いって具体的にはどういうことなんでしょうか?

プロセッサリソースを減らしてまで、周辺デバイスの拡張性を
取りたい理由を知りたいだけなので、そのあたりを中心に
答えてもらえるとうれしい。

664名称未設定:04/08/15 21:24 ID:ntyaivU/
質問なんすけど、
クロック周波数が同じ場合、マルチコアのCPUって
シングルコアのデュアル構成のものと同等以上の処理効率
を発揮できるものなのでしょうか?
665653:04/08/15 21:37 ID:1azgP2dk
なんか自分の文章が変だ。そこのところ謝っとく。

まず970MPがPowerMacにのることを前提として、4-wayのものがデスクトップ用途でほんとに必要かどうか。
必要でないと思う理由として、まずアプリケーションで対応してるものが少ない。
はっきりいってマルチスレッド化するのは面倒。
それにマルチスレッド化してもアプリが速くなるとは限らない。マルチスレッド化するのに向いたものもあれば
向かないものもある。
あとユーザがそこまで使いきれるとも思わない。体感的に遅いと感じたならHDD速くしたり
メモリを増やしたほうがいい。
だから「現状のまま4-way」にしても無駄というか、期待したほど性能は上がらないような気がする。
それなら空いたところにHDDを今より積むことが出来たり、PCI-X(そのときにはPCI-Exかも)スロットを
つけたりしたほうがいいと思う。積極的に拡張性を取ってるわけじゃないので。

まあ4-wayのものが出たとしたら自分は多分狂喜乱舞するんだろうけどw
666名称未設定:04/08/15 21:42 ID:ujwGM/gs
667名称未設定:04/08/15 21:58 ID:la0+RQnK
なんか、昔の「MacにPower4が採用される妄想事件」と同じ展開になってきたな。
マカにかかると、単なるクスリ中毒の幻想とおなじになってくるからなあ。
668名称未設定:04/08/15 22:25 ID:ntyaivU/
>>666さん
レスサンクスっす。
でもスラドの内容じゃ、もともとCPUの知識が無いので
あまり良くわかんなかったです。

漏れが知りたかったのはマルチコアってことは、2個のCPU
がまるまる一個に収まってる訳ではなくて、その"コア"でない
部分が共有されてるってことですよね?

もし、その部分が各々のコア間で通信する部分以外も含まれて
いるならば処理によってはシングルコアのデュアル構成のもの
にくらべて遅い部分と早い部分があると思った訳です。

で、総合的な性能を比較するとどうなのかな?って事です。
まぁコストや消費電力等を考慮するとマルチコアの方がメリット
が多いって事なんでしょうけど。
669657:04/08/15 22:39 ID:ujwGM/gs
>>653
積極的に拡張性を取ってるわけではないことについては了解。
しかしながら、残りについては、
・単体アプリのマルチスレッド対応は必ずしも必要でない。
 確かにアプリ単体で見たとき、性能は上がらないかもしれないが、
 複数アプリ動作時の性能低下は抑えられるはず。

・メモリの追加やHDD交換は可能だが、プロセッサの追加/交換は困難

・マルチコアをやめることでスロットを増やせるくらいの
 空間が得られるかは疑問

と考えているので、あまり同意できない。
670名称未設定:04/08/15 23:20 ID:F2CSG5Oz
まぁ、MacOSXがマルチスレッドで動いているのなら
バックグラウンド処理とかI/Oとか、デーモンとか
効果はあると思う。

コアが増えてもチップ数が増えないのならば、ユーザ側の
デメリットは少ないと思うよ。
#価格には乗ってくるかもしれんけど
671名称未設定:04/08/16 00:00 ID:zSAn7UtZ
マルチスレッドじゃ無くてnPowerで動いたら激早の予感。
別にマルチスレッドじゃ無くてもマルチプロセスで十分だと思う漏れ。
672名称未設定:04/08/16 01:04 ID:jy1GnvDr
バックグラウンドで長時間動かし続けるような仕事を作ればいい。
意味のないことをやっても仕方ないが、アイデア次第だろ。
今までは特に考えなかっただけでは?
673653:04/08/16 01:08 ID:9A+Xf1Df
>>657
>複数アプリ動作時の性能低下は抑えられるはず。
これは同意、というか当たり前の話だね。
だけどそんなにプロセッサが必要かどうか…CPU2つでも明らかな性能低下するほど複数のアプリケーションを
立ち上げるんだろうか。このあたりはOSの管轄でもあるし、人によってアプリの数も違う。

プロセッサの追加/交換を行う意味は?
HDDやメモリに比べて困難ではあるけどそれを行う必要性はあるのだろうか。

文章上の意味からマルチコアでなくてデュアルCPUのことだと思うけど、今のPowerMacを見てると
CPU一個減ればかなり空間が空く。その分スロットも増やせるだろうしHDDももう一つぐらい乗せられるはず。
//でもいろいろ考えるとこの部分ではあなたの意見のほうが的確な気もする。

言いたいことはデスクトップでは4-wayは性能は上がるだろうけど、絶対必要とされるほど上がるだろうかってこと。
無駄とは思わないけど下手に価格に乗られても困る。サーバは逆に4-way大歓迎。
これが大本でこれを踏まえた上でPowerMacのことを考えると拡張性を上げる方向が良いんじゃないと考えた。
674名称未設定:04/08/16 01:26 ID:UvULjTvL

そういや、マルチコアの場合ってAltivecは2個積まれるの?
675名称未設定:04/08/16 01:27 ID:zZAk1Q+o
まさか
676名称未設定:04/08/16 01:33 ID:UvULjTvL

そしたら両方のコアがAltivecを使う場合は片側は待状態になるのかな?
それとも、Altivecの実行にCPUコアは関係ないの?
677名称未設定:04/08/16 01:46 ID:jffVPjZe
>>674
たぶん2個積まれる
678名称未設定:04/08/16 02:08 ID:FfByI91L
確かcocoaアプリってFrameworksレベルで
マルチスレッド化されてるんじゃなかったっけ。
それに重たい処理をやるアプリは、
すでにマルチスレッド化されてるだろうし、
4-wayはかなり早くなると思われ。

しかし個人的には、970の1GHzぐらいでいいから、
低消費電力のMacを出して欲しい。
679名称未設定:04/08/16 02:18 ID:wEIWDuKZ
thinksecretの方には
The new chip will also support the VMX instruction set with Altivec-compatible Vector/SIMD units -- one on each core.
って書いてるけど、eweekの方には、特に何にも書いてないな。
680名称未設定:04/08/16 15:36 ID:o/CPyDi9
こんなページ見つけたけどPowerPC 9703GHz出荷されてればね

ttp://www.billion.co.jp/ihara/Mac/CPU2.html
681名称未設定:04/08/16 15:57 ID:ROR/agou
9時代にはCPU片方遊ばせてたわけで、
682名称未設定:04/08/16 16:06 ID:ZRAv94jP
Altivecっていう呼び方は、腐乱したモトマニアの悪臭が漂ってきそうなので、
IBMファンならVMXって呼ぶように心がけましょう。
683名称未設定:04/08/16 21:12 ID:/boc/Dx2
おれはCPUメーカーと取引きしていないから、Velocity Engineと呼ぶことにするよ。
684657:04/08/16 21:35 ID:N0lcIK2p
>>653
もうあまり細かいこと書かないけど、649が仮に970MPが載った場合の
話を振っているのに、デスクトップには無駄だとか妄想だとか言う前に
妄想でも肯定的に捉えて話を進められないのであれば、
オタ氏も含めて次世代を語る資格は無しと言えよう(W

でもなぁ、マルチコア化の流れは妄想でもなんでもないと思うんだが…。
685653:04/08/17 10:45 ID:5n7DAy84
>>657
マルチコア化の流れは妄想だなんて言ってないよ。
x86ならIntel,AMDを見れば分かる通り当然の流れ。
PowerPCならFreescaleでもe600,e700はマルチコアって発表してる。
あなたはマルチコアについてきっちりと理解してるようには見えない。

あと649が言ってるのはOSXや現行アプリが対応してるかどうかの話。
だから今のままじゃ駄目でしょ、ということを言ったまで。
970MPがデスクトップに無駄(というふうに684の文章は読める)とか言ってる訳ではない。
CPUばっかり性能あげても駄目なんだよ。
686名称未設定:04/08/17 11:17 ID:a0wQKUP1
           スポポポポポポーン!!!
      。     。   
        。  。 。 。 ゚
       。  。゚。゜。 ゚。 。
      /  // / /
     ( Д ) Д)Д))
687MACオタ:04/08/17 12:32 ID:jwdJa4hM
970MPを用いた2-wayシステムわ>>305に書いたような様々な利点があるすけど、4-wayにも
なると
 ・プラス百数十ワットの消費電力増加
 ・それに伴う冷却と電源の強化
 ・ダイサイズ増加による高コスト
等々のデメリットを抱え込むことになるす。「無駄」というのわ性能向上がこれらの問題を
正当化するとわ思えないという話なんすけど。。。VirtualPCを走らせるくらいなら、PCを
買ってリモートデスクトップでも使った方がよっぽど効率が良いし、検索機能なんてHDDの方
がボトルネックす。
しかし、この件の反応を読むとG3時代に「地球に優しいMac」とか言ってた電波系のヒトが
全滅したのわ確かみたいすね(笑) 別な宗派に転向しただけなのかもしれないすけど。
688名称未設定:04/08/17 13:19 ID:ZnHAAWms
自分は期待したいけどなぁ、モトローラの省電力G4。

PowerMacはともかく、XServeで4-wayは必要じゃないか?
689名称未設定:04/08/17 15:21 ID:ZYfKVUsP
XServeはデスクトップではないだろう?なあ>>688
つまり別の話だ
690名称未設定:04/08/17 16:58 ID:Z0+da5EE
つー、つー、ふぉー。
691名称未設定:04/08/17 19:24 ID:d87zhkYl
iMacは当面ずっとシングルコアチップ搭載でいくのかねえ。
iMacにもデュアルコア載ってほしいが、そのためには差別化のために
PowerMacが4-way化する必要がありそう…。
692名称未設定:04/08/17 21:12 ID:MNGN5tyO
まぁ なにかしらの使い道ってのがでてくる(あるいは作り出していく)んでねぇの?
現状の延長で考えると「より高圧縮な動画」あたりが簡単でかつ求められているところ
だとは思うけどが、どうなるかは誰にも分かんねぇべ?だからこそ面白い。と思う。

ゲイシも昔「メモリーは640kBもあればすべての人にとって十分である」みたいな
発言をしていたわけで、でも今では1000倍あっても足りない状況がでているでしょ?

現在(あるは近い将来)何が律速になっているか?でいえばHDDの速度がヤバそうな気がするけれど。
……今、気付いたんだけど律速って一般的な日本語ではないんですね。変換されないんでやんの。
693名称未設定:04/08/17 21:26 ID:qqmpYFYM
化学関係のテクニカルタームだからねぇ…
694名称未設定:04/08/17 21:35 ID:on/9pUUc
695657:04/08/17 21:47 ID:nwx9ZUAM
>>687
てことは、オタ氏的には
Xserve:970MP×2=4way
PowerMac:970MP×1=2way
iMac:970FX
がリーズナブルってとこなのかな?

個人的には、XserveはPowerMacをサーバ向けに仕立てたものと
感じているので、搭載時期は置いといても
Xserve:970MP×2=4way
PowerMac:970MP×2=4way
iMac:970MP×1=2way
という感じでいって欲しい。

XserveとPowerMacに性能差を出して欲しくないのは
サーバラック立てられるのはそれ相応の企業とかに限られるから。
696名称未設定:04/08/17 21:54 ID:1h0QxB3K
iMac-mini 7448or7458搭載とか作ってくれないかな
697名称未設定:04/08/17 22:09 ID:CmuMbCWh
>>695
XServeは完全に切り離して考えた方がいいと思う。
698名称未設定:04/08/17 22:10 ID:VaYrT6Em
>>692
もうずっと人律速。
699MACオタ>657 さん:04/08/17 22:49 ID:g6PCbQw7
>>695
少なくともあなたが>>687に書いたことを一切理解してないということわ判ったす。
4-wayのXserveすか。。。今度わ内蔵HDDが2つになるすかね?
700名称未設定:04/08/18 02:00 ID:Kxy94mZC
2つになっても1U 4-wayは魅力があるな。。
Xeon3.6GHzと比較して2.5GHz970MPの熱量ってどんな感じだろ?
Xeon *2 HDD *2の1Uってのはあるわけだし。

クラスタノードモデルだけ4-wayってのもありだとは思うけど。。。
現状でどのくらい出荷されてるものやら。。
701名称未設定:04/08/18 02:50 ID:ObvewlZj
>>687
> ・プラス百数十ワットの消費電力増加
> ・それに伴う冷却と電源の強化
> ・ダイサイズ増加による高コスト
>等々のデメリットを抱え込むことになるす。「無駄」というのわ性能向上がこれらの問題を
>正当化するとわ思えないという話なんすけど。。。

まあデメリットの話をしだしたら、
今のDualだってSingleに比べれば上のと同じデメリットがすでにあるわけで・・・
俺には本当に4-wayのPowerMacが出るかどうかは分からんし、本当に必要かも分からんけど
昔みたいにクロックアップ=性能アップっていう単純な図式が崩壊した今
どうやってPCの性能上げるかって考えた時に
1つより2つ、2つより4つって考えるのは、ある意味当然の考え方だし
かなり可能性の高い選択肢の一つだと思う

メリット・デメリットの部分は
そのうち技術が進んで落としどころが見つかるようになると思うし
現時点での技術力によるデメリットだけを考えて語るなら
3GのG5だって、4GのPen4だってデメリットばっかでメリット無いってことにならんかな?

まあ今時、CPUよりHDDとかの方がボトルネックってのは同意だけど
702MACオタ>701 さん:04/08/18 05:11 ID:P0YtEGg3
>>701
まとめると
  「僕ちゃん半導体のことなんてちっともわからないけど、とにかくクロックが速くて一杯チップが
  入ってるのがいいんだぃ!どうして誰も判ってくれないの?」
ってので良いすか?  
703名称未設定:04/08/18 06:35 ID:YSsGqek/
低消費電力のマルチコアチップができれば4wayでも無問題。
704MACオタ:04/08/18 07:34 ID:P0YtEGg3
現代〜将来のコンピュータ・アーキテクチャで最大の問題わ、プロセッサばっかり速くなってメモリや
I/Oの進歩が追いつかないことす。単純なマルチコア化わ、この問題を一切解決してくれないすよ。
970MPにしてもコアあたりのバス帯域わ半分だし、(ただしボトルネックがメモリコントローラにあるので、
2-wayでわ実効的に問題無し)、デュアルOpteronわ本当にメモリ帯域が半分になってしまっているす。
おそらくSmithfieldsも同じ運命を辿るすね。

こういう本当の問題を解決してくれるのわ、CELLのような革新的なアーキテクチャということになるすけど、
逆にその手のアーキテクチャがPC用のアプリを高速化するのに向いているかどうかわ、未だ証明されて
いないす。。。
705名称未設定:04/08/18 08:04 ID:HDzmqFGs
CELLを早く…早く出してくれ…でないとオレはもう…ハァハァ(*´Д`*:.;:…(*´Д...:.;:..;:: .:.;: サラサラ...:.;::スッ
706名称未設定:04/08/18 12:36 ID:ObvewlZj
>>702
どこをどう読むとそうなるのか詳しく教えてくれんかな?

まとめると
 「僕ちゃん日本語なんてちっともわからないけど、とにかく僕ちんが一番正しくて
  一杯ほめてもらえるのがいいんだぃ!どうして誰も判ってくれないの?」
ってので良いですか?
707名称未設定:04/08/18 12:48 ID:1r1Svf+M
Prudential Equity Group分析:G5チップの製造問題は長引く


Forbesは、IBMのG5チップ供給問題が見通しより長引くとのPrudential Equity Groupの見方を掲載した。

それによると、1.8GHzと2.0GHzのG5プロセッサーの需給が
8月中に均衡に向かうだろうとのアップルの予測に反し、
調査会社Prudential Equity Groupは、IBMが引き続き供給問題を抱え続けるかもしれないとしている。

Prudential Equity Group:「我々は、1.8GHzと2.0GHzのG5プロセッサーの供給が、
アップルの当初の予測よりも悪くなるかもしれないと考えている。」
「アップルは、1.8GHzと2.0GHzのG5プロセッサーが7月に不足するものの、
8月を通して需給が均衡するとの予測を明らかにしていた。
我々は現在、IBMが修正されたその供給義務を果すのに難儀しているかもしれないと考えている。」

Prudential Equity GroupはiMac G5のさらなる発売延期を予測するとともに、
アップル株の格付けを「中立」に据え置いた。

先月、Jobs氏はIBMのG5チップ製造問題が一時的なもので、その問題は9月末までに解消されるだろうと話していた。
708名称未設定:04/08/18 13:05 ID:T6sebY9E
>>706
さすがにあんたのほうが理解してないような気がするが。
709名称未設定:04/08/18 14:03 ID:bMTb5j8w
最近になってペテンベンチはマシになったけど、
ここ数年のアポーはマクの発売開始時期と供給開始時期が開きすぎ。
法的に問題はないのか?
710名称未設定:04/08/18 14:30 ID:Mt6HVpuJ
>>702
ワロタ
そういうことなんだと思うよw
711名称未設定:04/08/18 18:51 ID:tyXsF7Lo
>>709
PC業界全体にそういう傾向はあるけどな。
曰く、採れないんだから、仕方ないじゃない。

良いことではないが、商習慣として定着しているからいまさら問題視する向きは少ないのでは。
712657:04/08/18 20:48 ID:l6erQTWy
>>699 オタ氏
失礼。305をよく読んだら、
オタ氏は現行プロセッサ×2→マルチコア×1
だった。

>>704 オタ氏
CELLでI/Oやメモリのボトルネックが解消されるの?
半導体のことがわからない僕ちゃん(漏れ)に
わかりやすく説明してもらえるとありがたい。
713名称未設定:04/08/18 20:52 ID:Tvau2oEH
僕ちゃん半導体のことなんてちっともわからないけど、とにかくクロックが速くて
一杯チップが入ってるのがいいんだぃ!
714名称未設定:04/08/18 20:59 ID:bMTb5j8w
>CELLでI/Oやメモリのボトルネックが解消されるの?
思いっきりオーバーヘッドが起こる罠。
715名称未設定:04/08/18 21:16 ID:iEKHBmfy
各チップに64MBメモリを混載するとかそんな話があるとかないとかで
それをキャッシュ的な使い方するならプログラムを小さいスレッドに分けてキャッシュ内に入るように書けば
ボトルネックが解消されるとか解消されないとか
716653:04/08/18 21:31 ID:T6sebY9E
>>657
あなたはもうレスしないと思うけど
一応現行プロセッサ×2→マルチコア×1の立場で文章書いてたんだが。
そんなに意味の取りにくい文章だったのかな…
717名称未設定:04/08/18 21:44 ID:BmTgcdjj
もうそのネタ引っ張るのやめようよ。
不毛もいい所だから。
718名称未設定:04/08/19 01:23 ID:rZjj9gnw
ところでPowerMacが地球シミュレータ並みになるのは、
あと何十年先でつか?
719名称未設定:04/08/19 01:28 ID:NoNxAxvm
Freescale、デュアルコアPowerPC G4プロセッサ発表へ
MacNNでは、The Registerによると、Motorola, Inc.の子会社であるFreescale Semiconductor, Inc.が、
10月4日から6日にカリフォルニア州サンノゼで開催される「Fall Processor Forum」にて、
デュアルコアのPowerPC G4プロセッサを発表するようだと伝えています。
デュアルコアのPowerPC G4プロセッサは、
DR 2 SDRAMをサポートしたメモリコントローラを内蔵するようです。

これってアポ以外だとどういう引き合いがあるんだろう?
720名称未設定:04/08/19 03:47 ID:iZvJcT+J
ルータとか複合レーザープリンタとか
721名称未設定:04/08/19 12:09 ID:zcrnMYv+
デュアルコアG4のMacポータブル欲しい。
722名称未設定:04/08/19 21:28 ID:I5f6z1/r
>>718
POWERは汎用CPUなので、地球シミュレータの専用CPUには永遠に勝てません。
PowerPCは糞モトのお陰で汎用CPUではなくなりました。
もう、「ウンチ」としか言い様がない。
723名称未設定:04/08/19 21:34 ID:fNVQnltU
>>722
あんた「ウンチ」とか書いてて、恥ずかしいと思わなかった?(笑
724名称未設定:04/08/19 21:59 ID:JGKOeWUa
あれって専用CPUだったの?
pc−98だと思ってた。
725722:04/08/20 07:20 ID:fpxNyd7Y
>>723
モナー板出身だから全然。
「MACオタうんちしないもん!」とか。
726名称未設定:04/08/21 01:50 ID:NhSKSfBR
└(゚∀゚└)<3! 2! 1!



 (゚∀゚ )<ハッスル!!ハッスル!!
 ゝ)) ゝ))
727MACオタ>722 さん:04/08/21 02:42 ID:6cOQCk1A
>>725
  ------------------------
  モナー板出身だから全然。
  ------------------------
それわ、語るに落ちてコピペの自白をしちゃったってことすか(笑)
728名称未設定:04/08/21 03:06 ID:eBbt2aMs
最近の騙りは巧妙だよな
729名称未設定:04/08/21 10:45 ID:/DjLN7tW
>>400
  計12GHZのG5と考えていいのでしょうか?

考えていいわけないじゃん。
まだそんなこと言う人がいるなんて・・・
730名称未設定:04/08/21 11:04 ID:ut1lBqXY
1ヶ月近く前のどうでもいいレスに突っ込む人がいるなんて・・・
731名称未設定:04/08/21 16:53 ID:7FWfTlMZ
地球シミュレータの一個のベクタプロセッサが8GFlops、
PowerPC970 2GHzも8GFlopsだから、同じ性能といえば性能かな。
#瞬間最大だけど。

だからピークだけでいうと、5120個のPPC970があれば
いまでも地球シミュレータクラスがつくれますよ。

ただ、8GFlopsに耐えれるメモリバンド幅がないので
スパコンベンチでの性能はでませんが。
732名称未設定:04/08/21 21:41 ID:yTo5RJ+9
>>731
君も書いてる通りほんの一瞬並ぶこともあるかもね、レベルの話じゃないですか。
5120個並べて効率はどんなもんなんでしょうね。
733名称未設定:04/08/21 22:02 ID:juCvi9gM
32bit CPU を二つ積んで「64bit ゲーム機です」っていったセガサターンを思い出す
734名称未設定:04/08/21 22:08 ID:KiMDAdsJ
ボルシチエンジンもその類いの
735名称未設定:04/08/21 22:21 ID:Qx1odyud
>>733
一応、「64bit"級"」と言ってた気がする。
なにが級なのかわかんないけど。
736名称未設定:04/08/21 23:07 ID:ut1lBqXY
>>735
とはいえ「真・64bit」のNintendo64も対して売れんかったがね。
737名称未設定:04/08/21 23:11 ID:y8cfO5ta
>>733
ヅアルコアG4をばかにすんあ
738名称未設定:04/08/21 23:30 ID:xpzD4TXq
739名称未設定:04/08/22 00:01 ID:LMRJeDEz
たしかCELLも1024bit級じゃなかったっけ?それとも2048bit級?
740名称未設定:04/08/22 03:58 ID:LTaTjqzy
>1024bit級?2048bit級?
"級"が付かなかったら、ただのメモリ資源の無駄使い。

なんかゲームハードネタで盛り上がってるようなので一言。
ナ○コの鉄拳シリーズのダサイムービーは
ROM資源の無駄使いとしか思わなかった。
741名称未設定:04/08/22 03:59 ID:vDtikHb/
なにそれアホか
742740:04/08/22 04:00 ID:LTaTjqzy
>ナ○コの鉄拳シリーズ
アーケード基盤 システム11 のことでつ。
743名称未設定:04/08/22 04:16 ID:LTaTjqzy
>ヅアルコアG4をばかにすんあ

・SH2ヅアル
洗練されたモトの68000命令に似せてあるCPU。
省電力低価格なのでヅアルでもへっちゃら。

・G4ヅアル
モトハイエンドCPU戦線で生き残りをかけて、
AltiVecという「うんち」機構を積んだCPU。
PowerPCの面汚し。ウンコCPU。
発熱限界の千代大海。
2個で一人前。
特徴;膨大な発熱。極めて遅い。
G4ヅアル所有者自身の人格が疑われる。

真のマカーは青白G3を使い続けていた。
744名称未設定:04/08/22 04:20 ID:jvdYEIgm
>>743
だめなのはG4 7455Aまで、7455Bで及第点、
7457でノートに1.3~1.5を積めるまでの省電力低発熱になった
745743:04/08/22 04:35 ID:LTaTjqzy
>>744
7457は組込み用途では素晴らしいCPUだと思う。
しかし、ハイエンド戦線からは離脱。

POWER5ってSIMD積んでるの?
もしSIMD積んでいないのなら、将来のPPCからVMX排除してほしい。
漏れの主観だけど、PPCではVMXのSMTはサポートしないのでは?と思っている。
サポートするよりも、POWERコアのSMT本数増やした方が高速なのでは?
746名称未設定:04/08/22 10:00 ID:LGndhoOU
なんでそんなにAltiVecを毛嫌いしとるんだ?
747名称未設定:04/08/22 10:57 ID:QjOsS5Xw
ただ単に分かってない人なだけでしょ。
あほくささがにじみ出てる。
748MACオタ:04/08/22 12:41 ID:iyyBa68m
もう随分長いことMotorola叩きわバカ検出に使えるすねぇ(笑)
元を辿ればG3時代にちょっとオーバークロックをかじった程度の連中と、その取り巻きが「AltiVecの所為で
クロックが上げられない」って大騒ぎしたのが始まりすけど、あれから5年経っても洗脳が解けないヒトがいる
すね。。。 もちろん、クロックの話がプロセッサアーキテクチャ的に事実無根なのわ周知の通りす。

将来展望を考えると、POWER4系のようなスーパースケーラとアウト・オブ・オーダー実行で性能を稼ぐやりかた
わ消費電力の点で壁に突き当たると思うす。この手のアーキテクチャが命令実行そのものより実行ユニットへ
の命令割当のために大半の電力を消費していることわ、MIPS R10000やAlpha 21264の頃から指摘されている
す。この辺の無駄を無くすためにプロセッサわ、より単純なコアをマルチコア化するようになり、ソフトウェアわ
それに伴ってマルチスレッド化されていくと思われるす。
また、単一プロセッサの性能向上の手法もSIMD, MIMD, VLIWのように複雑な命令管理機構無しにたくさんの
命令を実行ユニットに割り振れるような方向性が好ましいす。
749名称未設定:04/08/22 12:44 ID:asReUgL4
そもそもPowerシリーズにSIMD,ベクタ演算器など付いていないんだけど。
750MACオタ>722 さん:04/08/22 12:46 ID:iyyBa68m
>>722
地球シミュレータのプロセッサわSX-6なんで、一応商用プロセッサす。
専用設計なのわインタコネクトの部分すね。
751名称未設定:04/08/22 13:25 ID:GtZwanZn
>ちょっとオーバークロックをかじった程度の連中と、その取り巻き

MACオタもなw
そんなに自分を卑下するなよw
752名称未設定:04/08/22 13:35 ID:/iD0XwA4
自分の卑小さを意識できているってことですか。
プラス評価ですね。
753722,743:04/08/22 15:17 ID:LTaTjqzy
>「AltiVecの所為でクロックが上げられない」
この事件が発覚する前に、既にダメだなって思っていた。
MPC7400はスペックが明るみに出た時点で失敗MPUだと思った。
G3からGPU,FPUがパワーアップしてない名前負けしているダッサイCPUだと思っていた。
案の定、結果モトはこけた。
IBMの反対を押し切って搭載した、AltiVecはモトのプライドの贅肉アクセラレーターだと思っている。
特異な機構搭載をアポーに売り込みMac用のMPUを独占したかったのかは知らないけど。
それ以前に、モトにPPC750コアよりも優れたコアを作る力が無かったと思われる。
PPC970の登場時期を考えると、禿げは「AltiVecの所為でクロックが上げられない」事件で
モトに見切りをつけたかと思われる。

>また、単一プロセッサの性能向上の手法もSIMD, MIMD, VLIWのように複雑な命令管理機構無しにたくさんの
>命令を実行ユニットに割り振れるような方向性が好ましいす。
同意す。。。VMXにさいているトランジスタわ無駄す。そのトランジスタをGPU,FPUの強化にまわすす。
VMXわAltiVecの古いバージョンに互換というところに、IBMの(アポーの顧客のために)取りあえず積んで
やった感が出ていると思うす。少なくてもCellにVMXが搭載されることわないす(w

注:アポーの顧客のために
開発者はそんな特異な演算ユニット使いたくない。
顧客とはマカーのこと。
マカーは、将来VMXが排除されるときの痛みを多少我慢しなければいけない。
我慢すれば、POWERとPowerPCの性能の開きは益々縮まると思われる。
754MACオタ>722 さん:04/08/22 15:47 ID:iyyBa68m
>>753
あなたがプロセッサに関する知識だけじゃなく、日本語も読めないってことが判ったす。
  ---------------------------
  同意す。。。VMXにさいているトランジスタわ無駄す。そのトランジスタをGPU,FPUの強化にまわすす。
  ---------------------------
複雑な命令管理機構抜きで性能を上げるためにわ、SIMDやMIMD, VLIWなんかが「必要」って書いたすけど(笑)
755名称未設定:04/08/22 15:49 ID:WxZROPzK
後出しで「思ってた」なんてことは誰でも言えるよ。
説得力ないなー
756MACオタ@補足:04/08/22 15:54 ID:iyyBa68m
最近のIBMのSIMDに関する取り組みすけど、
  ・CELL
  ・Blue Gene/L
  ・LPX (低消費電力プロセッサの実験プロジェクト)
あたりが、SIMDの実装により大規模なOoOE無しでピーク性能を稼ぐ手法を選択しているす。
VLIWについてわBOA以降、超高クロック次世代のプロセッサ技術として研究が続いてる模様す。
http://www.research.ibm.com/lowfo4/
757名称未設定:04/08/22 17:55 ID:IgiOHG/n
デュアルコアG5が、実は970ベースじゃなくて、POWER5ベースだったりしたらすげえ嬉しいんだが…。
そういう可能性は無いですかMACオタ先生。
758名称未設定:04/08/22 18:01 ID:YP6YiGJ2
>>757
その可能性は限りなく低いでしょ。普通に考えても。
759名称未設定:04/08/22 18:06 ID:IgiOHG/n
まあね。でも妄想するのは楽しいし(w
760名称未設定:04/08/22 18:14 ID:YP6YiGJ2
>>757,759
君が頭悪いのわかったから、せめてsageようよ。
761名称未設定:04/08/22 18:16 ID:IgiOHG/n
気分を害した。
762名称未設定:04/08/22 18:22 ID:YP6YiGJ2
>>761
偉いね、よくsageれたね。
763MACオタ>757 さん:04/08/22 18:48 ID:iyyBa68m
>>757
970MPに関して、流布してる資料から読み取れるのわ>>280に書いてある話だけで、残りわルーマー関係者
が勝手に付け加えてるだけす。
764名称未設定:04/08/22 19:08 ID:aFoiz1Cb
PowerPC G5はパイプラインも深いし、RISCなのに命令を複数のよりシンプルな命令にデコードして実行したりしてる
整数演算性能では同クロックのAthlon64に負けてる
PowerPCも終わりだな
765名称未設定:04/08/22 19:24 ID:g/1PMoeA
RISCなのにってのがもう間違った認識なんじゃないんかね
766名称未設定:04/08/22 19:52 ID:QjOsS5Xw
PowerPCG5ってパイプライン何段ぐらいだっけ?
調べても情報が錯綜してるような気がする。

>>764
RISC、CISCいまだ言ってるなんて恥ずかしいよ、きみ。
767MACオタ>766 さん:04/08/22 20:09 ID:iyyBa68m
>>766
  --------------------------
  PowerPCG5ってパイプライン何段ぐらいだっけ?
  --------------------------
整数:16、ロードストア:17、浮動小数点:21、AltiVec:19-25す。
一昨年のMPFでの発表以来、IBMやAppleからの公式の情報の混乱わ無いすから、どっかのダメサイトでも
見て誤解したすか?
http://www-306.ibm.com/chips/techlib/techlib.nsf/techdocs/A1387A29AC1C2AE087256C5200611780/$file/PPC970_MPF2002.pdf
768名称未設定:04/08/22 22:02 ID:YP6YiGJ2
みんな釣られるなってば。
>>764 はIDからしてアフォい(aFoi)香具師だぞ。
769名称未設定:04/08/22 22:55 ID:05XmudQT
誰もが気づいてそれでも言わなかったことを
770名称未設定:04/08/22 23:36 ID:YP6YiGJ2
>>769
気づいてなかった く・せ・に ( ´∀`)σ)Д`)
771名称未設定:04/08/23 01:08 ID:zM2PV54I
SIMD不要論は技術を身に付ようとせず筋トレばっかしてるアスリートを絶賛するのに等しい。
772名称未設定:04/08/23 07:18 ID:OHNaaY28
>>687
1.PowerPC970FX 66.2mm^2 シングルコア,L2$512KB
2.PowerPC970 118mm^2 シングルコア,L2$512KB
3.PowerPC970MP 154mm^2 デュアルコア,L2$1MB*2

上に加えて
4.PowerPC970GX 77mm^2 シングルコア,L2$1MB
5.PowerPC970MPe 132.4mm^2 デュアルコア,L2$512KB*2
つう石を妄想ででっち上げてみたが
これらの発熱及び性能の序列はどんなもんだろうか
(コストはダイサイズにほぼ比例するだろう)
773MACオタ>772 さん:04/08/23 12:19 ID:iv46qCle
>>772
まとめると、「僕の考えた怪獣わ、強いんだぞぉ!」ってことすか?
774名称未設定:04/08/23 12:39 ID:sZum7Jhr
夏だな。デパート屋上の怪獣フェアなんかにいるガキのようだ。
775名称未設定:04/08/23 12:50 ID:yGsxct+1
>>774
>デパート屋上の怪獣フェア

いつの時代だよ!! ってワラタ
776名称未設定:04/08/23 12:52 ID:ppLkj0P8
>>773
ワロテシモタ。
妄想CPUを考えるヤツの心理ってそんな感じだよな。
777名称未設定:04/08/23 19:14 ID:OHNaaY28
兵装だけは妙に凝って動力の事を何も考えていない
超巨大戦艦みたいなものを考案したつもりはないぞ
>>290 >>305でPPC970MPが熱い高い速い?と言うもんだから
具体的にはどの程度かなと思って

それと>>699の4-wayのXserveはPPC970MP*2で実現するだろうから
http://images.apple.com/jp/xserve/images/design_heatb_010604.jpg
をみた感じ勘違いで4発載っけるとかダクト,ヒートシンクを大きくしない限り
HDDのスペースは現行品と変わらんと思う
778名称未設定:04/08/23 19:33 ID:m/7bnqxm
IBMは今年の初めにサーバー部門と半導体部門を統合したけど、この効果はいつごろ出てくるのか?
半導体部門は赤字覚悟で設備投資するんだろうね
来年や再来年あたりが見ものだね
779名称未設定:04/08/23 20:21 ID:J7SCtIE+
SONY,MS,Nintendoの三陣営のゲーム機需要が、どの程度あるかだね。
GCのGeckoは任天堂の思惑通り(2005で5000万個)には売れんかったけど。
780名称未設定:04/08/23 20:34 ID:TUquhP2g
三陣営合計のゲーム機需要はハンパじゃない規模でしょ一億はカタイんじゃね?
781名称未設定:04/08/23 20:59 ID:J7SCtIE+
ソニーは自社Fabも持ってるから、どこまでEast Fishkillで作るかだけどね。
ソニーからIBMのEast Fishkillへ投資してるから、IBMでも作るのは作るだろうけど。
782名称未設定:04/08/23 21:22 ID:18FksVNE
>まとめると、「僕の考えた怪獣わ、強いんだぞぉ!」ってことすか?
久しぶりにこのスレ見たけど、MACオタの煽り方がパワーアップしてる(w
783MACオタ>777 さん:04/08/24 00:15 ID:1i2PJ+WI
>>777
  ---------------------------
  熱い高い速い?
  ---------------------------
シングルコアと比べて熱い高い遅くなるって訳でもない。。。の間違いす。ただし1MB L2すから970FXよりわ
速いす。
  ---------------------------
  勘違いで4発載っけるとかダクト,ヒートシンクを大きくしない限りHDDのスペースは現行品と変わらんと思う
  ---------------------------
2-way -> 4-wayってのわ、普通に考えて発熱2倍す。正確にわ、メモリがボトルネックになる分、性能も発熱も
2倍にならないすけど。
784MACオタ:04/08/24 00:15 ID:1i2PJ+WI
>>778 さん
  ---------------------------
  サーバー部門と半導体部門を統合したけど、この効果はいつごろ出てくるのか?
  ---------------------------
半導体部門の赤字が会計上あまりにもみっともないので、リストラ兼ねて統合しただけす。それでもPowerPC
4xxを売り払った分の収入を別にすると、未だに赤字す(笑)

>>780 さん
Xbox2のプロセッサわ、技術ライセンスだけでチップの製造わTSMCす。
785名称未設定:04/08/24 03:10 ID:J55mltva
>>784
リストラ目的ではないみたいだよ
サーバー部門の技術的力をアップさせるための統合らしいけど
赤字覚悟で半導体作るってことだと思う
786名称未設定:04/08/24 03:58 ID:UcQOPbq6
オタはPowerMacに載るG5がデュアルコアになったとき、
2つ載せて4-wayにするんじゃなくて、1つで2-wayっていう方法をとりそうだって書いてるよね。
消費電力の点とかから。
一方、AthlonとかPentium陣営も来年にはデュアルコア化してくるわけで、
もしデュアルコアのG5が1チップのPowerMacだと今までのような性能面での優位性はなくなってしまうでしょ。
OpteronとかXeonがデュアルコア化されたとき、それでもPowerMacG5は1チップで2-wayなのかな?
デュアルコア時代の「ワークステーション」の構成って難しそうだね。サーバーならともかく。
787名称未設定:04/08/24 04:46 ID:MwllSzN2
>>785
彼はリストラされる前に入社できなかったんだよ
残念だけど
788名称未設定:04/08/24 10:11 ID:eoLnhsP9
>>784
TSMCの生産ラインのロードマップは詳しくしらないけど
2005末ってことは90nmなのかな?
789名称未設定:04/08/24 19:44 ID:eoLnhsP9
スレ違いだが、PowerBook G5は液冷だったりして
【HOT CHIPS速報】米Cooligy,マイクロプロセサ冷却技術「Active Micro-Channel Cooling」について講演
http://ne.nikkeibp.co.jp/members/NEWS/20040824/105076/

同社は米Intel Corp.や米Apple Computer,Inc.との共同開発を進めていることでも知られている。
特にApple社の次期ノート・パソコンにこの冷却技術が搭載されるという見方がある。
これについてCooligy社のCTOであるMark R.Munch氏は,「顧客に関することは言及できないが,
AppleやHPなど,ごく限られたメーカーと共同開発しているのは事実」と述べた。
790名称未設定:04/08/24 19:49 ID:FfWMFp/1
そのうち未来からきた大男型のロボットの右腕から見つかったチップがMacにのります。
PowerMac T2000
791名称未設定:04/08/24 21:03 ID:IGcE/Slb
>>789
…水冷っすか。
初代G5ノートは見送ろうかな。
792名称未設定:04/08/24 23:19 ID:l1IqURyR
>>790
むー、実はそれほど大男ではないのじゃ。
793名称未設定:04/08/25 00:00 ID:0v8Uqolo
http://ask.jp/

で「MACオタ」を検索かけると3年半ほど前のスレが一番上に来る。
詳細はズレがあるけど既にG5のネタを出しているのは驚きだな。
794名称未設定:04/08/25 00:38 ID:isQ6L6GL
10の正しいところと10の間違ったところがあっても
1ほめられて10叩かれるMACオタの悲しい性質
MACオタがいろんなネタ引っ張ってくるの見てるのは面白いけど
スレが荒れるのは見てて何の面白みも無いんだよな
795名称未設定:04/08/25 01:21 ID:HZFnukPK
>>789
溝が掘られているMicrochannelにHeat Collectorが重なって
従来のヒートスプレッタに近い構成になるのか

この二つの組み合わせだけでどこかで見たような

ともかくこれはデスクトップに採用されてもおかしくない技術だ
液冷G5には間に合わなかったのか
ノートにどのように搭載するのか気になる
796名称未設定:04/08/25 01:22 ID:egvuHlxj
MACオタの
・頓珍漢な持論を披露
・誤りは絶対に認めない
が無ければねえ。

ネタ振りの人としては優秀なだけに、惜しいねえ。
797名称未設定:04/08/25 02:29 ID:YObPxU22
お前ら本当に頭下げるのが嫌なんだなぁ。
798名称未設定:04/08/25 02:30 ID:isQ6L6GL
文字空間で頭下げようがない
799名称未設定:04/08/25 03:38 ID:GmE3ZZSE
Macヲタの分析なんてどーでもいいよ。
ほんとどーでもいいぜ?
800名称未設定:04/08/25 04:13 ID:BcY1XpnQ
じゃあこのスレから去ればいいんじゃない?
801名称未設定:04/08/25 12:11 ID:UOvbwDgJ
Macオタを楽しめない奴って
日本罵倒に集中してサッカーを楽しめない中国人と一緒っぽ
802名称未設定:04/08/25 13:05 ID:0v8Uqolo
>>801
(´-`).oO( どうしてもその例えを使いたかったんだな。。。 )
803名称未設定:04/08/25 13:20 ID:UOvbwDgJ
思いついただけだよ
804MACオタ:04/08/27 01:50 ID:3q0uqbzC
実わ、今週って色々と目ぼしいネタがあったすけど、なんとなく気分が乗らないのでボチボチ紹介するす。
まず、IBMがPOWER Architecture Community Newsletterの2号を出したすけど、巻頭でPPC603やPOWER4
の設計で有名なJim Kahleのインタビューを載せているす。「先進プロセッサ設計の方向性」みたいな内容
なんすけど、このヒトCELLに関するIBM側の担当者であることを知ってると、より味わい深いす。
http://www-1.ibm.com/technology/power/newsletter/august2004/article2.html
 ・現代のプロセッサ設計わTDPの範囲内で如何に効率よくトランジスタを使うかが勝負
 ・SOC化の流れで、プロセッサアーキテクトもシステム全体を理解する必要がある
 ・システム全体の性能を上げるために、マルチプロセッサを有効活用する方向性が望ましい
 ・Openな規格を取り入れることわ大事だが、PPCアーキテクチャを管理するためにプロセッサアーキテクチャ
  までOpen化する必要わ無い
なんてことを語っているす。

ちなみに今回のNewsletterでわ、PPC970FXの消費電力について解説したこの記事も非常に興味深いす。
http://www-1.ibm.com/technology/power/newsletter/august2004/article7.html
解説わ、またいずれかに。
805名称未設定:04/08/27 12:40 ID:5ECz6P9I
ふんふん

俺が英語を読めると思うな。
806名称未設定:04/08/27 14:32 ID:9ecb3lvv
>>805
自分の足らないところが分かって良かったじゃん。勉強しよう!
807名称未設定:04/08/27 14:45 ID:5ECz6P9I
三流音大出の高卒同様の英語力な俺に酷な事言うなよ
808名称未設定:04/08/27 15:01 ID:t2f/qm5o
>>807の人物像大胆予想。
神戸の音大卒業。
現在、新宿の会社に勤務。
809名称未設定:04/08/27 15:06 ID:yYNYf6Fq
そんなことは、どうでもいい。
むしろオタをプロファイリングしてみろ。
810名称未設定:04/08/27 15:34 ID:g6LuXJ9+
高卒同様の英語力があるのならちょっと単語調べればそこそこ読めると思うよ。
俺が思うに中卒レベル以下の英語力だろ。
811名称未設定:04/08/27 15:57 ID:5ECz6P9I
そうかも。
高校入学時から下がる一方なんだろうなあ

>>809
山羊座。
812MACオタオタ:04/08/27 16:01 ID:G4APm9+0
MACオタさんわ、プロの技術者にコンプレックス溜まりまくりの文系すね。。。
813名称未設定:04/08/27 16:29 ID:t2f/qm5o
オタをプロファイリング

人間じゃない。
つまり、「MACオタうんちしないもん!」ってこと。


以上
814MACオタ:04/08/28 13:22 ID:aXKv2vMi
やっぱりと言うべきなのか,何なのか。シングルコアの1MB L2搭載G5,970GXわロード
マップに乗ってるみたいす。ネタ的にわ750FX (512KB L2) -> 750GX (1MB L2)から連想
するすから,腐れルーマーっぽいと思っていたすけど,今年の4/3に開催されたドイツの
Amiga関連の展示会AmiGBGでのIBMの講演
http://web.kicker.nu/amigbg/nyheter/nyheter.asp?sub=visanyhet&nid=30
でMikael Haglund氏が,年末に1MB L2の970GXが出てくると語っていたという話す。
断片的な報告わ,
これとか, http://www.ppcnux.de/modules.php?name=News&file=print&sid=3907
これとか。http://www.ppcnux-projekt.de/modules.php?name=News&file=article&sid=3502
(記事への読者コメント部分)
815MACオタ@訂正:04/08/28 13:33 ID:aXKv2vMi
上の話,ドイツじゃなくてスウェーデンの間違いす。
816名称未設定:04/08/28 13:56 ID:MANKBggt
年末と言うと来年のPMG5に乗るのかな?
817MACオタ:04/08/28 14:07 ID:aXKv2vMi
もののついでに,>>804で書いたPOWER architecture community newsletterの970FXの
記事 http://www-1.ibm.com/technology/power/newsletter/august2004/article7.html
すけど,970FX/2.5GHzの消費電力関する新情報と共にIBMの90nmプロセスの問題が垣間見える
話が含まれているす。
 970FX/2.5GHzの消費電力についてわ,typical値についてわ安藤氏のISSCC 2004報告に
http://www.geocities.co.jp/SiliconValley-Cupertino/6209/wadai04/20040228.htm
詳しく述べられていたすけど,今回の記事でmaxの値が出てきたす。両方まとめるとこうなるす。
            Vdd      Typ. / Max. [W]
            [V]    full clock    1/2      1/4
Normal mode     1.3    50 / 100   34 / 75    30 / 60
Low voltage mode   1.0     ーー    15 / 27    12 / 19

Typ.値がMax.値の約半分というのわ,PowerTuneによる周波数/電圧スケーリング以外にも
clock gating等で,相当省電力化を頑張ってる証拠だと思うす。
818MACオタ@続き:04/08/28 14:20 ID:aXKv2vMi
消費電力と関連したIBMの90nmプロセスの問題として,
  ・プロセスのばらつきにより,チップごとにサブVthリーク電流の値が大きく異なる。
   結果的にチップごとの消費電力のバラツキも大きい(最大25%も!)
  ・Vdd=1.3Vでわ一般的な電気製品用(製品寿命 10万時間=11年程度)の部品として
   信頼性が危ないことが判明。出荷版でわ1.2Vに抑えている
  ・90nm製品の設計上の問題でVdd=0.8Vまで落とせないことも判明。最低電圧保証
   値わ,1.0Vに変更。
なんて話が書かれているす。多分,半導体の信頼性わ動作温度が上がると大きく低下する
すから,1.3Vでわ予想以上に発熱が大きい,もしくわ発熱が大きいチップの割合が多かった
んだと思われるす。低電圧側の制限わ,多分ノイズの問題すね。
 いずれにせよ,電圧が上げられない所為で,3GHz品わポシャったようだし,低電圧品も
期待できないということで,PowerBookへの搭載もちょっと遠のいた感じがするす。
819名称未設定:04/08/28 14:28 ID:CuGsolte
PowerBookは今後しばらくG4だとして、G4は今後どれだけ性能アップできるのかな?
820名称未設定:04/08/28 16:06 ID:SiHnpZ+o
G4も90nmプロセスに移行し
L2キャッシュを1MB(どうせなら2MBがいいな)にし
FSBを400MHzぐらいになれば、、、

つまり、Pentium M(?)みたいになれば
それなりに性能アップするんじゃないかな。
821名称未設定:04/08/28 18:36 ID:dFy+losd
PowerPC G5は整数演算ユニットの数が少ないから、クロックあたりの性能が悪いよね。
整数演算に関しては、Pentium4とたいして変わらないんじゃないの
822名称未設定:04/08/28 18:48 ID:5tpVZ0Bn
なんだってー(AA略
823名称未設定:04/08/28 18:58 ID:uOgza+ab
>>821
ソースだせ(無理だろうけど)
824名称未設定:04/08/28 19:48 ID:ydZzRhzt
CELLに期待。
825名称未設定:04/08/28 22:03 ID:9mm1LXGo
>>821
pen4比で比較するのはどうかと思うが、G4比では良くないな。
現行チップを発熱量の増加を承知の上で、ノートに入れるのは賢明ではない感じ。
826名称未設定:04/08/29 04:40 ID:9cBdAhtK
827名称未設定:04/08/29 07:06 ID:WIGLAaTE
出荷前のPowerPC 970の記事なのであってるかどうかわからんが、整数演算ユニットが2つしかない
ちなみにPentium4は整数演算ユニットは2つだけどコアクロックの2倍の速度で動作する。
この記事によると、PowerPC 970はパイプラインの段数も多い

http://pc.watch.impress.co.jp/docs/2002/1021/kaigai01.htm
828名称未設定:04/08/29 07:27 ID:WIGLAaTE
こんなページみつけたよ
 ttp://www.nminoru.jp/~nminoru/memo/spec/spec_cpu2000.html
829名称未設定:04/08/29 10:36 ID:zuAKdOCs
あーでも2倍の速度で動作する代わりにデータバスが追いつかないから実は活かせてないとかなんとか
830名称未設定:04/08/29 10:54 ID:T7YO+WC6
Pen4は整数演算ユニットも足引っ張ってる要因だからなぁ。
まあ、整数演算ユニットが2つってオーソドックスなものだと思うが…
831名称未設定:04/08/29 11:23 ID:WIGLAaTE
Athlon64は整数演算ユニットは3つ
パイプラインは11段だな
832MACオタ:04/08/29 12:19 ID:Zica/Waj
>>827 さん
その通りすけど、POWER4系の整数演算ユニットわ多機能版なので、G4で言うところのCFXU (マルチサイクル
処理用の整数演算ユニット)が2つあると見ることもできるす。その上、実際の整数演算ユニットの利用率って
非常に低くて、この数でもせいぜい25%という話す。だからPOWER5のSMTが演算ユニットの増加無しでも
絶大な効果を生み出すすよ。

ところで、例によってアム厨さんがHammerの自慢をしに来ているみたいすけど、整数演算ユニットの数なら
G4+だとSFXU x 3, CFXU x 1で4つ。パイプラインわ7段す(笑) x86わアドレス生成にも整数演算ユニットを使うす
から、実質もっと少ないのかも。。。

>>828 さん
それ、元々東大にあったこのページを独自ドメインとって引越しただけす。
http://www.mtl.t.u-tokyo.ac.jp/~nminoru/memo/spec/spec_cpu2000.html
別に当人の業績に文句をつけるつもりも無いすけど、このページに関してわSPEC2000と書いてさえあれば
あらゆる腐れルーマーを平気で公式結果と併記して掲載するバカサイトす。昔のMotorola G5の腐れルーマー
も未だに残してるし(笑)
http://www.nminoru.jp/~nminoru/memo/spec/spec_cpu2000_all.html
833名称未設定:04/08/29 12:30 ID:kyOJvLz+
>>831
12段だよ
834名称未設定:04/08/29 13:29 ID:sT9T/pyQ
いずれにしろ、PowerPC G5がPentium4やAthlon64より、現時点で遅いのは確実なわけだ
835名称未設定:04/08/29 14:01 ID:PPg0TaGQ
Power4やPower5が性能がいいのはCMTやSMTだからだろ
CMTとSMTが主流になったときに、PowerPCのx86に対するアドバンテージはあるんでは?
836名称未設定:04/08/29 14:15 ID:75cQXnSv
>>835
サーバー向けのPower4やPower5のようにキャッシュメモリを大量につめないから、メモリ関連がボトルネックになるよ
837MACオタ>834 さん:04/08/29 14:16 ID:Zica/Waj
>>834
ダイサイズもトランジスタ数も約半分なんすから、それで全然問題無いす。
だからハイエンドのMacintoshわデュアルプロセッサなんすよ。
838名称未設定:04/08/29 14:23 ID:GAHKeQ7+
>>837
Pentium4やAthlonがデュアルコアになっちゃうと、そのアドバンテージも無くなるのでわないすか?
それに関して、AppleやIBMはどういう戦略を持ってるんすかね…。。。
839MACオタ:04/08/29 14:30 ID:Zica/Waj
たくさんある先週のネタのひとつすけど、Mercury ComputerがRapid IO接続の7447クラスタを発表したす。
プレスリリース: http://www.mc.com/news/news_detail.cfm?press_id=2004%5F08%5F24%5F0900%5F020011%5F906026pr%2Ecfm
製品ページ: http://www.mc.com/multichassis/
筐体一つで120個の7447をRapid IOで接続。ラックに筐体二台まで搭載可というシステムすけど、
小さめで省電力のプロセッサをたくさん積むという流れに乗った製品す。
840名称未設定:04/08/29 14:31 ID:TtjQRHFG
>>838
デュアルコアCPUのデュアルCPUで問題なし(笑)
841MACオタ>838 さん:04/08/29 14:36 ID:Zica/Waj
>>838
AMDにせよIntelにせよ、個々のコアに独立したキャッシュを持たせる筈すから、ダイサイズもトランジスタ数も、
おまけに消費電力も2倍す(笑) そしてメモリ帯域わ、コア当たり半分。。。
842名称未設定:04/08/29 14:45 ID:Z5h3ye+0
>>841
デュアルCPUでもクウォードチャネルのメモリにしなけりゃ、CPUあたりのメモリ帯域は半分だろ
843名称未設定:04/08/29 15:04 ID:GAHKeQ7+
>>841
発熱量・消費電力の問題はあるにせよ、性能では完全に Intel系>PowerPC になってしまうのでわ?
あと、PowerPCもデュアルコア化の方向で行くのだとすれば、メモリ帯域がコア当たり半分になるのは同じ。。。

(俺は淫厨でもアム厨でもなく、単にPowerPCこの先大丈夫なんかなあ、と漠然と心配しているだけす。)
844名称未設定:04/08/29 15:11 ID:kp4HKqLg
そろそろAppleもx86版MacOS Xを出すべきだな
価格が2万5000円くらいなら、興味本位で買う人も多いかと
845MACオタ>842, 843 さん:04/08/29 15:11 ID:Zica/Waj
>>842-843
>>305参照
言い訳とわ裏腹に、明らかにMacintoshのアーキテクチャを知らない方なのが丸判りなんすけど(笑)
846MACオタ@補足:04/08/29 15:16 ID:Zica/Waj
まあ消費電力が頭に無い皆さんにわ>>804にリンクしたJim Kahleのインタビューを読むと良いかと思うす。
現代のプロセッサ設計とわ、搭載先のシステムで許容される電力の「範囲内で」いかに性能を上げるかが
勝負す。
847名称未設定:04/08/29 15:20 ID:IFDJgfM6
>>845
PowerMac G5がいくらメモリ周りが早いとはいえ、DDR-SDRAMが追いつかなきゃ意味ないけどね
848名称未設定:04/08/29 15:23 ID:IFDJgfM6
>>846
まあ、デスクトップパソコンに水冷システムが必要なPowerPC G5 2.5GHzは低消費電力なんでしょうね
849名称未設定:04/08/29 15:44 ID:IFDJgfM6
850名称未設定:04/08/29 16:14 ID:ZNsuUOga
PowerPC G5単体では遅いかもしれないけど、x86系のCPUはデュアルCPU対応のものは急に高価になるから、デュアル構成のx86マシンは高い
その点、今のPowerMac G5は高性能と言える
851名称未設定:04/08/29 16:46 ID:l7upujyP
久々にCPUネタで盛り上がっていて面白いなぁ。

ところで次期PowerMacG5っていつ頃でどんな構成になると予想されるんだろうか、、、
オタは3GHzがポシャったって書いていたけど。
852名称未設定:04/08/29 18:55 ID:ZNsuUOga
AppleにはiPodで儲けてる今の内にx86版MacOS Xを出してほしい。
アプリがなくても、買うよ。
853名称未設定:04/08/29 20:45 ID:NoIhSasc
>>852

暖房に使うのか?
オレは買わん
854名称未設定:04/08/29 21:10 ID:l7upujyP
PearPC(だっけ?)が実用レベルになる方が早かったりして。
855名称未設定:04/08/29 21:21 ID:lXhbXQv9
>>852
BeOSはじめマイナーOSの現状を見てみろ。どれもアプリの不足もさることながら、
対応ハードウェアが限定されることがユーザーに不便を強いている。
星の数ほどあるハードに対応させるのは、開発元にとってとてもきついことだし、
ビデオカードの相性とかでうまく動かないと、OSのイメージが悪くなるぞ。
やっぱりAppleがプラットフォームを管理している現状が一番いい。
856名称未設定:04/08/29 21:42 ID:nkRLIx2e
なんだかんだ言ってフリーウェアの存在が重要
857名称未設定:04/08/29 23:27 ID:nMcE1j4L
>>855
アプリに関しては、プリインストール以外のアプリの購入がどんどん減っている状況なので
販売時に必要なアプリがバンドルされていれば、一般的な利用者はそれで困らない状況に
なりつつあるような。

また、ビデオカードや一般的なオンボードデバイスに関しても、淘汰が進み、星の数ほど
あるハードウェアも、ふたを開ければリファレンスデザインそのまんまというように、実際に
書かなければいけないコードはむしろ減っていそうな感じ。バリデーションの手間を無視すれば
の話だけどね。

しかし、消費者がアプリを買わなくなったのと同様の理由で、OS単体で販売しても、利用者は極めて
限られるだろうから、開発費を費やしても、ビジネスとして成立しないのは間違い無いだろうな。
858名称未設定:04/08/30 00:11 ID:vrCUbKRv
オタさん
>>849
の技術は今後Power陣営含めて今後、プロセッサ業界で採用される技術と思われますか?
ちょっと記事は古いし、最近音沙汰ない内容ですが...。
859名称未設定:04/08/30 00:43 ID:UY3QIkj4
4CPUのPowerMac G5を60万円くらいで作れば、x86と比べて相当な性能差をつけられるぞ
問題はキャッシュの容量だけど
860名称未設定:04/08/30 00:59 ID:jjGZbhGF
そういうのが必要な人は、Xserve買ってクラスタリングしてください、で終わりのような。

1台のクライアントマシンの演算性能を訴求しても、顧客は踊るかどうか。
861名称未設定:04/08/30 01:24 ID:hNf5tDy+
PearPCの作者は事故で死んだじゃん
862名称未設定:04/08/30 01:33 ID:QUVttlch
>>859
Xserveをクラスタリングすればいいじゃん。
863名称未設定:04/08/30 02:09 ID:yQ7m3XHW
859じゃないけど、G5の4CPUのマシンが出るなら100万でも欲しい。
クラスタではできない事というのはある。
864名称未設定:04/08/30 02:11 ID:jjGZbhGF
そういうユーザーが、商売になるほど居れば出るだろうね。
4wayデスクトップも。
865MACオタ>858 さん:04/08/30 02:30 ID:FB37iJ2r
>>858
High-Kわゲートリークの対策す。90nmプロセスでわ、まだゲートリークってそれほど問題じゃないすから
今のところ必要じゃないす。
866名称未設定:04/08/30 02:36 ID:hNf5tDy+
ゲハで上がってたこの記事はどう思う?
http://pcweb.mycom.co.jp/cgi-bin/print?id=21117
--
SOIはその面で言うと非常にヤバイと思います。
パソコンに入ってくるようなチップについて、リークが大きくなってくると問題になると思います。
今のSOIでは基板バイアスが使えません。
--
867名称未設定:04/08/30 02:49 ID:re28r0uM
>>864
DTVユーザー位かな。そう言うの欲しいのは。
俺も欲しい。xserveじゃグラボがしょぼすぎてNGだから。
868名称未設定:04/08/30 09:06 ID:QUVttlch
>>864
音楽関係の人も「マシンパワーはいくらあっても足りない」と言ってるよ
869名称未設定:04/08/30 09:19 ID:pbJ8B6Bl
トリップ検索の人も「マシンパワーはいくらあっても足りない」と言ってるよ
870名称未設定:04/08/30 16:03 ID:t3NTvK2y
音楽関係の場合、まだCoreAudioが挙動不審だから……。
4wayになってソフトが対応したとしても、64sample時の以上負荷には結局耐えられまいて。
871名称未設定:04/08/30 20:19 ID:IVPvssRA
TigerでCoreAudioにもメスが入るんだろ?
872名称未設定:04/08/30 20:24 ID:DBMIIkL7
>>871
どこでも落ちてるから自分でいれて確かめれば 
873名称未設定:04/08/30 21:51 ID:rlanmgou
0サイクルブランチを捨てたPowerPCなんて…
874MACオタ>873 さん:04/08/30 22:16 ID:zsfRuL1M
>>873
0サイクルブランチわG4まです。G4+(745x)からこっち、余計なサイクルが入るすよ。
875MACオタ>866 さん:04/08/30 22:32 ID:zsfRuL1M
>>866
基板バイアスだけがサブVthリーク対策じゃないす。例えばMotorolaの開発したMIGFETなんか
も有効す。http://pcweb.mycom.co.jp/news/2003/11/11/11.html
876名称未設定:04/08/31 13:42 ID:qXEybvs6
>>875
要するにSOIはダメだからゲテモノFETしかないってことか。
10年先に実用化されてたらいいね。
877名称未設定:04/08/31 16:41 ID:MstyntuJ
>0サイクルブランチを捨てたPowerPCなんて…
究極の32BitCPU PPC750 4GHzマダァー?
878名称未設定:04/08/31 16:51 ID:2cUObvLk
スレ違いだけど、Efficeon-1.5GHz*96石の箱を売るみたいですね。
http://www.orionmulti.com/
http://japan.cnet.com/news/ent/story/0,2000047623,20071370,00.htm
http://pcweb.mycom.co.jp/news/2004/08/31/100.html

PowerPCもXserve以上に高密度な箱ってできないのかな?
まぁ、作ってもペイするほどニーズは無いか。
879名称未設定:04/08/31 17:01 ID:nPcna3oL
970のブレードが出てたような気がするが。
880名称未設定:04/08/31 19:10 ID:nPcna3oL
おいおい、1.8GHzのG5の供給がヤバいよヤバいよ。
881名称未設定:04/08/31 19:26 ID:04ARcSvd
iMacG5のFSBてプロセッサクロックの1/3なのね
なんか数字のインパクトうすひ
882名称未設定:04/08/31 19:55 ID:Cu/HaD9b
なんでiMacはFSBが3分の1なの?
単なる差別化のため?
ヲタ、解説しろ。
883名称未設定:04/08/31 19:57 ID:wy49buqu
出荷予定が 3-4週じゃんかよ!!!
884名称未設定:04/08/31 20:15 ID:DyS5H0gy
>>882
メモリがデュアルチャネルじゃないから1/2にしてもあまり意味ないかと。
885名称未設定:04/08/31 20:18 ID:7wQ+a/Ra
tu-ka、いい加減デフォルトのメモリは最低512MBにしないのかと(ry
886MACオタ:04/08/31 23:32 ID:UaTVcV33
iMac G5、毀誉褒貶あるみたいすけど、私はBondi iMac以来の感銘を受けたす。
887名称未設定:04/08/31 23:34 ID:WGdEPFJX
俺も。ポチる寸前にi系はデュアルディスプレイできない事思い出して様子見中

iMacに64bitって意味ある事なのかどうかはわからんけど。
888MACオタ>882 さん:04/08/31 23:37 ID:UaTVcV33
>>882
メモリわ予想通りシングルチャンネルだしプロセッサ間の通信も無いすから、FSBわ あれで良いんじゃないすかね。
889名称未設定:04/09/01 00:04 ID:h+TALZCP
>>887
デュアルディスプレイもいいが、むしろ他のマシンのモニタとして使えるように入力端子付けてくれ。
890名称未設定:04/09/01 00:37 ID:sxYkb2DY
>>889
うんそれ俺も思った
iMacからPowerMacへの移行時の資産になるし
なによりmac気になってるwinユーザーに訴求力高いような

ていうか部屋の物減らしたいというか

さてあとはノートにG5ですか。来年の今ごろにはなんとかなってるのかな
891名称未設定:04/09/01 00:48 ID:dAlT39nB
iMacG5登場!お約束の一言。


 D O S / V エ ン ト リ ー モ デ ル よ り 遅 っ !!
892名称未設定:04/09/01 00:54 ID:AjMD8HLh
もろNECのパクパク。昔ソーテックにしたみたいに
訴えられないとよいですね。

http://www.nec.co.jp/press/ja/9909/0201.html

発売平成11年9月2日
893名称未設定:04/09/01 00:55 ID:iQsVxNwo
>>885 同意
894名称未設定:04/09/01 00:58 ID:O6kx/ARd
DOS/Vって・・・
895名称未設定:04/09/01 01:03 ID:H1/8pMpu
>>892
マルチすんなやお前。

587 :名称未設定 :04/09/01 00:53 ID:AjMD8HLh
もろNECのパクパク。昔ソーテックにしたみたいに
訴えられないとよいですね。

http://www.nec.co.jp/press/ja/9909/0201.html

発売平成11年9月2日
896名称未設定:04/09/01 01:04 ID:AgQFvQOM
DOS/V・・・20世紀懐かしの単語ですな~
897名称未設定:04/09/01 01:08 ID:DN9nls6i
というかそれでNECに訴えられるなら、そのまえに液晶テレビメーカーに
NEC、Appleともども訴えられるだろうな。
898名称未設定:04/09/01 01:12 ID:YhnXvJ7g
FSB高速にしてもどうせiMacでは活かしきれないんだから十分では。
899名称未設定:04/09/01 01:33 ID:K8dmTPC0
20th nniversary Mac… ('97)
900名称未設定:04/09/01 01:34 ID:iQsVxNwo
900
901名称未設定:04/09/01 01:34 ID:K8dmTPC0
Aが…
902名称未設定:04/09/01 01:37 ID:s8rkHjOF
>>892
外見だけシンプル&オサレっぽくしたところで、
中身が結局Windowsだからなぁ‥
903名称未設定:04/09/01 01:43 ID:LJPtT6gk
>892
その理論でいくと、手前にパームレストとトラックボール(トラックパッド)を
装備した初期NECノートは訴えられて全滅してるってばw
まんまduoパクリマシンもあったし。

デザインがつまらないとは思うけどね。
904名称未設定:04/09/01 01:45 ID:LJPtT6gk
自己レス。
903の

>デザインがつまらないとは思うけどね。

は新iMacG5のことを差してます。
905名称未設定:04/09/01 08:14 ID:pFPTFXJa
>>885
リモートデスクトップじゃ駄目?
906名称未設定:04/09/01 09:56 ID:kXSWnO9t
つーことはPowerBook G5は厚さ2インチになってしまうということか
今の倍....
907名称未設定:04/09/01 10:01 ID:pFPTFXJa
>>906
誰もそんなことは言ってない。
908名称未設定:04/09/01 11:23 ID:h+TALZCP
>>890
それと、新しい機種が出たときに、「これはモニタとしてそのまま使うからー」と自分に言い訳がしやすい。
909MACオタ>907 さん:04/09/01 12:24 ID:jY7SZ20S
>>907
AppleのGreg JoswiakがCNETの記事で似たようなこと言ってるす。
http://news.com.com/2100-1042-5331745.html
  ------------------------------------------
  but Joswiak cautions that it would be tougher to build the current chip into a laptop
  than it was to get it in an all-in-one. "The challenges of cooling a G5 in a Powerbook
  design are significantly greater," Joswiak said, noting that a Powerbook is less than
  half as thick as the new iMac, leaving far less room for cooling tricks.
  ------------------------------------------
「だってPowerBookはiMacの半分の厚さだし…」だそうで(笑)
910名称未設定:04/09/01 20:06 ID:PuRjw7yi
というか貴様らPOWERやPowerPCについて語れ。
iMac G5のデザインはよそでやれよ。
911名称未設定:04/09/01 20:17 ID:HzlPjATJ
iBookにG5が載るのはいつのことやら
PowerPC970FXは低消費電力なんじゃないの?
2GHzで24.5Wということはこれ以下で駆動すればかなり省電力になるよね
新しいプロセッサだから価格が高いのかな
912名称未設定:04/09/01 20:18 ID:8ewXNQQE
970のFSB速度って1/2固定じゃなかったの?
913名称未設定:04/09/01 20:20 ID:ARKEZqyq
>>911
消費電力や価格よりも発熱が問題になっているわけで…。
914名称未設定:04/09/01 20:22 ID:aIq+4hBU
低消費電力→低発熱、ってわけではない?
915名称未設定:04/09/01 20:23 ID:HzlPjATJ
消費電力が低いということは発熱が少ないということじゃないの?
916名称未設定:04/09/01 20:26 ID:vX5UPhyH
>>914
ある程度までは比例するんだけど、最近のCPUはいろいろな要因があって、、、
917名称未設定:04/09/01 20:28 ID:HzlPjATJ
それに24.5WということはモバイルAthlonXPより消費電力低いよ
918名称未設定:04/09/01 20:31 ID:HzlPjATJ
>>916
半導体の消費電力はすべて熱に変わると思ってたけど違うの?
中学校でエネルギー保存の法則って習ったよね
919名称未設定:04/09/01 20:45 ID:vqze0Tpx
何を以って「熱」や「消費電力」とするかだね。
IntelのSpecSheet上のTDPとかは単純に電圧*電流じゃないしね。
920名称未設定:04/09/01 20:49 ID:r+Anp3AF
>>918
プロセッサは仕事をしませんから、放出される電磁波を除けば、全て熱に変わりますな。
921名称未設定:04/09/01 20:52 ID:aIq+4hBU
プロフェッサーは頭だけしか使わないんだけど、案外エネルギー消費するもんだから
割とやせ形が多いんだよね。
922名称未設定:04/09/01 21:17 ID:HzlPjATJ
>>919
それはそのときの動作状況によって消費電流が代わるからじゃないの?
923名称未設定:04/09/01 21:29 ID:ARKEZqyq
>>HzlPjATJ
>>817-818
924名称未設定:04/09/01 21:52 ID:HzlPjATJ
>>923
2004年2月の時点の記事じゃ古すぎるよ
半年もすればどうにかなるんじゃないの?
925名称未設定:04/09/01 21:59 ID:PuRjw7yi
>>924
> 半年もすればどうにかなるんじゃないの?
………… (゚Д゚)
926名称未設定:04/09/01 22:01 ID:WQWhDEyY
( ゚д゚)

(つд⊂)ゴシゴシ

(;゚д゚)

(つд⊂)ゴシゴシ
  _, ._
(;@益@) …?!
927名称未設定:04/09/01 22:03 ID:vX5UPhyH
>半導体の消費電力はすべて熱に変わると思ってたけど違うの?
>中学校でエネルギー保存の法則って習ったよね

>2004年2月の時点の記事じゃ古すぎるよ
>半年もすればどうにかなるんじゃないの?
928名称未設定:04/09/01 22:04 ID:HzlPjATJ
>>925
現時点でPowerPC970FXの量産開始から半年経ってるからあと半年もすれば、問題解決するんでは?
歩留まりも上がるだろうし、チップ自体の安定性もあがってくるだろうし、1年も経てばいろいろ改良が進むのでは?
929名称未設定:04/09/01 22:50 ID:ARKEZqyq
>>924
>2004年2月の時点の記事じゃ古すぎるよ

おいおい。ちゃんと読んでるか?
>>817の最初のリンクのURL見てみろw
930名称未設定:04/09/01 22:55 ID:ckV9ALM+
>>929
iBookに2.5GHz駆動のPowerPC使うわけないだろ
931名称未設定:04/09/01 22:57 ID:gtDhKN7u
なかなか収集が付きそうにありません!!!
932名称未設定:04/09/01 23:01 ID:ARKEZqyq
ダメだこりゃ┐(´д`)┌
933名称未設定:04/09/01 23:04 ID:s8rkHjOF
iMacG5って970FXなのかな?
934名称未設定:04/09/01 23:05 ID:ckV9ALM+
高クロックを取るか、低消費電力を取るかどちらかだろ
817は基本的に高クロックでの問題を言ってるんだよ
PowerPC970FXはPowerPC970と比べて、同クロックで消費電力が非常に少なくなってるんだよ
935名称未設定:04/09/01 23:15 ID:ttQsgqfi
とりあえずMACオタ待ちということで( ´∀`)
936名称未設定:04/09/01 23:18 ID:xIGIkL/g
>>933
FSBのクロック比からするとたぶんFX
937名称未設定:04/09/01 23:21 ID:exncqdtp
>>936
どゆこと?
938名称未設定:04/09/01 23:21 ID:vPDYcelt
POWERアーキテクチャ萌え(*´ω`*)
939名称未設定:04/09/01 23:24 ID:gtDhKN7u
>>937
無印970はCPUクロック:FSBの比が2:1で固定されてるんですよピザ野郎。
940名称未設定:04/09/01 23:40 ID:xIGIkL/g
FXを量産させて2.5GHz動作可能なチップの供給量を増やす気かな?
941MACオタ>939 さん:04/09/01 23:54 ID:jY7SZ20S
>>939
そんなこと無いす。IBMのPeter Sandon (MPFや去年のWWDCでG5の講演やったヒト
す)がインタビューでこう言ってるす。
http://arstechnica.com/cpu/03q2/ppc970-interview/ppc970-interview-2.html
  ---------------------------------------------
  Peter Sandon: The processor design itself supports several ratios. The one
  that Apple announced was a 2:1 ratio. And the processor supports at least
  3, 4 and 6 as other ratios.
  ---------------------------------------------
942名称未設定:04/09/01 23:56 ID:vX5UPhyH
>>940
逆に大量に出来たはずれ玉をiMacにまわしているんでしょ。
943名称未設定:04/09/01 23:59 ID:k5TTnfTj
>>940
そんなまどろっこしい話じゃなくて
単純にあのiMacG5の筐体には2Gの970、もしくは2.5GのFXが
熱その他の理由で単純に乗せられなくって2.0と1.8のFX乗っけただけなんじゃん?

まあ現時点でiMacに2.5Gが必要か?っていうマーケティングの理由もあると思うけど
944名称未設定:04/09/02 00:00 ID:wB01jem4
>>940
そんなまどろっこしい話じゃなくて
単純にあのiMacG5の筐体には2Gの970、もしくは2.5GのFXが
熱その他の理由で単純に乗せられなくって2.0と1.8のFX乗っけただけなんじゃん?

まあ現時点でiMacに2.5Gが必要か?っていうマーケティングの理由もあると思うけど
945MACオタ>942 さん:04/09/02 00:00 ID:uwmzcNki
>>942
IBMを舐めちゃダメす。そのはずれ玉すら製造できずにPower Mac G5/1.8GHzわ品薄。iMac G5
も納期10月って体たらくすから(笑)
946名称未設定:04/09/02 00:15 ID:4wr8bA1r
ぎゃふん!
947名称未設定:04/09/02 00:20 ID:evOJUu7d
IBMのエンジニアは問題解決に本気で、不眠不休で取り組んでるのだろうか?
2.5Gは満足に取れないし、実質未だにG5発表当時からクロック数の上昇はなし。
ジョブスもG5発表当時はこんなこと予想しなかったろうに・・・
948名称未設定:04/09/02 04:11 ID:H2sbVPZM
1.8GHzのFXならiMacの熱問題がクリア出来る?
PowerMac G5の仰々しい筐体を見ると
あんなに詰め込んで本当に大丈夫かなぁと思う。
HDなんかすぐに死にそう。

949名称未設定:04/09/02 06:41 ID:XNULHyJU
>>948
やっとPowerTune対応したのだろう
950名称未設定:04/09/02 13:33 ID:VPtKQBKL
PCI Expressスロット搭載のMacはいつになるのだ。
951名称未設定:04/09/02 13:36 ID:4wr8bA1r
>>950
必要かどうかの兼ね合いもあるけど業界がその流れになっているから
次の次のPowerMacに搭載されるんじゃない?
次の次ってのは単なる感だけど。
952名称未設定:04/09/02 13:47 ID:xe8BoxFt
>>947
寝なけりゃどうにかなるってもんではない。
プロXの見過ぎか、日本企業お得意の間に合わせに浸りすぎ。
953名称未設定:04/09/02 14:33 ID:03alv/Rb
PCI Expressは1xだったらいらないような気も
まあ周辺機器の出具合によるだろうけど
954名称未設定:04/09/02 16:31 ID:ycajuToi
iMacG5はFX積んでるの?MACオタ
955MACオタ>954 さん:04/09/02 17:14 ID:uwmzcNki
>>954
970FXす。Appleわ、発売の遅れを「90nm版G5の製造問題のため」と言い続けているす。
http://pcweb.mycom.co.jp/news/2004/07/15/006.html
  -----------------------------------------
  IBMが提供するCPUの開発の遅れがiMacやPower Macの製品リリーススケジュールに影響
  していることを認めている。
  -----------------------------------------
956名称未設定:04/09/02 21:44 ID:BrgKm3rf
IBMも90nmプロセスでしくじってるようじゃ、この先思いやられるな
業界は65nm、45nmとどんどん微細加工に向かってるのにもう終わりだな
AppleもいいかげんPowerPC見限ってx86に乗り換えた方がいいかも
957名称未設定:04/09/02 21:52 ID:T9j2ixqb
intelも不振気味だけど、マルチコア世代で笑うのは誰になるんだろうね
意外と今一番余裕こいてるAMDが来年には大コケしてたりして
958名称未設定:04/09/02 22:04 ID:GFveuzLU
Intelは来年にも65nmプロセスの先人を切ると言ってるよ
Pentium Mは低消費電力で性能もそこそこ。ものすごいくいいみたい。
2007年には45nmに向かう計画らしい。
IBMは次世代ゲーム機のプロセッサも請け負ってるけどこれが成功すればPowerPCも安泰だけど
失敗したらもう終わりだ
AMDはどうなるかわからないけどAMDがIntelの尻をたたいてくれないとx86の性能向上が見込めないから
PowerPC陣営からすると大コケほしいのだろう
959名称未設定:04/09/02 22:26 ID:KnbYji1I
〜と言ってるよ
〜みたい
〜らしい
〜のだろう
960名称未設定:04/09/02 22:29 ID:9RRuGcQQ
>>956
90nmでしくじったからと言って65nmやそれ以上微細な分野が駄目という理由にはならない。
実際65nmのCELLのスケジュールは順調に進んでいるし。
961名称未設定:04/09/02 22:32 ID:CbVOI5xl
アメリカの大学のビッグマックの改良版はどうなったんだ?何か進展はないのか?
962名称未設定:04/09/02 22:33 ID:T7wIK2ai
次世代ゲーム機に関してはソニーが多額の投資をしてるからコケることはないんじゃないの?

http://itpro.nikkeibp.co.jp/free/ITPro/USNEWS/20040203/1/
963名称未設定:04/09/02 22:38 ID:smlTsaQV
世の中、金しだい
Mac用のPowerPCは金にならないしIBMは力入れてないのかも
964名称未設定:04/09/02 22:43 ID:9RRuGcQQ
>>963
一般の人に向けての「Power」シリーズの宣伝にはなる。
そして、やる以上はきちんとやらないとこの宣伝が逆効果になるから
力を入れてないという事でもないとは思うんだが。
965名称未設定:04/09/02 22:48 ID:8C9enBLG
>>963
赤字出しまくってるのに、力入れないのか?w
966MACオタ>961 さん:04/09/02 22:50 ID:uwmzcNki
>>961
最新情報わ、ここす。http://www.tcf.vt.edu/
結構、再構成に時間をかけているのわOS XからPPC Linuxに移行中だからとの噂もあるす。
なんでも最新の後藤和茂氏のBLASライブラリでLinuxの方が5%ほど効率が良いそうす。
HPC用にわ、OS Xのメモリ管理の出来に問題があるんだとか。。。
http://www.cs.utexas.edu/users/kgoto/signup_first.html
967名称未設定:04/09/02 22:50 ID:smlTsaQV
Pentium4より早いわけでもない
Macのシェアが高いわけでもない
だから宣伝効果は疑問
PowerPC750FXを順調に出荷できないIBMのこの体たらくの状況は力入れてるとは思えない
968名称未設定:04/09/02 22:53 ID:u2v7sUx4
MACオタたんは、いつもどうサイトまわって、情報集めてるのかね?
MACオタ愛用ブックマーク公開キボン
969名称未設定:04/09/02 22:56 ID:SKn82IGV
HPC分野でPowerPCが大量に売れればPowerPCはどんどん魅力的になるだろうね
970名称未設定:04/09/02 23:00 ID:5MDbjB1R
>>967
PowerPC750FXってG3じゃ
971名称未設定:04/09/02 23:05 ID:9RRuGcQQ
ボロが出ちゃったね >967
972名称未設定:04/09/02 23:09 ID:ledLZrTQ
この世界はもう新しい企業が参入してくる事ってないの?
973名称未設定:04/09/03 00:04 ID:I6a4CTRk
よし俺が

なんでもない
974名称未設定:04/09/03 00:07 ID:zltPfzgT
>>972
とんでもない技術をもった企業が現れればあり得るかもね。
ただ、鳴り物入りで登場したTransmetaがあの状況だから考えにくい。
半導体は蓄積された技術というのがないと厳しい世界だし。
975名称未設定:04/09/03 00:09 ID:zltPfzgT
あと、莫大にかかる投資費用と宣伝費用を乗り越えられる資金力も必要だ。
976名称未設定:04/09/03 00:14 ID:I6a4CTRk
資金力か

馬でどうにかならないかな
977MACオタ>974 さん:04/09/03 00:18 ID:lcySkm9k
>>974
  -------------------------------------------
  半導体は蓄積された技術というのがないと厳しい世界だし。
  -------------------------------------------
半導体売ってる会社の大半わファブレスすけど?
978名称未設定:04/09/03 00:42 ID:WOVHP4q6
MACオタ愛用ブックマーク公開 マダー??? チンチン
979974>MACオタさん:04/09/03 00:53 ID:zltPfzgT
>>977
なるほど!!
という事は設計の方も何の蓄積もなしにいきなり出来てしまうんですね!!
980名称未設定:04/09/03 00:57 ID:Ya+fRKnw
てかオタ愛用次スレさっさとたてろよ
981名称未設定:04/09/03 01:00 ID:y+R57TkD
現在、一番多く利用されているプロセッサがx86系CPUということを忘れてはいけない
ソフトウェアがなければ誰も利用しないぞ
IntelがItaniumを普及させるのに苦労してるのを見ればわかる
982名称未設定:04/09/03 05:35 ID:CC29czRQ
Z80なんじゃないかな
983名称未設定:04/09/03 10:59 ID:ya/Cxony
>>981
違う。ARMだ。
チップコア単体では、個人で手に入るものではないとはいえ、出荷数ではx86とは比較にならんぞ。

参考:ARMというCPU 〜世界で最も使われているプロセッサ〜
http://www.itmedia.co.jp/mobile/news/0108/20/arm.html
984名称未設定:04/09/03 11:46 ID:CC29czRQ
こないだ秋月からARMボード発売されたよ
985名称未設定:04/09/03 18:17 ID:7uS0IORf
RISC CPUの中ではAlphaが最初から64bitの設計で一番シンプルでよかったよ。

よく考えるとAppleはついてるよな。
PowerPC採用したおかげで生き延びられてるからね。
MIPSやAlpha、SPARC採用してたら終わってたよ
986名称未設定:04/09/03 18:33 ID:zltPfzgT
>>985
それならそれで普通にIntelCPUに乗り換えてただけだと思うが、、、

まあ、所詮 〜たら 〜れば だけど。
987名称未設定:04/09/03 20:08 ID:UgTo3lCu
PowerPCはデュアルコアでも出遅れてるね

http://pc.watch.impress.co.jp/docs/2004/0902/intel.htm
988名称未設定:04/09/03 20:09 ID:uKGCsTgA
投資規模から見て、先んじられるはずがなかろうが。
遅れすぎなきゃそれでよし…
989名称未設定:04/09/03 21:49 ID:zltPfzgT
>>987
リンク先の記事はPowerPCにつれて一切触れていないが、
どのような評価でPowerPCが遅れていると判断したの?
990名称未設定:04/09/03 21:59 ID:qqcubqKF
やっぱPOWERでマルチコア実績があったところで
パソコンレベルのCPUとしてマルチコア作れるって訳じゃないんですね。
IBMは来年初頭に発表だっけ?>マルチコアG5
991名称未設定:04/09/03 23:37 ID:DOvymjsi
そういやARMってAppleが出資してたんだよな…
NewtonにARMを採用した関係で。もう株は全部売り払ったんだっけ?
992名称未設定:04/09/04 00:24 ID:Z7ceaftL
http://pc.watch.impress.co.jp/docs/2004/0903/kaigai115.htm

の記事を見る限りでは "とりあえずデュアルコア" って感じで当面はPCユーザーに対しての
メリットはあんまりなさそうね。
現行PowerMacのデュアルCPUの方がこなれてていい感じな気がするし。
993名称未設定:04/09/04 12:55 ID:IUHgKdyP
デュアルコア -- CPU1個分の値段
デュアルCPU -- CPU2個分の値段

1.デュアルコアの方が安くて処理能力が高い。
2.デュアルCPUは処理能力は高いけど、コストが高くつく。

漏れの理想は1の条件。
994名称未設定:04/09/04 12:59 ID:XiIdXIJW
>>993
デュアルコアはダイ面積が大きくなるから、1.5個分くらいの値段にならなかったっけ
995名称未設定:04/09/04 13:02 ID:YqS2E+XP
そのための90nmだったんちゃうんか

Pen4のプレスコットなんて、90nmになって発熱増えて定価も変わらず、
大量に作れるからintelが特するだけのCPUだしょ
996名称未設定:04/09/04 13:02 ID:BZOWt2hw
製造コストに関しては、ダイ面積分増えるね。
販売価格に関しては、作り手の販売政策次第。
997名称未設定:04/09/04 13:30 ID:SiyQI+Cx
販売価格はプレスコットコアのCeleron DとPentium4の価格を考えれば分かること
プレスコットはCeleron価格でも利益がでるってこと
998名称未設定:04/09/04 13:38 ID:XaU+MAdz
IntelとAMDような、同じコアを使ったCPUでもキャッシュの容量やFSBで制限かけてわざと性能を落として安く売る余裕はIBMには無かろう
999名称未設定:04/09/04 15:29 ID:sDCSga58
つうか、ラインナップ形成のために、意図的に性能を落としたチップを、
ユーザーが必要としていない。
1000名称未設定:04/09/04 15:31 ID:rwklOxsI
1000get
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。