【Verilog】記述言語で論理設計 Project7【VHDL】

このエントリーをはてなブックマークに追加
1774ワット発電中さん
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も
安価で入手できるようになってきました。

このスレが目に入ったおまえ! HDLで何か作って遊んでみませんか。

日曜工作のHDL書き、学生さん、プロの方、カキコお待ちしております。
関連情報は >>2-10 あたり。

前スレ
【Verilog】記述言語で論理設計 Project6【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1204914170/

参考情報

FPGA
QuickLogic http://www.quicklogic.co.jp/
30日間無料だと思った。ワンタイムだけどね・・・

ASICベンダ推奨ツール類(高価)
Synopsys http://www.synopsys.co.jp/
Cadence http://www.cadence.co.jp/
Mentor http://www.mentorg.co.jp/
Synplicity http://www.synplicity.jp/

Verilogシミュレーター(無料)
Icarus http://icarus.com/
 説明 http://ryusai.hp.infoseek.co.jp/icarus.htm
http://www.ice.gunma-ct.ac.jp/~kimsyn/verilog/FreeSim/iverilog/iverilog.html
IVI http://ivi.sourceforge.net/
 説明 http://www.kumikomi.net/article/explanation/2005/10icarus/01.html

VCDフォーマットの波形表示(無料)
GTKWave http://www.cs.manchester.ac.uk/apt/projects/tools/gtkwave/
 説明 http://ryusai.hp.infoseek.co.jp/gtkwave.htm
http://www.is.kyusan-u.ac.jp/cgi-bin/is-admin/wiki.cgi/CAD?page=GTKWave
21:2008/10/02(木) 07:25:32 ID:g6PilaM2
関連スレ
【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 09@電気・電子
http://science6.2ch.net/test/read.cgi/denki/1221925121/

暇だからVHDLでも書こうかな@プログラム
http://pc11.2ch.net/test/read.cgi/tech/1043858153/

フリーのツール(情報追加よろしく!)
ALTERA Quartus II Web Edition http://www.altera.co.jp/
XILINX ISE WebPack http://www.xilinx.co.jp/

評価基板とか(追加情報よろしく!)
Spartan-3 Starter Kit http://www.xilinx.co.jp/products/spartan3e/s3eboards.htm
CQ出版のシリーズ http://www.cqpub.co.jp/eda/

雑誌
Design Wave Magazine(DWM) http://www.cqpub.co.jp/dwm/
※基板が付録で付いている号はバックナンバー希少になりがち
Interface http://www.cqpub.co.jp/interface/
3774ワット発電中さん:2008/10/02(木) 19:35:45 ID:ECu3BPeZ
>>1
スレたておつ
4774ワット発電中さん:2008/10/02(木) 20:32:55 ID:ORfA1aPE
廃れておつ
5774ワット発電中さん:2008/10/03(金) 00:20:37 ID:TikDlOPb
すみません
非同期RESET(負),ENABLE(正), CARRY IN(正), CARRY OUT(正)付
4bit up/down BCDカウンタってverilogでどう記述するんですか?
それをつなげて4桁10進カウンタ作るんですけど、どうverilogで接続記述
すればいいんですか?(0 - 9999 up/down作りたいんです)
教えてください、先生!
6 ◆YMO/ALTERA :2008/10/03(金) 01:05:36 ID:OPu5WgY6


>>2
Altera(Terasic) DE2-70 はマジオススメ。
コストパフォーマンスは抜群と思われ。
(DE2-70 でぐぐれ)

10年くらい前のPCだったら再現できるんじゃないかな?
7774ワット発電中さん:2008/10/03(金) 03:42:27 ID:47Io6D9t
>>5

module top ( reset, clock, en, ci, co ) begin

  always @ ( negedge reset or posedge clock ) begin
    unit A ( reset, clock, BCDin, BCDout, ci, co );
    unit B ( reset, clock, BCDin, BCDout, ci, co );
    unit C ( reset, clock, BCDin, BCDout, ci, co );
    unit D ( reset, clock, BCDin, BCDout, ci, co );
  end
endmodule

module unit ( reset, clock, BCDin, BCDout, ci, co ) begin
  if( reset==0 ) begin
  end else begin
    if( BCD < 9 ) begin
      BCD <= BCD + 1;
    end else begin
      BCD <= 0;
      co<=1;
    end
    assign co =
  end
endmodule

眠いから、寝るよ。
8774ワット発電中さん:2008/10/03(金) 13:07:05 ID:hYUg0vLL
>>5
く、クロックがないよぉぉぉー
9774ワット発電中さん:2008/10/03(金) 15:00:21 ID:1KmHLwKM
>>7
なんて半端な所で寝るんだ。起きろ(w
10774ワット発電中さん:2008/10/03(金) 15:25:28 ID:kSS5u4ui
>>7
   「 本 日 の ア ジ ェ ン ダ 」
1. unitに always文が無い件について
2. assign co = がifの中にある件について
11774ワット発電中さん:2008/10/03(金) 16:13:36 ID:hYUg0vLL
とりあえず・・・

module cnt10(CLK,RST_X,EN,UD,CARRY,Q);
input CLK;   クロック
input RST_X;  リセット(_X)
input EN;    イネーブル
input UD;    アップダウンセレクト(0でUP)
input CURRY;   桁上がりと桁下がり両方とカレー
output [3:0] Q; 数値

玉ねぎが黄金色になるまで煮て

砂糖と塩を間違えて

肉じゃがに仕様変更するが、玉ねぎの食感は無い。

endmodule
12774ワット発電中さん:2008/10/03(金) 16:39:19 ID:Fy7iZU+5
>>8 エロイすみません。大幅修正しました
下記の入出力付4bit up/down BCDカウンタ
入力:CLK(立ち上がり),非同期RESET(負),ENABLE(正), CARRY IN(正),UPDOWN(UP:正、DOWN:負)
出力:4bit BCD, CARRY OUT(正)
ってverilogでどう記述するんですか?

それをつなげてENが正の期間PULSEINに入力されたパルスの正状態(CLK立ち上がり時正)をカウントする
4桁10進(0 - 9999 up/down)カウンタを実現したいんですけど、どうverilogで接続記述すればいいんですか?

4桁10進カウンタの入出力は
入力:CLK(立ち上がり)、非同期RESET(負)、PULSEIN(正)、EN(正)、UPDOWN(UP:正、DOWN:負)
出力:(4bit BCD)x4

教えてください、大先生! >>7大先生引き続きお願いします
13774ワット発電中さん:2008/10/03(金) 18:05:24 ID:fzZcR1lf
変なのにとり憑かれちゃったな。
14774ワット発電中さん:2008/10/03(金) 19:28:58 ID:kSS5u4ui

`define H 1'b1

`define L 1'b0


module cnt10(CLK,RST_X,EN,UD,CARRY_in,Q, curry_out);
  input CLK;      // クロック
  input RST_X;     // リセット(_X)
  input EN;      //イネーブル
  input UD;      // アップダウンセレクト(0でUP)
  input CURRY_in;   // 桁上がりと桁下がり両方とカレー

  output curry_out;  //
  output reg [3:0] Q;   // 数値

  always @ ( posedge CLK or negedge RST_X ) begin
    if( RST_X == `L ) begin
      Q <= 0;
      curry_out <= 0;

    end else begin
      if( en == `H ) begin
        if( UD == `H ) begin
          if( Q < 10 ) begin
            Q <= Q + curry_in + 1;
          end else begin
            Q <= 0;
            curry_out = `H;
          end
        end else begin
          if( Q > 0 ) begin
            Q <= Q - curry_in - 1;
          end else begin
            Q <= 9;
            curry_out = `H;
          end
        end
      end
    end
  end
endmodeule

ちよっと用事を思い出したので、出かけてくる。

15774ワット発電中さん:2008/10/03(金) 20:33:54 ID:CCqAPecZ
なんちゅう学生チックな記述。。
IC作ったことないだろ。
16774ワット発電中さん:2008/10/03(金) 20:43:33 ID:mPJIHNil
>>14をみると…
仕様決める時begin, endではなく{, }とかにして欲しかったと…
17774ワット発電中さん:2008/10/03(金) 20:50:37 ID:k1b0LScL
スーパーendとかあると便利っぽくね?(w
18774ワット発電中さん:2008/10/03(金) 21:13:18 ID:ncTJtlSQ
>>15
お手本をどうぞ
19774ワット発電中さん:2008/10/03(金) 21:36:08 ID:8K58UCzs
>>15
>>18の言うとおりだぞ
ICを作ったことのある者の記述を見せてみろよ
ICを作ったことあるなら、1-2分でさすがだなというのが出来るだろ
20774ワット発電中さん:2008/10/03(金) 21:59:54 ID:7NmIwAmG
「IC作った」 実際にあなたがしたのはどれ?(複数回答可)

1. プロジェクトを指揮した
2. 仕様書を書いた
3. RTLを書いた
4. 検証した
5. 合成した
6. レイアウトした
7. ATE用のテストプログラムを作った
8. ATEで評価した
9. ボード等で評価した
10. 指示にうだうだ文句言うだけで何もしなかった
21774ワット発電中さん:2008/10/03(金) 22:08:11 ID:dzjqxL4X
>begin, endではなく{, }とかにして欲しかったと…

そりゃそうだろ。なんで begin endなんて書かせるんだろ。{}の方がよっぽどいい
22774ワット発電中さん:2008/10/03(金) 22:19:24 ID:D+9sSTMk
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「そんなこともしらないのか」
     r'"ヽ   t、   \___ ! 「ケイデンス社が勝手にきめたからだよ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
23774ワット発電中さん:2008/10/03(金) 23:00:07 ID:40sZlILb
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
24774ワット発電中さん:2008/10/03(金) 23:30:07 ID:CCqAPecZ
しかしレベルが低すぎる。。

>>20
2,3,4,5,7,9 だ。
お手本は書かない。そんなボランティア精神ないし、金とるぞ。
25774ワット発電中さん:2008/10/03(金) 23:33:29 ID:kSS5u4ui
14です。
まだ駆け出しだものですから、C風にしか書けないんです。
>>14を叩き台に、ソースの書き方を教えてもらえませんか?

僕の書き方の基本は、
・分かり易いこと。
・間違いが起きにくいように書ける書き方
の2点です。

ifは、
    if( !a ) b <= c;   とは書かずに

    if( a == `H ) begin
      b <= c;
    end
と書くようにしています。 次のように上下で関連していることを示したい場合は、
    if( a == `H ) b <= c;
    if( x == `L ) b <= 0;
    if( y == `H ) b <= 1;
などと書くようにしています。

変なところを指摘して欲しいです。宜しくお願いします。
(TEDのおじさん、先日研修に行きましたよ)
26774ワット発電中さん:2008/10/03(金) 23:35:26 ID:WScsGiVo
Cでなく、Pascalちっく?
27774ワット発電中さん:2008/10/03(金) 23:51:44 ID:8K58UCzs
>>24
自分はそう思っても、他の奴は10と思ってるんじゃね
で、改めて聞くが、お前はIC作ったことあるのか?
まさか、>>20の2,3,4,5,7,9やったことある=IC作った
と言わないよな。

>しかしレベルが低すぎる
ここってよ>>1書いてあるが
日曜工作のHDL書き、学生も居るんだぜ
ここの状況読めないんじゃ職場で状況把握できてないんじゃね
ま、逃げ口上、脅しは得意そうだな
28774ワット発電中さん:2008/10/04(土) 00:02:35 ID:s2VZDlfA
胸張ってIC作ったといいますが?なにか?
29774ワット発電中さん:2008/10/04(土) 00:11:58 ID:9GINQiEH
          ____   
       / \  /\ キリッ
.     / (ー)  (ー)\      
    /   ⌒(__人__)⌒ \    
    |      |r┬-|    |      胸張ってIC作ったといいます
     \     `ー'´   /      
    ノ            \
  /´               ヽ              
 |    l              \
 ヽ    -一''''''"~~``'ー--、   -一'''''''ー-、.    
  ヽ ____(⌒)(⌒)⌒) )  (⌒_(⌒)⌒)⌒))


          ____
        /_ノ  ヽ、_\
 ミ ミ ミ  o゚((●)) ((●))゚o      ミ ミ ミ
/⌒)⌒)⌒. ::::::⌒(__人__)⌒:::\   /⌒)⌒)⌒)
| / / /     |r┬-|    | (⌒)/ / / //  だっておwwwwwwwwwwwwwwwwwww
| :::::::::::(⌒)    | |  |   /  ゝ  :::::::::::/
|     ノ     | |  |   \  /  )  /
ヽ    /     `ー'´      ヽ /    /     バ
 |    |   l||l 从人 l||l      l||l 从人 l||l  バ   ン
 ヽ    -一''''''"~~``'ー--、   -一'''''''ー-、    ン
  ヽ ____(⌒)(⌒)⌒) )  (⌒_(⌒)⌒)⌒))
30774ワット発電中さん:2008/10/04(土) 00:16:09 ID:s2VZDlfA
おうよ。どう思われようが。で?
31774ワット発電中さん:2008/10/04(土) 00:39:00 ID:mUnfzUbC
>>30
もう作ってないの?
32774ワット発電中さん:2008/10/04(土) 03:02:36 ID:9GINQiEH
2,3,4,5,7,9でいいなら俺もIC作ったことあるよ

でも胸張ってIC作ったとは全然言えないわ
所詮RTLという非常に狭い領域をやっているに過ぎない

>>14 をみて思ったことをざっと書いておこう

・`L とか気持ち悪い。1'b0 でおk
・curry はカレー。carryのこと?
・普通はレジスタごとにalways文を分けた方がいい。でも今回は同じでいいかも
・if文では比較演算は使わずできる限り==だけを使う
・ifのネストが深くて読みにくい。俺だったら
 if( en == 1'b1 && UD == 1'b1 ) begin
 ...
 else if( en == 1'b1 ) begin // UD == 1'b0
 のように書く
・curry_out でブロッキング代入の箇所が2カ所ある
・アルゴリズムそのものに問題がありそう
 Qが8でcurry_inも1だったときはうまく動かない気が
・コメントは0でUPとかいてあるけど、逆になっている
33774ワット発電中さん:2008/10/04(土) 03:04:14 ID:X3Xn4184
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ICを作ると言ういいかたでお前は素人」
     r'"ヽ   t、   \___ ! 「TEDなら新人でそうはいわないぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
34774ワット発電中さん:2008/10/04(土) 03:58:35 ID:HAAX0ydk
TED?

Total Error Distortion

エラーと歪みの固まりか?おまえにまさにぴったりだな。ゴミ野郎 to >>AA入れてるアホへ
しつこいと灰汁禁報告してやるからそのつもりでいろ
35774ワット発電中さん:2008/10/04(土) 06:23:39 ID:RswkkojM
Verilog はなぜかブロックの記述だけが Pascal チック。
個人的には Pascal の方がカッコが少なくて好き。Shift キー押す回数が減る。

>>32
> ・if文では比較演算は使わずできる限り==だけを使う
やっぱりそうか。同じか否かは XOR で簡単にできるけど(というか俺でもスケマで回路書ける)、
大小比較はなかなか思いつかないんで、使うの避けてた。
気にするなという人もいるけど、やっぱ気にした方がいいよねえ。すっきりした。

ところで、Verilog 教えるのにやたらと C と同じだというヤツがいるが(大抵ろくにソフトできないやつ)、
文法似てても作法がまったく違うんだから、そういう教え方はイカンと思うな。
36774ワット発電中さん:2008/10/04(土) 06:43:09 ID:9Wcn3cYk
Verilog 教えるのにやたらと C と同じ = 文法似てる くらいで言ってるんじゃね?
37774ワット発電中さん:2008/10/04(土) 09:31:42 ID:uU41iNTE
>>32
じゃぁなんちゃってIC作ったことある俺も一言

・`L とか気持ち悪い→同意。
・カレーはわざとだろ
・alwaysで分けるのは時と場合によりけるからなんとも
・比較演算は確かに嫌だが、値が吹っ飛んだときどうなるかね?
 どちらかというと整数表記と比較しているのがいや。
・イネーブル信号はゲーティングを考えたらあんまり論理を重ねない方がよくないか?
・アルゴリズムは最初の教えて君が問題。
38774ワット発電中さん:2008/10/04(土) 10:31:47 ID:QKP6XVzt
>>37
比較だと、“正常時”と“値が飛んだけど判定はOKなので動いてる時”とがわからなくて
デバッグに苦労したと言うことがあった。

エラーステートは明確に分離する方がいいかも。
39774ワット発電中さん:2008/10/04(土) 13:27:59 ID:vDPGWBy4
なんで `Lとかが気持ち悪いのですか?

if( dir == 1'b0 ) begin   よりも
if( dir == `UP ) begin   のほうが見やすくていいような気がします。

>>36
>Verilog 教えるのにやたらと C と同じ = 文法似てる くらいで言ってるんじゃね?
そうそう、僕もそう思います。
ていうか、僕もCからVerilogに入ったくちですが、Cを知っている余り
Verilogの文法が覚えられなかった。エラー出まくりで、エラーが何を言っているのか
サッパリわからず「こんなんだったらSHマイコンの方がいい」とすねていた。

でも、あるとき突然わかった。
今となっては当然で、基本なんだけど、
マイコンは、格納先が全て記憶もので、FPGAは記憶ものとそうでないものの2種類あるってこと。
 = は、74HC00とか74HC32のように、入力入れればスグ反応する「clock無視の今スグ素子用」の記述で
 <= は、74HC74のようなFFのD端子のH/Lの意味で、次回のclockのときにはそうしてね、
という「次回clockでの予約」の意味だって。
これがわかると、assignの意味もわかり、自動的にalways @ ()の中に入れてはいけない
という推論もできた。regというのはFFを用意することだし。
それ以来、Cと比較しても全然違和感無くなり、エラーが激減した。
40774ワット発電中さん:2008/10/04(土) 13:43:32 ID:AURaslwX
>>39
> <= は、74HC74のようなFFのD端子のH/Lの意味
> regというのはFFを用意すること
って本当ですか?
41774ワット発電中さん:2008/10/04(土) 14:01:58 ID:ftwnDjeh
>>40
正しくない。
42774ワット発電中さん:2008/10/04(土) 14:31:05 ID:KuBtB/oe
>>35
>:Shift キー押す回数が減る。
shift押すのはイヤで、アルファベットキーなら文句ないって?バカ?

> ・if文では比較演算は使わずできる限り==だけを使う

んなこたぁない。==で押し通せるならswitch文で押し通せとなぜ言わんのだ。 >>32
43774ワット発電中さん:2008/10/04(土) 14:33:28 ID:KuBtB/oe
>>39
>なんで `Lとかが気持ち悪いのですか?

リテラルをべたべた直接書いても何の違和感持たないのは単なるバカ
44774ワット発電中さん:2008/10/04(土) 14:37:31 ID:KuBtB/oe
>>39
>Cを知っている余り
>Verilogの文法が覚えられなかった。エラー出まくりで、エラーが何を言っているのか
>サッパリわからず「こんなんだったらSHマイコンの方がいい」とすねていた。

>でも、あるとき突然わかった。

>マイコンは、格納先が全て記憶もので、FPGAは記憶ものとそうでないものの2種類あるってこと。

それ、ホントにわかってんのか?おまえのわかってないのは手順を書いてるプログラミング言語と、
回路作ってるHDLの違いがわかってないんだろが。wire/regの違いなんてなもんじゃなくて。
順序、組み合わせ以前に自分が何をやろうとしてるのかがわかってなかったんだろが。
45774ワット発電中さん:2008/10/04(土) 14:47:16 ID:iuoKZ3MN
>>35
>大抵ろくにソフトできないやつ

おまえと一緒でか?

46774ワット発電中さん:2008/10/04(土) 14:53:13 ID:AURaslwX
>>42-44
こわいよ、おじちゃん
47774ワット発電中さん:2008/10/04(土) 15:05:43 ID:Nwg4mncF
>>39
A == `Hとかは if(A)なんかと一緒で自分の趣味で良いと思う。
48774ワット発電中さん:2008/10/04(土) 15:19:03 ID:RswkkojM
>>42
タッチタイプできないヤツだな。

>>45
そんなんだからハード屋はバカにされるんだよ。
49774ワット発電中さん:2008/10/04(土) 16:19:07 ID:iuoKZ3MN
>>48
おまえの職場じゃ、おまえがいるからハード屋の評判下げてるんだろ。
50774ワット発電中さん:2008/10/04(土) 16:47:41 ID:UALRtzNj
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
51774ワット発電中さん:2008/10/04(土) 16:50:00 ID:UALRtzNj
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
52774ワット発電中さん:2008/10/04(土) 16:51:37 ID:UALRtzNj
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''

53774ワット発電中さん:2008/10/04(土) 16:55:53 ID:UALRtzNj
こわかねーんだよ ばーか
優良串だからな
ぶははははははははははっ
54774ワット発電中さん:2008/10/04(土) 17:18:27 ID:9GINQiEH
>>42
switch文って何?そんなのVerilogの文法にありましたっけ?
あと、セレクタとテーブルの違いわかる?

人のことをバカにする前に自分でよく勉強した方がいいよ。
switch文とか言うあたり、Verilog書き慣れてないことバレバレだからさぁ

>>39
UP のように意味があるなら定数使った方がいいけど、
キャリーは数値だから定数じゃなくて数値を直接入れた方が見やすい。
そもそも、`H が 1 みたいな定数宣言は意味がない。
C言語でも #define TEN 10 のようなことはしないでしょ?

あと、定数使うときは `define より parameter 使う方が安全。
55774ワット発電中さん:2008/10/04(土) 18:03:59 ID:VDi/P5Oj
>>54
switch文....
>>42がソゥトメイン屋ってバレバレだな
ソゥト屋でもIC作りが出来る良い時代になったんだな

自称胸張ってIC作っているソゥトメインの俺の場合
定数に関するなんちゃって`define、parameterの使い分けは
`define はあっちこっちのモジュールで使う定数
parameter はそのモジュール内でのみで使う定数
に使うってな感じな使い方だな

本職IC作りはどう使い分けてるんだ
56774ワット発電中さん:2008/10/04(土) 18:06:22 ID:LbrYkNc/
>>54
いたたたた・・・
ifがセレクタでcaseがテーブルに論理合成されると思ってることが痛すぎるな。
放火でもして、人生リセットしなよ。どうせ意味ない人生だろうからさぁ
57774ワット発電中さん:2008/10/04(土) 18:09:22 ID:VDi/P5Oj
>>56
>テーブルに論理合成
ってどういうことだ?
58774ワット発電中さん:2008/10/04(土) 18:33:57 ID:vDPGWBy4
>>55 自称ソフトめん屋さん、教えてください。
parameterも使いますが、
parameterだと変数?名と一緒になってしまうので、わかりにくくないでしょうか?
`defineだと、`Hとか`Lとか、`がつくので、明らかに変数ではないと
スグわかるので良いと思うのですが、どうでしょうか。
宜しくお願いします。
59774ワット発電中さん:2008/10/04(土) 18:34:31 ID:9GINQiEH
>>56
必ずしもそうなるとは思ってないですけど?
そうなるように意識して記述するとそうなるだろ?

確かに誤解を招く表現だった。スマソ
60774ワット発電中さん:2008/10/04(土) 18:41:10 ID:9GINQiEH
>>55
本職IC作りではないけど、
`define はプリプロセッサだから基本的に使わない。
C言語でも定数宣言は #define をやめて const を使おう、となってきてるでしょ?
それと同じじゃないか?

あと、parameter は上位モジュールから書き換えができたはず。

>>58
命名規則を工夫すればいいよ。
61774ワット発電中さん:2008/10/04(土) 18:42:23 ID:xqCTVtux
ぶはははははははっ
62774ワット発電中さん:2008/10/04(土) 18:43:25 ID:xqCTVtux
ぶはははははははっ
ぶはははははははっ
63774ワット発電中さん:2008/10/04(土) 18:51:02 ID:Nwg4mncF
>>58
そこはネーミングで頑張れ。
64774ワット発電中さん:2008/10/04(土) 18:54:43 ID:s7vb3mI8
>>60
>C言語でも定数宣言は #define をやめて const を使おう、となってきてるでしょ?

んなこたぁない。Cはあくまでプログラマの自己責任。多少書きやすいアセンブラだ。
safe codeに固執する奴は初めからCなんて使うな
定数の定義に`defineを使うことは何の問題もない。
65774ワット発電中さん:2008/10/04(土) 18:58:15 ID:xqCTVtux
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''



66774ワット発電中さん:2008/10/04(土) 18:59:38 ID:xqCTVtux
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''





67774ワット発電中さん:2008/10/04(土) 19:17:50 ID:qa+qoPnU
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ひでー記述ばかりだな」
     r'"ヽ   t、   \___ ! 「TEDなら新人でももっとましだぞ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
68774ワット発電中さん:2008/10/04(土) 19:18:49 ID:qa+qoPnU
優良串、優良串
ぶはははははははははははっ
69774ワット発電中さん:2008/10/04(土) 19:21:15 ID:VDi/P5Oj
>>64
C++では#define よりconst使えって傾向はある
コンパイルエラーが出たとき名前が見えるのと・見えないではデバッグ作業が
大分違う。でも、使うか使わないかは自由だがな
  
>>58
>>60が書いているが、自分で命名規則を作る
自分の場合C/C++の#define でよく用いられるように名前すべて大文字
70774ワット発電中さん:2008/10/04(土) 19:30:46 ID:qa+qoPnU
優良串、優良串
ぶはははははははははははっ
71774ワット発電中さん:2008/10/04(土) 20:32:07 ID:vDPGWBy4
なるほど、なるほど。
みなさんありがとうございます。
Cの延長で書いているので、ついつい`defineとやってしまいます。
今後は、パラメータも使い分けて書くようにします。

でも、ココで新たなる疑問が。ということは、`defineはどのような時に
使って、parameterはどのような時に使えばいいのでしょうか。
moduleをまたがって欲しくないときにparameter、
globalに知らせたいときは`defineということで良いでしょうか?

あと、以前から思っていたんですが、
`define { begin
`define } end
と、やれないでしょうか。連接演算子と同じなのでできないとは思うんですが。
どうもbeginをbiginと書いてしまうので。
もし出来たら、どんなに便利だろうかと。
連接を使わないようにすればクリアできるかも....。

72774ワット発電中さん:2008/10/04(土) 21:18:25 ID:QrZKG/QA
>>71
verilogはあまり使わないから分からないが、emacs lispとかで自動補完、挿入してくれないの?
73774ワット発電中さん:2008/10/04(土) 23:32:48 ID:9GINQiEH
>>71
>
74774ワット発電中さん:2008/10/04(土) 23:32:58 ID:2QM7zjv9
>>20
資金を出した が抜けている
75774ワット発電中さん:2008/10/04(土) 23:35:22 ID:9GINQiEH
ミスったorz

>>71
> でも、ココで新たなる疑問が。ということは、`defineはどのような時に
> 使って、parameterはどのような時に使えばいいのでしょうか。

人によって違うと思うけど、定数宣言は全てparameterで。
`define は `ifdef とかで利用する。

> あと、以前から思っていたんですが、
> `define { begin
> `define } end

begin end に慣れてください。
どうしても嫌ならVerilogをやめてSystemCとかを使うしかないかと。
76774ワット発電中さん:2008/10/05(日) 02:00:44 ID:vJRVTY9q
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「おまえらのやりとり見てると」
     r'"ヽ   t、   \___ ! 「ど素人というよりバカだな」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
77774ワット発電中さん:2008/10/05(日) 03:25:20 ID:YKRdKdaH
>>75
ありがとうございました。
良くわかりました。今後は僕もparameterを使うようにします。
begin endは慣れですか。頑張ります。

>どうしても嫌ならVerilogをやめてSystemCとかを使うしかない
SystemCはめんどくさそうです。
VHDLは記述が長いので、やっぱりVerilogですね。

ありがとうございました。
78774ワット発電中さん:2008/10/05(日) 06:01:16 ID:WZ0Dl/oA
>>71
> でも、ココで新たなる疑問が。ということは、`defineはどのような時に
> 使って、parameterはどのような時に使えばいいのでしょうか。

俺は基本 parameter、ディレイで小数点記述など parameter では怒られるところは define を使ってる。

> あと、以前から思っていたんですが、
> `define { begin
> `define } end
> と、やれないでしょうか。連接演算子と同じなのでできないとは思うんですが。

逆のパターンだけど、

ttp://www.kouno.jp/home/c_faq/c10.html#0

要するによくないということ。
俺もソフトから入ったクチだけど、確かにいろんな言語がごっちゃになるよね。
Delphi で余計な括弧つけたり、もちろんソフト書いた後に Verilog 書き始めると
{ } もよくやってしまう。でも割り切ればすぐに慣れるよ。
79774ワット発電中さん:2008/10/05(日) 06:08:37 ID:hyePl+fQ
頭ではわかっていても、体が勝手にw
80774ワット発電中さん:2008/10/05(日) 09:36:41 ID:1QLk2VJf
個人的にプログラムなんかは自動補完使わないと書いてられないけど、意外とそういう人少ないみたいね。
VHDLが長いとか話があるが、lisp使えばverilogのべた書きに比べて圧倒的に早いと思うが、文法ミスも少なくなるし。
81774ワット発電中さん:2008/10/05(日) 10:00:51 ID:TfM3JeMb
VHDLは条件コンパイルがないこと自体欠陥言語だ。
プログラム言語においても、条件実行のでいないコンパイラ言語は欠陥規格、Javaとかな
インタプリタはコードそのもので実行を制御できるからまぁ我慢できるけどな
82774ワット発電中さん:2008/10/05(日) 10:13:24 ID:DXcKHDsG
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「てめえの未熟さ棚にあげ」
     r'"ヽ   t、   \___ ! 「コンパイラのせいにするとは」
    / 、、i    ヽ__,,/     「思い上がりもはなはだしい」
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
83774ワット発電中さん:2008/10/05(日) 10:27:58 ID:TfM3JeMb
アホに限って、マジでm4使って条件コンパイルしようとする工夫もしねーで、未熟と放言して終わりなんだよな。
工夫をしないことこそがエンジニアとして未熟だ。
まともな技術屋はHDLであれプログラム言語であれ、
m4あたりのプリプロ使ってちゃーんと条件コンパイルしてるんだよ。馬鹿たれ >>82
84774ワット発電中さん:2008/10/05(日) 10:30:25 ID:TfM3JeMb
さらに付け加えれば、そういう工夫をしなければならない仕様だからこそ問題有りってことだ。
わかるか未熟者 >>82
85774ワット発電中さん:2008/10/05(日) 11:45:31 ID:WZ0Dl/oA
>>80
> 個人的にプログラムなんかは自動補完使わないと書いてられないけど、意外とそういう人少ないみたいね。

IDE の補完機能はあると便利だけど、ファームなんかはフツーのエディタで書くしかないことも多いからねえ。
でも今の開発マシンのパワーなら、昔みたいにコンパイルに30分もかかったりしない(どこまで昔だよ)から、
文法エラーはすぐ取れるよな。
86774ワット発電中さん:2008/10/05(日) 13:55:59 ID:G4fUyiBm
localparam使っている奴はいないの?
87774ワット発電中さん:2008/10/05(日) 14:35:51 ID:gs4pOesT
使ってる
88774ワット発電中さん:2008/10/05(日) 15:46:08 ID:F7vqLsEk
いろんな言語使ってると本当にややこしい
趣味でいろいろやってるけど、
ずっとVHDLでハードの方書いてて、次にパソコン側のソフトをCで書いてたら代入演算子を<=で書いちまって・・
エラーも何もでないから気づかなかったじゃないかw
89774ワット発電中さん:2008/10/05(日) 19:33:02 ID:hyePl+fQ
>>83
社内IT管理者によって便利なツールのインスールさえ許可されないところもあるよね、きっと…
>>82のとこはどうなのかわからんが
90774ワット発電中さん:2008/10/05(日) 19:48:34 ID:k4VJCInz
有用なツールなら管理者説得して導入させるのも仕事のスキルだと思うが。
GNUを拒否ることがあるのかはしらないが。
91774ワット発電中さん:2008/10/06(月) 01:09:28 ID:9Puuf/ce
           ,, -──- 、._ 
        .-"´         \. 
        :/   _ノ    ヽ、_ ヽ.:
        :/   o゚((●)) ((●))゚oヽ:
      :|       (__人__)    |:
      :l        )  (      l:
      :` 、       `ー'     /:
       :, -‐ (_).        /
       :l_j_j_j と)丶─‐┬.''´
          :ヽ   :i |:
             :/  :⊂ノ|:
92774ワット発電中さん:2008/10/06(月) 06:26:36 ID:S0Ux7z+v
有用性を説明して頼んでもやってくれない管理者は、実は名ばかりの管理者で
なにもできません><
93774ワット発電中さん:2008/10/06(月) 08:50:36 ID:O8u64BpM
>>92
そんな会社でしか働けない自分の能力のなさを認識するべきだな
94774ワット発電中さん:2008/10/06(月) 12:40:55 ID:yUEiziWA
11ですが・・・
>>12は良くも悪くも上司向きですね。

一応動かないように作ってみる。

module cnt10(CLK,RST_X,EN,UD,CARRY,Q);
input CLK;   クロック
input RST_X;  リセット(_X)
input EN;    イネーブル
input UD;    アップダウンセレクト(0でUP)
input CARRY;   桁上がりと桁下がり両方
output [3:0] Q; 数値

reg [3:0] Q;

always @(posedge CLK or negedge RST_X) begin
 if(!RST_X) //非同期リセット
  Q <= 4'd0;
else begin
  if(!UD) begin //アップ
   if(cnt9) //カウンタが9でクロックがきたら
    Q <= 4'd0; //リセット
   else //カウンタが9じゃないときは
    Q <= Q + 4'd1; //1上げる。
  end
  else begin //ダウン
   if(cnt0) //カウンタが0でクロックがきたら
    Q <= 4'd9; //カウントを9にする
   else //カウンタが0ではないときは
    Q <= Q - 4'd1 //1下げる
  end
end //レジスタはここまで

assign cnt9 = (Q == 9); //カウンタが9のとき1
assing cnt0 = (Q == 0); //カウンタが0のとき0

assign CARRY = (cnt9 & !UD) | (cnt0 & UD); //どちらかのキャリーが起こる時、1

endmodule

上のつなぎで、CARRYを次の桁のENに繋いでやれば動くはず。
有難う小林さん・・・
95774ワット発電中さん:2008/10/06(月) 12:50:04 ID:yUEiziWA
ぎゃー、assign cnt0のコメントまちがえたー
96774ワット発電中さん:2008/10/06(月) 13:35:57 ID:F1+s/FmO
>>94
ISE Foundationに聞いたら
「自分、EN使ってへんやん」
「assign cnt9 = (Q == 9)の9は、なんで4'd9と書かへんの?」
と関西弁で言われましたが。
97774ワット発電中さん:2008/10/06(月) 13:45:53 ID:yUEiziWA
シミュレーションが長くて暇だ・・・

>>96
節子、それおはz(ry

今回かいててQ <= Q;をいれそこねてるなー、と思ってたら
そういうことか・・・しくしく

ENは非同期リセット直後のelseに入れてくれ。

暇なら最後にQ <= Q;を入れてくれ
98774ワット発電中さん:2008/10/06(月) 14:14:31 ID:F1+s/FmO
output reg [3:0] Q; になっているので、Qは出力されるでしょ?

修正版↓
module cnt10(CLK,RST_X,EN,UD,CARRY,Q);
input CLK;   クロック
input RST_X;  リセット(_X)
input EN;    イネーブル
input UD;    アップダウンセレクト(0でUP)
input CARRY;   桁上がりと桁下がり両方
output [3:0] Q; 数値

reg [3:0] Q;

always @(posedge CLK or negedge RST_X) begin
 if(!RST_X) //非同期リセット
  Q <= 4'd0;
else begin
  if( EN == 1 ) begin
    if(!UD) begin //アップ
     if(cnt9) //カウンタが9でクロックがきたら
      Q <= 4'd0; //リセット
     else //カウンタが9じゃないときは
      Q <= Q + 4'd1; //1上げる。
    end
    else begin //ダウン
     if(cnt0) //カウンタが0でクロックがきたら
      Q <= 4'd9; //カウントを9にする
     else //カウンタが0ではないときは
      Q <= Q - 4'd1 //1下げる
    end
  end
end //レジスタはここまで

assign cnt9 = (Q == 9); //カウンタが9のとき1
assing cnt0 = (Q == 0); //カウンタが0のとき1

assign CARRY = (cnt9 & !UD) | (cnt0 & UD); //どちらかのキャリーが起こる時、1

endmodule
99774ワット発電中さん:2008/10/06(月) 14:24:41 ID:yUEiziWA
本当は1字の変数名はよくないんだけどね。

さっきの変更の予定は
if(!RST_X)
////
else if(EN) begin
////
else
 Q <= Q; //リセットもしない、という暗示(当然いらない)
かな

どうもdefaultとelseがないと落ちつきませんのう・・・
10012:2008/10/06(月) 17:43:58 ID:bq1uS7/X
ありがとうございました。
module CNT10(CLK, RESET, UPDOWN, ENABLE, CARRY_IN, CNT10, CARRY_OUT);
input CLK, RESET, UPDOWN, ENABLE, CARRY_IN;
output [3:0] CNT10;
output CARRY_OUT;

reg [3:0] CNT10;
reg CARRY;

always @(posedge CLK or negedge RESET)
begin
if (RESET == 1'b0) begin
CNT10 <= 4'd0;
end
else if (ENABLE == 1'b1 && CARRY_IN == 1'b1) begin
if (UPDOWN == 1'b1)
CNT10 <= (CARRY == 1'b1)? 4'd0 : CNT10 + 4'd1;
else
CNT10 <= (CARRY == 1'b1)? 4'd9 : CNT10 - 4'd1;
end
end

always @(CNT10 or UPDOWN)
begin
if (UPDOWN == 1'b1)
CARRY <= (CNT10 == 4'd9)? 1'b1 : 1'b0;
else
CARRY <= (CNT10 == 4'd0)? 1'b1 : 1'b0;
end

assign CARRY_OUT = CARRY & CARRY_IN;

endmodule
101774ワット発電中さん:2008/10/06(月) 18:30:09 ID:yUEiziWA
モジュール名とレジスタ名が同じな件について

ポート名を大文字、CLK,リセット,入力,出力はおおっと思ったが

組み合わせ回路をalways記述と
ifと?式の混在は・・・うーん。


?式は嫌いだなぁ、ま、動けば勝ちよね
102774ワット発電中さん:2008/10/06(月) 19:18:30 ID:A5Y/SUTb
>>101
細かいところ突っ込めばきりがないし、とりあえず動くことが大前提だからな
103774ワット発電中さん:2008/10/06(月) 19:56:08 ID:6Zr31ldD
>>97 だっせー 素人まるだし

            ___
          /ノ^,  ^ヽ\
         / (○)  (○) ヽ
        / ⌒(__人__)⌒::: l
   ⊂ ̄ヽ_|   |r┬-|    | 
    <_ノ_ \   `ー'´    /
        ヽ       ⌒,
        /____,、ノ /
        /    (__/
       (  (   (
        ヽ__,\_,ヽ
        (_/(_/

104774ワット発電中さん:2008/10/07(火) 02:51:29 ID:LJ+LkxBs
>>101 alwaysでコンビ嫌なら
wire CARRY;
assign CARRY = (UPDOWN == 1'b1)? (CNT10 == 4'd9):(CNT10 == 4'd0);

なんか、ソフト屋だと、これ、(cnt9 & !UD) | (cnt0 & UD); 
何している?って感じになる。cnt9とcnt0をUDでセレクトしているイメージ
がわかないんだよな。こう書くなら(UD)? cnt0:cnt9にしてよになるな。
105774ワット発電中さん:2008/10/07(火) 07:35:15 ID:1fFb2ZAp
>>104
それならやはりcarryを最初からまとめずに、carry_up, carry_down作って
論理和とった方が分かりやすくないか?
106774ワット発電中さん:2008/10/07(火) 14:18:05 ID:2MpcpSj0
ANDANDのORでセレクタにしか見えない俺の頭はもう・・・

>>104だとZもセレクトしてしまうから
合成後のシミュレーションがおかしくならない?

プルしとけばいいか。
107106:2008/10/07(火) 14:20:33 ID:2MpcpSj0
>>104だと大丈夫だった。?式でアドレスとかセレクトすると、でした。
108774ワット発電中さん:2008/10/07(火) 16:46:41 ID:QuXYHNwE

いい感じで話が続いていますね。そこで私も一つ教えてください。
ADコンバータの取り込みについてです。例えば、

/CS        〜〜〜〜|_____________|〜〜〜〜

/busy(ADより)〜〜〜〜〜|_______|〜〜〜〜〜〜〜〜〜

/RD        〜〜〜〜〜〜〜〜〜〜〜〜〜〜|___|〜〜〜〜

 DATA      ・・・・・・・・・・・・・・XXXXX・・・・

/CSを下げると/BUSYが下がり、変換が終わると/BUSYが再度上がるので
それを見て/RDを下げてデータを読むという良くありがちなやつです。

それで、CS発行はFPGA都合でいいので問題ないのですが、
BUSYを見張り続け、↑になったことを知る場合に、僕は

  if( (前回BUSY==0) && (今回BUSY==1) ) begin
    RD <= 0;
  end

  前回BUSY <= 今回BUSY;

などとやっています。
この方法だと、BUSY↑発見〜RD↓まで2clockかかってしまい、
以前から「時間がもったいないなぁ」と思っています。

このような場合、みなさんはどのようにしていますか?
・エッジ見ずに、見込み時間でRD↓
・すでにBUSY=0なんだから、BUSY=1を見張る

109774ワット発電中さん:2008/10/07(火) 20:11:06 ID:2MpcpSj0
>>108
さっきから珍解答だらけの自分ですが・・・

速度ではなくデータの読み込みの正確さを問えば
その記述はかなり優秀じゃないんですかね。

最悪で、はじめに髭がでるだろうけど、
assign RD = !CS & BUSY;

なんて考えてしまいましたよ(READ後ろのVIOLATIONなんて気にしない)。

BUSYをセンシティブにして、とか考えましたが
BUSYの波形のチャタなんてどういう形か見えないですし
なおかつ全レジスタが同じクロックの同期回路。

安心して眠れそうです。

・・・永遠におねんねかも・・・
110774ワット発電中さん:2008/10/07(火) 21:57:55 ID:9BZtYaUu

           ,, -──- 、._ 
        .-"´         \. 
        :/   _ノ    ヽ、_ ヽ.:
        :/   o゚((●)) ((●))゚oヽ:
      :|       (__人__)    |:
      :l        )  (      l:
      :` 、       `ー'     /:
       :, -‐ (_).        /
       :l_j_j_j と)丶─‐┬.''´
          :ヽ   :i |:
             :/  :⊂ノ|:

111774ワット発電中さん:2008/10/07(火) 23:41:20 ID:+UhWgrYM
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「ここに」
     r'"ヽ   t、   \___ ! 「嫌がらせを書く」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
112774ワット発電中さん:2008/10/08(水) 03:10:44 ID:kBTESdUT
verilogで、以下のようなことはできないのでしょうか?

input a;
input x;
input y;

reg b[7:0];
reg c[7:0];

always@(.....)begin
  b[1] <= a;          // bの2bit目に、wireのaを代入する
  b[5:4] <= { x, y };      // 部分的な連接代入
  b[6:3] <= { x, 2'b11, y };  // 定数の混じった部分的な連接代入
  b[5:1] <= c[7:3]       // 部分的な値を部分的な位置に入れる
end

113774ワット発電中さん:2008/10/08(水) 14:33:38 ID:wRTHkKBz
全部できると思うよ
114774ワット発電中さん:2008/10/08(水) 19:48:24 ID:3ShniqGb
>>108
別にサンプリングが早すぎて取りこぼすとかあるわけじゃなければそれでいいのでは?
詳細な仕様が書いてないからRD信号の必要性がわからないが。
115774ワット発電中さん:2008/10/08(水) 20:18:13 ID:cD91erjF
>>108
そもそも相手はADだろ?
そんなおそーいクロックで1つ2つのイベントを取り逃がすことに何が問題あるの?
要はADのサンプリングクロックに関わる処理を逃さず処理することだろ?
116774ワット発電中さん:2008/10/08(水) 20:51:30 ID:2jSrfsfi
>>108
ADコンはどこの何ですか?
117774ワット発電中さん:2008/10/08(水) 20:52:59 ID:HKgVKww8
     r'"ヽ !ヽ.. .../::::::::/'" ̄ ̄ヾi
    / 、、i    ヽ.|:::::::| ,,,,,_  ,,,,,,| 
    / ヽノ  j , |r-==[ 。];[ 。]   
    |⌒`'、__ / / (r ヽ  :::__)..:: }
    {     ̄''ー-、,,_ヽ  ー== ;内は世界一の技術商社
    ゝ-,,,_____)-\___!
    /  \__       /
    |      "'ー‐‐---''
118774ワット発電中さん:2008/10/08(水) 21:07:36 ID:wRTHkKBz
>>116
LTC1415CGNです。
119774ワット発電中さん:2008/10/10(金) 09:14:17 ID:ZhS51kHH
モデルとか作っても仕方ないのかねぇ・・・
お勉強がてら
120774ワット発電中さん:2008/10/10(金) 13:37:25 ID:ZW+p+Bb7
SPIシリアルADの取り込みで 教えてください。

現在は、こんな方法でやっています。
always @ ( ) begin
  count <= count + 1;

  case ( count ) begin
  1: CS <= 0;

  2: CK <= 0;
  3: CK <= 1; data <= { data[14:0], DATA };
  4: CK <= 0;
  5: CK <= 1; data <= { data[14:0], DATA };
  6: CK <= 0;
  7: CK <= 1; data <= { data[14:0], DATA };
(続く)
  30: CK <= 0;
  31: CK <= 1; data <= { data[14:0], DATA };
  32: CK <= 0;
  33: CK <= 1; data <= { data[14:0], DATA };

  34: CS <= 1;
  endcase
   :
   :
end

それなりに動いているのですが、マクロセルの小さいCPLDに組み込みたくて
規模を小さくする目的には、なんか賢くない方法だと思うんです。
規模を小さくするなら、何を改良すべきでしょうか。
僕が考えているのは、
・case文をやめて、data取り込みの繰り返しを工夫する
case(count) begin
  1: CS <= 0;
  2: CK <= 0; count <= 3;
  3: CK <= 1; count <= 4;
  4: CK <= 0;
    if( count < 34 )begin
      data <= { data[14:0], DATA };
      count <= 3;
    end else begin
      count <= 5;
    end
  5: CS <= 1;
こんな感じです。ところが、if( count < 34 )begin とやってしまうと、
この比較のためにAND OR(セル)がたくさん使われてしまい、
これもどうかと思っています。


121774ワット発電中さん:2008/10/10(金) 13:51:21 ID:vcxzOiUL
回路のクロックを、SPIのクロックにできないの?
それでも、カウンタが1bit減るだけだけど
122774ワット発電中さん:2008/10/10(金) 14:47:47 ID:GL7tQNHR
>>120
回路サイズも良いけど、本当にちゃんと動いてる?
ADはCKの立ち下がりでDATA吐くの?
count = 0は?
123120:2008/10/10(金) 15:16:25 ID:ZW+p+Bb7
ADは、clockの↓でデータを吐くので、clockの↑で読み込んでいます。
count=0については、resetの部分で count <= 1; にしています。
回路のclockをそのままAD clockにすると、ADがついてきませんので
2clock置きとか3clock置きに実行しています。

124774ワット発電中さん:2008/10/10(金) 15:25:53 ID:GW6mNa+/
>>122

default: begin CK <=1'b1; CS <=1'b1; end
でつ
125774ワット発電中さん:2008/10/10(金) 15:47:45 ID:GL7tQNHR
>>124
それだと動くか、まだ細かいこと分からないが。SCLKをCSイネーブル時にしか出さないなら
SCLKでフリーランでシフトさせてしまえば?CSのタイミング考えてないけど
126774ワット発電中さん:2008/10/10(金) 19:16:58 ID:GW6mNa+/
>>125 これでどうでつか?

switch(count) begin
  0: count <= (start == 1); CS <= 1; CK <= 1; break;
  1: CS <= 0; counT <= 0; count <= 2; break;
  2: CK <= 0; count <= 3; break;
  3: CK <= 1; count <= 4; break;
  4: 
    if( counT != 16 )begin
      data <= { data[14:0], DATA };
      counT++;
      CK <= 0; count <= 3;
    end else begin
      data <= { data[14:0], DATA };
      count <= 0;
    end
  default: count <= 0;
127774ワット発電中さん:2008/10/10(金) 19:45:40 ID:89xhsrdy
>>126
シミュかけて動いて、合成して小さくなってればいいけど。
とりあえず他から突っ込み入る前に
・仮でもcountとcounTは勘弁
・レジスタごとにalwaysは分けるべきでは?
128774ワット発電中さん:2008/10/10(金) 20:06:39 ID:89xhsrdy
やっつけで作ってみた、シミュもタイミングチャートも作らずだし
勝手にサンプリング開始条件を決めてみた。

面積見積もり、5bitのバイナリカウンタ+16bitシフトレジスタ+csb回路少々かな?
書いてないけどcount=31でラッチするべきですが。

process (clk, rstb)
begin -- process
if rstb = '0' then -- asynchronous reset (active low)
csb <= '1';
elsif clk'event and clk = '1' then -- rising clock edge
if count_reg = (others => '1') then
csb <= '1';
elsif start = '1' then
csb <= '0';
end if;
end if;
end process;

process (clk, rstb) -- 5bit binary counter
begin -- process
if rstb = '0' then -- asynchronous reset (active low)
count_reg <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
if csb = '0' then
if count_reg = (others => '1') then
count_reg <= (others => '0');
else
count_reg <= count_reg + '1';
end if;
end if;
end if;
end process;

sclk <= not count_reg(0); -- sclk is clk/2

process (sclk)
begin -- process
if sclk'event and sclk = '1' then -- rising clock edge
shift_reg <= shift_reg(14 downto 0) & data;
end if;
end process;
129774ワット発電中さん:2008/10/10(金) 21:24:07 ID:GfHQeMyI
130774ワット発電中さん:2008/10/11(土) 00:15:29 ID:JRG3uUJz
>>126

           ,, -──- 、._ 
        .-"´         \. 
        :/   _ノ    ヽ、_ ヽ.:
        :/   o゚((●)) ((●))゚oヽ:
      :|       (__人__)    |:
      :l        )  (      l:
      :` 、       `ー'     /:
       :, -‐ (_).        /
       :l_j_j_j と)丶─‐┬.''´
          :ヽ   :i |:
             :/  :⊂ノ|:
131774ワット発電中さん:2008/10/11(土) 12:07:34 ID:wSGP+BPM
12BIT全開で使わないで
8BITとかの256階調じゃだめなの?

とはいっても4BITくらいの節約しかならないけど
132774ワット発電中さん:2008/10/11(土) 12:53:08 ID:WIATVbKm
>>131
もともと16bitだろ
133774ワット発電中さん:2008/10/11(土) 14:49:23 ID:PjQzLkYd
東京エレクトロンデバイス
134774ワット発電中さん:2008/10/11(土) 15:51:07 ID:bvXhADca
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
氏ねよ、潰れろ、糞あらし、東京エレクトロン
東京エレクトロンは非買でって事で。
135774ワット発電中さん:2008/10/11(土) 17:11:58 ID:JRG3uUJz
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「東京エレクトロンデバイス」
     r'"ヽ   t、   \___ ! 「俺が仕様だ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
136774ワット発電中さん:2008/10/11(土) 17:12:28 ID:5eycfsJe
TED基地外や>>130のAA貼り基地外はプログラム板ではみることないレベルの
超粘着超基地外だな。文をろくに書けないからAAを貼るしかないか

基地ってこんな過疎スレに一日何回来てるのやら
このスレを見ている人はこんなスレも見ています。(ver 0.20)
東京エレクトロン CN事業をTEDに継承【06/05/12】 [機械・工学]
137774ワット発電中さん:2008/10/11(土) 23:43:48 ID:GtBpzRmr
>>136
一日一回24時間
138774ワット発電中さん:2008/10/12(日) 06:31:08 ID:9ukWVj18
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「荒らしに反応する奴も荒らし」
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
139774ワット発電中さん:2008/10/12(日) 13:22:44 ID:ol70UItP
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「俺達は世界一の技術商社」
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''


140774ワット発電中さん:2008/10/13(月) 15:18:43 ID:MBCx151i
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「東京エレクトロンデバイス」
     r'"ヽ   t、   \___ ! 「世界一の設計開発センターDDD」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
141774ワット発電中さん:2008/10/14(火) 00:24:30 ID:zn5J7bC1
かつらはなぜばれるのか
142774ワット発電中さん:2008/10/14(火) 00:25:10 ID:zn5J7bC1
効き目が長いから勝ち
143774ワット発電中さん:2008/10/14(火) 00:25:42 ID:zn5J7bC1
こんばんは
144774ワット発電中さん:2008/10/14(火) 20:50:12 ID:znvfVSI9
設計ほどクソな仕事はねえよな。辞めるが勝ち。
145774ワット発電中さん:2008/10/14(火) 22:01:58 ID:a0XmLv87
こんばんは こんばんは もひとつオマケにこんばんは。
青木小夜子です。
146774ワット発電中さん:2008/10/15(水) 04:37:33 ID:t+B6uIRx
おはよう。今から寝るぜ。
147774ワット発電中さん:2008/10/15(水) 12:13:03 ID:X8CwQo8L
ずいぶん来ない間にすごい流れになってるな。
なにかあったのか?
148774ワット発電中さん:2008/10/15(水) 16:24:00 ID:xtzHzdz9
教えてください。

昨日からずーっとバグっていたのが、治りました。
原因は僕のミスで、レジスタのbit幅指定が違っていたのです。
例えば、
  reg [1;0] count;

  case (count) begin
  1:
  2:
  3:
  4:
  endcase
となっていて、4まで変化するのに、2bitしか取っていなかったんです。
それはそれで僕が悪いんですが、ツールも「教えてくれればいいのに」と
思うんですが、どうでしょう。ツールはISE WebPack 9.2です。

ツールのsyntax errorは無く、シンセシスした後のwarningに、
「○○は用意されてるけど、未使用なのでGNDにしました」など
そんなメッセージばっかり。
「countのbit数が足らないよ」とか「そのcaseは3までしか動作しないよ」とか
教えてくれてもいいと思うんですが。

質問
 ・そんな事言う僕は、まだまだ甘いでしょうか? (因みにチェリーです)
 ・シンセシス時のチェックを厳しくしたいんですが、
    ツールの設定で可能なのでしょうか?
 ・ちなみに、VHDLだと、こういう場合はどうなんでしょうか?
    チェックが厳しいとも聞いたことがあるので。
149774ワット発電中さん:2008/10/15(水) 18:38:19 ID:wHEMc/F8
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「>>148東京エレクトロンデバイスで」
     r'"ヽ   t、   \___ ! 「そんなミスしたら即クビだな」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
1501:2008/10/15(水) 18:46:57 ID:hSsQ+JHk
>>148
 0:
 1:
 2:
 3:
にすればOKだったという話ですか?
151774ワット発電中さん:2008/10/15(水) 19:12:05 ID:srxVd1B/
>>148
VHDLは型に対して厳しいからstd_logicとintegerの比較は弾かれると思う。
verilogのこういった甘さはメリットでありデメリットだろ、そういう言語ということを頭に入れてやっていかないと。
とりあえず>>148みたいな書きかたしてたらこんなミスいくらでもおきるだろうな。
152774ワット発電中さん:2008/10/15(水) 19:21:09 ID:KDMqXWdG
>>151
> >>148みたいな書きかたしてたら

と言うことは、こんなミスの起こり難い書き方を知っているということですね。
>>148をそれで書いてください。お願いします。
153148:2008/10/15(水) 19:28:21 ID:xtzHzdz9
>>150
いえ、reg[bit幅]と実際の数値の幅を見て欲しい、ということです。
148の書き方だと0始まりにすればokなんですけどね。

>>151
ありがとうございました。
>verilogのこういった甘さはメリットでありデメリットだろ、
そうですよね。それは知っていたのですが。

>とりあえず>>148みたいな書きかたしてたら
これは、僕も知りたいです。どのような書き方がポカを防げるのでしょうか。
頭フラフラでやっているときでも、なるべく見すらないような書き方が、
ぜひ覚えたいです。

154774ワット発電中さん:2008/10/15(水) 19:44:18 ID:j9bG5oYx
>>148
つ[シミュレーション]
155154:2008/10/15(水) 20:08:07 ID:j9bG5oYx
シミュレーションで一蹴するようなネタじゃないな・・・うーん。

Caseの条件は2'b00で書いたらどうだろうかねぇ

reg [1:0] count;

case (count)
2'b00:
2'b01:
2'b10:
2'b11:
default:
endcase
156774ワット発電中さん:2008/10/15(水) 20:18:29 ID:D3ro1CSc
ASICのプロではlintツールで見つけます。
ビット幅まで意識しないといけないのがソフト設計との違いと割り切るべし。
157774ワット発電中さん:2008/10/15(水) 20:47:42 ID:Xt7Ky8/B
>>156
bit型の範囲指定(サブタイプ)と思えば良いのかな?
158774ワット発電中さん:2008/10/15(水) 21:21:48 ID:srxVd1B/
>>153
>>155>>156が書かれてるようなこと。型、bit幅には自分でシビアにならないと
俺は自分で出来ないと思ってVHDL使ってる。
ところで2d'4とかで書いたらエラー吐くんじゃないの?
159774ワット発電中さん:2008/10/15(水) 21:37:42 ID:D3ro1CSc
慣れてくるとミスはこんなミスはなくなるよ。そんな重く考えなくてもいいかと
160774ワット発電中さん:2008/10/15(水) 22:06:40 ID:HxhAUxM9
さっきベンチでエンドレスwaitのエスケープ用に
initial begin
#3000000000;//3ms
$stop;
end
で流したら、#すっとばして0psで止まったんだけど
3000000000だと多すぎでだめだったのかな?
まあ、あしたコンパイルのwarnning眺めてみるんだけど。
シミュレータ依存とかかな?
ちなみにtimescaleは1ps/1psでした。
161774ワット発電中さん:2008/10/16(木) 00:06:58 ID:AWJtBcUo
warning出してくれてるのだから、
そのままにしてはいけない。
162774ワット発電中さん:2008/10/16(木) 19:46:09 ID:oareycVK
数がでかすぎたとか
65535まで〜・・・嘘です
163774ワット発電中さん:2008/10/16(木) 19:59:36 ID:FyTVHdJC
わり、warning眺めるの忘れてたわw

#2147483647;だとおkで、
#2147483648;だとだめだった。
符号あり32bitのmaxだった
164774ワット発電中さん:2008/10/16(木) 20:00:18 ID:p1Vmmm48
VHDLはシビアって言うけど、比較でビット幅が違ってもエラー出さないでしょ?
165774ワット発電中さん:2008/10/16(木) 20:35:27 ID:B7gCr7fo
verilogで
 defparam foo.bar = 3'b000;
defparam foo.hoge = "HOGEHOGE";

A foo( ・・・ );
という記述があります。
VHDLに書き換えるとき
 fpp : A
generic map( foo => "000", hoge => "HOGEHOGE");
port map(・・・);
でいいのでしょうか?
166774ワット発電中さん:2008/10/16(木) 22:38:40 ID:PnBHQ+jd
VH大好きな香具師って長々かきこするの大好きだろ
記述量をみて、おらにはVHは使えないと悟ったよ

>>164
VHって長々書かなければいけないのにいい加減なんだな
でも、VHだと>>148はエラーを当然出すんだよね
167774ワット発電中さん:2008/10/17(金) 09:38:02 ID:avoTBrrY
>>164
VHDLで異なるbit幅比較で合成しようとしたらエラーでた
Verilogでも同様にしてみると、エラーは出ずに out = (d_2bit[0] xor d_1bit) nor d_2bit[1] という回路を吐いた。

>>166
VHDL使ってる奴で補完なしでちまちま書いてる奴なんていないって。
168774ワット発電中さん:2008/10/17(金) 12:26:27 ID:chSjb3ot
Verilogで、もっと厳しくチェックしてくれないですかね?
かといってVHDLは長いので書きたくない。

>>167
補完って、何ですか? 何かのソフトの機能でしょうか?
169774ワット発電中さん:2008/10/17(金) 13:39:49 ID:go4vnapN
>>168
それをlintツール(でいいのかな、発音しかしたことない)で行います。
ただ、これは確か高いはずなので

ISEとか使っているなら、
意味が分からないWarning文が無くなるくらい調べる事によりなんとか事足ります。

それ以上に重要なのはやっぱりシミュレーションです。


あと補完っていうのは方言で、「おかあさん」のことです。
170774ワット発電中さん:2008/10/17(金) 13:47:45 ID:avoTBrrY
>>168
最初の数文字入力してtabとか押せば自動で候補を出してくれるとかそんなやつ、別にVHDLだけの話ではないけど
emacsのVHDLモードは非常に優秀。例えばレジスタのprocess文を書こうと思ったら
process->シーケンシャル選択->クロック->リセットで
process (<clock name>, <reset name>)
begin -- process
if <reset> = '0' then -- asynchronous reset (active low)

elsif <clock>'event and <clock> = '1' then -- rising clock edge

end if;
end process;
まで書いてくれる。
VHDLでよく言われるコンポーネント宣言のめんどくささもC-c,C-p,C-wでポートコピーしC-c,C-p、C-cで終了。

けど正直、時代の流れはVerilogなんで今更VHDLやるのもどうなのかな?
171774ワット発電中さん:2008/10/17(金) 14:32:03 ID:Jzux7YeU
viでひたすらタイプするほうが早い俺…orz
172774ワット発電中さん:2008/10/17(金) 16:17:22 ID:XrTqNeWA
>>170
>時代の流れはVerilogなんで
これ本当ですか?
FPGA/PLDではVHDLの方が圧倒的に使われていると聞いたような..気がする
最近はFPGA/PLDでもVerilogが主流になりつつあるの?
なんで時代の流れはVerilog? 長文に疲れた?

173774ワット発電中さん:2008/10/17(金) 23:13:33 ID:libdzOID
メモリのシミュレーションモデルとかVerilog多いしね
174774ワット発電中さん:2008/10/17(金) 23:21:48 ID:d69IdfJB
>>172
少なくとも圧倒的ではないと思う
175774ワット発電中さん:2008/10/18(土) 00:19:22 ID:2DPN+rxh
シミュレータの速度はVerilogの方が一般的に速い。言語仕様の違いだったかな。
176774ワット発電中さん:2008/10/18(土) 00:29:51 ID:0TXI/Bk0
>>175
それは初耳。
177774ワット発電中さん:2008/10/18(土) 00:36:35 ID:ArZDotOg
Verilogの方が取っつきやすい。
178774ワット発電中さん:2008/10/18(土) 00:37:47 ID:iPFMGQrY
>>176
Verilogは何の合成語か知っている?それが本来の用途
当然、それはなるべく早くなるようにしている
179774ワット発電中さん:2008/10/18(土) 00:56:57 ID:0TXI/Bk0
>>178
シミュレーション用言語ね。
180774ワット発電中さん:2008/10/18(土) 01:14:18 ID:iPFMGQrY
VHDL:HDL
Verilog:logic検証
だから、その違いが言語仕様に色濃くでるんじゃないか
Verilog:logic検証するのにハードの細かいとこを指定してもなー
VHDL:ハード作るんだからきっちりしないと、ハード作ってみたらあれーーーになるよな
てな感じじゃないか
181774ワット発電中さん:2008/10/18(土) 01:57:21 ID:bYEwcqSq
ここでは、どちらかというとVerilog HDLじゃないか。
182774ワット発電中さん:2008/10/18(土) 02:08:37 ID:yuP2vgwB
>>180
もともと米軍納入用の論理回路の仕様記述言語だもんな>>VHDL
記述の厳密さが優先されるわけだよな。
183774ワット発電中さん:2008/10/18(土) 02:23:43 ID:iPFMGQrY
>>181
そうだな。Verilog曰く
検証パスしたんだから、とりあえずその通りの動作をするよう合成すればOKだよな。
ハードがどのように合成されるかなんってキニスンナよ。
えっ、ビット幅指定間違ってたって?
お前、検証ろくにやってないだろ、おれの使い方すら知らんのか、アホ!
俺は本来HDL屋じゃない、検証屋なんだよ
184774ワット発電中さん:2008/10/18(土) 03:26:03 ID:2DPN+rxh
Verilogはシミュレータ名
185774ワット発電中さん:2008/10/18(土) 03:27:14 ID:yLgfKuZT
>>183
わかりやすい説明! ありがとう。

Verilog使いながらも、シュミレーションを1度もやったことが無く、
直接jedecを焼いては、オシロでテスト確認するオレがここにいます。

シミュレータを使わない理由は、
・設定がめんどくさい(と思う)
・シミュレータだとできて、実機で確認できないことが、意外に少ないので
・シミュレーションの完了が待ちきれない。
186774ワット発電中さん:2008/10/18(土) 07:07:08 ID:3B2OKPqP
>>185
なかなかすごいな、お前さん。w

テストベンチ書くのは確かにめんどくさいな。
あと、合成で出てくるタイミングレポートは最悪値なんで、実際はそれほど遅延しないこともある。
これは実機で確認するしかないな。SDRAM なんかの場合は、遅延量によってはクロック別けたり
しないといけないのでめんどい。

ただ、シミュレーションの完了が待てないって、いったい何やってんのよ?
シミュレーションしなくても試せるぐらいだから回路規模はそんなに大きくないだろ。時間設定間違えてんじゃないの。
逆にシミュレーション必須の規模になってくると、24時間で 1ms 程度のシミュレーションしか出来ないこととかあるけどね。
187774ワット発電中さん:2008/10/18(土) 09:28:37 ID:ZeCHrZ5a
>>185
個人的趣味で、かつ小規模だったらいいんじゃね、それで。

でも、一度まともな環境作ったら逆に実機での確認はあまりいらなくなるよ。
ベンチ作り上げるまで最初面倒だけど。
小規模でも、ミスって機械が壊れることもないし、中の人の信号もすぐ見れるし。
シミュレータ便利だよ、つうか普通は必須だな。
188774ワット発電中さん:2008/10/18(土) 10:20:49 ID:qo87XGks
>>172
別に圧倒的にVerilogの方が勢いがあるとまでは言わないが、アサーションなどの取り組みなどが積極的だし
HDL本もverilogが多い気がするけどな、あと>>173に書いてあるようにライブラリなどのモデルはVerilog記述が多い。
両方使えたらそれでいいよ、HDLの本質は記述の仕方じゃないんだし。

>>187
レビューなんて絶対通らないだろうな
189774ワット発電中さん:2008/10/18(土) 18:43:57 ID:kDFniB5h
てかタイミングチャート用にsimしない?
手書きでタイミングチャートかくより
ベンチ作って流してキャプチャの方が速い気がする。
ドキュメントにmodelsimの画面貼っとくとなんか説得力増すしw
190774ワット発電中さん:2008/10/18(土) 19:49:29 ID:4wDTmKTR
>>188
たしかに結局、両方使うことになるよな
言語自体のバージョンアップも考えるとVerilogなんだろうけどね
昔からやってたり、古い環境にしがみついたりで、何だかんだVHDLも残ってるんだよな

#SystemVerilogがSystemCに食われてしまわないように、Verilog応援してやってくれ
191774ワット発電中さん:2008/10/18(土) 22:37:17 ID:obziuPyC
東京エレクトロンデバイス
192774ワット発電中さん:2008/10/19(日) 04:27:25 ID:MsnJm3wj
Verilog使えるようになってきたんで一応VHDLも
勉強しておいた方がいいのかなと思ってましたがやっぱ必要ない?

なんかCQ出版の本だとVHDLで書かれた本が多い気がするんで…。
193774ワット発電中さん:2008/10/19(日) 10:39:49 ID:qCNHoF9h
>>172
VHDLが圧倒的にユーザが多いってお前はいったいどこでその情報を入手したんだ。
Modelsim でもPEはVHDLはサポートしてない。
だいたい、国防総省お墨付きってところで、これからは結局Ada程度の普及率に減少するのは目に見えてる。
Verilogで不満があればSystemVerilogもあるわけだし、VHDLの厳格性なんて幻覚
194774ワット発電中さん:2008/10/19(日) 10:41:34 ID:qCNHoF9h
>>182
>もともと米軍納入用の論理回路の仕様記述言語だもんな>>VHDL

それは違う。ディジタルシステム記述言語
回路記述はそのサブセットに過ぎん。
195774ワット発電中さん:2008/10/19(日) 15:52:00 ID:8TSt/fTh
>>192
どうせならSystemVerilogとかに進んだ方がいいよ
VHDL使う必要がないのなら勉強しなくてもいいんだし、Verilogとあまり変わらないからすぐ出来るようになるよ

漏れのイメージだとこんな感じかな
 北米:Verilog
 欧州:VHDL
 国内LSI:VHDL
 国内FPGA:Verilog

SystemCは、別の言語(ソフトウェア屋用の言語)だとしても、SystemVerilogは覚えておく必要があると思う
もしかしたらSystemCにやられて滅びるかも知れないが、Verilogはまだ残るだろうし、それも無駄にはならないだろう
196774ワット発電中さん:2008/10/19(日) 20:46:36 ID:TRg8pLMD
>>193
PEでもVHDLサポートしてるよ。
197774ワット発電中さん:2008/10/19(日) 21:24:17 ID:pTXW6VJn
VerilogのほうがVHDLより1シグナルの状態数が少なくて
メモリ消費が半分って聞いたが本当?
198774ワット発電中さん:2008/10/19(日) 22:04:41 ID:79ZiOsCO
>>197
VHDL 0,1,L,H,Z,X,U,-,W
Verilog 0,1,X,Z
だと思う。
Verilogでも信号強度があるわけで、そんな単純な話ではない。
199774ワット発電中さん:2008/10/20(月) 04:28:14 ID:EMU0VcGJ
>>195
おれのイメージだと、国内LSI/FPGAは基本Verilog
HDLに手をだしたのが遅いとこがVHDLって感じだ
200774ワット発電中さん:2008/10/20(月) 08:26:24 ID:o1CJ9xKA
>>195
> 国内LSI:VHDL ってのは嘘だろ。
201774ワット発電中さん:2008/10/20(月) 21:34:27 ID:GeCsPv6s
初心者の学生なんですが、加え戻し法ってやつと、引き離し法の除算回路の作り方
がさっぱりで困ってます。だれか教えてください
202774ワット発電中さん:2008/10/20(月) 21:45:32 ID:A6/6KXJX
>>201
本に載ってるよ。
ヘネパタか、あるいはCQ出版の数値演算のディジタル回路?みたいな
タイトルの本を読むといいよ。
203774ワット発電中さん:2008/10/20(月) 22:36:31 ID:ePaPbAhX
ヘネバタって何?
204774ワット発電中さん:2008/10/20(月) 23:07:30 ID:7R1OEpL8
>>203

ヘネバタではなくてヘネパタ。

ヘネシーさん&パターソンさんというコンピューターサイエンスの偉い人が執筆したCPUのバイブル。

パタヘネと順番を変えて呼ぶ人もいる。

彼等の書いた、「コンピュータの構成と設計」の上下巻はマジおすすめですよ。

中身はMIPSアーキテクチャのプロセッサについて書かれているのだが、見よう見まねでverilogを書いていた学生時代を思い出すなあ。
結局、手作りプロセッサはFPGA上で上手くうごきませんでしたけど・・・


205774ワット発電中さん:2008/10/20(月) 23:20:59 ID:NoP5MBdy
>>204
> パタヘネと順番を変えて呼ぶ人もいる

おまい、それは別の本だろ。
ていうか、ヘネパタが別の本だ。
206774ワット発電中さん:2008/10/20(月) 23:30:49 ID:7R1OEpL8
>>205

YES。
真逆でした・・・すいません。
207774ワット発電中さん:2008/10/21(火) 00:26:26 ID:keSyDk5t
本を出すたび、また版を重ねるたびにヘネパタとパタヘネを入れ替えて
いるらしいよ。まったく対等だということを強調したいそうだ。
208774ワット発電中さん:2008/10/21(火) 06:11:48 ID:zlvfTaTo
コンピュータアーキテクチャはもってるんだが、それと比べてコンピュータの構成と設計はどんな感じ!?
209774ワット発電中さん:2008/10/21(火) 11:01:55 ID:XMUq6fAU
>>208
どちらかというとヘネパタ(コンピュータアーキテクチャ )より先にパタヘネ(コンピュータの構成と設計)だと思うが。
上下セットで9000円だと思ったが第3版安くなってる?
210774ワット発電中さん:2008/10/21(火) 11:56:25 ID:zlvfTaTo
>>209
そういわれてもな…
コンピュータアーキテクチャ買った時、コンピュータの構成と設計は出版されてなかったような気がする…
内容的に違うこと書かれてるのか、同じ内容を表現をかえただけとか知りたかったんだけどw
211774ワット発電中さん:2008/10/21(火) 14:41:19 ID:2K8PUYqV
ヘネパタとパタヘネはコンセプトに違いがあるらしい。
パタヘネの方が初心者向け、ヘネパタの方が上級者向けとよく聞くけど、
どちらも読み切るのは難しい本だと思う。
212774ワット発電中さん:2008/10/21(火) 18:35:49 ID:zlvfTaTo
ありがとん、無駄遣いせずにすみました
213774ワット発電中さん:2008/10/22(水) 11:43:33 ID:AbAVfMKT
>195
国内LSI:Verilog
国内FPGA:VHDL
じゃないか?

まあ、国内LSIもASICベンダーとセットでは微妙に意味合いも変わってきたりすぐのも確かだが。。。

国内LSI(ASICベンダー):Verilog
国内LSI(セットメーカー):Verilog/VHDL
こんな感じじゃないか?

それと北米の軍関連はVHDLだと思う
214774ワット発電中さん:2008/10/22(水) 13:36:50 ID:fnpCbmOT
軍関係はEDAも指定してくるって本当かね?
けど最近は米軍も民間に投げまくって、中国でチョンボされた物納品されて大変らしいが。
215774ワット発電中さん:2008/10/22(水) 16:30:45 ID:w4dzzDwO
216774ワット発電中さん:2008/10/22(水) 18:36:22 ID:fqkh3xY/
>>213
 北米:Verilog
 欧州:VHDL
 国内ASIC:Verilog
 国内FPGA:五分五分からVerilogが優勢に

今後HDLはVerilogファーストでOK
もう、SystemC/SystemVerilogが使えることが要求されている。
いち早くこれらを導入、運用して設計工程の効率化を図る
これらをまだ早いから導入しなくてもいいよと思っているなら
もうダメポ企業決定!もしレッドオーシャンで戦っている企業なら敗退決定
217774ワット発電中さん:2008/10/23(木) 09:21:29 ID:YVpZ/DWD
でもVHDLの
「一度も初期化されていない信号は'U'」
ってのは、目からうろこだったな。

Verilogにはねーよ・・・Lintか
218774ワット発電中さん:2008/10/23(木) 13:09:53 ID:mhGoQzZr
'U'はデバッグの時効いてくるんだよな
219774ワット発電中さん:2008/10/24(金) 20:56:12 ID:grS9UZWG
それってVHDLそのものっていうより、std_logicのライブラリだよね。
Verilogは知らんが、そういうライブラリ実装すればVerilogでも出来るんじゃねぇーの?
220195:2008/10/25(土) 14:55:33 ID:Nf19xvrn
>>213,216
ああ、国内LSIと国内FPGAが逆ですね・・・漏れもVHDLメインでFPGAやってるのに・・・

SystemVerilogよりSystemCの方が、どう見ても複雑怪奇に見えるんだけど
それでも元ソフト屋はSystemCがいいのかな?
奴らはC++の悪口を、長いこと言いつづけていたように思えるのだけど・・・
221774ワット発電中さん:2008/10/25(土) 18:51:26 ID:vgOM+HvN
>>220
SystemCだとC++分らん現バリバリハード屋は泣きながら勉強だろうね
爺・おっさんハード屋は自分の時代が去ろうとしていると悟ることになるかも。
若い奴だと、これ面白いと楽しみながら習得するかもしれんが
爺って"仕方なくいやいやながら"が多いんじゃないかな。

変革が起こったときにそれ(変化)についていけないエンジニアは去り
それに対応できるのが生き残るだけだよ
222774ワット発電中さん:2008/10/25(土) 19:42:32 ID:4u1GFTDN
>>221
若い奴が新しい事に飛びつくのが好きとも限らないんだが、意外とせっかく覚えたのにまた覚え直さないとだめだからつらいかもな。
HDLの本質なんて文法とか記述方式にあるとも思えないし。どんな言語使おうとウンコ回路しか作れない奴は居る。
223774ワット発電中さん:2008/10/25(土) 21:50:18 ID:Zp3R4RLn
>>222
>意外とせっかく覚えたのにまた覚え直さないとだめだからつらいかもな
ソフト屋では新たな言語を覚えることは良くあること。
>HDLの本質 って何と思っている?

C++でGeneric使って書くと、俺はアセンブラにどのように落とされているのか
想像すら出来ないぞ。でアセンブラを意識して書く事なんて、ソフト屋じゃほとんど
無いんじゃないか。ひたすら実現したい機能をその言語で提供されているもので記する。
ハード設計も回路設計(ソフトではアセンブラに近いレベル)から機能設計にシフトして来ているんじゃないか
俺思うに、SystemCなんかはHDLよりさらに機能設計を進めるために出てきたんじゃないのか
ハード屋は現にHDLが有るのにSystemCがなぜ出てきたと思っている?
で、それの目指す設計スタイルは何?
224774ワット発電中さん:2008/10/25(土) 22:15:43 ID:ohyaMgnS
>>223
何を作っているかよりも、どう動くものを作っているか次第じゃね?

プロセッサに仕事をさせる形で作るときは言語に関係なくアセンブラや
レジスタをまぶたに浮かべつつ書くし、数値処理ならアルゴリズムフローが
脳裏に浮かぶ。システムならシステム間インタフェースのシーケンス図を
頭に浮かべる。

結局言語に関係なく、解きたい問題に対応するレベルを脳内に浮かべつつ書だけ。
で、たまたま使っている言語にそれに近い記述機能があればそれで書くだけ。
言語はあくまで従。HDLだって同じこった。
225774ワット発電中さん:2008/10/25(土) 22:34:55 ID:4u1GFTDN
>>223
高位設計にシフトしていきたい、そのためには抽象度を上げていくというのは分かる。
けど実際はWinアプリのソフト作るにしてもメモリ管理なんかはまだやってるんじゃないの?
ハード設計の場合も、マイコンなどの設計はまだまだハードを意識した設計しないと性能あがらないでしょ。
226774ワット発電中さん:2008/10/25(土) 23:04:51 ID:+1UYk7CR
HDL齧ったことがある方がC++の習得は早くないか?
純ソフト的感覚とはオブジェクト指向に対する感覚がずれてるかもしれんが。
227774ワット発電中さん:2008/10/25(土) 23:06:29 ID:uCRqldZM
豚斬りますが、
ttp://www.fpgacpu.org/xsoc/index.html
を参考に今 verilog で processor を書いて
とりあえず FPGA に実装してる途中です。
その先コード書き直すか自分で設計し直して
LSI 用に論理合成してレイアウトしてテストして
ICにしたいんだけど、その EDA デザインフローの詳細が
よく分らないのです。特にテストの工程、方法。

大学で synopsys のライセンスあるんだけど、
あるだけなんで、インストールから自分でやらなきゃ
なんないんですよね。cadence はある。
ちょっとどういうデザインフローの選択肢があるか
ご存じの方いたら教えてくれませんか?
あとよい参考文献ありませんかね?
今は
ttp://www.amazon.com/CMOS-VLSI-Design-Circuits-Perspective/dp/0321149017/ref=tag_tdp_sv_edpp_i
読んでます。スレ違いだったら退散します。
228774ワット発電中さん:2008/10/26(日) 00:04:57 ID:bz06GYZh
>>224
納期に間に合ってものが出来ることが重要
それ以外は何だっていいんだ

>>225
組み込みならメモリも考えるが、Winアプリはやらないだろう
ハードにも余裕あるし、ソースの見やすさとかの方が大事
だから重たいソフトが量産されて(ry

>>226
それでもC++は言語として難しいから嫌だ(Cなら簡単だと思うがw
ソフト屋に仕事取られるし、なんとかSystemVerilogで落ち着いて欲しい

>>227
たぶん大学内で知ってる奴を探して聞いた方がいいよ
229774ワット発電中さん:2008/10/26(日) 00:09:30 ID:LHaLjHEC
おれは一応、VHDLもCその他も仕事でつかってるけど、
C++で回路がかけるようになったからと言って
ソフト屋に仕事もっていかれるなんて一緒に仕事しているソフト屋のレベルからいくと
まずあり得ないとおもうがね。もともとハード屋としての感覚がある一部のソフト屋は別として。
ハードはやはりハードの実体を理解しているかどうかがエンジニアとしての力量で、
VHDLが使えるからどうのってのは単なるツールスキルであって技術力ではないよ。
230774ワット発電中さん:2008/10/26(日) 00:16:53 ID:15nF13IF
>>224
>何を作っているかよりも、どう動くものを作っているか次第じゃね?
加算器の場合
何を作っているか:回路設計, AdderをGateで配線
どう動くもの:機能設計, c = a + b;

>>225 systemCで設計したのが性能を満足しないとなると、その部分は
別なやり方で設計するだろうな
ソフトでもほとんど全てをC++で作成したソフトでマルチメディアのリアルタイム処理はSSE系の
命令をアセンブラで記述してリアルタイム性が満たされたとか聞いたことある。
231774ワット発電中さん:2008/10/26(日) 00:19:18 ID:LHaLjHEC
ソフトでも結局C/C++で低級な処理書いたりして優秀という人は
C/C++でこう書いたら、CPUではどういう風に処理されていってとかが詳しくわかるってところなんだけど、
C++で回路を書くというのは、CPU上の概念ではないものが対象になるので、
純粋にソフトだけの技術しか持たない人ではその辺のバックグラウンドやノウハウが崩れさって、
ツールスキルの人になってしまう。
232774ワット発電中さん:2008/10/26(日) 00:30:52 ID:15nF13IF
>>229
ソフト屋に仕事を取られると言うことは無いと思うぞ。
あるのは、自分がC++ライクツールを使えないで仕事を失うってこと
ツールを使うにあたり、新しい考え方(たとえばC->C++で言うなら
オブジェクト指向)を身に着けなければならなくなって、それがなかなかできない。
すると、なんだよこの糞設計はと>>222に言わる。
233774ワット発電中さん:2008/10/26(日) 00:37:24 ID:LHaLjHEC
ちなみにVHDLってかなりオブジェクト指向風味というかユーザ定義型ばりばりで
なんで基本がしょぼい言語をこんな複雑な仕様にしたのか疑問。
C++は今はオブジェクト指向ですらないマルチパラダイム言語。
回路設計の自動化や機能設計志向が進んでも実際は、
ソフト/ファーム/ハードの分業体制がさらに細分化されるだけで
仕事がなくなるってことはないでしょう。
むしろ電気系不人気で人へってますます仕事大杉な状況になりそうでこわい。
234774ワット発電中さん:2008/10/26(日) 00:44:30 ID:Cp91cOYH
SystemVerilog でも SystemC でもいいけどさ、どれがメインになるんだろ
235774ワット発電中さん:2008/10/26(日) 00:44:35 ID:kWJLBKTE
>>233
物理的なオブジェクト(回路)が出来上がるし、各モジュールがレジスタ(値)を抱えていて
それらの処理方法は各モジュールが知っている(というかそれをするのが回路そのものだが)

オブジェクト記述的な方向へ進むしかないだろう(w
236774ワット発電中さん:2008/10/26(日) 00:47:39 ID:LHaLjHEC
まあ、Adaがベースだからなんだろうけど。
C++もAdaの影響うけてるからね。ある程度の類似性はあるよ。
237774ワット発電中さん:2008/10/26(日) 00:54:05 ID:LHaLjHEC
http://www.infres.enst.fr/~pautet/Ada95/e_c33_p8.ada
脱線で申し訳ないが、ちなみにAdaのコードはこんな感じです。
VHDLそっくり。
238774ワット発電中さん:2008/10/26(日) 01:00:24 ID:15nF13IF
>>237
激ワロタ、ほんとそっくり

Adaって米軍言語だよな。軍人って堅いの好きそうだよな
Verilogみたいなゆるい言語はしょうにあわんだろな
239774ワット発電中さん:2008/10/26(日) 01:01:56 ID:/MfzMg/H
>>238
記述に解釈余地を残すと死に直結だしな。
240774ワット発電中さん:2008/10/26(日) 01:05:54 ID:LHaLjHEC
ある意味
Ada → VHDL
で既にソフト屋の仕事って言う点では失敗してるのかも。
241774ワット発電中さん:2008/10/26(日) 10:21:18 ID:q9Q2ovdX
AdaというかALGOL系の言語は全部よく似てる。
PascalもDelphiもパッと見た時VHDLかと思った。
242774ワット発電中さん:2008/10/26(日) 14:22:17 ID:LHaLjHEC
いや、ALGOL/Pascal系の中でも、Adaが圧倒的に似てるって。
wikiに洗脳されるなよ。VHDLが一番影響うけてるのは
圧倒的にAda。強力な型付けとかもうけついでる。
243774ワット発電中さん:2008/10/26(日) 19:04:57 ID:pAIIIp7W
なんでこうも必死なんだろう。
パッと見たら似てるって言ってるのに・・・
244774ワット発電中さん:2008/10/26(日) 19:55:48 ID:LHaLjHEC
全部よく似てるんでしょ??w
245774ワット発電中さん:2008/10/26(日) 20:05:22 ID:okXMgQRt
SystemCってC++によく似てるよな。だろだろ、なぁ
246774ワット発電中さん:2008/10/26(日) 20:37:41 ID:R6nxcnQ4
SystemCはC++の駄目っぷりまで継承
247774ワット発電中さん:2008/10/26(日) 20:48:18 ID:UCiqw5tE
いまこそSystem Objectiv-C
248774ワット発電中さん:2008/10/26(日) 23:10:05 ID:jdOQo9ck
SystemCは、C++ってとこがダメなんだよな
組み込み向けに直したC系の言語で、ファームから論理設計まで、全部出来たら面白いのだけどね
249774ワット発電中さん:2008/10/27(月) 17:20:47 ID:XAJCziJ9
Verilogにedgeとかいう予約語があるんだが、これってうまい具合に使える?
250774ワット発電中さん:2008/10/27(月) 18:13:06 ID:rsb2H6SP
>>249
うまい具合というのは分からないが、DFF意外でってこと?
251774ワット発電中さん:2008/10/27(月) 19:28:57 ID:QClI7U9x
>>242
影響受けてるというか、VHDLってAdaから来たんだけど。
252774ワット発電中さん:2008/10/27(月) 19:52:53 ID:X4+G9Brb
圧倒的に似てるのを発見した人になんて物言いだ!>>251
253774ワット発電中さん:2008/10/27(月) 20:07:52 ID:MwPvGMAH
と、今まで何もしらなかった人たちが初心者たちが必死です。
254774ワット発電中さん:2008/10/27(月) 21:17:33 ID:5k958GeP
SystemCを勉強すればC/C++も出来るようになるんだからね
知らなかったでしょ
255774ワット発電中さん:2008/10/27(月) 21:25:13 ID:dqqg72f2
きっとJavaも使えるようになります
256774ワット発電中さん:2008/10/27(月) 21:33:01 ID:MwPvGMAH
話の流れが見えない…。
Verilog, VHDL, アセンブラ, C, C++, Java, Python, VB
ならおれでも使える。
言語は必要な時に本一冊買ってきて3日で頭に文法たたき込みます。
SystemCはやったことない…。
大事なのは設計やアルゴリズムだね。
257774ワット発電中さん:2008/10/27(月) 21:35:04 ID:dqqg72f2
AX を 斧 と翻訳するのは辞めてほしい
258774ワット発電中さん:2008/10/27(月) 21:46:40 ID:rsb2H6SP
>>256
その中にアセンブラを混ぜて良いのか?
259774ワット発電中さん:2008/10/27(月) 21:47:04 ID:5k958GeP
>>256
違います。仕事をすることです
260774ワット発電中さん:2008/10/27(月) 23:11:27 ID:/yFhHBmB
スパルタンとか買うお金があっても、それを利用する頭が無いから高卒
261774ワット発電中さん:2008/10/28(火) 00:46:34 ID:91ulQ1CX
>>227

1)システム仕様、スペックとか決める
☆システム仕様書レビュー
2)ハードウエア(HW)仕様に落とし込む
☆HW仕様書レビュー
3)検証のゴールを決める
  ブロックに搭載した機能一覧(検証項目)、確認事項、確認手法を
  表にまとめる。これを検証リストという。
  場合によってはサブモジュールにわけてつくる。
☆検証項目レビュー
4)検証環境を作る
  実機でもシミュレータでも。検証項目に適した環境を使えばよい。
5)ブロック(モジュール)に分けて記述
☆コードレビュー(検証後のこともおおいけど)
6)検証をする
7)エラーと未実施項目がなくなるまで5〜6を繰り返す
☆検証完了レビュー
(大抵は顧客に対して)
8)納品
9)反省会(飲み)

できればキックオフ(飲み)も。


262774ワット発電中さん:2008/10/28(火) 00:49:48 ID:91ulQ1CX
追記。
大人の事情によりレビューや工程は省かれたり、パラでやったり、後付けだったり、順番がぐちゃぐちゃになったりします。
263774ワット発電中さん:2008/10/28(火) 03:40:56 ID:e1uYRZM6
>>256
おまえ、本当はRubyとPHPも出来るけど内緒にしてるだろ。
264774ワット発電中さん:2008/10/28(火) 08:39:30 ID:a/HSVf5D
>>261
コードレビューもやるんだ、lintで終わりとやっぱり違う物?
265774ワット発電中さん:2008/10/28(火) 09:29:09 ID:O9osfcvy
>>261
理想だな
266774ワット発電中さん:2008/10/28(火) 09:45:01 ID:DTHA0odt
>>250
予約語で検索するとある程度の意味は出るんすけど
edgeにするとposedgeかnegedgeだけがヒットしてしまう・・・

エッジ検出といえば@(posedge CLK)とか上下なら@(CLK)で
ぶっちゃけ使えるのかこれ、てことっす

267774ワット発電中さん:2008/10/29(水) 00:31:11 ID:AMyHJYQy
>>256
>言語は必要な時に本一冊買ってきて3日で頭に文法たたき込みます。

はぁ?じゃお前、1000ページ超えるプログラミング言語C++を3日で読みこなせたんかい。
仮にこれを3日で読めても、Modern C++ Design か C++ Templates を読まないと、
ジェネリックプログラムの本質はわかったことにならんわけだが、それも含めて3日で読破したんだろうな。
所詮、なんちゃって解説本を読んでわかったつもりになってるんとちがうんかい。

>SystemCはやったことない…。
3連休あれば習得できるんだろ?なぁ。すでにジェネリックプログラミングも習得してるんなら簡単だよな。
今週末に習得して知識披露しろや。


>>258
アセンブラも含めてるようだ。VB含めてる癖にC#も。Pythonみたいな字下げが構文解析に作用するような
糞言語を含めてる癖にPowerShellはおろか、PerlもRubyも、習得してる状況じゃないなんてのは
所詮しれてる。
268774ワット発電中さん:2008/10/29(水) 00:44:01 ID:wm0R/zOi
>>267
またお前かうっといから消えてくれ。
269774ワット発電中さん:2008/10/29(水) 01:36:21 ID:Zrktw1wp
>>267
またお前かwww
この人何してる人なんだろ?ニート?
270774ワット発電中さん:2008/10/29(水) 01:53:27 ID:GtGMgZtm
粘着も荒しです。スルーが基本。
271774ワット発電中さん:2008/10/29(水) 03:15:18 ID:AMyHJYQy
>>269
>この人何してる人なんだろ?ニート?

ゲラゲラ
アホ程、自分と同じ境遇しか想像できねーんだろうなぁ
272774ワット発電中さん:2008/10/29(水) 06:23:28 ID:JFLievEN
Pythonは糞じゃないもん
273774ワット発電中さん:2008/10/29(水) 10:27:05 ID:Zrktw1wp
3時まで起きてるってことはニートで決まりだなw
274774ワット発電中さん:2008/10/29(水) 20:34:26 ID:rH8BNlsK
>>267
3日で文法を覚えるって話に、

> ジェネリックプログラムの本質はわかったことにならんわけだが、
> なんちゃって解説本を読んでわかったつもり

275774ワット発電中さん:2008/10/29(水) 22:11:48 ID:+JErlcQh
>>269
俺、社内ニート、orz

>>256は技術者として使えるとは言ってないぞ。
覚えただけかもしれん

SystemC使うための読むべき本
Effective SystemC、More Effective SystemC、Modern SystemC Design、SystemC Templates
SystemC Template Metaprogramming
とりあえず、Accelerated SystemCを読め
276774ワット発電中さん:2008/10/29(水) 22:28:36 ID:kVPmzZe8
>>275
それLSI、ハード屋の範疇超えてる。ってか、C++なんて仕様絞らなきゃソフトやだって大規模は無理だろ
でもまあC++とかは無理としても、大抵の言語なら3日あれば、文法くらいどうにかなるんじゃないか?
みんな同じような文法だし、複数言語で経験あれば、新規文法なんて少ないし・・・


ああ、SystemC消えてくれないかなぁ・・・出来ればSystemVerilogもいらないんだけど・・・


#なんか最近、不況なのか仕事無いよな。久々にFPGAやるとか、回路やるとか香具師も多そうだw
277774ワット発電中さん:2008/10/29(水) 22:39:51 ID:+JErlcQh
>>276
不況は始まったばかりだぞ。これからバブル崩壊後の不況とは
比べ物にならないすごいのが来るよ。外需依存の日本さてどうなることか。
俺、社内ニートから真性ニートまっしぐらって感じだな。

ところで、現状ではSystemCとSystemVerilogってどちらの方が優勢?
278774ワット発電中さん:2008/10/29(水) 23:30:25 ID:9vlIZzXU
>264
LINTは勿論有効で便利ですが、着眼点が違いますかね。
書き方の綺麗さ、つまりは読みやすさとバグ発生がしにくく仕様変更などの改造に対応しやすい記述、
そういったところを有識者に指摘して貰う。LINTとかぶる部分もありますね。
あとは、仕様の盛り込み抜けの発見。ちゃんと工数を割けばそれなりにバグを見つけることができるんですよ。
ただしレビュー参加者が仕様を理解してないと効果薄。
コーディングした結果の動作ミスはコードカバレッジで。

>265
まあ顧客の理解等ないとなかなか難しいですよねぇ・・・(予算が)
学生さんって事で、とりあえず理想型を。
279774ワット発電中さん:2008/10/29(水) 23:45:02 ID:9vlIZzXU
>277
検証モデルや合成用RTLとかはSystemC
検証環境はSystemVerilog
という住分けをされているような気がする。
個人的な想像としては
環境とかは既にVerilogのがあったりするから、シフトしやすい?
(ちょっと嘘)
モデルはツールがCやSystemC吐いたり、なるべくRTLと違う視点で書くというのから、
SystemCを採用。とか。

SystemCでコーディングしたこと無いので、あくまで感覚の話ってことで・・・

280256:2008/10/30(木) 00:07:38 ID:4CZ4V3Wb
C++は覚えるのさすがに時間かかったよ。
Direct3Dゲー作るために学生時代に覚えた。
一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。
PerlやPHP, JaveScriptも一応書いたことある。一応、コンピュータ系ブログももってる。

ハード屋としては、むしろPythonが一番役にたつね。
事務処理とか、PCからのデバッグに使えるから。
会社はいってからは、C++やアセンブラの方が使う機会がない…。
SystemCは実はあまり興味がない。仕事で必要にならない限りは覚えないだろう。
281774ワット発電中さん:2008/10/30(木) 17:48:06 ID:0COnzePw
>>280
覚えるとはどういうこと?
282774ワット発電中さん:2008/10/30(木) 20:01:13 ID:6hQio8w0
> 一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。
> PerlやPHP, JaveScriptも一応書いたことある。
覚えたつもりが、しばらく使ってない言語を使おうとすると、変に混ざっちゃって文法エラー出まくり、とか・・・
283774ワット発電中さん:2008/10/30(木) 20:35:14 ID:AvDrEI4z
>>282
あるある(w
都合のいい記述だけ使おうとして混ざってたりとか。
284774ワット発電中さん:2008/10/30(木) 22:13:10 ID:OaUujVp+
それだけ似ているということですね
285774ワット発電中さん:2008/10/30(木) 23:58:26 ID:Lna2cfXi
>一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。
手続き型の同じような言語をいくつ覚えましたってアホかい。
これだけLisp実行環境として普及してるEmacs Lisp 3日で覚えて自慢してみろや
286774ワット発電中さん:2008/10/31(金) 00:00:14 ID:wHn7vkLo
>>285
手続き型以外の言語で仕事したことあるの?Lispの仕事ってどんなの?
287774ワット発電中さん:2008/10/31(金) 01:53:15 ID:cJiRdHUl
>>280
なぜそんなにたくさんの言語覚えたんだ?
趣味のためには覚えるが、仕事で将来要求されるものには興味なし?
288774ワット発電中さん:2008/10/31(金) 03:57:38 ID:ad+Oy6iR
ラダーはしばしばやるが、手続き言語的アプローチが身にしみてるから非常にやりづらい上、
我ながらゴミみたいなプログラムしかできない

おまけにラダー文化にはついていけないものがある
・レジスタ割付は基本的に全部手動
・変数名の使用はご法度
・レジスタにコメントを入れるのもご法度という化石もいる
・基本的にグローバル変数しか存在しない
289774ワット発電中さん:2008/10/31(金) 04:32:45 ID:tTef2StV
そんなにたくさん、という程の数ではないだろ・・・
290774ワット発電中さん:2008/10/31(金) 04:46:49 ID:E3nkTBXg
>>287
たくさんって、
C/C++/Java/Phthon/Perl/PHP/JavaScript
精通するんじゃなくて、普通一度くらいは書いたことあるだろ。
たくさんどころか、
win使ってるなら実用的な意味で、PowerShellやC#
あと、modelsim使ってるだろうからTcl/Tkになんで手を出してないかと聞きたいぐらいだ。
webいじってるならSQLあたりも必須だろうし、全然多いとは思わん。
あと、
SystemCは回路屋にとっては洋梨言語であることが保母確定したし。
Sim屋にとってもDかC#あたりをベースに作り直さないと、モデリングツールとしても問題ありすぎだろ。
それでも、とりあえず上っ面だけを利用するレベルならそれでもなんとかなるかもしれんがな。
下手に知ってることがばれてつぎはぎ言語の砂上の楼閣に住む魑魅魍魎と格闘させられることにでもなったらかなわん。
本職としてはせいぜいSystemVerilogで留めておくのが吉
291774ワット発電中さん:2008/10/31(金) 18:12:55 ID:dmn7Q7Dn
設計の抽象化のレベルとかは議論の余地があるけど、
要は言語なんてどうせなれれば直ぐ覚えられるんだから、
言語間の違いなんて、そのとき必要なものをそのときおぼえりゃいいだけでそれを度騒ぐものじゃあない。
292774ワット発電中さん:2008/10/31(金) 18:13:53 ID:dmn7Q7Dn
>>PowerShellやC#
単なる新しもの好きだろ。
ここはハード系のスレなので実用性でC#なんて選ぶ馬鹿は少ない。
293774ワット発電中さん:2008/10/31(金) 18:18:12 ID:dmn7Q7Dn
>>DかC#あたりをベースに作り直さないと、
単に新しいものをあげれば良いと思ってるアホ。
ノイマン型、逐次実行の世界とハードの世界をごっちゃにしないで欲しい。
ハード設計にどれだけ使いやすい言語かは、
ベースがC#だろうがDだろうが、はっきりいって関係ないね。
294774ワット発電中さん:2008/10/31(金) 18:36:57 ID:CJwqbS+k
>>291
>要は言語なんてどうせなれれば直ぐ覚えられるんだから
すぐって3日?

今、実際にSystemC、SystemVerilog使っているのいる?
いるの手を挙げて!
295774ワット発電中さん:2008/10/31(金) 18:43:51 ID:dmn7Q7Dn
主流のソフト系言語では3日でも覚えられるよ。習得している言語が複数あって、新しい言語覚えることそのものになれていれば。
ソフトの世界はアセンブリ言語だろうが、手続き型だろうが、関数型だろうが、ベースはノイマン型なので実はあまり
考えることはかわりません。抽象度の高い言語でもリストやツリー、ハッシュみたいな定番アルゴリズムが
裏で動いているだけ。HDLはそれらとは根底の原理が違います。
プログラムなんて文系でも教育すればくめるし、実際世の中に大卒以下のプログラマは沢山いる。
能力がかなり高い奴なら1, 2日でおおかた習得できても、おどろかないって。
世の中には新しい言語を生み出してきたやつもたくさんいるんだから。
Adaみたいに元からコンカレント文があればハードにも移植しやすいかもしれないけどね。
296774ワット発電中さん:2008/10/31(金) 18:44:51 ID:dmn7Q7Dn
最後の一行は編集ミスだな。
297774ワット発電中さん:2008/10/31(金) 19:34:08 ID:1vxeajV9
なんでそんなに必死なの?
298774ワット発電中さん:2008/10/31(金) 19:54:41 ID:dmn7Q7Dn
残念ながら全く必死ではないよ。
ここの住人のレスは性格のゆがみを感じるけど。
299774ワット発電中さん:2008/11/01(土) 00:56:26 ID:Q6oS95yb
ID:dmn7Q7Dn
本人必死否定でも、俺必死だと思う
>>295はソフト屋を馬鹿にしてないか?
ソフト屋は目的等に応じて色々言語を開発したんだから
ハード屋もハード設計に適さないPG用言語からパクリ持ってくるんじゃなく、
開発効率を上げ、ハード設計により適した言語を自分たちで作ればいいんだよ。
300774ワット発電中さん:2008/11/01(土) 01:36:20 ID:vL173qqa
>>299
ソフト屋を見習うべきところが多いと思うが、漏れらは微妙な立場なんだよな
現iクレって、ソフト屋がソフト作るのとは一緒に出来ないだろ・・・
ハード設計に適した言語を、ハードウェアで作れるならいいがw
まあ、そのうちハード屋出身より、ソフト屋出身が増えてきたら、状況も変わるんじゃないかな

でもソフト屋もあまり新しいもの生み出してないよな。いや残ったものが少ないと言うだけか・・・
あと基本的に技術屋なら LSI屋 > ハード屋 > ソフト屋 の順で偉いだろう?
ソフト屋なんて使い捨ての(ry

endがVHDLに似てるから、Rubyがいいよ!
301774ワット発電中さん:2008/11/01(土) 02:20:13 ID:LUA0/xCP
>>300
>ソフト屋なんて使い捨ての
って極東の島国日本では...
世界的に見たら、今、華なのソフト屋だろ
302774ワット発電中さん:2008/11/01(土) 02:38:18 ID:zIWgZiYi
>>300
偉い?ってのは何が?
高給取りってこと?
303774ワット発電中さん:2008/11/01(土) 02:59:54 ID:3YrK6y6P
そもそもソフト屋でひと纏めにするのがアレだな。
304774ワット発電中さん:2008/11/01(土) 03:03:18 ID:vL173qqa
>>301
日本のソフト屋は、かわいそうだな
でも仕事いっぱいあるみたいで、ハードより余裕でやっていけそうだよ

>>302
地位かな。ソフトは一人倒れても代わりは沢山いるし・・・

>>303
ここでのソフト屋は、きっと組み込み系Cプログラマーでしょ?IT系やSEなんてのは関係ないもの
まあCが出来れば、すぐにでも使い回せるのがソフト屋なんだけどねw
305774ワット発電中さん:2008/11/01(土) 03:23:04 ID:3YrK6y6P
>>304
>ここでのソフト屋は、きっと組み込み系Cプログラマーでしょ?IT系やSEなんてのは関係ないもの
PHPやPythonが出てきてるこの流れで、か?
306774ワット発電中さん:2008/11/01(土) 08:05:48 ID:mzHgwlSb
今時、ハード屋とかソフト屋とかいって線引きしてあーだこーだ言ってる時点で
エンジニアとしてレベル低すぎ。
307774ワット発電中さん:2008/11/01(土) 09:36:06 ID:aqGMBNkc
ハード屋がハードを作ったらソフトはテストコードからドライバから何から一切面倒見ないってのは時代遅れだが、
線引き自体は必要だろ
308774ワット発電中さん:2008/11/01(土) 10:40:17 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
309774ワット発電中さん:2008/11/01(土) 10:42:27 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
310774ワット発電中さん:2008/11/01(土) 10:43:03 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
311774ワット発電中さん:2008/11/01(土) 10:44:33 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
312774ワット発電中さん:2008/11/01(土) 12:14:55 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
tgg
313774ワット発電中さん:2008/11/01(土) 12:16:00 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
thh
314774ワット発電中さん:2008/11/01(土) 13:18:31 ID:3xNeSjXf
http://qb5.2ch.net/test/read.cgi/operate/1206636885/657
駄目元で書き込んだ。
賛同してくれる人はこのレスに同意のアンカーをつけてくれ。
315774ワット発電中さん:2008/11/01(土) 17:44:36 ID:7iC+DLgW
>>307
ハード屋でも簡単なテストプログラム作れるぐらいのスキルは必要だな。
316774ワット発電中さん:2008/11/01(土) 19:24:43 ID:R9xORsPa
スキルは必要だが、作るときはこっそりとw
317774ワット発電中さん:2008/11/01(土) 20:35:53 ID:LvGcx/3h
>>314
それによって、何がどうよくなるのかが分からない
318774ワット発電中さん:2008/11/01(土) 21:19:07 ID:bzwOToW/
>>314
ここと違って基地が少ない板なら、IDなんていらんだろ
で、何で板違いなここに、そんなの張るんだ基地害
319774ワット発電中さん:2008/11/01(土) 23:33:41 ID:3xNeSjXf
>ここと違って基地が少ない板なら、IDなんていらんだろ

つまりここには基地ガイル
320774ワット発電中さん:2008/11/02(日) 01:03:43 ID:5jG7yOb1
>>307
ハード屋でもソフトのスキルも多少無いとソフト屋にバカにされそう。
動かないとき真っ先にハードのせいにされそう。
ソフトも多少できるハード屋もしくはその逆が理想じゃね?
321774ワット発電中さん:2008/11/02(日) 03:20:21 ID:F4wt07Nv
>>320
理想は全部出来ることだけど、最近は並列分業が進んでるから無理だよな
LSI屋は回路分からないだろうし、ソフト屋はハード見るのも嫌って奴もいるだろう
ハード屋ソフトなんて全く分からないし、すぐに作れると思っている

趣味でやってるとか、小さな案件を小さな会社で取ってるとかじゃないと、難しいだろう
322774ワット発電中さん:2008/11/02(日) 09:06:37 ID:de+aA1Ow
トラブルが起きたとき、犯人は俺ではないと主張できる程度の知識は持っておきたいね
お互いにさ
323774ワット発電中さん:2008/11/02(日) 09:13:28 ID:M/se0iOK
>>322
互いに俺じゃないと言って前に進まなくなるだけ
324774ワット発電中さん:2008/11/02(日) 10:47:33 ID:de+aA1Ow
>>323
あんたの職場では自分が間違ってるって分かってもそれを隠して自分の正義を主張してるの?
そういう会社だったら相手の領域を知っていようが知っていまいが結果は同じだね
声のでかい奴が勝つ・・・サル山みたいな職場なんだろうな

けど俺の職場では自分が間違ってたらスマンカッタとみんな言うし、
それで吊るし上げ食ったりすることもないんだ
325774ワット発電中さん:2008/11/02(日) 11:06:41 ID:tqRqv5Mk
>>321
> ソフト屋はハード見るのも嫌って奴もいるだろう
> ハード屋ソフトなんて全く分からないし、すぐに作れると思っている

いくらでも変更ききますよね(ハード屋) vs 余裕で即時処理可能ですよね(ソフト屋)

そしてシステム設計はなすり付け合い。
326774ワット発電中さん:2008/11/02(日) 12:53:30 ID:9I1bEXIh
>>324
問題は、その不具合解析を誰がするかってことだろう

>>325
出来るのは、もっさり製品
327774ワット発電中さん:2008/11/02(日) 13:19:04 ID:Bzisa8Mb
>>324
別に吊し上げや隠蔽なんて無いけが、そんなくっきり線引きなんてしてるとインターフェイスでトラブルよ。
328774ワット発電中さん:2008/11/02(日) 15:13:50 ID:9tstavJw
オレの会社では、
打合せ、仕様作り、金額見積、回路設計、部品発注、基板設計、
ハード製作、ソフト製作、デバッグ、調整、ドキュメント、納品まで、
全〜ん部1人でやるよ。
今までソフト屋 vs ハード屋でもめたことは一度もないのでいいんだけど、
唯一の問題は、技術的に困ったときに、聞ける人がいないということかな。

329774ワット発電中さん:2008/11/02(日) 15:30:54 ID:WTzmbgwI
東京エレクトロンデバイスでは、何時つるし上げにあっても、アカンベーができるように
普段は株で儲けているよ。元派遣さんなか、総資産3億でクビにされても楽しそうでした。

不労収入で生活できるようになると、会社の命令なんて全然こわくないからね。
330774ワット発電中さん:2008/11/02(日) 15:37:13 ID:8OTUQOu+
2chで聞くんですね
わかります
331774ワット発電中さん:2008/11/02(日) 19:15:05 ID:ZlG0tjb/
ID:dmn7Q7Dnって糞だなホントに

>ハード設計にどれだけ使いやすい言語かは、
>ベースがC#だろうがDだろうが、はっきりいって関係ないね。

SystemCがハードウェア設計のためにあると思ってる時点で馬鹿だわコイツ
C++の処理系を必要とするってことも知らない。
言い換えればC++の言語上のハードウェア設計にとっては何の利益もないデメリットをそのまま引き継ぐ。
そんなことすら理解せずよくもまぁレスつけられたな。 >>291 >>292 >>293 >>295 >>296 >>298 >>299
アホとしか言いようがない
332774ワット発電中さん:2008/11/02(日) 19:27:50 ID:YLXH5UoV
SystemCの話じゃなくて言語ツール一般の話だろ…。
333774ワット発電中さん:2008/11/02(日) 19:39:02 ID:ci97S12h
>>331
>C++の処理系を必要とするってことも知らない
何が?誰が?SystemCがC++を処理系必要ってサルでも知っていると妄想
>C++の言語上のハードウェア設計にとっては
???、妄想補完出来ん
高脳様の言うことは、低脳には理解不能だ
高脳様、低脳に解るように説明たのむ。
334774ワット発電中さん:2008/11/02(日) 19:54:17 ID:ci97S12h
>>332
ID:dmn7Q7Dnのカキコ読んでみたが、SystemCの話はしてないな
それが、なんでSystemCになるんだか理解できない
やっぱ、高脳様の言うことは低脳には理解不能ということだな。
335774ワット発電中さん:2008/11/02(日) 19:55:22 ID:YLXH5UoV
SystemCはC++という言語をベースに何でも設計できることを売りにしているが、
言語なんてなれれば直ぐ覚えられるんだから、言語が同じということをうりにしているのには意味がないってこと。
開発環境が一環していて検証が容易ってのは意味があるがな。
336774ワット発電中さん:2008/11/02(日) 21:28:21 ID:ci97S12h
>>335
おーーーっ、そう言うことを>>331は言いたかったのか、トンクス

これって>>331に聞くべきか、>>335に聞くべきか判らんが
意味をなさない条件は "なれれば" がFALSEの時と解釈
この場合の覚えるって何を具体的に意味してるのか解らんが、
何を(に?)なれれば言語をすぐに覚えられる?
言語を覚えることを慣れればってこと?
現にVerilog/VHDLは使っているが他の言語は全く知らない香具師でも7日程度
あればSystemC覚えられる?
337774ワット発電中さん:2008/11/02(日) 21:32:42 ID:YLXH5UoV
いくらなんでもHDLしかやったことないやつが、C++を1週間はふつうの頭じゃ無理。
ソフトはC++のあとは楽だが、最初がC++では壁が高い。
個人的にはハードとソフトを連携してデバックできるようになるのはいいが、
ハードウエアをC++で書けるなんて大きなお世話だし勘弁してほしい。
この手の研究者の自己満足なんだよ、全部C++ってのは。
338774ワット発電中さん:2008/11/02(日) 21:39:32 ID:uPymeLE8
そういやハードもソフトもいけるって言う、SpecCはどうなってるの?
解散してそうな感じだけど、どこかの企業で押してたりしなかったっけ?
もうどこの企業もやってないのかな
C++なSystemCより期待してたんだけど・・・
339774ワット発電中さん:2008/11/02(日) 21:49:45 ID:WTpzBnZW
自己満足の段階なら被害は無いからSystemCを賞賛できたのだが、
それを普及させようとする業界の意思があるから困る。
340774ワット発電中さん:2008/11/02(日) 22:19:56 ID:Bzisa8Mb
>>336
この 「覚えられる」ってどういう状態のことを示してるのかな?別に>336に限った話ではないが。
341774ワット発電中さん:2008/11/02(日) 22:20:39 ID:ci97S12h
>>337
>C++を1週間はふつうの頭じゃ無理
そう、orz
やっぱ、高脳基準なのか
342774ワット発電中さん:2008/11/02(日) 22:44:01 ID:ci97S12h
>>340
覚えるって言う言葉に自分がどういうのをイメージ持つかしだい。
人それぞれだと思うが、>>336の場合の俺イメージは自分の業務遂行に必要な言語知識を
習得しかつ実際に使える状態かな。
343774ワット発電中さん:2008/11/02(日) 22:50:47 ID:ci97S12h
補足
野球を覚える
英語を覚える
pi(パイ)を3桁覚える
名前を覚える
顔を覚える
覚えるって大変だね
344774ワット発電中さん:2008/11/02(日) 23:14:50 ID:ZlG0tjb/
ID:ci97S12h == ID:dmn7Q7Dn

ってやっぱり何もわからず妄想解釈をよくもまぁ恥ずかしげもなく妄想を書き込めたものよのう。
こいつはサル以下。
システム屋がシミュレーションなりソフトウェア&ハードウェアとの協調設計をするのに、
C++の処理系に頼るのならまだしも、ソフトとはほとんど馴染みもなければ知る必要もないハード屋が、C++の処理系に依存して、
合成不能どころか、ソフトウェア実行にも支障を来すようなダイヤモンド継承あたりが何の警告もなく記述できてしまうことを
まったく知らんのだな。言語の不都合がそのままハードウェアに波及してしまうことが問題だってことをこの馬鹿は皆目理解してない。。
ハード屋が前提としてC++の言語仕様の詳細を把握しなければならない理由がどこにあるよ。
ったく、馬鹿なのかこいつは。
VHDLがAdaの文法に似ているとか、VerilogがCに何となく似てるとかとは全く意味が違う。そこらへん理解してからほざけ。
345774ワット発電中さん:2008/11/02(日) 23:17:42 ID:ZsCulDtf
>>336
331でも335でもないんだが、
SystemC でハードウェア記述の仕方を覚えるには1日あれば十分だと
勝手に思ってるんだが。

別に SystemC を使うために C++ の全部の文法をマスターする必要はないわけだし。
Verilog/VHDLでも全文法に精通しているハードウェア技術者なんてほとんどいないでしょう。
346774ワット発電中さん:2008/11/02(日) 23:27:11 ID:ZsCulDtf
>>344
何が言いたいのかよくわかんないんだけど。
ハードウェア記述でダイヤモンド継承を使ってしまうケースがあるわけ?
実際にそういう問題が発生した事例に出くわした、ということなの?

ていうか、そのハード屋がちゃんと勉強しない(orバカ)なのが問題なわけで、
C++に問題があるというのは論点のすり替えにしか見えないんだが。

俺だけかもしれんが、C++よりもVHDLの方がよっぽど使いにくいですわ。
347ID:ci97S12h:2008/11/03(月) 00:01:20 ID:8ZHULhCq
>>345
俺、SystemC、C++なんて、実際はほとんど知らん
だから、すぐに覚えられる基準を知りたかった。
SystemCに関しては高脳>>344様に聞いたほうが良いよ
高脳様はSystemC,C++をよく知っているみたいだし。

>>346
>何が言いたいのかよくわかんないんだけど。
こらこら、高脳様の言いたいことは高脳じゃないと理解できんのだ
俺は、低脳はSystemC使えないと主張してると理解した。

>>344 だって、低脳だもの
高脳様が低脳に解るように書かないのがイクナイ
348774ワット発電中さん:2008/11/03(月) 00:03:49 ID:xi+o+b1f
あのさ。ソフトウェア言語っていうのは"できる"ことに主眼が置かれる場合がおおいけど、むしろ"できなくしてる"ことが重要なわけ。
C++の文法をベースにしたとかならまだしも、シミュレーションの処理系としてC++を使い続けてますじゃその穴を埋めるすべがないだろ。
それを論点のすり替えとか、VHDLが使いにくいとかいう上っ面の話を持ち出すなんてどこむかってレスしてる?

>Verilog/VHDLでも全文法に精通しているハードウェア技術者なんてほとんどいないでしょう。

文法に精通するレベルじゃなくて、込み入ったことに手を出そうと思えば言語仕様のポリシーにまで踏み込む必要が必要があるってこと。
言語仕様はもちろん、最低限Effective C++あたりは読破しとく必要はあるだろ。

ま、結局SystemCはどんなに養護しても、もう終わったに等しいわけでな
349ID:ci97S12h:2008/11/03(月) 00:10:40 ID:ztAws0b5
>>348
高脳様でも使えないの?
350774ワット発電中さん:2008/11/03(月) 00:16:19 ID:zsHYA6SD
>>348
自分が使えないからって、SystemC\(^o^)/オワタ とか言わないで!!
351774ワット発電中さん:2008/11/03(月) 02:37:17 ID:mbQTYoeK
>>350
ソフト業界でもC++は死にかけてきてるんだし、SystemC\(^o^)/オワタは確定だろ
普通のハード屋なら(VHDL→)Verilog→SystemVerilogと行くだろうしね
ソフト屋からの移動組がいるとかなら分からないが、ハード屋はわざわざ辛い方向には走らない
上が決めてしまえば終わりな感もするけど・・・

いずれSystemJAVAができ(ry

SystemC、SpecCについてのスレ 2
http://science6.2ch.net/test/read.cgi/denki/1104436852/
352774ワット発電中さん:2008/11/03(月) 02:38:47 ID:JbnCglkt
Stroustrup自身はC++の問題を十分に知ってるけどな。
353774ワット発電中さん:2008/11/03(月) 03:23:53 ID:WAoztx+J
>>351
>ソフト業界でもC++は死にかけてきてるんだし
死にかけてる?
「ソフト業界」って一括りにするなよ。
IT土方の世界だけがソフト業界じゃない。

確かに「ソフト業界」で使われている言語の「比率」で言えば
JavaやPerlやPHPやC#の伸びに押されてるのは確かだけど。
354774ワット発電中さん:2008/11/03(月) 03:46:19 ID:mbQTYoeK
IT業界の10年後を行く、組み込みソフト業界
組み込みソフト業界の10年後を行く、LSI業界

言語以外の環境や開発体制も古かったりするよな・・・
355774ワット発電中さん:2008/11/03(月) 04:07:37 ID:WAoztx+J
>>354
IT業界(元コボラーの世界)の開発環境を過大評価(妄想?)しすぎ。
業務系システムの仕事なんてやったことないでしょ?
356774ワット発電中さん:2008/11/03(月) 06:43:11 ID:kHyRKimu
UNIX系の環境でも過大評価ですよ。一番使われてるのはprintf。
javaでもわざわざ導入されるくらい使われてます。
357ID:dmn7Q7Dn:2008/11/03(月) 13:21:18 ID:jSNVS9xW
そもそもSystemCなんて言葉は一つも書いてないわけだが、あらぬ方向に行ってますね。
ID:ci97S12hは別人だし。おれ個人はSystemC否定派だよ。
>>344は文面自体も意味不明だけど、何か壮大な勘違いしてるようですね。
HDLは純然たるHDLで十分で、ソフトはソフトで別に覚えればいいだけの話。
両方やっている人は、HDLだろうがC/C++だろうが既に両方できるわけでたいした労力じゃあない。
358774ワット発電中さん:2008/11/03(月) 17:08:14 ID:h9VbMnf4
見えない敵と戦う、2chではよくあることです。
359774ワット発電中さん:2008/11/03(月) 18:36:03 ID:VW+PnUmo
http://qb5.2ch.net/test/read.cgi/operate/1206636885/657
駄目元で書き込んだ。
賛同してくれる人はこのレスに同意のアンカーをつけてくれ。
360774ワット発電中さん:2008/11/03(月) 19:23:37 ID:iFUEilGt
やはり、隣の芝生は青いってやつですな。
IT業界からみると組み込みやハードは頭よさそうな業界に見えているよ。
そもそもIT業界には基礎理論(電磁気学とか量子力学とか)ないし
「何バグってんじゃくぉらー」とか「ゆうた通りに動かんじゃねえかボケッ」
という顧客のありがたいお言葉が?理論?なので。。。
361774ワット発電中さん:2008/11/04(火) 01:45:59 ID:mtj06zGR
>>360
あなたのいる所はとても酷いアイテー業界でつね。

IT(技術)業界
IT(土方)業界

でホント分けてくれないかな。
362774ワット発電中さん:2008/11/04(火) 08:46:26 ID:R14/j83d
ITai業界です
363774ワット発電中さん:2008/11/04(火) 22:28:10 ID:eCngV8E1
新商品提案&開発と新規アルゴリズム考えるやつ以外は、みんな土方だと思うんだ。
先を見通して上手く、短期間に完成させられるかどうかの違いはあるだろうけど。
364774ワット発電中さん:2008/11/04(火) 23:14:06 ID:EGLxtchA
>>363
HDLを使って言われた通りのものが設計できますレベルじゃ
ITドカタとほとんど変らない感じだろうからな
この部分の仕事は人件費削減で外部・派遣へGoGoGoだろな
365774ワット発電中さん:2008/11/05(水) 01:00:53 ID:cWDZRwu7
今日解雇されますたorz
明日からハロワ通いです
366774ワット発電中さん:2008/11/05(水) 02:04:08 ID:v6+ZJWsP
( ゚Д゚)⊃旦~
小室よりは上だから頑張れ
367774ワット発電中さん:2008/11/05(水) 07:29:45 ID:7A8EiM6A
ところでSystemCで作ったらECOはどうやってするんだろう?
信号追えるのかな。
368774ワット発電中さん:2008/11/05(水) 09:08:25 ID:AAoTaIfv
内定取り消しが話題になってるなぁ
369774ワット発電中さん:2008/11/05(水) 23:21:07 ID:LQr+aqgs
神様、Verilog超初心者の俺を助けて下さい。

1Khzのクロックで8bitの入力を受付け、
8Khzのクロックで8bitの入力された値と
同一の値を出力するモジュールを作成しなくちゃいけないんだけど
初心者過ぎてさっぱりわからない。。。

神様、お願いします。
370774ワット発電中さん:2008/11/06(木) 08:50:31 ID:Q+zHtSE6
まあ、FIFOかな。
8kHzだったらマイコンでいけるけど。
371774ワット発電中さん:2008/11/06(木) 10:33:41 ID:hxOOVG5r
>>369
要は 1KHz と 8KHz の入力を比較すりゃいいだけだろ。
何の取っ掛かりもないとつらいのは確かなんで、とりあえずはこれでも読んどけ。

ttp://www.cqpub.co.jp/hanbai/books/33/33981.htm

これだけで今回のお題のコードぐらいは書けるようになるだろ。
372774ワット発電中さん:2008/11/06(木) 11:59:58 ID:eaZJM9vN
たとえ分周で簡単に作れるとはいえ、初心者に複数クロックの回路作らせるかね?
373774ワット発電中さん:2008/11/06(木) 12:09:03 ID:6D/4bVxY
もしかして、8kHzは、シリアル入力かい?
374774ワット発電中さん:2008/11/06(木) 16:56:47 ID:1FAKAJaM
>>370-373
低脳俺は、>>369は意味不明なんだが
>>369は現状に途方にくれている自分に励ましレスくれると助かると言っているんじゃないか。
少なくてもVerilogで作成をしてくれとか、作るためのアドバイスをここの香具師にお願いはしてないよな。

>>369 がんばれ!
375774ワット発電中さん:2008/11/06(木) 21:34:04 ID:lOqVIPV5
俺にも>>369の内容は理解不能
まあ、ホントに分からないからうまく説明すべきポイントも分からないんだと思うけど

1KHz,8KHzってHDLの出番じゃない気がするけど、もしかして、宿題?
376774ワット発電中さん:2008/11/06(木) 22:05:51 ID:HzOLcmXz
>>375
>>369 がんばれ!」分が抜けてる。レス失格だ。

>>369 がんばれ!
377774ワット発電中さん:2008/11/06(木) 22:12:01 ID:eaZJM9vN
>>375
逆に考えると旨く説明出来るなら、すでに教えて貰うことも無かったりするんだよな。

>>369
がんばれ。
378774ワット発電中さん:2008/11/06(木) 22:12:31 ID:lOqVIPV5
>>376 ごめんよ

>>369ガソバノレ!
379774ワット発電中さん:2008/11/06(木) 22:34:15 ID:dqGqHkaZ
>>375
どこが解らないかが分からないから、人にお願いではなく神様お願いなのかな
>>369はこのような悩み?を解決できるのは神様しかいないと言うことは
分かっているいるみたいだな。つまり、エスパー(すごい人)ですら難しいと判断したんだな。

>>369 超がんばれ
380774ワット発電中さん:2008/11/06(木) 23:14:10 ID:9pdCXxsP
>>369
ガンガレ。超ガンガレ。
381774ワット発電中さん:2008/11/07(金) 00:09:58 ID:aFb8mqWe
シフトレジスタ作れってことじゃないか?
宿題だとしたら、ありそうだし。
382774ワット発電中さん:2008/11/07(金) 00:30:23 ID:klYHSmFY
>>381
>>369 ががんばって分かるように説明してくれないといやはやなんともだが
パラ(1Khz, 8bit)<->シリ(8khz,8bit長)双方向変換機をverilogでかもしれん
つまり、パラシリ両入力出力対応シフトレジスタ

>>369 がんばれ、がんばれ、369!ウォーッ
383774ワット発電中さん:2008/11/07(金) 21:58:22 ID:daJjQAI6
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
384774ワット発電中さん:2008/11/07(金) 23:35:59 ID:zocGPIKu
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i

385774ワット発電中さん:2008/11/07(金) 23:43:19 ID:yRMKgr8A
という夢を見た
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 短小包茎
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i

386369:2008/11/08(土) 01:25:48 ID:J7el8DeW
みんなありがとう
まさかこんなに自分の書込みこんなに励ましのレスがつくとは思ってなくて今見ました。

頑張る!!!
387774ワット発電中さん:2008/11/08(土) 11:16:00 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
388774ワット発電中さん:2008/11/08(土) 14:20:10 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
389774ワット発電中さん:2008/11/08(土) 14:21:28 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
390774ワット発電中さん:2008/11/08(土) 14:21:59 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
391774ワット発電中さん:2008/11/08(土) 14:23:08 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
392774ワット発電中さん:2008/11/08(土) 14:24:43 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが
おまえらバカすぎ。
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
393774ワット発電中さん:2008/11/08(土) 14:28:10 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
394774ワット発電中さん:2008/11/08(土) 14:29:23 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
395774ワット発電中さん:2008/11/08(土) 14:30:55 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
396774ワット発電中さん:2008/11/08(土) 14:33:01 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
397774ワット発電中さん:2008/11/08(土) 20:54:33 ID:M8tdjqR3
秋月スレで荒らしすぎて、ヤバいことになったから逃げてきたの?
398774ワット発電中さん:2008/11/08(土) 23:02:38 ID:e8ddljca
ここ一年ぐらいでこのスレ荒れるようになったな。
前は全然こんなことなかったのに。
あるメーカの名前が挙がりだしてからね。
(東京エレクトロンデバイスではないよ。)
だから次世代最下位なんだと。
399774ワット発電中さん:2008/11/09(日) 04:50:46 ID:p6gJdCKe
次世代の最下位は、身売りしたサンヨーなんだが。
400774ワット発電中さん:2008/11/09(日) 15:45:03 ID:OzAXur/y
三洋、パナの子会社になんかなって大丈夫かなぁ。
経営はへたくそだけど、研究部門は有機半導体コンデンサとか、エネループとか
ユニークさが得意。
一方のパナは、カンキョーやジャストシステムに訴訟攻撃掛けてベンチャーを潰しにかかる。
パナの子会社になったら、三洋のエンジニアは転職していなくなってしまいそう。
401774ワット発電中さん:2008/11/09(日) 16:20:26 ID:rwbC43kx
不況で転職先なんてないよ。
ダンボールハウスにプロの空き缶拾い業かな
402774ワット発電中さん:2008/11/09(日) 16:45:48 ID:Nk7arsCK
最近のダンボールハウスはすごいよ。
テレビにビデオ、インターネットまで完備。
403774ワット発電中さん:2008/11/09(日) 16:53:19 ID:U9YLABbp
いや、インターネットは無理だろ
404774ワット発電中さん:2008/11/09(日) 16:57:09 ID:k0QD0i81
ケーブルいらずですぐに繋がる無線LAN
405774ワット発電中さん:2008/11/09(日) 18:15:19 ID:kXJJ+XKW
ダンボールハウスって電気はどうやって調達してんの?
街灯から引っ張ってくるとかかな。
406774ワット発電中さん:2008/11/09(日) 18:23:03 ID:0xsW4ODU
つ 太陽光発電
407774ワット発電中さん:2008/11/09(日) 18:24:55 ID:4UMn+ZIb
>>406
なにそのエコ住宅?
408774ワット発電中さん:2008/11/10(月) 11:31:52 ID:dUAqzdPL
設計の仕事ってホント糞だよな
409774ワット発電中さん:2008/11/10(月) 12:38:29 ID:CsU5ao8F
糞だと思うなら職変えたら?
410774ワット発電中さん:2008/11/10(月) 15:19:33 ID:cXavOtMt
ウンコ好きのスカトロ野郎もいるよ
411774ワット発電中さん:2008/11/10(月) 18:53:53 ID:ftS6DM7p
ダンボールハウスの設計に転職なんてどう?
建築士の資格がないとダメなのかな。
412774ワット発電中さん:2008/11/10(月) 20:20:02 ID:/q4/U48z
413774ワット発電中さん:2008/11/10(月) 22:19:54 ID:YqxZETHH
設計の仕事ってホント糞だよな。
414774ワット発電中さん:2008/11/10(月) 23:31:50 ID:DY9UAAQP
仕様の設計からやってるなら、そうでもないんだが
ただ部品(RTL記述)を集めて組み合わせるだけなのを設計と思っているなら確かにダメだな。
415774ワット発電中さん:2008/11/11(火) 00:30:29 ID:GtWicp1S
商品企画が上から降りてくるので、仕様策定からやってるのにやりがいが無い
なんて職場も多そうだ。特に民生品。
416774ワット発電中さん:2008/11/11(火) 07:43:40 ID:9bit9bp3
ころころと変わる仕様
固定された納期
金くれるから付き合ってやっているだけ。
仕事は糞だよ。
417774ワット発電中さん:2008/11/11(火) 08:48:35 ID:esaRYuU3
今日もバカ客の子守だぜー
418774ワット発電中さん:2008/11/11(火) 09:26:39 ID:dPw/ZIK8
辞めちゃえよ、甲斐性なしが。
419774ワット発電中さん:2008/11/11(火) 14:54:02 ID:kl8hWk+l
>>417
どんなふうにおバカさんなの?
420774ワット発電中さん:2008/11/11(火) 15:43:23 ID:tK7vT/Ix
>>417 の方がバカだと予想。
421774ワット発電中さん:2008/11/11(火) 17:55:42 ID:usFrZ2k4
>>414-415
やっているのが設計であって開発じゃないからだろ。
ハイ、設計しました、おわり。こう言う感じなんだろな。
422774ワット発電中さん:2008/11/11(火) 19:10:15 ID:HV66ig19
>>369
しっかりがんばれ
423774ワット発電中さん:2008/11/11(火) 20:32:38 ID:6aedd36h
こっちも馬鹿客の子守りが大変だよ。
424774ワット発電中さん:2008/11/11(火) 22:02:27 ID:8tucwi5S
>414 は設計じゃなくてコーディングだよ
425774ワット発電中さん:2008/11/11(火) 22:04:47 ID:JXLqodYF
バカにはバカがクルーーーーって常識だよね
そして、おまえもなーーーとお互いに言い合う
426774ワット発電中さん:2008/11/12(水) 18:50:51 ID:wYb3l2rt
クソ客の馬鹿さかげんにはあきれるぜ。
請け負いさきで、馬鹿にされてるともしらないで。
427774ワット発電中さん:2008/11/12(水) 19:28:30 ID:lC8we/NW
>>426
お前も客に馬鹿にされてるのも知らないで・・・
428774ワット発電中さん:2008/11/12(水) 20:37:07 ID:zkVcXr2j
スレチガイすら認識できない>>426は仕事でもこんな調子なんだろな
やっぱり、バカにはバカがクルーーーーって常識なんだな
429774ワット発電中さん:2008/11/12(水) 21:26:53 ID:zn/V2zDs
内は世界一の技術商社
東京エレクトロンデバイスだ
おまえら、ど素人はだまつてろ
このクズどもが
430774ワット発電中さん:2008/11/12(水) 23:36:21 ID:eNtu/yFj
自分で出来ないか人手が足りないから請負に出すわけで、
理解して自分で出来るなら仕事なんて来ないんだよ。

お互いに、出来ないから頼んでいる、助けることで仕事を請け負える
ことを理解しないとな。
これを理解せずに相手を馬鹿にしてるのが一番馬鹿だと思うんだが。


仕様変更で無理を強いてくることがあったりするだろうけど、
出来ないことを依頼してるわけで、仕様などを明確に伝えられないのは当たり前で
明確に伝えられるところは自分のところでも出来ることなんだな。

只言われたことをだけを実行するだけじゃなく、相手の真意を理解し相手を導いていく
不明確なところを明確にしてあげるてゴールに導いていくことが必要。
431774ワット発電中さん:2008/11/12(水) 23:44:16 ID:aNRzjQYh
>>430
なんかちょっと感動した。
432774ワット発電中さん:2008/11/12(水) 23:56:20 ID:+Y2zqzr3
>>430
自分たちじゃできないから丸投げしてるくせに
(当初担当するハズだった)元請けのオッサンは

エンドにはウチの社名を一切出せない
エンドの言うことを理解せずしかも曲解し
各メールに"重要度:最高"をわざわざ付け、
オッサンなりの解釈で変な指示を入れる
エンドと直接会話させることを避ける
俺の質問を「理解できないから」握りつぶす

とか、メンツだけは一人前だった。
ブチキレました。

今はエンドと直接話せるのでまるで別世界だ。忙しさは数倍に増したけどw
ウチの営業、エンドから直で取り直してくれないかなあww
433774ワット発電中さん:2008/11/13(木) 00:03:00 ID:brEQisBu
>>432の状況に加え次のことがあるんだよな
・エンドユーザの仕様でわからないことを俺にえんえんと聞く
おまえがエンドユーザに確認とらなきゃ、俺もわかんねえよ
434774ワット発電中さん:2008/11/13(木) 00:12:50 ID:0qFwTFAY
>>430
俺のところは、人手が足りないと言うよりは
会社の人材をかけてやる意味のない部分を、外部に出すことが多い。
つまり、コストセーブ。これのために派遣やら請負を使っている。
435774ワット発電中さん:2008/11/13(木) 00:29:06 ID:0qFwTFAY
>>432
典型的なドカタ構造だな。
でもな、基本的に駄目なのお前の会社だぞ。
2次受けしか受注出来ないような会社ってことだ。
お前はエンドと直接やっているけどな、金流れははしっかりエンド->元受->お前の会社になっているんだぞ
>今はエンドと直接話せるのでまるで別世界だ
何もしなくて金はしっかり入る、やっぱガキだねとおっさん、ほくそ笑っているな
436774ワット発電中さん:2008/11/13(木) 03:53:53 ID:nDiSm0Ir
>>435
あんた、金さえ入れば何でもいいと思ってるでしょ?
世の中、生きていく分の金を確保できれば過程や結果を重視する奴が大勢いるってことを知った方がいいよ
で、あんたみたいなのはあんたが食い物にしていると思ってる奴らがへそを曲げたらとたんに立ち行かなくなるわけだ
437774ワット発電中さん:2008/11/13(木) 08:21:01 ID:of6F3ITL
>>463
何か勘違いしてないか?
食い物にしてるんじゃないだろう。
自分から苦労を背負い込んだのは>>432で、その結果、
おっさんの所、その案件に関してなにもしなくても金が入ることになったじゃね。
請け負った仕事を丸投げするってソフトなんかではよくあることで、
それを食い物にしてって言うのもどうかと思うぞ。
あと、あと下請けがへそを曲げるなんて簡単にできると思うか?
438774ワット発電中さん:2008/11/13(木) 08:23:19 ID:of6F3ITL
間違えた
>>437は -> >>436
439774ワット発電中さん:2008/11/13(木) 19:45:15 ID:OKTVxKqs
>>369
しっかりがんばれ
>>369
しっかりがんばれ
>>369
しっかりがんばれ
440774ワット発電中さん:2008/11/13(木) 22:52:06 ID:qf7fSHRW
>>430
なーに、寝言いってんだ、だからSONY製電池搭載の富士通パソコンが燃えるんだよ。
441774ワット発電中さん:2008/11/13(木) 23:12:51 ID:7kN5J+gq
三洋の電池も燃える燃える、あ仕様だよね。
442774ワット発電中さん:2008/11/13(木) 23:16:54 ID:Wy/tC+S1
電池萌えるの仕様あるね
食べ物にぶない物入っているも仕様あるね
そんな常識仕様知らない日本人は基地外あるね
443774ワット発電中さん:2008/11/15(土) 21:20:38 ID:jhXXKXMN
派遣元はグッドウィル@栗タープロジェクト
派遣先は東京エレクトロンデバイス@DDC
お客様は、国策企業富士通様だ。
富士通様のデザインセンターで仕事をしたこともあるんだぞ

えへへへへへへへへへへ、えへへへへへへへへへへ
\________________________/
           V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
444774ワット発電中さん:2008/11/16(日) 07:16:25 ID:QK5ykIjO
>>430
それがおまいに一番足りないものだ
と部長が言っていたな

後輩や2チャン相手にせいぜいいきがってくれよん
445774ワット発電中さん:2008/11/16(日) 09:02:07 ID:Rzmg2tle
お互いに気持ちよく仕事できるかってことだけなんだけどな。
上手くすれば相手のやる気を引き出したり、前向きな協力をしてもらったりできるし。

仕事をとってくるところから、実務、受け渡し依頼まで一通りこなしている人ならわかるだろうけど、
上から振ってくる仕事を言われたとおり黙々とこなしてるうちはあんまりそのことに気づかないんだよな。
職場でもいるだろ仕事だからつきあってるけど、気の利くいいやつと、あんまり関わろうと思わないのが、
社外でも一緒だよ。
446774ワット発電中さん:2008/11/16(日) 09:06:02 ID:Rzmg2tle
付け加えておくと、自分は管理職ではなく若手なんだけどな。
447774ワット発電中さん:2008/11/16(日) 15:23:04 ID:AyX9nwec
>>446
だからどうした、このタコ
448446:2008/11/16(日) 15:36:24 ID:zvhs64J1
やかましいヌっころすぞバカたれ
449447:2008/11/16(日) 18:11:34 ID:GAC7elBC
うるせーバーカ
450774ワット発電中さん:2008/11/16(日) 19:06:09 ID:EiY+hwXr
この業界の人は ガラが悪いことだけはわかりました
451774ワット発電中さん:2008/11/16(日) 19:08:15 ID:XkXhlRtZ
↑なに調停人きどり氏ねよ
ばーか
452450:2008/11/16(日) 19:31:30 ID:ArcZ4Nj0
うーるせバーカ



こうですか?わかりません!><
453774ワット発電中さん:2008/11/16(日) 20:58:41 ID:RVrWa/15
>>446
ぷーぷぷぷぷ、自称若手ねぇーーー。
哲学ばかりで技術がおいちゅかないでちゅー。。。
454774ワット発電中さん:2008/11/16(日) 21:03:02 ID:KBPH/pcE
>>453
馬鹿は黙ってろ
455774ワット発電中さん:2008/11/16(日) 21:22:24 ID:Wns5gUUB
>>445
>仕事をとってくるところから、実務、受け渡し依頼まで一通りこなしている人
営業、資材(購買)、技術、製造、品管、全て一人でやっているのか?
ところで社員何人いる?
456774ワット発電中さん:2008/11/16(日) 21:32:10 ID:QK5ykIjO
>>454
お願いしますはどうした、このカス。
457774ワット発電中さん:2008/11/17(月) 20:13:07 ID:PaCjL7vZ
アルテラの開発環境QuartusIIについて、質問です。
日本語の入力は、どうしたらいいのでしょうか??

VHDLにて、コメント文を入力しようとしたところ、半角英数記号は入力できるのに、
半角カタカナや全角文字が入力できません。
トランジスタ技術2006年4月号によるとバージョン6.1では、
メニュー「tool」-「option」からtexteditorのフォントを日本語フォントにすればok らしいのですが、
最新の8.1や一つ前のバージョンの8.0sp1で試したところ、入力ができません。
どうか、知恵を貸してください。
458774ワット発電中さん:2008/11/17(月) 20:59:43 ID:Os14IB6C
>>457
そんなことくらい、てめえで考えろ!
459774ワット発電中さん:2008/11/17(月) 21:07:46 ID:4bwKtemp
>>457
確かにやってみたけど出来ないね。
俺なら別のエディタを使うか、環境の問題が多いからコメントとはいえ日本語は使わないかのどちらかにする。
460774ワット発電中さん:2008/11/17(月) 21:16:33 ID:eLiNNr4j
>>457
入れ知恵 1泊5000円
浅知恵 1泊10000円
悪知恵 2泊30000円

どの知恵をお貸しましょうか。
461774ワット発電中さん:2008/11/17(月) 21:21:58 ID:bn+3HemW
ソースは日本語でコメントしてコンパイル時はソースリーダのところで
ストリップする


462774ワット発電中さん:2008/11/18(火) 20:40:56 ID:/C9EYekf
バカ客の子守りに疲れて、、、、
463774ワット発電中さん:2008/11/18(火) 23:28:53 ID:/C9EYekf
糞客のあいては幼稚園児のあいてとおなじ
464774ワット発電中さん:2008/11/19(水) 02:09:24 ID:Y3E9oWGM
ところでC++ってなんて読むの?
しーぷらぷら?
465774ワット発電中さん:2008/11/19(水) 03:06:29 ID:fcS7ti5P
たすたす
466774ワット発電中さん:2008/11/19(水) 10:38:02 ID:s/iwJY83
しーいんく
467774ワット発電中さん:2008/11/19(水) 14:39:46 ID:fTzb+cPq
>しーぷらぷら
なんか垂れ下がってそう
468774ワット発電中さん:2008/11/19(水) 17:17:56 ID:504DAhYX
まあ、30過ぎるとなんだ、…
469774ワット発電中さん:2008/11/19(水) 20:51:00 ID:MhcEKirL
しーぴーぴー
どっとしーしー
470774ワット発電中さん:2008/11/19(水) 22:16:48 ID:NhyAvvYi
関連会社入れて数万人
471774ワット発電中さん:2008/11/19(水) 22:26:19 ID:rv2zct2u
verilogでのデュアルポートRAMの記述はみなさんどうされていますでしょうか。

ttp://www.cs.hiroshima-u.ac.jp/~nakano/wiki/wiki.cgi?page=%A5%D6%A5%ED%A5%C3%A5%AFRAM

上記URLに載っている
・読み出し優先
・書き込み優先
の2つを試してみたのですが、QuartusIIにて書き込み優先の方しかRAMとして合成されませんでした。
472774ワット発電中さん:2008/11/19(水) 22:47:13 ID:+l5kNz4g
>>457
フォント変えれば8.0でも表示は出来るよ
一応、文字コードにも注意だよ
入力は、出来ないんだっけ?外部エディタしか使わないから分からないorz
473774ワット発電中さん:2008/11/20(木) 10:49:16 ID:gMwApmYp
>>471
Quartus2使う時はこれ参考にしてる
ttp://www.altera.co.jp/literature/hb/qts/qts_qii51007_j.pdf
474774ワット発電中さん:2008/11/20(木) 19:03:47 ID:Ld49YpMe
>>471
およよ。どっちがウンコなの、Quartus or コード
475471:2008/11/20(木) 21:06:10 ID:Ndn1rahW
>>473
おお、ありがとう。
ちゃんと見本が載ってますね。
助かりました。

>>474
推奨記述を使わないでネットで拾ってきた漏れがうんこw
選ぶターゲットデバイスによってはどっちの記述もRAMになったりするからよくわからん…。
476774ワット発電中さん:2008/11/20(木) 22:56:15 ID:ReXbcfFy
ブロックRAMが正しく合成されるかどうかが記述に依存するってイヤじゃね?
ベンダのライブラリ呼んだ方がよくね?どうせデバイス依存なんだし。
477471:2008/11/21(金) 00:39:03 ID:+cKICmo4
megafunction使えばいいという話もあるんですけどね。
デバイス変えるたびに生成し直さないといけないしシミュレーションも面倒になるし…。
478774ワット発電中さん:2008/11/22(土) 03:53:53 ID:k2OGCcP8
DesignWaveMagazine 2009/03で休刊、季刊化らしい
479774ワット発電中さん:2008/11/22(土) 06:44:08 ID:oUVg8f8+
まあ内容がコア(怖)過ぎるわな。



…ごめん。
480774ワット発電中さん:2008/11/22(土) 10:57:42 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ
そんなこともわからねーのか、さすがクズだな。
481774ワット発電中さん:2008/11/22(土) 10:58:19 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ
そんなこともわからねーのか、さすがクズだな。
482774ワット発電中さん:2008/11/22(土) 11:15:47 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ
そんなこともわからねーのか、さすがクズだな
483774ワット発電中さん:2008/11/22(土) 11:24:30 ID:v11L/j8P
バカ杉な質問するんじゃねー、このクズ
そんなこともわからねーのか、さすがクズだな
484774ワット発電中さん:2008/11/22(土) 17:34:19 ID:Ds5tDMzj
大事な事なn(略
485774ワット発電中さん:2008/11/22(土) 18:32:20 ID:LQaiLge7

答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ

486774ワット発電中さん:2008/11/22(土) 20:29:37 ID:6QiDnuiR
>>484で初めて思ったけど、
「大事な事」って、「頭痛が痛い」みたいなもんなのかな。



最近、話がそれまくりだな。
487774ワット発電中さん:2008/11/22(土) 22:18:12 ID:vMVcZX7s
そんなに >>471 をイジメるなよ
488774ワット発電中さん:2008/11/22(土) 23:21:21 ID:0hvv68Mn
>>486
大事なおおごとって言ったらもうあれだな。
489471:2008/11/23(日) 15:58:26 ID:kelRkoS3
そんなに漏れをいぢめるなよ
490774ワット発電中さん:2008/11/24(月) 21:06:23 ID:cpUqL8wo
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
答えはウンコだよ
491774ワット発電中さん:2008/11/28(金) 02:10:27 ID:SOiuUC6O
そろそろSystemVerilogとSystemCも仲間に入れて上げてください。
やっぱり、まだダメでしょうか。。。
492774ワット発電中さん:2008/11/28(金) 12:48:22 ID:C2CV1CBM
既に論理合成がちゃんと機能してるSystemVerilogとシミュレーションしか用途のないSystemCを同列に扱うな
493774ワット発電中さん:2008/11/28(金) 20:34:17 ID:9w73kBi2
SystemVerilogはVerilogの新しいのとして使えるしね
漏れんとこもVHDLは滅ぼして、SystemVerilogへ行くみたいだよ
まだ立ち上げたばかりで資産という資産もないし、FPGA系なんで比較的サクッと変更出来るしw

当然ASIC部隊の方は、そんな簡単にはいかないんだけどね
こう言うのはCOBOLみたいに、ずっと残っていくのかな・・・

SsytemCは、使う予定も全くないな。C++なんて分からないし。
ソフト屋から来る香具師でもいれば考えられるのかも知れないけど、ソフト屋の方が人足りてないしなw
494774ワット発電中さん:2008/11/30(日) 11:20:29 ID:CqGA2F8K
↑だからどうした、このタコ
495774ワット発電中さん:2008/11/30(日) 12:23:17 ID:pZZjz9kT
DKは入れてもらえない?
496774ワット発電中さん:2008/11/30(日) 13:00:40 ID:/oijYkyP
o○w
えへへへへへへ、えへへへへへへ
\________________________/
      派遣   V
    /      \
   (  人____)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
497774ワット発電中さん:2008/11/30(日) 13:16:33 ID:WzsZqOxx
498774ワット発電中さん:2008/11/30(日) 23:08:21 ID:WefTXhZS
>>493
逆!
ハード屋をソフト屋にするのがSystemC
つまり、需要の少ないハード屋を需要の多いソフト屋に強制転職させるのがSystemC
499774ワット発電中さん:2008/12/01(月) 10:16:26 ID:0vUk0KXR
ソフト屋なんて星の数ほどいるよ
わざわざ稀少なハード屋を転向させる必要はない
500774ワット発電中さん:2008/12/01(月) 17:47:45 ID:wOBi8W8M
バブル以後、日本人ハード屋の低脳基地外化が進み今の現役では世界市場で戦えません
そこで、これからはハードの設計は優秀な外国人にになってもらうことにしました
国籍を問わず人材を世界に求めることで優秀な人材を確保する所存です
このスレを見れば日本のハード屋がいかに低脳か明らかですね
501774ワット発電中さん:2008/12/01(月) 19:41:19 ID:44Wk+dBB
確かに低脳だ>>500
502774ワット発電中さん:2008/12/01(月) 19:52:15 ID:OQnxi+/D
実際、おまいらのトコはハード設計を海外に出してんの?
うちは今のとこ無いみたい。

まだ10年選手だからわからんけど、
昔に比べて国内の設計屋が少なくなってるのでしょうか。やっぱり。
503774ワット発電中さん:2008/12/01(月) 21:51:36 ID:qIJia7zE
>>502
海外の外注に出してるんじゃなく、海外に開発・設計センターを設立
うちは中国・インドに開発センターあるが、エンジニアを日本に比べはるかに安い給料
で雇えるとか言っているよ。で、日本よりそっちの方が安くて優秀となると、工場の海外移転
同様に開発・設計も移転(とりあえずその市場向け製品開発部隊から)となる可能性ある。
504774ワット発電中さん:2008/12/02(火) 09:54:15 ID:uttH9BBT
こんなスレも見ています
にVerilog VHDLスレがあるんだなぁと思って見たら

人いない・・・OTL


海外に設計センターをって
ソフトウェアのほうがよく聞く話じゃないか?

ハードウェア設計もそうなってきたら中小死亡か・・・俺か・・・
505774ワット発電中さん:2008/12/02(火) 10:59:10 ID:hwzauYOd
ソフトはいいけど、ハードは、検証やデバッグを一緒にできないからなぁ。
何十人も一度に必要になるプロジェクトも少ないし。
506774ワット発電中さん:2008/12/02(火) 17:13:26 ID:FKSki/S4
>>505
担当製品の開発部隊が海外移転なら、お前も当然そこに転勤だろ?
507774ワット発電中さん:2008/12/02(火) 19:23:29 ID:A4cy+6B5
> 何十人も一度に必要になるプロジェクトも少ないし
そんなことはないだろ
ハードでも大規模プロジェクトはいくらでもある
ソフトみたいに手戻りが楽じゃないから胃が痛いぞ
508774ワット発電中さん:2008/12/02(火) 19:44:46 ID:AovEfK7E
あーゴメン
ハードって言うからボードのことを考えてた。
LSIはそうだよね。うちは数人のプロジェクトだけど、ベンダーさんは何十人もかかってそう。
まあ、それは海外でもよさげ。
509774ワット発電中さん:2008/12/02(火) 21:41:19 ID:H+V8xLio
o○w 、デブで身体能力最低、
情報処理専門学校卒で情報処理試験不合格
毎週競馬で負けて、収入は派遣での稼ぎだけ
派遣元は栗タープロジェクト
派遣先はTED
TEDの正社員の振りしてF通のデザインセンターに
はいった事もあるんだぞ、
警備でTEDの社員と偽って、
門を出るときはFグループの一員きどり。
○○の宿に帰ってきがついた、俺は客先常駐、栗タープロジェクト
住の実家ではPSにアニメを録画しているアニメお宅
マザコン実家通勤の40歳の童貞男の子
年齢=彼女いない歴の俺様

えへへへへへへ、えへへへへへへ
\________________________/
      派遣   V
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (栗)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
510774ワット発電中さん:2008/12/02(火) 21:46:29 ID:Y2dYmfap
IC設計は頭脳勝負だから、頭の良いインド、中国人にやらせたほうがいいよ
511774ワット発電中さん:2008/12/02(火) 22:19:33 ID:BgHy1pCF
なんか定期的に自己紹介してる人が居るみたいなんだがファビョりっぱなしなの?
それとも別人に感染する病気なの?
512774ワット発電中さん:2008/12/02(火) 22:56:23 ID:Y2dYmfap
>>511
そんなハード屋が多いってこと
513774ワット発電中さん:2008/12/03(水) 01:17:21 ID:NVegxVBc
>>510
あのさ。インド人なんて頭いいとおもってんの?
いったいどういう根拠でインド人がオツムがいいのか明らかにしてくんないかな?
2桁のかけ算なんてそろばんからすれば屁みたいなもんだし。
0の概念なんて別に起源をたどればインドに行き着いたんだろうが、加減算やればかならず0なんて行き当たるわけだし。
インド移転なんて設計というよりITドカタ人件費削減でのコールセンター転送がほとんどだろ。
514774ワット発電中さん:2008/12/03(水) 01:59:08 ID:k5Fk6flY
>>513
アメリカ・ヨーロッパの会社と仕事すると良く分るよ
515774ワット発電中さん:2008/12/03(水) 02:31:05 ID:NVegxVBc
チッ、結局具体的になーんも説明できないのかよ。
別に欧米企業をもちださなくともインド人は俺の職場にも居るがな。
で、そのオツムの良い国が何で300年にもわたって英蘭に搾取され続けてきたんだい?
日本は丁髷切ってわずか40年で当時の最強国ロシアに勝利したよな。
お前の尊敬するインド人がもっとも尊敬するのは日本だとさ。
具体的になーんも知らずに、上っ面の報道を鵜呑みにしてることを恥と知れ
516774ワット発電中さん:2008/12/03(水) 02:39:10 ID:b0kw7Qo8

 さて、そろそろ寝ようかな。

517774ワット発電中さん:2008/12/03(水) 11:00:10 ID:LaLVti8L
インド
独自に核兵器を開発したのは純粋にすごいと思うぞ
518774ワット発電中さん:2008/12/03(水) 11:15:01 ID:4flXQ4sF
インドはカースト敷いていたもんで
下克上できるようになってきたのは半導体と同時期。

って、地理の先生が言っていたような・・・
要は大企業の下っ端的な位置にいるところなのかねぇ

あとは人口多すぎで発展しきれないとか?

・・・経済?
519774ワット発電中さん:2008/12/03(水) 17:40:14 ID:GXisfW50
インドはカレーの国だから、絶対凄いに決まっているだろ、おまえら
子供の大好きなカレーの国だぜ!

>>515 
当時の最強国ロシア勝った国がアメリカに無条件降伏、ロシアにはいまだに北方領土取られている
って凄いよな。昔は昔、現代じゃないからな。
520774ワット発電中さん:2008/12/03(水) 21:53:55 ID:2mk/4uj1
歴史を知らない奴がなんか言ってるな

日本は連合国に無条件降伏したのであってアメリカに無条件降伏したのではない
連合国にはソ連も入っているんだぞ
521774ワット発電中さん:2008/12/03(水) 23:41:15 ID:tq3C8JQZ
最強国ロシア勝った国が落ちぶれたんだね
522774ワット発電中さん:2008/12/03(水) 23:44:07 ID:LaLVti8L
>>520
いまは日本も連合国の一員だ 敗戦国条項はあるけどな
いまだ連合国の一員になってない国ってスイスと台湾と後どこだったっけ?
523774ワット発電中さん:2008/12/03(水) 23:44:29 ID:K/adw0Et
>>513
普通に考えれば、人数の差だろう・・・
524774ワット発電中さん:2008/12/04(木) 00:19:06 ID:hOYvq3sD
中国、インドって人多いからな。
優秀な奴の人口比少なくても、母数がでかいから
優秀な奴の数は日本より多くなる可能性あるな。
525774ワット発電中さん:2008/12/04(木) 00:46:46 ID:7tEUPZmb
>>524
ついでに言えば貧富の差が激しいから、出来るやつと出来ない奴の差も大きい
金持ちか有能な奴でもないと、勉強できないからね
勝ち組になろうと必死だし、昔の日本のようによく働く
今の腐った日本じゃあ、日本語が使えることくらいしかアドバンテージがないよw

ところでVHDL 2008ってどうなったの?
526774ワット発電中さん:2008/12/04(木) 01:09:17 ID:U0k0lr+T
日本の優秀な奴の人口比も少ないからな
527774ワット発電中さん:2008/12/04(木) 15:27:31 ID:dq8O7aMN
C言語の__DATE__みたいに。
コンパイル日時を保持するマクロってありませんかね。

a <=COMPILED_DATE;
とかやると
aにはx"200812041528"
みたいなのが代入されるとか。

528774ワット発電中さん:2008/12/04(木) 16:25:47 ID:f4iG/X9w
>aにはx"200812041528"

こんな回路が合成されたらキモすぎる
プリプロセッサ代わりに一度Perlでも通すならできる
529774ワット発電中さん:2008/12/04(木) 17:12:28 ID:wEYsHecF
m4つかえ 常考
530774ワット発電中さん:2008/12/04(木) 22:54:08 ID:IxeTQhB2
>>528
“コンパイル日時レジスタ”が生成されるじゃね?
スタートアップの時に値がプリロードされてるの(w
531774ワット発電中さん:2008/12/05(金) 00:14:15 ID:qw7vF4K3
bit数無駄だろ
エンコードして
ボリュームシリアル番号は 2EC5-56B8
みたいなフォーマットにすりゃ節約出来る
532774ワット発電中さん:2008/12/06(土) 08:00:54 ID:sWnYTk8N
>>531
うそつくんじゃねー、このタコ
533774ワット発電中さん:2008/12/06(土) 09:50:14 ID:rsOYFJTM
200812041528 は 38bit ?
ボリュームシリアル番号は月とか日とか99まで数える必要が無い部分を
圧縮したフォーマットだから 32bit に収まるって話?
534774ワット発電中さん:2008/12/06(土) 09:52:20 ID:TkxUUZ2L
つか日時を焼き込む必要なんてあるのかね
535774ワット発電中さん:2008/12/06(土) 10:01:42 ID:dR+THHrx
現代の名工の俺としては自分名前ののAsciiコードを梅込たい
536774ワット発電中さん:2008/12/06(土) 10:03:36 ID:E/ph6TKv
電源層にでも刻んどけ
537774ワット発電中さん:2008/12/06(土) 10:12:07 ID:/absRPkt
>>535
名前なら固定値だし簡単じゃないか?
538774ワット発電中さん:2008/12/06(土) 11:42:19 ID:TkxUUZ2L
それならCADでお絵かきして名前入れた方が良いだろ、昔そんなの無かった?
539774ワット発電中さん:2008/12/06(土) 12:15:39 ID:YAiLrREr
今度仕様決めるときにレジスタの初期値でやってみようかなw
540774ワット発電中さん:2008/12/06(土) 12:21:55 ID:/absRPkt
FM8のYAMAUCHIコマンドを思い出した。
541774ワット発電中さん:2008/12/06(土) 13:10:41 ID:nl8REarN
おお、このASICは銘入りじゃないか、さぞや名のある人の手になるものなのだろう。
でもエロゲキャラの名前つけるのはやめれ。
542774ワット発電中さん:2008/12/06(土) 13:54:31 ID:rSD/9HQJ
レジストで名前入れてる基板は見たことある。
捨板のところだったけど、「遊んでんな〜w」とおもた
543774ワット発電中さん:2008/12/06(土) 15:44:23 ID:hWQdsLlb
6層基板の内層に入れたら見つかるかな?
544774ワット発電中さん:2008/12/06(土) 16:46:55 ID:oGjivJiA
X線検査がきっかけでばれて改版命令が出た例を知ってる…。
545774ワット発電中さん:2008/12/06(土) 17:09:12 ID:qy3N96YT
日本語のVerilogの入門書で、お薦めの書籍はないでしょうか?
Quartusの選択肢にVerilog2001やSystemVerilogとあるので、そう言った新しい規格にも対応していると嬉しいです。
546774ワット発電中さん:2008/12/06(土) 17:23:46 ID:RgeQTWrR
>>544
kwsk.
547774ワット発電中さん:2008/12/06(土) 18:33:40 ID:pdl63lF9
verilogでsinやらcosを使いたいんですが無理ですかね?
548774ワット発電中さん:2008/12/06(土) 19:24:20 ID:357CS3AI
昔のPC-98と周辺機器に使われてたNECカスタムチップ表面にチップの愛称?とおぼしき
名前が印刷されていてですね。何だよS-PULSEってw
549774ワット発電中さん:2008/12/06(土) 20:05:23 ID:oGjivJiA
>>546
基板の内層に自分の名前をこっそり入れておいたが、何かの問題でX線検査することになりばれてしまったらしい。
お偉いさんに認められず結局改版することに…。
550774ワット発電中さん:2008/12/06(土) 20:21:49 ID:RgeQTWrR
>>549
名前なんて逆に製作メンバの名前を全員入れるとかしたほうが
よほど士気も品質も高まるだろうに・・・ダメなお偉いさんだな。
551774ワット発電中さん:2008/12/06(土) 20:35:39 ID:XMxvcGe8
こいつらか、駄目なの作ったので恥さらしだな
552774ワット発電中さん:2008/12/06(土) 20:42:30 ID:rsOYFJTM
どうせ入れるんならパターンそのものを文字に読めるように工夫すれば
消される心配はないのに
553774ワット発電中さん:2008/12/06(土) 20:42:57 ID:/odMGYGf
基板じゃなくてICで、名前入れたのが原因の不具合でリコールになった事例があったような。
554774ワット発電中さん:2008/12/06(土) 20:43:29 ID:rsOYFJTM
>>547
テーブル使って適当に補間すればいいんじゃないでしょうか
555774ワット発電中さん:2008/12/06(土) 21:08:36 ID:7i3vA9+/
>>545
改訂 入門Verilog HDL記述

http://www.cqpub.co.jp/hanbai/books/33/33981.htm

SystemVerilog設計スタートアップ

http://www.cqpub.co.jp/hanbai/books/36/36191.htm


出来ればC/C++も読んでおいた方がいいかな
556774ワット発電中さん:2008/12/06(土) 23:21:29 ID:0r43gPMX
24進カウンタをデータフローレベルでVHDLを使用して記述する課題が出ているのですが、
JKフリップフロップすら作成できないです。
どなたか教えてもらえないでしょうか?

JKフリップフロップと二進カウンタを宣言を削除したら、下のように書きました。
JKフリップフロップを動作レベルで動かしたもので代用しても二進カウンタが動かず涙目。

entity JK_FF is
port ( J,K,CK : in std_logic;
Q,Qnot : out std_logic );
end JK_FF;

begin
G1 <= J and S2 and CK;
G2 <= K and S1 and CK;
COMP1 : SR_FF port map ( G1, G2, S1, S2 );
Q <= G1;
Qnot <= G2;
end STRUCTURE;


entity TWO_COUNTER is
port ( I : in std_logic;
O : out std_logic );
end TWO_COUNTER;

begin
COMP1 : JK_FF port map ( '1', '1', I, O, Qnot0 );
end STRUCTURE;
557774ワット発電中さん:2008/12/06(土) 23:41:06 ID:i56Qv/lw
基板の内層にドラえもんの顔を入れたのなら見た事ある。試作基板だったけどな。
558774ワット発電中さん:2008/12/07(日) 01:00:40 ID:gCQCHlW3
>>547ですが
実は物体の回転の描画をうまくverilogでやりたいんです
三角関数の計算式で角度を少しずつ変えてやろうと思ったんですが
他にうまいやり方とかありますでしょうか?
559774ワット発電中さん:2008/12/07(日) 01:18:27 ID:Ps+eJdW1
三角関数はCORDICを使うといいらしいと聞いたことがあるけど、詳細はシラネ
560774ワット発電中さん:2008/12/07(日) 01:46:48 ID:oOkQfNgB
>>547
それはDSPの仕事では?FPGAでやらせるには無理があるのではない?
561774ワット発電中さん:2008/12/07(日) 01:58:04 ID:HttERKTj
>>558
その手の処理では三角関数は必須だよね。
メモリがたくさん使えるなら単純なテーブル引きが簡単でいいよ。
ちょっと賢くやりたいと思ったら下記が参考になると思う。
ttp://blackfin.s36.coreserver.jp/2191/program/sine/sine01.shtml
562774ワット発電中さん:2008/12/07(日) 02:18:13 ID:gCQCHlW3
みなさん丁寧にありがとうございます
まだまだ初心者でわからない用語等ありますが、サイトを参考にして頑張ってみようと思います
563774ワット発電中さん:2008/12/07(日) 03:40:39 ID:WPVDUs1d
三角関数の実装とかの話は以下の本に出てる。
最後の章はビデオエフェクトの話だからやりたいことと近いかも
ttp://www.cqpub.co.jp/hanbai/books/36/36171.htm
564774ワット発電中さん:2008/12/08(月) 12:17:38 ID:TfFEShU0
そういえばマクローリン展開の回路ってあんの?


>>556
クロックイベントの記述を入れないと
レジスタじゃなくただの組み合わせ回路に・・・

clk'event clk = 1みたいのだっけ?
もうVHDLはだめだな・・・
565774ワット発電中さん:2008/12/08(月) 14:58:01 ID:GvgQE/Gf
566774ワット発電中さん:2008/12/08(月) 22:27:59 ID:oWGh7JNr
三角関数がマクローリン展開でそれなりの精度で出せる回路組んだら結構バカでかくならない?
乗算器大量にいるし。
テーブル引きが普通だとおも。
テーブルの量を減らす工夫はいろいろ必要かもね。
567774ワット発電中さん:2008/12/09(火) 03:30:46 ID:sxoiUXtZ
係数は定数だからそんな計算量ないよ
sin/cosの対称性考えて0<θ<π/2までの範囲で
精度があれば良いなら4項までで充分
568774ワット発電中さん:2008/12/09(火) 12:43:30 ID:YdUhdOql
演算1回あたり複数クロック要していいならかなり面積は減らせるぞ
LUTの大きさをとるか、演算レイテンシーの短さをとるかのトレードオフだな
569774ワット発電中さん:2008/12/09(火) 20:34:25 ID:N4Y2brH4
>>568
CPUっぽく処理させるつもりか!!
楽しそう
570774ワット発電中さん:2008/12/09(火) 21:52:05 ID:sdq/Z7i+
クロック食ってもいいならそういうてもありだね。
571774ワット発電中さん:2008/12/10(水) 01:18:34 ID:0oRX+hax
精度それほどいらねーんじゃね。
それなら1度ステップで90度ぶんのテーブルと、後は直線補間でどうにかならないか?
「CPUっぽく」ってのには何かそそられるものを感じるが・・・
572774ワット発電中さん:2008/12/10(水) 01:33:09 ID:+4qjvHCw
まぁそれが正攻法だと思う。
クロック食ってもいいという条件で、
少ない乗算器で実現できればもしかすると
マクローリン展開の回路組んだ方がコンパクトになるのかもしれん…。
573774ワット発電中さん:2008/12/10(水) 01:38:48 ID:+4qjvHCw
そういや漏れもロジック数減らすためにその手の数学っぽい処理の回路をCPUっぽく1個の演算器で時分割させたことはあるな…。
そうしないと安いFPGAに入らなかった。
574774ワット発電中さん:2008/12/10(水) 12:19:27 ID:d8b5VAWw
しかしながらハードウェアの夢としては
ソフトウェアでは足元にも及ばないぐらい早い計算とやらをだな・・・

面積犠牲にしたいね
575774ワット発電中さん:2008/12/10(水) 13:16:41 ID:pB+zxVUy
直線補間ってどのくらいのコストで出来るんですか?
576774ワット発電中さん:2008/12/11(木) 00:05:27 ID:e/Bg+RI9
質問です。
VHDLとVerilogでは、シミュレーション速度がVerilogの方が早いと聞きました。
実際に早いのでしょうか?

4値 VS 9値と言うのは分かるのですが、作り方もありますが、実際にmodelsimで速度差が出るものなのでしょうか?
SystemVerilogでは2値も使えるようですし、もうVHDLについてなんて議論の余地はないのかも知れませんが・・・
577774ワット発電中さん:2008/12/11(木) 02:54:47 ID:UkKj0CXs
みなさん使用していない信号とかどうしてますか?
IPとか使用したときに自動的に生成される出力線などです。Synthesizeした時にWarningとして残るのがいやなのでお聞きします。
578774ワット発電中さん:2008/12/11(木) 06:36:37 ID:WCaJ5xn3
これでエラーが出る理由ってなんですか?
module lcd_output(
LED,
ROT_A,
ROT_B,
BTN_SOUTH
);

input ROT_A;
input ROT_B;
input BTN_SOUTH;
output [7:0] LED;

parameter init_value = 8'b00000000;
reg [8:1] led_status;

always@(posedge ROT_A or posedge ROT_B or posedge BTN_SOUTH)
begin
if(BTN_SOUTH == 1'b1) begin
led_status <= init_value;
end else begin
if(ROT_A == 1'b1) begin
led_status <= led_status + 1;
end else begin
led_status <= led_status - 1;
end
end
end
assign LED[7:0] = ~led_status[8:1];
endmodule
579774ワット発電中さん:2008/12/11(木) 08:10:42 ID:o1VrmcQc
reg [8:1] led_status;
580774ワット発電中さん:2008/12/11(木) 11:05:00 ID:Zy35hnXF
雨後の筍かと思うぐらいの質問・・・稚拙ながら

>>575
スルー(わからんっすOTL

>>576
差はでるだろうけど差が顕著になるぐらいデカイVHDL書けたらすごい。
ModelSimだといろいろオプションで早くなるから適切に。

>>577
Warningの中身を理解して、それで放置。
確かに気持ち悪いが、Warningが0なのに動かない!
なんて合成ツールを信頼しきるほうが危険

>>578
あんまり自信無いけど
assignの箇所はBIT列指定してあげる必要あるの?


・・・自信ぜんぜんなし・・・
581774ワット発電中さん:2008/12/11(木) 12:18:28 ID:nAWTf2oy
>>578
Verilogの文法上、問題なし。シミュレーションでエラーがでたなら、ログを晒すべし。
合成できないのは、別の問題。実際、どんな回路ができるか考えてみたら?
582577:2008/12/11(木) 13:52:09 ID:gI7dOr2R
そうですね、大丈夫そうなのでほっておくことにします。ありがとうございます。
583FSF@女子大製:2008/12/13(土) 20:25:10 ID:hsVYGa2t
すみません、初めて質問します。

Verilog-HDLで8ビットマイクロプロセッサの設計して来い、
と教授に言われました。
なんのこっちゃわからなくて、
とりあえずVerilogについてだけいろいろWebを見て回ったのですが、
マイクロプロセッサに必要なモジュールをVerilogで記述・・・って
実際にはどんな感じなんでしょう・・・。

ぜんぜんマイクロプロセッサとVerilogがつながりません↓↓
詳しい方いらっしゃいませんか?

また、馬鹿でもわかるお勧め入門書的なものがあれば教えてください。
いまからこの過去スレ全部見返していきます・・・。
ここが一番情報が多いかもなので。
584774ワット発電中さん:2008/12/13(土) 20:27:05 ID:STZ/pyFA
8bitマイクロプロセッサを設計する
 ↓
回路をVerilogで表現する
 ↓
教授に提出
 ↓
(゚д゚)ウマー
585FSF@女子大製:2008/12/13(土) 20:33:04 ID:hsVYGa2t
8bitマイクロプロセッサの設計って、
ダイアログみたいなやつですか・・・?

すみません、リアルに無知です。
情報3回生とは言えません。

586774ワット発電中さん:2008/12/13(土) 20:34:20 ID:B/PsCVzB
女子大製って>>585自体が女子大で設計された人口無能なの?
587FSF@女子大製:2008/12/13(土) 20:36:38 ID:hsVYGa2t
【技術名称】
 8bit マイクロプロセッサ

【技術内容】
 (1)特徴

○ 8ビット命令デコーダ制御装置
 ・演算論理ユニット
 ・8ビット算数/論理演算
 ・16ビット算術演算
 ・ブーリアン操作
○ レジスタ・ファイル・ユニット
 ・汎用レジスタとフラグレジスタの二重セット
 ・2つの16ビット・インデックス・レジスタ
○ 割り込みコントローラ
 ・3モードのマスカブル割り込み
 ・ノンマスカブル割込み
○ 外部メモリインターフェース
 ・最高64kBのプログラムメモリのアドレシング
 ・最高64kBのデータメモリのアドレシング
 ・最高64kBの入出力デバイスのアドレシング
○ メガファンクション上のダイナミック・メモリ・リフレッシュ



これ?
588774ワット発電中さん:2008/12/13(土) 20:42:49 ID:d6hZzllf
自分のバカを自覚しているならもう一年、やればいいじゃないか。
589FSF@女子大製:2008/12/13(土) 20:45:48 ID:hsVYGa2t
とりあえずあと一年かけてやるんつもりですよ。
590774ワット発電中さん:2008/12/13(土) 20:49:50 ID:H11m9JaK
マイクロプロセッサとVerilogが繋がらないというか、両方何だかわかってないんじゃない?
591774ワット発電中さん:2008/12/13(土) 20:50:36 ID:35GlmLiI
>>587
Amazon.co.jp: CPUの創りかた: 渡波 郁: 本
http://www.amazon.co.jp/CPU%E3%81%AE%E5%89%B5%E3%82%8A%E3%81%8B%E3%81%9F-%E6%B8%A1%E6%B3%A2-%E9%83%81/dp/4839909865/ref=pd_sim_b_1

有志がVHDLで作ってた気がするんだけど、これ4bitなのがおしいよな
592774ワット発電中さん:2008/12/13(土) 20:50:56 ID:TlsOPG6L
つ先月のトラ技
593FSF@女子大製:2008/12/13(土) 20:56:26 ID:hsVYGa2t
590>>
そうかもです。
どっちかわかったら、どうにかなるはずですよね・・・。


592>>
どういう意味ですか??
594五十川卓司 ◇soalaRO1Zo:2008/12/13(土) 20:58:26 ID:wqKBb+pu
私の好きな言葉

光繊線路
小野剛
大内俊身
小川浩
大野和明
滝井繁男
今井功
中川了滋
古田佑紀
通話明細の蓄積漏洩
暴力団体の組織犯罪
旧郵政省の行政職員の利権
総人労と交換屋との愚劣な権力闘争
再就職先を確保するという利権争奪
小野寺正
児島仁
大星公二
西村守正
北海道人脈による旧郵政省関係者との対立を偽装した癒着
警察組織や検察組織までが、関与している
祷雅和、
小寺広哲、
佐田敦彦、
早苗慶太、
田中敏晶、
平木伸幸、
吉田俊宏
595774ワット発電中さん:2008/12/14(日) 00:34:54 ID:jy1pewSj
「CPUの創りかた」って書こうと思ったらすでに書かれてたw
しかもスルーされてるw
596774ワット発電中さん:2008/12/14(日) 02:39:35 ID:zrZSsCkL
>>591
その本の通り再現するのが目的であれば
HDLでTTL作ってそれを組み合わせるなら意味あるけど
(普通はHDLでそんなことしない)
HDLで(TTLじゃなく)その本のCPU書くと簡単に出来すぎて拍子抜けする
597774ワット発電中さん:2008/12/14(日) 03:28:21 ID:KL5DZr4q
TTLつか基本ゲートな。
598774ワット発電中さん:2008/12/14(日) 03:45:25 ID:zrZSsCkL
うんうっかりしてたけどそのつもりで書いてた
ごめん
でも伝わったみたいでよかた
599774ワット発電中さん:2008/12/14(日) 08:18:24 ID:nrAKUyn8
>>593
2008年12月号のトランジスタ技術の
特集がまさしく8ビットMPUのVerilog記述そのもの
600774ワット発電中さん:2008/12/14(日) 10:05:14 ID:vYBhh51/
>>587
> ・8ビット算数/論理演算
まじですかw

>女子大製(生?) 本当に詳しくなさそうだが、がんばれ。
文系の女の人が工学部に学びに行ってコンパイラの作り方の本を書いた事例も
あるぐらいだ。やればなんとかなるかもしれない。
601774ワット発電中さん:2008/12/15(月) 12:39:40 ID:IEUNYA/T
マジレスすると
教授の所に毎日行け

「容易に聞いてくるな!」といわれても
「これだけ調べてきたんです!」とか手土産もって

こういうところでひょいひょい助けてもらってもいいが
今後の大学生活(少しだけど)や人生で不利だぜ。



え?8bitマイコン?
ごめんなさい・・・
602774ワット発電中さん:2008/12/15(月) 13:13:23 ID:J98Ym16x
603774ワット発電中さん:2008/12/15(月) 14:54:32 ID:2sJ6u7kE
604774ワット発電中さん:2008/12/15(月) 16:29:14 ID:J98Ym16x
通報しました
605774ワット発電中さん:2008/12/15(月) 17:16:06 ID:4CB7Mwh4
>>603
んー、この流れでナゼ?

注意!アラートオープンを発見! (3)
危険!mailtoストームを発見! (3)
危険!ニュースストームを発見! (3)
危険!Telnetストームを発見! (3)

606774ワット発電中さん:2008/12/15(月) 22:59:42 ID:kERZlK+t
質問です。
現在Verilogを使っているのですが、最近時間があるのでSystemVerilogに挑戦してみようと思います。
そろそろ初めても面白いですよね?

そこで質問なのですが、C++とSystemCにも挑戦しておいた方がいいでしょうか?
SystemCがどんなに素晴らしいものか、知らないので迷っているのですが、
シミュレーション速度が速い等、何かハードウェア技術者にも利点があるのでしょうか。
SystemVerilogの方が数倍早いとかなら、必要も無さそうなのですが。
607774ワット発電中さん:2008/12/16(火) 00:06:12 ID:wqgWqJ5s
>>587
とりあえずトラ技の12月号でも読んで自分なりに理解して教授のところに行けば教えてくれるっしょ
608774ワット発電中さん:2008/12/16(火) 09:18:19 ID:xwb3cs7o
システムCは素晴らしい。
シミュレーション(計算)は早いし、クロックサイクルは意識しないで済むし、乗除算も一撃。どんなデカい配列も使い放題。I/Oも抽象化して設計できる。ソフト屋を連れてくればあっと言う間に設計完了。


でも、いつになったらハードにインプリ出来るの?
609774ワット発電中さん:2008/12/16(火) 17:38:48 ID:RC2gTrvX
なんか>>608とはいい酒が飲めそうも無い気がする。

ただなんとなくだけど・・・
610774ワット発電中さん:2008/12/17(水) 07:56:40 ID:DxQmX7E0
>>609は誰とでもいい酒の飲み方ができない下戸っぽいな
611774ワット発電中さん:2008/12/17(水) 08:33:58 ID:ftm75+BB
>最近時間があるのでSystemVerilogに挑戦してみようと思います

そのままとーさんするだろうから、
別なスキル身につけた方がいいよ
612774ワット発電中さん:2008/12/17(水) 22:36:10 ID:evC5YXEx
>>608
ほんと素晴らしいよな。
今は製造海外だが、時期に開発の下流工程も海外・外部になる
そうなった時にSystemCをやってて良かったとしみじみ思うことになるよ
613774ワット発電中さん:2008/12/17(水) 23:56:47 ID:4UGi0bN+
SystemCってあんまり歓迎されてない雰囲気だから気にしてなかったけど最近はどうなんでしょう。
C言語でアルゴリズム検証したあとさらにCでRTL風に書き換えて結果が変わらないことを確認した後
Verilogで書くって感じのやり方なんですけどこのCでやってる部分がSystemCで置き換えられるんですかね。
614774ワット発電中さん:2008/12/18(木) 00:06:48 ID:FoPVJkVt
アルゴ検証完了=設計完了



ツールまだ〜 (・∀・)っ/凵⌒☆チンチン
615774ワット発電中さん:2008/12/18(木) 00:25:31 ID:Exwxc2DJ
それができたら大歓迎だねぇ。
でも、設計の時点でRTLを意識しないでいて
○○の処理は面積消費していいから○○クロック以内で!
とか
△△の処理は△△クロックまで使っていいから限界まで面積を小さく!
とかそういう要求対応できるん?
616774ワット発電中さん:2008/12/18(木) 00:44:10 ID:Z1VZifn2
そのための合成ツールでしょ。
出来なきゃ存在意義ないよね〜

ってか、存在してないか…
617774ワット発電中さん:2008/12/18(木) 01:03:13 ID:JZbvwInE
高いくせにバグが多いから困る。
618774ワット発電中さん:2008/12/18(木) 01:41:44 ID:Exwxc2DJ
CよりもVerilogに書き換えやすい状態でアルゴリズム検証できるんならやってみる価値あるかな。
合成はまだまだ期待しないでおこう…。
619774ワット発電中さん:2008/12/18(木) 09:17:00 ID:xiPZ9qSy
書き換えなきゃいけないって時点でね、もうね…
620774ワット発電中さん:2008/12/18(木) 22:11:12 ID:Xdf9Mdho
実際、おもしろ半分に手を出してみるけど、使ってはいないってのがSystemC
でも技術が進めば、いつかSystemCの時代が来るんだと思ってしまうorz

もしかしたらFPGAなら、もう使ってる香具師も居るのかもしれないが。
621774ワット発電中さん:2008/12/18(木) 23:12:36 ID:FLA//1sM
>>616
海外の高脳エンジニアがやってくれるよ

日本は電子系開発に使っているソフトって弱いからな
黒船ソフトばかりだからな。
おまえらって、日本製の電子系開発ソフトって何使っている?
622774ワット発電中さん:2008/12/18(木) 23:21:18 ID:zfqKnKEA
>>621
別に電子系に限らないでしょ、ソフトだって開発環境は舶来物ばっかりじゃない?
623774ワット発電中さん:2008/12/19(金) 03:01:23 ID:LpIoKHtR
Rubyw
624774ワット発電中さん:2008/12/19(金) 09:07:36 ID:uff7L6Kt
>621
Veritak
625774ワット発電中さん:2008/12/19(金) 09:13:43 ID:FfOe17UZ
CircuitViewer
626774ワット発電中さん:2008/12/19(金) 10:58:22 ID:LpIoKHtR
627774ワット発電中さん:2008/12/19(金) 17:13:17 ID:4WZ308MN
一太郎&花子
628774ワット発電中さん:2008/12/19(金) 18:07:09 ID:876ubEoX
LHarc
629774ワット発電中さん:2008/12/19(金) 18:44:13 ID:hns/FoZW
秀丸
630774ワット発電中さん:2008/12/19(金) 19:04:18 ID:88XogZwy
BSch
631774ワット発電中さん:2008/12/20(土) 02:36:29 ID:Q1Pyxsm+
632774ワット発電中さん:2008/12/20(土) 02:44:06 ID:L2RuFfmm
D2CAD
633774ワット発電中さん:2008/12/20(土) 13:31:14 ID:6tX+f6J3
秋月のH8コンパイラとか
634774ワット発電中さん:2008/12/20(土) 15:05:13 ID:3WSrADZC
おいおい、何だよ、そんなレベルかよ
デファクトスタンダードレベルの日本製開発系ソフトないのかよ
635774ワット発電中さん:2008/12/20(土) 15:23:15 ID:UYK8poyf
デファクトスタンダード(笑)
636774ワット発電中さん:2008/12/20(土) 15:29:34 ID:6+kef0o3
んじゃ、質問を変えよう
おいおい、何だよ、そんなレベルかよ
お前らが作ったデファクトスタンダード(笑)レベルの日本製LSIないのかよ
637774ワット発電中さん:2008/12/20(土) 15:37:25 ID:cahgWtt9
初めてDSPを作ったのは日本だけど、開発ツールがしょぼくてTIに負けた
という話がちょうど今月のInterfaceに載ってるね。
638774ワット発電中さん:2008/12/20(土) 16:28:44 ID:+13/Z7v3
D-RAMは、デファクトスタンダードだったろ。

SD-RAMあたりから負けたけど。
iTRONもあるじゃない。
639774ワット発電中さん:2008/12/20(土) 19:35:17 ID:azj50gy4
嶋正利さんがいるじゃまいか
640774ワット発電中さん:2008/12/21(日) 00:09:07 ID:uMgdn5Wo
3人中1人だと多数決で舶来
641774ワット発電中さん:2008/12/21(日) 00:18:50 ID:rVbrmOHb
久々にVerilogの話に戻そうか・・・

皆さんは負論理の信号名には
NAME_X
NAME_N
NAME_B
XNAME
NNAME
その他

のどれを使いますか?
642774ワット発電中さん:2008/12/21(日) 00:35:31 ID:SxQQl2NN
nNAME
643774ワット発電中さん:2008/12/21(日) 00:36:10 ID:acuNkTBx
右に倣えで使ってるけど
B(とX)は何から来てるん?
644774ワット発電中さん:2008/12/21(日) 07:58:44 ID:n7IeHSd6
NAMEn
nNAME

うちはXは双方向で使う。
645774ワット発電中さん:2008/12/21(日) 10:34:53 ID:6ciqraXf
負論理でも気にしない
646774ワット発電中さん:2008/12/21(日) 11:21:48 ID:Gi8TLyIW
俺も>>643は気になるな
starcの本にも負論理のときは_nか_x使えって書いてあった希ガ
647774ワット発電中さん:2008/12/21(日) 12:24:48 ID:q9wsrqUF
NAMEnかな。
648774ワット発電中さん:2008/12/21(日) 13:25:58 ID:NFSifY2T
書いてあればどんなでも良いような、統一はして欲しいけど。
649774ワット発電中さん:2008/12/21(日) 13:41:14 ID:q9wsrqUF
便乗。
クロックとかリセットとかの信号名どうしてる?
正論理ならこんな感じ?
Clock
Reset
Clk
Rst
Ck
Rs
650774ワット発電中さん:2008/12/21(日) 17:48:33 ID:Qvq6O4wJ
みんな大文字使ってるのか…orz
651774ワット発電中さん:2008/12/21(日) 18:04:27 ID:KzWCpZHS
モジュール間(input output)は大文字
モジュール内(wire reg)は小文字なイメージでやってる
652774ワット発電中さん:2008/12/21(日) 18:07:37 ID:q9wsrqUF
頭文字と意味の区切りを大文字にしてる。
AdrCnt
CalcState
653774ワット発電中さん:2008/12/21(日) 18:43:53 ID:XIC/hDLf
OSEnvironmentReaderFromJava5SystemGetenv
みたいな名前になっちゃうよ
654774ワット発電中さん:2008/12/21(日) 22:11:24 ID:V87i/ylM
bはバーだと思う
文字の上のバー
古い回路図やICの端子とかで、チップイネーブルとかでみかけるよね

あんまり使ってる所はみないけど。
普段は末尾に_Xかな
大文字小文字の混在は避ける
655774ワット発電中さん:2008/12/21(日) 22:36:00 ID:NFSifY2T
基本的には会社などの決まりに従って、無いなら構文チェッカーに従ったらいいんじゃないかね
656774ワット発電中さん:2008/12/22(月) 09:31:05 ID:Obo+F1Wp
>>649
CLK
RST_Xかな?(既に負論理
657774ワット発電中さん:2008/12/23(火) 01:29:12 ID:2Rsy19Hb
Nしか使ったこと無い。
Xを使ってる人、割と多いのな。
658774ワット発電中さん:2008/12/23(火) 21:27:04 ID:/G4aocdF
うちの会社はxが多いかな。
信号名は小文字で、パラメーター宣言は大文字というのがうちの会社のルール。
設計を外注すると、記述ルールが違ったりして、とても見にくいっす。
659774ワット発電中さん:2008/12/23(火) 21:44:20 ID:jObJICxV
>>658
なぜ指示しないの?
660774ワット発電中さん:2008/12/25(木) 10:45:23 ID:2iqfT1zY
>>659
しかしまぁ、作ってもらったのをIPと考えるなら
こっちから出した仕様書どおりに動けば○、ダメなら×でいいんだけどな

「うごかねーぞこらぁ!」って言って返すだけ。

・・・でもテストベンチもこっちから出してるだろうしなぁ・・・
661774ワット発電中さん:2008/12/26(金) 19:01:00 ID:2ETS2y1V
負論理はRST*見たいに表すことが昔多かったから、
見た目*に似ているXを自然と使うようになった。
662774ワット発電中さん:2008/12/26(金) 21:13:51 ID:8TPi+5Px
 ̄RST
663774ワット発電中さん:2008/12/26(金) 21:56:05 ID:124dy+dp
#RST
664774ワット発電中さん:2008/12/26(金) 22:04:41 ID:B1R2g/78
>>661
疑ってスマンがこれホント?
665774ワット発電中さん:2008/12/27(土) 12:00:41 ID:3sHVKCVV
~RST じゃないかのう?
666774ワット発電中さん:2008/12/27(土) 12:03:10 ID:StogFH5+
/RSTって表記もなかったっけ?
667774ワット発電中さん:2008/12/27(土) 12:16:53 ID:/qkaj2in
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 貴様 見ているな!?
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
668774ワット発電中さん:2008/12/27(土) 14:00:32 ID:5tcmQv/M
>>666
それ、R/~W みたいなとき使いにくいんだよな
669774ワット発電中さん:2008/12/27(土) 14:39:45 ID:19EbEXtm
Rと/Wで R/W でいいんじゃねーの?
ピンに複数機能がある時とかの事だと思うが。
670774ワット発電中さん:2008/12/27(土) 15:06:47 ID:p8v7RjJo
671774ワット発電中さん:2008/12/27(土) 15:08:32 ID:5dzBtd6h
やっぱり nRESET でしょう。

兼用ピンのときも、CHINCO/nRESET/MANCO/CONFIGと普通に書ける。
672774ワット発電中さん:2008/12/27(土) 20:13:02 ID:FZVQsyrG
>>670
あー、回路図で知らない訳じゃなくて
* → x の流れがホントかなと思って。

でもよく考えると乗算で * を使うし、 
640x400 みたいな書き方もするから
別にヘンじゃないのか。

でもHDLで周りの人間が X を負論理として
使ってるのを見たこと無いのよ。
このスレで使っている人が多くて戸惑っている。
673774ワット発電中さん:2008/12/27(土) 21:23:44 ID:dJ2wNaxW
負論理は末尾に#だろJK

頭に何かつけるのは辞書順でソートした場合に同系列の信号なのにバラけるからという理由で
俺ルールでは末尾につけることにしてる
674774ワット発電中さん:2008/12/28(日) 10:47:12 ID:lsiQSTdQ
RnESET
675774ワット発電中さん:2008/12/28(日) 10:55:53 ID:R4ODPXX1
大文字と小文字の区別が無い場合があるので、nRESET は使わなかった
676774ワット発電中さん:2008/12/28(日) 15:57:11 ID:80I//YiK

負論理の話が多いけど、
レジスタなのかワイヤなのか、
はたまた、入出力なのか内部レジスタなのか
の表現はどうしてますか?

入出力のときにIN_CLOCK、出力のときにはOUT_CARRYとかやる人を見たことがあるけど、
面倒じゃないのかな? 慣れの問題か。

677774ワット発電中さん:2008/12/28(日) 18:14:10 ID:lsiQSTdQ
辞書順でソートした場合にみやすい鴨試練
678774ワット発電中さん:2008/12/28(日) 20:26:19 ID:vtJRnu9H
レジスタは信号名にregをつけるが入出力極性はつけないな
in,outをつけるとどこかでin = outみたいなところが出てくるし
679774ワット発電中さん:2008/12/28(日) 22:34:20 ID:E9feIptq
verilogについて質問です

レジスタAの値が変わったらレジスタBの値が変わるようにalways文で記述しているのですが、
一度bの値を変えたらaの変化にかかわらず何秒かは変わらないようにしたいのです。
何かいい方法はありませんか?
680774ワット発電中さん:2008/12/28(日) 22:54:07 ID:S92My/cg
ありますよ
681774ワット発電中さん:2008/12/28(日) 23:24:29 ID:vz2FkrZG
目の付け所が # でしょ。
682774ワット発電中さん:2008/12/28(日) 23:28:15 ID:E9feIptq
always@(posedge clk or posedge RST) begin
if(RST)
b<=0;
else if(a)
#5 b<=b+1;
end

こんな感じですか?
683774ワット発電中さん:2008/12/29(月) 04:18:27 ID:HaZJP4n9
この場合の5秒って
どのクロックを基準にしてるんだろ
684774ワット発電中さん:2008/12/29(月) 04:21:08 ID:bOc2G5Vo
シミュレータの単位時間では御座らぬのか
685774ワット発電中さん:2008/12/29(月) 04:25:57 ID:HaZJP4n9
5サイクルだった
5秒ってどっから出てきたんだろうw
吊ってくる orz
686774ワット発電中さん:2008/12/29(月) 07:56:05 ID:C7XE78S+
サイクルという表現も微妙におかしいと思うけど。
秒は>679に書いてあるからな、というか数秒って合成する気は無いのか
687774ワット発電中さん:2008/12/29(月) 10:59:58 ID:vuQtlmp3
しかし、何秒かは変わらないようにって、
1nでやったらシミュにすげー時間がかかりそうだ。
688774ワット発電中さん:2008/12/29(月) 11:37:29 ID:FGhdl+3u
イベントが発生しなきゃシミュレーションには時間はかかんないよ。
timescale 1s/1ns
とか出来るかな?
689774ワット発電中さん:2008/12/29(月) 12:14:36 ID:vuQtlmp3
それ以外のロジックが無いなら、一瞬だろうけど。
しかし、どのみちシミュ記述だ。
690774ワット発電中さん:2008/12/30(火) 11:38:28 ID:3c+Ius5B
デルタ遅延対策と仮定して・・・

クロック周期が一本だけなら
気にしなくていいけどな。

それより出力PINを組み合わせで出さず
クロックで叩いてやる事を忘れなければ無視していい

・・・非同期とかだと考えないと厄介だよなぁ
691774ワット発電中さん:2009/01/02(金) 06:02:02 ID:xKMIqB0A
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 貴様 見ているな!?
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇


692774ワット発電中さん:2009/01/02(金) 12:01:24 ID:UEcbqfkj
今年は、SystemVerilogへ移行考えて、VHDL減らしていかないとなぁ
C++も勉強しておかないとなぁ・・・
あとは英語とPythonをやれれば・・・無理
693774ワット発電中さん:2009/01/02(金) 12:04:27 ID:SdszoPwX
ピジョンって何に使うの?
694774ワット発電中さん:2009/01/02(金) 14:22:09 ID:TunBLDPv
パイパン信者ここにもいたか
695774ワット発電中さん:2009/01/02(金) 19:11:05 ID:MeBNP08J
>>693
ピジョン 乳首 で検索
696774ワット発電中さん:2009/01/03(土) 04:22:57 ID:rOym7Ute
ありました先生
697774ワット発電中さん:2009/01/03(土) 07:34:52 ID:yliJ6qbs
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 貴様 見ているな!?
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇






698774ワット発電中さん:2009/01/04(日) 10:53:31 ID:w6u39QZP
今更Python使うぐらいならPowerShell覚えろ
699774ワット発電中さん:2009/01/04(日) 15:57:31 ID:c8c+vALm
>PowerShell
MS信者乙
700774ワット発電中さん:2009/01/04(日) 16:42:43 ID:5eYoWANG
┏━━━━━━━━━━━━━━━━━━━━━┓
┃ ━ ╋━╋ ╋┓┏┓ ┳┻┳┏━   ┣━┳━ ┃
┃ ━ ┏┳┓ ┃┃┗┛ ┻━┻┃     ┃  ┃   ┃
┃ ━ ┗╋┛  ┏━┓    ┃  ┣┳   ┏━╋━ ┃
┃ ━ ━╋━  ┣━┫  ━╋━┃┃   ┃  ┃   ┃
┃┏┓━╋━  ┣━┫  ┏╋┓┃┃ ━┻━╋━ ┃
┃┃┃  ┃    ┗━┛  ┃┃┃┃┃       ┃   ┃
┃┗┛━┻━ ━┛┗━ ┃┃┃┃┃       ┃   ┃
┗━━━━━━━━━━━━━━━━━━━━━┛
            ∩
            〈〈〈 ヽ
           〈⊃  }
   (\___/)  |   |
 ( ̄l▼      ̄)!   !
  /  ●   ● |   /
  /   l ___\lミ/
 /▲  (  。--。 )
/■___  (( ̄))    新年あけましておめでとうございますーーっ!
(___)   ̄/
               2009年 元旦
701774ワット発電中さん:2009/01/04(日) 18:01:25 ID:DkYc35bv
スクリプト言語ってみんな何を使ってるんだろ?上でPythonやらPowerShellなどが出てきてるけど。
俺はPerlぐらいしか使ってない、何だか一つ使えたら事足りるような気がするし、別にそれがメインのお仕事でもないしなぁ
702774ワット発電中さん:2009/01/04(日) 20:35:35 ID:ej+KImka
>>701
普通にPerlやshぐらいじゃないかな?
保守するわけでもないのならPerlでいいのだし、新しいの使ってる香具師は少なさそうだよね
どうしても移行しなくてはならなくなったら、その時考えればいいし
それよりもSystemVerilogやSystemCの方が恐ろしい物に見えるよ
移行しろって言われたらどうしよう・・・
703774ワット発電中さん:2009/01/05(月) 01:35:11 ID:6UT4k0i+
>>702
そんときは本とかが山のように入ってきてwktkですな。

SystemVerilogってどこか勉強できるところある?
704774ワット発電中さん:2009/01/05(月) 09:41:07 ID:0ZODnSwc
まあ、ツールがまともに使えるものになってから心配すればいいよ。
別の言語が出てくるかもしれんし。

正月で旧友に会ったんだが、未だにスケマ入力だってw
言語で書くとクリティカルパスが厳しいって本気で信じてるみたい。10年前じゃないんだからさ…
705774ワット発電中さん:2009/01/05(月) 17:05:05 ID:OQbeAx84
いやいや20年前だろ それ
706774ワット発電中さん:2009/01/05(月) 18:21:26 ID:h0i5uWZ+
むしろ20年前に言語で論理合成できてたことがすごい。
707774ワット発電中さん:2009/01/05(月) 18:56:34 ID:Aal0K8I0
 どうでもいいが、仕事あるの?
708774ワット発電中さん:2009/01/05(月) 19:53:43 ID:ef/JyRiU
20年前でもAbelみたいな記述言語はあった。アドレスデコーダとかには便利だった。
ここだけ20年遅れているスレで聞いてみなw
709774ワット発電中さん:2009/01/05(月) 20:52:50 ID:Xh0dR49e
>>704
スケマはさすがに見たこと無いけど、HDLでべた書きは見たことあるかな。
710774ワット発電中さん:2009/01/06(火) 00:11:43 ID:1sfcr+TB
そうでもね〜よ。俺の周囲でもスケマ健在w
FPGAの分野じゃまだかなり残ってるんじゃないかな〜中年以降が現職の職場で・・・
711774ワット発電中さん:2009/01/06(火) 00:43:23 ID:3+iEg5QY
verilogでウン万行になるやつ助まで書ける?
712774ワット発電中さん:2009/01/06(火) 09:12:37 ID:sd8h4VgF
スケマで書いたのをVerilogに変換すると何万行にもなるだろ。JK
普通、edifかもしれんが。
713774ワット発電中さん:2009/01/06(火) 09:23:08 ID:cOdu6+Ys
おまいの手変換は糞!!とボケてみるw
714774ワット発電中さん:2009/01/06(火) 12:14:27 ID:C/ZDYjGm
SN7400 cs1 (.a1(cs0), .b1(add[12], .y1(select) ... なんたら
715774ワット発電中さん:2009/01/06(火) 16:11:09 ID:eHUzvH2Q
>>714
>.b1(add[12],

ダウト?
716774ワット発電中さん:2009/01/06(火) 19:20:40 ID:1h8lRf7I
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇




717774ワット発電中さん:2009/01/06(火) 21:56:27 ID:V7R8moXt
回路図入力の何が嫌かってバスの接続だな
Din(31 downto 0) <= Bus(31 downto 0 )と一行で済むところが
マウスをチマチマカチカチやって配線なんてやってられねぇ
718774ワット発電中さん:2009/01/06(火) 22:02:08 ID:3+iEg5QY
スケマでもバス配線できるじゃん。name[15:0]とか信号名付ければ。
719774ワット発電中さん:2009/01/06(火) 22:06:45 ID:cVsM4iXO
同じ部品の多重定義もできるよね>スケマ
まあいまさらスケマに戻りたくはないけど
720774ワット発電中さん:2009/01/06(火) 23:36:00 ID:cOdu6+Ys
バスの配線なんて飾りです、えろい人にはわからんのです
ネットにちゃんとラベqwせdrftgyふじこlp@
721774ワット発電中さん:2009/01/07(水) 00:29:01 ID:9u6fcjzE
まぁ最初スケマでやってても回路が大きくなると信号名のみで配線するように
なってくるし、結局四角いブロックに文字を書くだけの作業になり
回路図であることのメリットが何も無くなってしまう。
そんな感じでVerilogに移行した私です。
722774ワット発電中さん:2009/01/12(月) 21:08:45 ID:uEPSnCi3
空とぶ円盤 UFOのAAです。 けちつけんじゃねーぞ。

             ゙'.     ,ト `i、  `i、    .、″
                |    .,.:/""  ゙‐,. `    /
             `  .,-''ヽ"`    ヽ,,,、   !
                、,、‐'゙l‐、      .丿 : ':、
               、/ヽヽ‐ヽ、;,,,,,,,,,-.ッ:''`  .,"-、
              ,r"ツぃ丶  ``````   ../  `i、
          ,.イ:、ヽ/ー`-、-ヽヽヽ、−´    .l゙`-、
         _,,l゙-:ヽ,;、、             、、丶  ゙i、,,、
        ,<_ l_ヽ冫`'`-、;,,,、、、、.............,,,,、.-`":    │ `i、
      、、::|、、、ヽ,、、.    ```: : : ```      、.、'`  .|丶、
     .l","ヽ、,"、,"'、ぃ、、,、、、、.、、、.、、、_、.,,.ヽ´    l゙  ゙).._
    ,、':゙l:、、`:ヽ、`:、  : `"```¬――'''"`゙^`     : ..、丶  .l゙ `ヽ
   ,i´.、ヽ".、".、"'ヽヽ;,:、........、           、、...,,,、−‘`   、‐   |゙゙:‐,
  ,.-l,i´.、".`ヽ,,,.".`   `゙゙'"`'-ー"``"``r-ー`'":      _.‐′  丿  ,!
 j".、'ヽ,".、".、"`''`ー、._、、、           、._,、..-‐:'''′   .、,:"  丿
 ゙l,"`"`''ヽヽ"`"`  ```゙'''"ヽ∠、、、、ぃ-`''''": `      、._./`  ._/`
  `'i`ヽヽヽ`''ーi、、、: :                   、.,-‐'`   、/`
   ``ヽン'`"`  : `~``―ヽ::,,,,,,,,,,.....................,,,,.ー'``^    ,、‐'"`
      `"'゙―-、,,,,..、、                 : ..,、ー'"'`
           : `‘"`―---------‐ヽ``"''''''""
723774ワット発電中さん:2009/01/13(火) 19:25:53 ID:kRhu9s+F
724774ワット発電中さん:2009/01/14(水) 02:32:14 ID:WTmKwnBE
アルゴリズムを忠実にverilogで書いたらロジックバカでかくなった。
紙の上で回路図書いてそれをverilogに変換したら半分くらいになった。
回路図も捨てたモンじゃないんでは。
725774ワット発電中さん:2009/01/14(水) 06:00:07 ID:WvVwiXOV
そういう時は、CADを使おうよ
726774ワット発電中さん:2009/01/14(水) 06:07:48 ID:6Hai2+LL
頭の中を整理するにはCADより手書きが有効
727774ワット発電中さん:2009/01/14(水) 07:45:45 ID:G1c8ugbf
>>724はHDLを使いこなせてないだけw
728774ワット発電中さん:2009/01/14(水) 10:04:54 ID:83/uqt/z
CADで回路図書くとHDLにしてくれるツールってありますか?
729774ワット発電中さん:2009/01/14(水) 11:27:06 ID:toaSM+MZ
おいおい、ネットリスト出せないCADなんてあるのかよ?
730774ワット発電中さん:2009/01/14(水) 12:14:48 ID:XBnD90wm
>>728
ISE

731774ワット発電中さん:2009/01/14(水) 13:57:14 ID:FcqEWKCi
>>724
チラシの上で表現出来る程度の小さな回路の話をされても
732774ワット発電中さん:2009/01/14(水) 14:55:31 ID:6Hai2+LL
なんか暗にでかい回路を組んでる俺はすごいと言いたげだな

ほめてやるからさっさと回路規模を減らす作業に戻るんだ
ロジック工夫しないでうすらでかい回路作る奴は偉いわけじゃないぞ
733774ワット発電中さん:2009/01/14(水) 22:09:18 ID:JkMT2iAQ
>>732
日本語に不自由してないか?
>>731
誰だって「初めの一歩」からじゃないか。
734774ワット発電中さん:2009/01/14(水) 22:22:25 ID:V5SERS0f
>>733
>724乙
735774ワット発電中さん:2009/01/15(木) 06:17:23 ID:mbspOqKL
うんこ
736774ワット発電中さん:2009/01/15(木) 09:06:13 ID:fBAfoJNK
三週間前から独学でverilogをやり始めた新参者ですが、お力を貸していただけないでしょうか?
本に載っていた回路記述の動作を確認したいので、テストベンチを書いてみたのですが動きません。
適当な任意の入力で出力を確認をするだけの簡単なものなんですが・・・
http://kansai2channeler.hp.infoseek.co.jp/cgi-bin/joyful/img/8645.txt
上が記述で、下が私の書いたテストベンチです。
基本的におかしな箇所が多いかもしれませんが、訂正箇所のアドバイスをいただけると助かります。
よろしくお願い致します。
737774ワット発電中さん:2009/01/15(木) 09:11:54 ID:Ai3w+RRl
ぱっと見、よさげだけど。
とりあえずシミュレーションしてみたら?
738774ワット発電中さん:2009/01/15(木) 09:14:24 ID:Ai3w+RRl
ごめん、動かないのか…
どういう状態で止まってるのか確認しる
739774ワット発電中さん:2009/01/15(木) 11:38:49 ID:qJ1G3BCX
740774ワット発電中さん:2009/01/15(木) 14:31:41 ID:KjJ904om
ヒント
Compiling source file "8645.v"

Error! syntax error [Verilog]
"8645.v", 505: clk;<-
1 error
741774ワット発電中さん:2009/01/15(木) 19:17:02 ID:bRm/S2Qc
PMX のインスタンスの端子名のあとの ";" は "," だ。で、最後の ")" のあとに ";" な。

いちおう何か波形らしきものは出てくるぞ。
742774ワット発電中さん:2009/01/15(木) 20:39:51 ID:uuOwkAQ6
ソフトクリームのアイスの部分のAA


             ゙'.     ,ト `i、  `i、    .、″
                |    .,.:/""  ゙‐,. `    /
             `  .,-''ヽ"`    ヽ,,,、   !
                、,、‐'゙l‐、      .丿 : ':、
               、/ヽヽ‐ヽ、;,,,,,,,,,-.ッ:''`  .,"-、
              ,r"ツぃ丶  ``````   ../  `i、
          ,.イ:、ヽ/ー`-、-ヽヽヽ、−´    .l゙`-、
         _,,l゙-:ヽ,;、、             、、丶  ゙i、,,、
        ,<_ l_ヽ冫`'`-、;,,,、、、、.............,,,,、.-`":    │ `i、
      、、::|、、、ヽ,、、.    ```: : : ```      、.、'`  .|丶、
     .l","ヽ、,"、,"'、ぃ、、,、、、、.、、、.、、、_、.,,.ヽ´    l゙  ゙).._
    ,、':゙l:、、`:ヽ、`:、  : `"```¬――'''"`゙^`     : ..、丶  .l゙ `ヽ
   ,i´.、ヽ".、".、"'ヽヽ;,:、........、           、、...,,,、−‘`   、‐   |゙゙:‐,
  ,.-l,i´.、".`ヽ,,,.".`   `゙゙'"`'-ー"``"``r-ー`'":      _.‐′  丿  ,!
 j".、'ヽ,".、".、"`''`ー、._、、、           、._,、..-‐:'''′   .、,:"  丿
 ゙l,"`"`''ヽヽ"`"`  ```゙'''"ヽ∠、、、、ぃ-`''''": `      、._./`  ._/`
  `'i`ヽヽヽ`''ーi、、、: :                   、.,-‐'`   、/`
   ``ヽン'`"`  : `~``―ヽ::,,,,,,,,,,.....................,,,,.ー'``^    ,、‐'"`
      `"'゙―-、,,,,..、、                 : ..,、ー'"'`
           : `‘"`―---------‐ヽ``"''''''""

743774ワット発電中さん:2009/01/15(木) 21:22:04 ID:NzLNZHPt
やけにでけぇアイスだな
このクラスのでこの形のって中どうなってんのか凄く気になるんだが
744774ワット発電中さん:2009/01/15(木) 22:37:47 ID:WKXfBF+N
何が動かないのか、エラーメッセージや状態の説明がほしいね

とりあえず812さんの指摘事項さえ直せばコンパイルは通るよ
$stopは$finishにした 俺の好みで

Cygwin+Cverで確認。
745736:2009/01/16(金) 01:54:44 ID:sY5DiABc
みなさん、アドバイスをいただきありがとうございました。
指摘いただいた箇所を直してコンパイル出来る様になりました。
動作内容の理解が目的なのですが、initial以降の値の与え方等見直しが必要だと思われます。
後はそこをいじりつつ考察してみようと思います。
ありがとうございました。
746774ワット発電中さん:2009/01/16(金) 10:27:52 ID:RbqQB8U+
テメェ、せっかく人が C/C++ 宿題スレで回答してやったのにシカトかよ。
747774ワット発電中さん:2009/01/16(金) 21:01:11 ID:CAHlbw9C
うるせぇな。
シカトが嫌なら答えんなや。
こちとら半端にマルチしてんじゃねぇんだ、このカス野郎。




という心の声が聞こえたので代弁しておきます。
748774ワット発電中さん:2009/01/16(金) 22:05:01 ID:8QSR5I49
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇






749774ワット発電中さん:2009/01/16(金) 22:14:03 ID:5LreNJcb
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
750774ワット発電中さん:2009/01/16(金) 23:27:04 ID:dJ7ZjQnD
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
751774ワット発電中さん:2009/01/16(金) 23:59:32 ID:vlr5R8wL
バカ
752774ワット発電中さん:2009/01/17(土) 00:20:47 ID:8hKSxloW
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
753774ワット発電中さん:2009/01/17(土) 09:13:13 ID:hhA9ou13
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇






           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇






754774ワット発電中さん:2009/01/17(土) 09:27:16 ID:hhA9ou13
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇






           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇








755774ワット発電中さん:2009/01/17(土) 11:45:11 ID:EqYpevW9
ポトン
 ●
756774ワット発電中さん:2009/01/18(日) 00:18:47 ID:ILLfRCIs
昔ソニーの品川テックのエレベーターの中に「ソフトクリーム」がとぐろ巻いてたって話思い出した。
757774ワット発電中さん:2009/01/18(日) 02:21:45 ID:CJ3aS6CT
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
758774ワット発電中さん:2009/01/18(日) 02:22:23 ID:CJ3aS6CT
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
759774ワット発電中さん:2009/01/18(日) 14:26:08 ID:5yr2YBKc
t_0 <= signed(a) / signed(b);
aとbが固定小数点数で
a<1,b>1の時ってどうなるんでしょう?
常にt_0は0になるんでしょうか?
760774ワット発電中さん:2009/01/18(日) 15:48:56 ID:Q2Ij6IEi

このページのサンプルをveritakで実行したのですが
errorとなってしまいます。
何が間違っているのでしょうか?

http://cas.eedept.kobe-u.ac.jp/~arai/Verilog/chap4.html
761774ワット発電中さん:2009/01/18(日) 15:57:44 ID:geCzcpBQ
>>760
>何が間違っているのでしょうか?
おまえさんの環境
762774ワット発電中さん:2009/01/18(日) 16:07:45 ID:Q2Ij6IEi
もう少し詳しく御願いします・・・
763774ワット発電中さん:2009/01/18(日) 17:22:09 ID:0DA1fDCd
>>760
どうせならveritakのページ見ながら勉強したら?

VHDLなんでさっぱりなんだけど、Verilog+Veritakってそんなにいいのかな
Core DuoのノートPCとか使ってる漏れは、シミュレーションは遅いものと諦めているんだけど、変えたら幸せになれるかな?

あと、みんなはどんなマシン使って開発してるの?
764774ワット発電中さん:2009/01/18(日) 17:47:18 ID:Q2Ij6IEi
>>763
トチ狂ったうちの教授がいきなりHDLとか言う言語でプログラム書いて提出とか言い出したので
veritakしか知らんのです;;

他のソフトでもいいので解説が詳しい奴があったら教えてください
765774ワット発電中さん:2009/01/18(日) 17:59:38 ID:0DA1fDCd
>>764
それなら迷わずに、そのまま突き進んだ方がいいと思うよ・・・
まあVeritakのヘルプでも見ながら頑張ってくれ
766774ワット発電中さん:2009/01/18(日) 18:24:33 ID:5INEvVBQ
>>760
やってみたけどエラーはでなかったよ。MIXIで聞いてみたら。

>>763
Core i7 爆熱の割には速くない。
767774ワット発電中さん:2009/01/18(日) 18:44:21 ID:0DA1fDCd
>>766
i7ダメなんだ・・・
Core2Quadや高クロックのCore2Duoの方がいいのかな?
PhenomIIマシンは、会社で買って貰えなさそうだしorz
768774ワット発電中さん:2009/01/18(日) 18:56:31 ID:8cJrwd6U
同時にシムを回すなら8スレッド使える i7 が早いよ。
769774ワット発電中さん:2009/01/18(日) 18:57:17 ID:RLDIbeG/
>>767
会社で使うのなら別に熱くても関係ないでしょ、C2Dより速ければそれでいいと思う。
けどまだ値段的には割高だから、今ならまだC2D買った方が良いだろうね
770767:2009/01/18(日) 20:19:07 ID:xBh6qk9w
>>768
同時に?同時には無い気がします
とりあえずはmodesimの対応次第ですかね

>>769
やっぱりコア数より、高クロックの方がよいですかね
10万切ってるといいですw
771774ワット発電中さん:2009/01/18(日) 21:03:57 ID:Q2Ij6IEi
>>766
ありがとうございます

やり方は、新しいプロジェクトの作成→名前をつけて保存→and2.vとand2test.vを追加してexit
でその後、名前をつけて保存した奴をload verilogで読み込めばいいんですよね?

772774ワット発電中さん:2009/01/19(月) 08:23:55 ID:KyMGxzOG
>>771
記述例に問題はないよ。
どんなエラー?   タイプミスによるシンタックスエラー?
773774ワット発電中さん:2009/01/19(月) 08:46:21 ID:AlxiFH30
図4.7 で、12〜13行目($dumpfile/$dumpvers)は実行環境依存じゃないかな?
774774ワット発電中さん:2009/01/19(月) 19:58:43 ID:HPbWJwFx
           / ̄ ̄ ̄ ̄\
           (     哲   )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 東エレデバイスの派遣です
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
775774ワット発電中さん:2009/01/20(火) 09:01:01 ID:Sj/dFtwh
>>772
もう一度移しなおしたら何とかできました。
ありがとうございます。

これから課題の自分で一から作る作業に移ります
776774ワット発電中さん:2009/01/21(水) 17:51:05 ID:bt9AaY2T
HDLみたいに歯車機構を記述する言語仕様ないですか
777774ワット発電中さん:2009/01/21(水) 19:58:15 ID:kxaH2+Vx
HDLで書けるだろ。
778774ワット発電中さん:2009/01/21(水) 21:13:16 ID:kEN8Ho+q
基本的な問題で申し訳ないのですが加算a+bをverilogで書くと

module plus(a,b,c);

output c;
input a,b;

initial
begin

c=a+b

end

endmodule

でいいのでしょうか?
regとかwireとかあと、ビット数値の入れ方とか分からないくらい壊滅的に理解していないのですが・・・
なんとかここまで書いてみました
779774ワット発電中さん:2009/01/21(水) 21:52:41 ID:TPbYRe2B
仮に、a=1、b=1のとき、cはどうなる予定なの?
780774ワット発電中さん:2009/01/21(水) 22:04:41 ID:kEN8Ho+q
c=2です

C言語でa+bを計算するプログラムをverilogで表せという内容なので
781774ワット発電中さん:2009/01/21(水) 22:22:22 ID:TPbYRe2B
>output c;
↑は、2の値を取れないです。。エラーになる。
てか、ちょっと検索すればverilog文法説明してるとこあるんで、
そこを一読するだけでも>>778はできると思うぞい

もしくは、他の人たちの乱暴な口調の丁寧なツッコミを待つもよし。
782774ワット発電中さん:2009/01/21(水) 22:27:07 ID:kEN8Ho+q
>>781
この一週間、図書館行ったりネットで調べてもいるんだが、単純な計算の記述が無くて困ってます・・・
もうドン詰まり・・・
783774ワット発電中さん:2009/01/21(水) 22:28:18 ID:QIqL8raC
>>778
だめ。
784774ワット発電中さん:2009/01/21(水) 22:30:30 ID:HMgkrSUh
785774ワット発電中さん:2009/01/21(水) 22:31:12 ID:kEN8Ho+q
output [3:0] c;
とすればいいのだろうか・・・
786774ワット発電中さん:2009/01/21(水) 22:43:52 ID:2P1LlKwX
>>782
ソフト的に言うと1ビットの変数を3つ用意しかしてないのが問題
ハード的には複数ビットの時点で配列扱いになる(intagerが32ビット分のワイヤーとかレジスタみたいな)
それが書いてない本は無いと思うんで普通のだと3か4章ぐらいの辺りかな?を読んでくれ

アンドとかの論理演算であれば1ビット同士の計算で1ビットの結果が出てくるからその書き方で有ってるが
787774ワット発電中さん:2009/01/21(水) 22:45:24 ID:2P1LlKwX
>>785
いや、まあ有ってるけど
1ビット同士の加算で4ビットの計算結果は不要だろwwww
inputも増やしてww
788774ワット発電中さん:2009/01/21(水) 22:48:30 ID:kEN8Ho+q
その説明を聞いて2留が現実味を帯びてきた・・・
吐きそう

>>784
thx
ちょっとやってみます
一応四則が出来るところまでを目標にやってみます
789774ワット発電中さん:2009/01/21(水) 23:08:15 ID:RO51gRYb
四則が出来るようにって、最初の課題としてはハードル高杉w
加減算くらいにしておかないと挫折の元w
790774ワット発電中さん:2009/01/21(水) 23:32:27 ID:TPbYRe2B
>>784
答えwww書くなwww

monoistの期末考査とかは新人にやらせるのにちょうどいい。
791774ワット発電中さん:2009/01/21(水) 23:48:05 ID:lJWK1pU5
いいんや
792774ワット発電中さん:2009/01/22(木) 09:04:19 ID:fI1YCRCe
>>789
実装を考えなきゃ簡単だろ
793778:2009/01/22(木) 11:47:53 ID:JBL9RawO
こんなんでいいのでしょうか?

module test(a,b,c,ca);
input [3:0] a, b;
output [3:0] c;
output ca;

wire [3:0] a,b,c;
wire ca;

assign {ca, c} = a + b;

assign {ca, c} = a - b;

assign {ca, c} = a * b;

endmodule
794774ワット発電中さん:2009/01/22(木) 12:11:13 ID:CpQeRPmc
ダメ
795774ワット発電中さん:2009/01/22(木) 12:15:26 ID:UIF32LtH
で、結局その{ca,c}には何が入るんだ?

あとそう言う結合みたいなのは{}じゃなくて[ca,c]みたいな書き方だったかと

と言うかまず教本を見直すんだ!
796774ワット発電中さん:2009/01/22(木) 12:27:32 ID:JBL9RawO
>>795
教本では}です

> 結局その{ca,c}には何が入るんだ?

こうなるかと
http://monoist.atmarkit.co.jp/fembedded/articles/eledrill3/3term/03/fig03_03.gif
797774ワット発電中さん:2009/01/22(木) 12:37:29 ID:14lhtMnv
>>793
どういうモジュールを作りたいのでしょうか?
798774ワット発電中さん:2009/01/22(木) 12:44:58 ID:JBL9RawO
>>797
四則演算回路です

信号処理やマイクロプロセッサ、乱数発生、符号化等の回路も課題としてあるのですが、
四則が一番とっつきやすいと思ってやっています。
799774ワット発電中さん:2009/01/22(木) 12:49:20 ID:14lhtMnv
>>798
演算の組み合わせが3通り(+-*)あるのに入れ物(出力信号)が
一組しかありませんがどのように結果を出力するのでしょうか。
800774ワット発電中さん:2009/01/22(木) 13:00:59 ID:JBL9RawO
>>799
あ〜そうですね。
もともとC言語で書いたaとbと演算子をscanfで入力して計算するプログラムの
計算部分だけをHDLで書くという内容なので度忘れしました・・・
ある程度verilogをつかんだら、case文で独立させます


一応この場合はこんな感じですかね

module test(a,b,c1,c2,c3,ca1,ca2,ca3);
input [3:0] a, b;
output [3:0] c1,c2,c3;
output ca1,ca2,ca3;

wire [3:0] a,b,c1,c2,c3;
wire ca1,ca2,ca3;

assign {ca1, c1} = a + b;

assign {ca2, c2} = a - b;

assign {ca3, c3} = a * b;

endmodule
801774ワット発電中さん:2009/01/22(木) 13:01:49 ID:ovlHhiOe
M系列の乱数なんか、意外と簡単
802774ワット発電中さん:2009/01/22(木) 13:06:42 ID:14lhtMnv
>>800
4bit同士の掛け算の結果は8bitになると思います
803774ワット発電中さん:2009/01/22(木) 13:28:34 ID:JBL9RawO
>>801
そうなんですか!
ちょっと考えておきます

>>802
なんかbitで躓いているんですが・・・
もういっそのこと[31:0]にしちゃっていいですか?w

bitとかって何の授業でやるんだろ 論理回路?
804774ワット発電中さん:2009/01/22(木) 13:33:40 ID:14lhtMnv
>>803
回路のビット数をいくつにするかは設計者である貴方が決めれば良いと思います。
論理回路と2進数の勉強を行うことをおすすめします。
しかしプログラミングの経験がありそうですが、それで
2進数のことがわからないのは逆に不思議でなりません。
805774ワット発電中さん:2009/01/22(木) 13:49:41 ID:JBL9RawO
2進数の1010とかは分かりますが

>4bit同士の掛け算の結果は8bitになる

とかが分かりません><
ビットの設定とかCではやりましたっけ?

それと、M系列はC言語でどう表せばよいのか分からないので、大人しく四則にします
806774ワット発電中さん:2009/01/22(木) 14:01:33 ID:14lhtMnv
>>805
変数の型宣言はしないんですか?
演算結果が何ビットの範囲になるかは
実際に計算してみればわかると思います。

符号なしの演算とするとこんな感じになりませんか。
1111(15) × 1111(15) → 11100001(225)
807774ワット発電中さん:2009/01/22(木) 14:07:04 ID:cTvbr1L/
>>795
>あとそう言う結合みたいなのは{}じゃなくて[ca,c]みたいな書き方だったかと
>
>と言うかまず教本を見直すんだ!
お前が見直せゴミクズ
808774ワット発電中さん:2009/01/22(木) 14:11:55 ID:JBL9RawO
>>806
なります

Cのほうがfloat(4バイト)だから4*8=32ビットでやればいいのだろうか

module test(a,b,c1,c2,c3,ca1,ca2,ca3);
input [31:0] a, b;
output [31:0] c1,c2;
output [31:0] c3;

output ca1,ca2,ca3;

wire [31:0] a,b,c1,c2;
wire [31:0] c3;
wire ca1,ca2,ca3;

assign {ca1, c1} = a + b;

assign {ca2, c2} = a - b;

assign {ca3, c3} = a * b;

endmodule

809774ワット発電中さん:2009/01/22(木) 14:13:29 ID:JBL9RawO
>>807
いや、そこまで言わなくても・・・
>>795氏がゴミクズなら、俺はミジンコになっちゃう・・・
810774ワット発電中さん:2009/01/22(木) 14:20:16 ID:14lhtMnv
>>808
floatってことは整数ではなく実数の演算なんですか?
もう少し仕様について確認したほうが良いと思います。
811774ワット発電中さん:2009/01/22(木) 14:34:20 ID:JBL9RawO
>>810
いや別にintでもいいよ・・・・

課題は、勝手にCのプログラムを書け→そのなかでHDLに書ける部分はHDLで書け
以上。
としか書いていないので

たまたまサンプルに選んだCがfloatだったからそのまま使ってるだけです。
812774ワット発電中さん:2009/01/22(木) 14:54:56 ID:14lhtMnv
>>811
それなら先にその課題の内容を質問に明記したほうが良かったような気もします。
元になるC言語のプログラムもご自身で記述するものなら
bit幅、型宣言はお好きなものに合わせれば良いと思います。
813774ワット発電中さん:2009/01/22(木) 15:02:36 ID:JBL9RawO
>>812
ありがとう。
CもHDLも半端な知識しか持っていないので何をどう聞いたら良いのか分からなかったのです。

intでも32bitなので>>808を元にcase文で分けてみます
814774ワット発電中さん:2009/01/22(木) 16:41:35 ID:JBL9RawO
おかしい所はありますか?

module test(a,b,c1,c2,c3,ca1,ca2,ca3,i);

input [31:0] a, b;
input i;

output [31:0] c1,c2;
output [31:0] c3;

output ca1,ca2,ca3;

wire [31:0] a,b,c1,c2;
wire [31:0] c3;
wire i,ca1,ca2,ca3;

case(i)

+:assign {ca1, c1} = a + b;

-;assign {ca2, c2} = a - b;

*;assign {ca3, c3} = a * b;

endcase

endmodule
815774ワット発電中さん:2009/01/22(木) 17:35:00 ID:i+m/oQd6
何語だこりゃ?
816774ワット発電中さん:2009/01/22(木) 19:06:37 ID:ACLqqOTk
HDLでもそうだけど、Cなんかでも型を全く考えてない人か?
817774ワット発電中さん:2009/01/22(木) 19:10:35 ID:RSrLBz3X
1bitに32bitの情報を持たせられる夢の言語?
818774ワット発電中さん:2009/01/22(木) 19:20:55 ID:nh7WnJe7
>>814
iは、何bitですか? 32bit?

819774ワット発電中さん:2009/01/22(木) 19:43:43 ID:cq0TElhG
(i) ←エロいな
820774ワット発電中さん:2009/01/22(木) 20:20:49 ID:7NRSZCVF
是非ともIEEE準拠の不動小数点で四則演算を作って欲しい。
821814:2009/01/22(木) 20:27:57 ID:JBL9RawO
Cのこの部分をHDLで表したつもりだったのです

switch(i){
case '+':c=a+b;
printf("和は%f\n",c);break;

case '-':d=a-b;
printf("差は%f\n",d);break;

case '*':e=a*b;
printf("積は%f\n",e);break;

case '/':f=a/b;
printf("商は%f\n",f);break;
}
822774ワット発電中さん:2009/01/22(木) 20:32:37 ID:14lhtMnv
>>821
そのCの変数 i はどの型で宣言していますか?
>>814の回路の i は何ビットですか?
i の状態は何通りありますか?
823814:2009/01/22(木) 20:35:45 ID:JBL9RawO
>>822

iはcharで宣言してます。

Cでは
printf("演算記号を入力して下さい。\n");
scanf("%s",&i);

なので1ビットかな
四則演算なので+-*/にしようかと思ってたのですが/が出来ないと聞いたので
3通りです。

824774ワット発電中さん:2009/01/22(木) 20:38:17 ID:14lhtMnv
1ビットで3通りの状態を表現可能でしょうか?
あとVerilogの文法で + - * などの定数表現は可能でしょうか?
825814:2009/01/22(木) 20:43:04 ID:JBL9RawO
大学中退でトヨタのタコ部屋行きの予感( ;ω;)

>>824
>1ビットで3通りの状態を表現可能でしょうか?

無理です
2通りまでです

>あとVerilogの文法で + - * などの定数表現は可能でしょうか?

演算子が使えるんじゃなかったっけ?
Cでは>>821で出来たからもうわけ分からん
826774ワット発電中さん:2009/01/22(木) 20:47:36 ID:14lhtMnv
>>825
C言語の '+' は16進数で0x2Bと言う定数を表現しています。演算子ではありません。
827814:2009/01/22(木) 20:53:54 ID:JBL9RawO
>>826
ゴメンもうお手上げだわ・・・・
正直、四則演算は出来ないの?

数時間で出来ないなら、符号化とか、乱数とかの方が楽なのかな・・・

828774ワット発電中さん:2009/01/22(木) 20:57:13 ID:14lhtMnv
>>827
四則演算だろうがなんだろうが処理内容を理解していればできます。
今までの流れをみるとC言語の方もあまり理解していないように思えるんですが
いちど書籍などを図書館で読むなりした方が良いと思います。
829814:2009/01/22(木) 21:02:48 ID:JBL9RawO
>>828
C言語も何も1年のときに触っただけで、一切それ以降触れてないです;;

あまり時間が無いので短時間で>>821をHDLに変換する方法って無いんですかね?
HDL関係の本は時間があるときに流し読みにして、文法くらいは分かるのですが、
変数とか、使い方は全く分からないです。
特に演算に関してピンポイントで載せてくれている資料が無いのです
830774ワット発電中さん:2009/01/22(木) 21:06:35 ID:wekYL5wa
乗算は、乗算器があれば問題ないけど、普通はシフト加算するよ。
除算は、ちゃんとした回路を書かないと駄目。
除算器を書けるようになって、やっと半人前かな。
831814:2009/01/22(木) 21:07:28 ID:JBL9RawO
これじゃあだめですか?

module test(a,b,c1,c2,c3,ca1,ca2,ca3,i);

input [31:0] a, b;
input [3:0]i;

output [31:0] c1,c2;
output [31:0] c3;

output ca1,ca2,ca3;

wire [31:0] a,b,c1,c2;
wire [31:0] c3;
wire [3:0] i;
wire ca1,ca2,ca3;

case(i)

2'00:assign {ca1, c1} = a + b;

2'01;assign {ca2, c2} = a - b;

2'10;assign {ca3, c3} = a * b;

endcase

endmodule
832774ワット発電中さん:2009/01/22(木) 21:07:29 ID:14lhtMnv
>>829
>>784のページを読んで記述できないのならあきらめるしかないと思います・・・
>>811を読むと処理内容についても自由な気がするのですが、身の丈に合わせた
処理内容にするとかしてみたらどうでしょうか。
833814:2009/01/22(木) 21:22:02 ID:JBL9RawO
>>784
のページを読んで
assign {ca1, c1} = a + b;
を記述して

そこにcase文を当てはめるというやり方を行ったのですが
具体的に>>831の何が悪いのか言っていただけないでしょうか?

自分では何が悪いのか全く分からないのでぜひ指摘していただきたいのです
bitが分からないから次へ進めない、だから諦めると言う事はしたくないです。

それとも手の施しようが無いくらい根本的に全てが間違っているのでしょうか?
834774ワット発電中さん:2009/01/22(木) 21:30:01 ID:14lhtMnv
>>833
input [3:0]i; と宣言しているのに 2'00 と比較しているのはなぜですか?
input [31:0] a, b; としたときの乗算結果を {ca3, c3} に入れているのはなぜですか?
835774ワット発電中さん:2009/01/22(木) 21:30:22 ID:fxRyGQEQ
> 根本的に全てが間違っている

2chで他人に物を教えてもらおうとすることが根本的に間違いなわけだが。
836774ワット発電中さん:2009/01/22(木) 21:33:03 ID:dmhsPjc8
case文の文法調べたら?
ネットですぐ見つかるし…。

ところでca3は何?
837814:2009/01/22(木) 21:58:10 ID:JBL9RawO
>>834
ありがとうございます


こんなんでどうでしょう?

module ALU(op, A, B, C);

input [2:0] op;
input [7:0] A, B;
output [7:0] C;

wire [2:0] op;
wire [7:0] A, B;
reg [7:0] C;

always @(op or A or B)
case (op)
3'd0: C <= A + B;
3'd1: C <= A - B;
3'd2: C <= -A;
default: C <= 8'hxx;
endcase

endmodule
838774ワット発電中さん:2009/01/22(木) 22:03:10 ID:nh7WnJe7

>>833

Cでいう変数宣言をVerilogと対比して書くと、
 unsigned char a;   a [ 7:0]
 unsigned int b;   b [15:0] ( int=16bitの場合)
となる。
unsigned char a; → 8bit変数
この8bitの変数に入る数値の模様は、00000000〜11111111の256通り組合せ
 →10進で言うと0〜255の数字は、8bitあれば記憶出来るというわけ。

一般にCでは、char, int, long, ....と、8毎に増えていくけど、
Verilogの場合は、もったいないので、必要な数だけを宣言できる。
0,1,2,3の4通りを表したいなら、2bitあればよいので、c [1:0]と書く。
同様に0,1,2,3,.....8, 9, 10まで表現したいときは、最大の10がbit模様で1010なので
4bit用意すればよい。d [3:0]と書く。e [13:0]とかね半端な定義もできる。

掛け算の答えは「それぞれの数値の桁数」を足した桁数にふくれあがる。
10進数でも99×99=9801→2桁×2桁=4桁になるということだ。
2進数でも同じで、1010×1010=01100100となる。
なので、32bit×32bitの答えを32bitに入れようとしている君は、変。
C言語でも、charとcharを掛け算したときの答えは、intに入れるだろ?

4bit取ったiを、なぜ2bitの00, 01,10, 11で比較するんだ?
bit幅が合ってないじゃないか、と指摘されているんだよ。
だったら はじめからiを2bitで宣言すればよい。

また、2'00はエラー。2'b00と書け。めんどうなら、いきなり0, 1, 2, 3と書けば良い。
本は、ちゃんと注意して読め。
839774ワット発電中さん:2009/01/22(木) 22:03:20 ID:dmhsPjc8
   ∩___∩         |
   | ノ\     ヽ        |
  /  ●゛  ● |        |
  | ∪  ( _●_) ミ       j
 彡、   |∪|   |        J
/     ∩ノ ⊃  ヽ
(  \ / _ノ |  |
.\ “  /__|  |
  \ /___ /
840774ワット発電中さん:2009/01/22(木) 22:21:05 ID:bfaCvQQQ
ピンポイントで解説を求めるな
基礎をすべて理解してから出直せ
841774ワット発電中さん:2009/01/22(木) 22:23:15 ID:ACLqqOTk
全くハード化を考えてないHDLに何の意味があるのか分からないが、がんばれ。
842814:2009/01/22(木) 22:31:39 ID:JBL9RawO
>>838
詳しい説明ありがとうございます。

>>840
就活&実験レポで時間が無いんです。

>>841
教授の気紛れ以外の何者でもないです。

一応作れても次はテストベンチをつくらなければいけないんですよね・・・
843774ワット発電中さん:2009/01/22(木) 22:34:47 ID:14lhtMnv
>>842
無いのは時間ではなく貴方の実力です。
とりあえず現状のものを提出して
評価してもらったほうが良いかも。
844814:2009/01/22(木) 22:39:11 ID:JBL9RawO
皆さんは本とか読んで独学で勉強したんですか?

大学でも教えてくれないマイナー言語を一体どうやってマスターしたのか気になる
LSI関連の技術者が多いんですか?
845774ワット発電中さん:2009/01/22(木) 22:41:17 ID:14lhtMnv
回路設計は趣味、知識は書籍や無料のセミナ受けたりしましたよ。
846774ワット発電中さん:2009/01/22(木) 22:47:44 ID:7Hwu2IeB
>>844
FPGAとかVerologの前に、
ほんの少しでいいからデジタル回路の勉強した方がいいよ。
847814:2009/01/22(木) 22:53:10 ID:JBL9RawO
あと一週間でどうにかなるかもという淡い期待を捻り潰す衝撃のトドメがきた/(^o^)\

俺のようなド素人に長々とお付合い下さって有難うございました。

>>846
ディジタル回路って前にやった気がするけど、、、、さっぱり思い出せないです。
848774ワット発電中さん:2009/01/22(木) 23:06:17 ID:aYms9Pbt
>>844
大学で教えてもらってないとか...
考えがすでに間違っとるんとちゃうか?

研究室の課題か授業の課題かわからんけど,今までの経緯から
理不尽な要求ならしっかりと交渉すればいいジャマイカ?


>>847

コメントかいてたらオワタ宣言がwww
849774ワット発電中さん:2009/01/22(木) 23:22:02 ID:ACLqqOTk
>>846
こんな課題出す所なんて電電か情報でしょ。どっちにしろ授業でデジタル回路はやるだろ、普通の大学なら。
850774ワット発電中さん:2009/01/22(木) 23:51:04 ID:0TgGyNUD
バカ客の子守りつかれるぜ
851774ワット発電中さん:2009/01/22(木) 23:53:45 ID:6fvjM6z9
>>844
電子回路とプログラミング言語理論の基礎があればそんなに難しくない。
言語が変わったらできない、という時点で表層をなぞっていただけと判定できる。
852774ワット発電中さん:2009/01/23(金) 00:03:15 ID:nh7WnJe7

>>814のおかげで、近年まれに見るスレの進み具合。

○登場
>778 :774ワット発電中さん:2009/01/21(水) 21:13:16
> 基本的な問題で申し訳ないのですが加算a+bをverilogで書くと

○退場
>847 :814:2009/01/22(木) 22:53:10
> 俺のようなド素人に長々とお付合い下さって有難うございました。
853774ワット発電中さん:2009/01/23(金) 00:22:06 ID:qf7CtZ3c
こんな簡単な質問なのに誰も答えを書かないのなw
854774ワット発電中さん:2009/01/23(金) 00:22:17 ID:vL82UT/3
>>825

>>>824
>>1ビットで3通りの状態を表現可能でしょうか?
>
>無理です
>2通りまでです

いや、
supply1/strong1/pull1/large1/weak1/medium1/small1
supply0/strong0/pull0/large0/weak0/medium0/small0
あと、highz があるから 15 通り可能
855774ワット発電中さん:2009/01/23(金) 00:30:56 ID:rqzoHTY2
>>853
俺もそう思ったわwwww
初心者に優しくないのか知らんがココまで一切進展しないレスも珍しいわw
廃れるなこりゃ
856774ワット発電中さん:2009/01/23(金) 01:55:32 ID:qf7CtZ3c
こうですか?わかりません><

module nullpo(A, B, C, i);
  input[15:0] A,B;
  input[1:0] i;
  output[31:0] C;
  wire  [31:0]  C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) );
endmodule
857774ワット発電中さん:2009/01/23(金) 02:03:32 ID:FA5sMLAR

おっ、だんだんいい感じになってきたじゃん。

>wire [31:0] C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) );
この行、なんか変。

assign .... で書いちゃダメなの?


858774ワット発電中さん:2009/01/23(金) 02:27:51 ID:aif0pTZz
>844
>847
大学でも教えてくれないマイナー言語ってうちの大学じゃ教えてるよ
それとデジタル回路って基本は簡単・単純だから教科書読みなおせば一時間で理解できるとおも
859774ワット発電中さん:2009/01/23(金) 02:40:02 ID:dJnBeTqx
module nullpoint (
A, B, X, i
);
input [7:0] A;
input [7:0] B;
input [1:0] i; //00:+ 01:- 10:* 11:?
ouput [15:0] X;

reg [15:0] X;
always@(A or B or i) begin
case(i)
2'b00: X = A + B ;
2'b01: X = A - B ;
2'b10: X = A * B ;
2'b11: X = 16'hxx ;
endcase
end

endmodule
860774ワット発電中さん:2009/01/23(金) 08:26:57 ID:cd88PcTi
>>857
何で変なの?

>>850
always * begin が今風
861814:2009/01/23(金) 08:44:54 ID:rqzoHTY2
問題はテストベンチの方だろ
ネットの情報も少ないし、教科書見てもハア?だった奴が多いと思うわ
862856:2009/01/23(金) 08:47:52 ID:rqzoHTY2
C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) );
これはいいのか
863774ワット発電中さん:2009/01/23(金) 09:18:14 ID:12Mqk0W8
特に問題ないと思うが…
864774ワット発電中さん:2009/01/23(金) 11:44:41 ID:FA5sMLAR

861 = 862 は、
言葉遣いが急に変わったけど、 814(=856=発言元)なのか?

>>862
見にくいだけで、問題はないとおもう。
865774ワット発電中さん:2009/01/23(金) 14:02:48 ID:rqzoHTY2
864違う
アンカーができなくなったんだ 紛らわしくてすまん
なんか安価が変になるんだがIMEを入れなおした方がいいのか

866774ワット発電中さん:2009/01/24(土) 00:32:42 ID:1snXtNlA
もうこれで出そうかと思うんですが・・・・どうでしょうか?
なんか気づいた点があったら教えてくださいな

module SHISOKU(A, B, C, op);

input [7:0] A,B;
input [1:0] op;
ouput [15:0] C;

reg [15:0] C;

always@(A or B or op) begin

case(op)
2'b00: C = A + B ;
2'b01: C = A - B ;
2'b10: C = A * B ;
2'b11: C = A / B ;
endcase
end

endmodule
867774ワット発電中さん:2009/01/24(土) 00:50:09 ID:AU5MXZlg
function文を使うんですね、わかります。

module boooooon(A, B, X, i);
 input [15:0] A, B;
 input [1:0] i;
 output [31:0] X;
 wire [31:0] X = kyuiiiiiin(A, B, i);
 function [31:0] kyuiiiiiin;
  input [15:0] A,B;
  input [1:0] i;
  begin
   case(i)
    2'd0 : kyuiiiiiin = A + B;
    2'd1 : kyuiiiiiin = A - B;
    2'd2 : kyuiiiiiin = A * B;
    default : kyuiiiiiin = 32'hxxxxxxxx;
   endcase
  end
 endfunction
endmodule
868774ワット発電中さん:2009/01/24(土) 01:40:33 ID:+FSfUcOq
割り算は敷居が高くないか?


module divu( start, clk, valid, A, B, D ,M);
input start, clk;
input [7:0] A, B ;
output [7:0] D, M ;
output valid;

reg [3:0] cycle;
reg [7:0] D;
reg [7:0] M;

wire [7:0] pre_M0 = {M[6:0], D[7]};
wire [8:0] pre_M1 = pre_M0 - B;
wire ge = ~pre_M1[8];
wire [7:0] pre_M2 = ge ? pre_M1[7:0] : pre_M0 ;

always@(posedge clk) begin
 if(start) begin
  D <= A;
  M <= 8'd0;
  cycle <= 4'd0;
 end
 else if(!cycle[3]) begin
  D <= { D[6:0], ge} ;
  M <= pre_M2 ;
  cycle <= cycle + 4'd1;
 end
end

assign valid = cycle[4];

endmodule
869774ワット発電中さん:2009/01/24(土) 01:53:43 ID:1snXtNlA
>>868
やはり割り算は止めた方が良さそうですね。
折角書いていただいたのに申し訳ないです
870774ワット発電中さん:2009/01/24(土) 01:58:24 ID:+FSfUcOq
見直すと少なくとも二つの間違いがあるな。
もう駄目ぽ
871774ワット発電中さん:2009/01/24(土) 10:23:41 ID:02fSrTAf
ビヨンセのーぶらやっほー
872774ワット発電中さん:2009/01/24(土) 11:22:39 ID:1snXtNlA
>>867
テストベンチのときiをどうすればいいのか分かりません
進数やらビットやらが間違っているとは思うのですが、ごっちゃになってサッパリです・・・

$dumpfile("test.vcd");
$dumpvars(0, TESTBENCH);
$monitor ("%t: A = %d, B = %d, X = %d, i = %b,", $time, A, B, X);

i = 0;A = 0; B = 0;
#10 A = 10; B = 0;
#10 A = 0; B = 20;
#10 A = 10;
#10 A = 0; B = 0;
#10 $finish;

end

-----------------


case(i)
2'b00: X = A + B ;
2'b01: X = A - B ;
2'b10: X = A * B ;
2'b11: X = 16'hxx ;
endcase
end
873774ワット発電中さん:2009/01/24(土) 12:05:48 ID:ObO893ZQ
>>872
答えさえわかればいいのか?
それとも、理解したいのか?
もし、後者なら、質問するには早すぎる。
874774ワット発電中さん:2009/01/24(土) 12:14:18 ID:1snXtNlA
出来れば答えを教えてください。
もう私には理解するのは無理みたいです・・・・・・・
875774ワット発電中さん:2009/01/24(土) 12:48:04 ID:jCYKxLih
             ,‘゙'、       .へ
            ,:'  `'、    .,,:' :::::ヽ
            ,:'    ヽ   ,:'   :::::゙;
            ;     ''''''"""'    ::::.゙;
            ;             ::::::::; 
              ;/       \     ::::;
              ; ●     ●      :::; 
              ;  (__人__)        ::,;    だが断る
            `: :      ,丶‐、、_ ::::::、′
              、''"```゛''':、;. : : .,:'  :::::ヽ
          ,,:' `ヽ、、   ``'``    :::::;;
          (    _`丶       、 ::::'.
          : "-、- ‐″: `'、、: : : .,,..`  ::::.ヽ
           ,:'    ,:'`  `````   、  :::';、
          ,,:'   ..,:'      、      :::::.゙;、
         、":    ,′     r、       :::::゙;
         ′    `    .'"




876774ワット発電中さん:2009/01/24(土) 12:51:53 ID:ObO893ZQ
>>874
>テストベンチのときiをどうすればいいのか分かりません
i を、どうしたいの?テストベンチの仕様は?
877774ワット発電中さん:2009/01/24(土) 13:01:50 ID:1snXtNlA
>>876
case(i)
2'b00: X = A + B ;
2'b01: X = A - B ;
2'b10: X = A * B ;
2'b11: X = 16'hxx ;
endcase
end

これで、iが00だとA+Bの計算をするという感じにしたいです
>>872
のテストベンチの主要部分だけでは情報が足りませんか?
878774ワット発電中さん:2009/01/24(土) 13:06:54 ID:GfZV8vBJ
この人友達いないんかな
879774ワット発電中さん:2009/01/24(土) 13:17:25 ID:ObO893ZQ
>>877
>これで、iが00だとA+Bの計算をするという感じにしたいです
iが00だとA+Bの計算をする、これは、moduleですることだ。

>>>872
>のテストベンチの主要部分だけでは情報が足りませんか?
872は、テストベンチの試し書きであって、
テストベンチの仕様が不明。
880774ワット発電中さん:2009/01/24(土) 14:18:40 ID:02fSrTAf
>>878
自演オナニーだから
881774ワット発電中さん:2009/01/24(土) 18:18:57 ID:1snXtNlA
>>879
case文の場合のテストベンチの記述方が分からんのです

何となくで書いてみたのがこれです

module TESTBENCH;

reg [7:0] A,B;
reg [1:0] op;
wire[15:0] X;

SHISOKU bbb(A,B,X,op);

initial begin

$dumpfile("test.vcd");
$dumpvars(0, TESTBENCH);
$monitor ("%t: A = %d, B = %d, X = %d, op = %b,", $time, A, B, X);

op = 0;A = 0; B = 0;
#10 A = 10; B = 0;
#10 A = 0; B = 20;
#10 A = 10;
#10 A = 0; B = 0;
#10 $finish;

end

endmodule
882774ワット発電中さん:2009/01/24(土) 19:06:47 ID:VWLHBxkv
実際にシミュレータで動作確認をしてみればいいのに。
883774ワット発電中さん:2009/01/24(土) 19:07:29 ID:evWHjZjr
素直に単位落とせ。
884774ワット発電中さん:2009/01/24(土) 19:37:39 ID:jCYKxLih
 他人の留年で今日も飯がうまい!!
    +        ____    +
      +   /⌒  ⌒\ +
   キタ━━━//・\ ./・\\━━━━!!!!
    +   /::::::⌒(__人__)⌒:::::\  +
        |  ┬   トェェェイ     |
     +  \│   `ー'´     /    +
     _|\∧∧∧MMMM∧∧∧/|_
     >                  <
   /  ─ /  /_ ──┐ヽ|  |ヽ  ム ヒ | |
 \/  ─ / / ̄ /   /  | ̄| ̄ 月 ヒ | |
  ノ\ __ノ   _ノ   \   / | ノ \ ノ L_い o o
885774ワット発電中さん:2009/01/24(土) 22:09:38 ID:jv+9hiEd
メシウマ状態のAAはなんで鉄平なんだろう
886774ワット発電中さん:2009/01/25(日) 00:44:11 ID:fRU/b5sF
>>881

同じようなもんべたべた貼られるのがアレなんで、簡単に助言を.


module "SHISOKU"のブラックボックステストをするのが目的と仮定します。
case分云々は、testbenchを書くときに意識する必要はありません。

moduleの入力はA,B,op・出力はXで,入力を変化させたときの出力を見たいわけです。
で、みなさんが再三質問されているように、moduleの仕様はなんですか?
仕様どおりに動くかを検証するわけですから.

# 極論すれば、入力 8bit 8bit 2bit(2^18通り)の入力を見ればいいでしょう。
# (0,1のみを入れる場合.不定値・信号強度は今回ムシする)



社会に出ても同じようにやっていけたらイイデスネ...
887774ワット発電中さん:2009/01/25(日) 01:29:09 ID:TPR4UnZZ
> case分云々は、testbenchを書くときに意識する必要はありません。

分かりました

> moduleの仕様はなんですか?

初心者なものでmoduleの仕様というのが何を指すのかわかりません
上に張ったものが全てです。

> # 極論すれば、入力 8bit 8bit 2bit(2^18通り)の入力を見ればいいでしょう。

そこまで求めていないと思うので2bitのみにしておきます。
888774ワット発電中さん:2009/01/25(日) 01:36:44 ID:GWkTWci5
テストベンチがなにか、がわかってないようだ・・。
889774ワット発電中さん:2009/01/25(日) 01:50:32 ID:TPR4UnZZ
シミュレーションを行うために入力するデータのことじゃないの?
890774ワット発電中さん:2009/01/25(日) 02:09:46 ID:Gc87Tf9X
ぶっちゃけ友達に聞いたほうが早いと思う。
891774ワット発電中さん:2009/01/25(日) 02:15:58 ID:TPR4UnZZ
皆やらないみたいです。
まともにやってる自分がアホに思えてきた
892774ワット発電中さん:2009/01/25(日) 02:21:17 ID:fRU/b5sF
>>887
風呂上がって見直したらレスも酷かったな.すまない...

レポート作成なら、結果も重要だが、考え方がとても重要だ.
教授の趣味・趣向によるかもしれんが、突っ込んでくる人だと、
どう考えたかを問うてくるだろう.(そんなヒマないか…)

あと,プログラミング言語の関数テスト経験もなさそうだし,
ほかの人の役にもたつかもしれないので自分なりに書き下してみます.
# 自分の考え方やら説明の仕方の勉強にもなる・・・かな


>仕様
ここでは、テストベンチの作成にあたって,
なんのために,なにを,どのようにしていくのか,の内容を指すかと思います.

・なんのために(目的):四則演算モジュールの検証
・なにを:テストベンチを作って,シミュレータにかける
・どのように:入力パラメタを変化させて、出力の値を確認して.

ここまでは、前提条件としてOKですかね?

ここでモジュール定義に戻ります.(>>866より.少し表記を変えました)

▼検証対象モジュール
module SHISOKU(
input [7:0] A,
input [7:0] B,
input [1:0] op,
ouput [15:0] C
);

符号なし8bit値A, Bと,符号なし2bit値 opを入力とし,出力は符号なし16bit値Cとなるモジュール.
※Cはreg指定しているが、クロックが無いので合成時に無視されそうな希ガス?

・opの値は演算種別を指定する(未定義?.記述より0:和/1:差/2:積/3:商)
・A,Bの値に制限はない(未定義)

つづく
893774ワット発電中さん:2009/01/25(日) 02:22:04 ID:fRU/b5sF
>>892

つづき

▼試験パターンの検討/準備:
 1:四則演算の動作確認のため,四則それぞれ行う(検証目的より)
 2:A/Bの値を考える
  (全パターンがイヤなら,端折っていい理由を考えつつ、値を選択すること)
  選択した理由がいえない,というのはよろしくない.

 → 選択した入力値のセットを縦方向に並べて,シミュレーションの出力ではなく,
   手計算なりソフト書くなりしてCのとるべき値を得ておく.(期待値リスト)

★ 提示されたベンチでは、上記1を満たしていません.
  その直し方を聞かれていますか?(if yes then ヒント:"op" else "kwsk")


▼シミュレーション:
 1:前述の検討結果を基にして,テストベンチを記述する.
 2:$monitor()により、入出力の値が列挙されていくので,
  作成しておいた期待値リストとつき合わせて差異が無いかを確認する.

ここで差異が出なければ検証OK.
差異が出れば,モジュール作成・検証方法・テストベンチのいずれかが誤り.


▼おせっかい
"Cで記述した四則演算関数"もfix.してたっけ?
単純にHDLにもってこいと一言だけど,前述のとおり,
今の記述だと符号なし整数扱い(注1)です.

---
注1:Verilog HDL 2001仕様で語っています.古いほうの仕様書は読んでないので.
894774ワット発電中さん:2009/01/25(日) 02:27:01 ID:TPR4UnZZ
ご丁寧にありがとうございます。m(_ _)m
レポートには定義・考察・検証の部分があるので役立たせて頂きます。
895774ワット発電中さん:2009/01/25(日) 02:35:07 ID:GWkTWci5
> module SHISOKU(
> input [7:0] A,
> input [7:0] B,

これだとVerilog HDL 2001スタイルっぽくはないな。
896774ワット発電中さん:2009/01/25(日) 03:22:28 ID:VvXfYClq
Verilog書けないFラン乙
897774ワット発電中さん:2009/01/25(日) 03:27:03 ID:fRU/b5sF
>>895
"ぽい"スタイルを教示いただけると幸いです.
個人的にはANSI-C記述っぽくて気に入っているんですけど(笑

LRM Chap.10の辺りを見る限りは、記述上の問題はないですよね.
# QuartusIIで論理合成できてるけど..
898774ワット発電中さん:2009/01/25(日) 15:44:17 ID:hFZIP2BI
何で自分で調べようとしないの?ばかなの?
899774ワット発電中さん:2009/01/25(日) 16:07:22 ID:PDtJayIu
提出期限っていつなの??
900774ワット発電中さん:2009/01/25(日) 16:25:54 ID:FyRVONVP
何でthrowghしないの?ばかなの?
901774ワット発電中さん:2009/01/25(日) 17:19:04 ID:Gc87Tf9X
過疎っててみんな寂しいんだよ。
902774ワット発電中さん:2009/01/25(日) 18:29:27 ID:PDtJayIu
スルーしてるわけではないが答えているわけでもない罠
903774ワット発電中さん:2009/01/25(日) 18:37:04 ID:FyRVONVP
904774ワット発電中さん:2009/01/25(日) 23:03:38 ID:LOrw3rH+
http://a-draw.com/uploader/src/up9154.txt calc.v
http://a-draw.com/uploader/src/up9155.txt test.v

書いてみた
何箇所かきになるところもあるけどまあいいか

もし提出したなら、その先生?の感想を聞きたいかも
905774ワット発電中さん:2009/01/25(日) 23:11:07 ID:LOrw3rH+
話は変わるけど、2001まででjoin_none的なことってできるのかな?
シナリオ書いてて、なんか不便だった。。。

906774ワット発電中さん:2009/01/25(日) 23:18:43 ID:TPR4UnZZ
>>904
ありがとうございます。
クロック信号を入力して非同期回路にするのはどういう意味があるのか聞いてもいいですか?
907774ワット発電中さん:2009/01/25(日) 23:58:07 ID:LOrw3rH+
同期回路だよ
o_DATAはクロック(CLK)に同期して動くからね

暗黙の了解として、i_DATA1などもCLKに同期した回路(FF)からの出力を受ける前提がある。
908774ワット発電中さん:2009/01/26(月) 00:08:44 ID:vY77J+CR
了解しました。

ちなみにシミュレーションしようとしたところ

task automatic waitclk;

が引っ掛かって停止してしまいました
909774ワット発電中さん:2009/01/26(月) 00:40:02 ID:zTCDRKGM
automaticという予約語はverilog 2001以降なので、シミュレータのオプションで設定してね
今は1995になってるようだから
910774ワット発電中さん:2009/01/26(月) 00:49:29 ID:vY77J+CR
本当に感謝です。
911774ワット発電中さん:2009/01/26(月) 00:53:13 ID:P1LvEaia
なかなかの模範解答お見事w

どう見ても初心者の学生が書いたコードに見えないから、
これを提出するには質問者もきちんと理解しておかないと、自力でやってないことがばれるよw
912774ワット発電中さん:2009/01/26(月) 01:03:25 ID:vY77J+CR
veritak死ねええ オプションが存在しないw
>>911
やり方はネットで調べろとかぬかしてたから問題ないです。
もし落としたら即効で・・・・
913774ワット発電中さん:2009/01/26(月) 01:20:37 ID:izVzyZHs
あーあつまんねぇな
せっかく他人の不幸が留年が涙が見られると思ったのに
ID: LOrw3rH+はさっさと死ねよ
914774ワット発電中さん:2009/01/26(月) 01:27:46 ID:P1LvEaia
廃れる直前の業界は一見さんお断り的な雰囲気がある。
915774ワット発電中さん:2009/01/26(月) 01:31:37 ID:KLI1ldwj
>>912

Veritakの悪口言うな。菅原さんはいい人だ。
916774ワット発電中さん:2009/01/26(月) 01:38:23 ID:vY77J+CR
ごめんなさい。
でも予約語登録できないんだもん
917774ワット発電中さん:2009/01/26(月) 01:58:20 ID:zTCDRKGM
verilogはネットで情報集めるにはちょっとつらいかもね
Veritak使ったことないけど、あれなら絶対設定できるはず>1995/2001
SVサポートを心待ちにしてる。

まあ、とりあえず実際のコードみながら調べたほうがイメージがつかみやすいだろうから頑張ってね
先にデジタル回路の勉強したほうがよさそうだけどね。

918774ワット発電中さん:2009/01/26(月) 02:02:24 ID:XLBycyk5
おまえらID:vY77J+CRが「ASICのプロジェクトでぼくが担当した部分が動きません><」
とか泣きついてきたらどうするつもりだ!
919774ワット発電中さん:2009/01/26(月) 02:12:37 ID:P1LvEaia
メタル修正乙
920774ワット発電中さん:2009/01/26(月) 07:50:46 ID:vBPNYjvn

http://a-draw.com/uploader/upload.html
up9180.txt
up9179.txt

Veritakでも通るように書き直してみた。


921774ワット発電中さん:2009/01/26(月) 10:23:21 ID:/gl3QoLy
>>920
すげぇ・・・きれいなRTLだ。

学生さんには
assign calc = A + B;

とか便利な技使わず
全加算器とかつかtt・・・何歳だ俺は
922774ワット発電中さん:2009/01/26(月) 10:29:15 ID:EnNIWU8L
浮動小数点の加減乗除をRTLで記述したらいい点つくんじゃないか?
923774ワット発電中さん:2009/01/26(月) 10:57:56 ID:FGYnzLUV
>>920
op_width とか宣言してるのに、2'b00 とか使っちゃうのは何故なんだぜ?
924774ワット発電中さん:2009/01/26(月) 12:36:36 ID:vBPNYjvn
>>920
そうですね。この方がいいかな。

// セレクタ
parameter [OP_WIDTH-1:0] Add=0, Sub=1, Mul=2;

always @(*) begin
case(w_sel)
Add : w_sel_data = w_add_data;//Combinational
Sub : w_sel_data = w_sub_data;
Mul : w_sel_data = w_mul_data;
default : w_sel_data ='sbx;
endcase
end
925774ワット発電中さん:2009/01/26(月) 21:05:59 ID:F4tx5RKC
Wired-Or, Wired-Andって、VHDLでどう書くの?
926774ワット発電中さん:2009/01/26(月) 21:39:20 ID:/xO6kgKW
ワイヤードANDって、できるの?
全員がHになったときにだけ出力H......できるかな?
ダイオードでORすればいいのか。1人でもLがあったら出力はLだもんね。
てか、FPGAでワイヤードってできるのかな。
927774ワット発電中さん:2009/01/26(月) 22:32:34 ID:6+ikwKYw
なにがしたいの?
928774ワット発電中さん:2009/01/26(月) 23:14:42 ID:OUGS9ajK
>>926
そんなの簡単じゃん、他にもワイヤードNANDやワイヤードEORなんてのもあるぞ。
929774ワット発電中さん:2009/01/26(月) 23:20:46 ID:P1LvEaia
浮動小数点の加算器作って提出すればきっと満点もらえるよw
つかやってくれw
930774ワット発電中さん:2009/01/26(月) 23:40:53 ID:vY77J+CR
真面目な後輩が試し書きした奴を持っていったら突っ返されたらしい・・・
なんでも分岐計算みたいな階層プログラムなら加算するとか・・・・・

HDLに触れたことすらない俺らにいったい何を望んでるんだよ
マジで●意が湧くわ
931774ワット発電中さん:2009/01/26(月) 23:49:28 ID:tWs1j6cK
プロセッサでも作るとか?
932774ワット発電中さん:2009/01/26(月) 23:54:52 ID:P1LvEaia
学校など教授とのSMプレイの場だからなw最後までMに徹した者に単位が与えられる。
933774ワット発電中さん:2009/01/27(火) 00:02:14 ID:vY77J+CR
>>931
選択肢の中にそれもありますが、明らかに不可能なので演算回路にしました
上で書いてくださった奴で十分だとは思いますが・・・
934774ワット発電中さん:2009/01/27(火) 00:14:58 ID:Olm3cJay
>>930
就職した後、HDL書く部署に配属されたらどうすんの?
動かない回路書いて怒られたら上司に殺意抱くわけ?

っていうか文句あるならそんな授業選択しなければいいじゃん。
935774ワット発電中さん:2009/01/27(火) 00:19:16 ID:hRH2GDEq
まあプロセッサは冗談として>>930をみる感じ、なんか意味ありげな処理を期待しているのかな
って思ってね。積和演算のみでデジタルフィルタあたりとか作っても面白いかも。
936774ワット発電中さん:2009/01/27(火) 00:22:11 ID:IkDcXo5N
>>934
去年まではそんな課題は出なかったんで、この授業を選択したんです。
937774ワット発電中さん:2009/01/27(火) 00:41:59 ID:oKnR/V/E
>>921
きれいけど、バス幅を合わせないと、Lintチェックに引っ掛かるよ。
938774ワット発電中さん:2009/01/27(火) 00:56:19 ID:K42XX8a7
>>930
>何を望んでるんだよ
自分で勉強することを知ることだろうね。
大学なら、勉強を一方的に教えてもらうの、そろそろ卒業だよ。
939774ワット発電中さん:2009/01/27(火) 01:05:05 ID:dqhTYYD7
>>924
昔、parameter でビット幅付きの宣言すると
ブチ切れた処理系がいた。
940774ワット発電中さん:2009/01/27(火) 01:38:28 ID:KFKf/l1j
ちょっと便乗質問。
たとえば16'd123のビット幅"16"の部分をparameterなどで文字に置き換える方法は無いんでしょうか。
後からモジュール全体のビット幅変えたいときなどに数値で書いてしまうと不便です。
941774ワット発電中さん:2009/01/27(火) 08:47:11 ID:+afPgfUy
HDLラッパーをスクリプトで掻く
942774ワット発電中さん:2009/01/27(火) 09:10:28 ID:g3jYEMSS
後からビット幅変えたいとか…
それより、ちゃんと仕様を詰めようぜ

オレなら perl
943774ワット発電中さん:2009/01/27(火) 09:49:54 ID:oEBnUtgk
m4だろ JK
944774ワット発電中さん:2009/01/27(火) 10:04:30 ID:rzr9yyl0
>>928
どうやんの?
945774ワット発電中さん:2009/01/27(火) 12:02:18 ID:rzr9yyl0
927>>
オープンコレクタ出力のあるモジュールを、
ひとつ上からVHDLでテストベンチを書きたいと思いました。
回路にすると、プルアップしてもうひとつオープンコレクタの
周辺回路とつなぐイメージです。
946774ワット発電中さん:2009/01/27(火) 12:27:57 ID:oEBnUtgk
>>945
テストベンチなら std_logic型のZとかHとかLを使えばいいでしょう
947774ワット発電中さん:2009/01/27(火) 13:28:52 ID:rzr9yyl0
>>946
なるほど。こういうときに使うものなのか、H,Lって。

モジュール内では、'Z', '0'でドライブしてあげて、
テストベンチからは、'H','0'を入れてあげる。
でよいすよね。

もひとつ質問ですが、この信号を入力としても
使ってるので、モジュール内では、
if (data_tri_io = '1') then
みたいな場所がたくさんあるのですが、、、全てを
if (data_tri_io = '1' or data_tri_io = 'H') then
にする必要ありますか?
入力のどこかで変換するんでしょうか。
948774ワット発電中さん:2009/01/27(火) 14:46:49 ID:oEBnUtgk
IEEE1164で定義された TO_X01関数を使うといい


if (TO_X01(data_tri_io) = '1') then
949774ワット発電中さん:2009/01/27(火) 17:46:03 ID:rzr9yyl0
>>948
なるほど、ありがとう。
入力の入り口で、教えてもらった関数で 'H' -> '1' に。
出力の最後で、'1' -> 'Z' に値を変換するようにしました。
950940:2009/01/27(火) 20:26:20 ID:KFKf/l1j
>>941-943

サンクス。
外部プログラムで対処ってことですね。

>後からビット幅変えたいとか…
以前のプロジェクトで作ったモジュールがビット幅変えるだけで流用できる時とかあるじゃん。
951774ワット発電中さん:2009/01/27(火) 23:08:39 ID:bMfERjnc
952774ワット発電中さん:2009/01/27(火) 23:09:16 ID:bMfERjnc
>>939
>>>924
>昔、parameter でビット幅付きの宣言すると
>ブチ切れた処理系がいた。
953774ワット発電中さん:2009/01/27(火) 23:16:18 ID:bMfERjnc
>>940

直接の回答ではないかもしれないけど、
'd100
みたいに幅を省略する事はできる

all1のときは
~0
で誤魔化すことがあるなぁ

constraint c{
a dist {
[0:~0] :/ 1,
256 :/ 1



みたいな。
954774ワット発電中さん:2009/01/27(火) 23:24:15 ID:hEG93cyQ
ビット幅を省略すると32ビットになるだけで、ワーニングもふつうに出るよ。
ってか、'd100なら、ただ100でいいじゃん
955774ワット発電中さん:2009/01/27(火) 23:46:55 ID:TsESfvc7
そうなんだ、じゃああんま意味ないなー
'dは確かに無意味だ、せめて'hと書けば良かったか?
それだと4の倍数じゃなければやっぱり怒られるかな・・・
今手元に環境ないからためせんw

{P_WIDTH{2'b10}}

とかなら行けるかね?
956774ワット発電中さん:2009/01/28(水) 19:30:09 ID:8epi3Xcb
二つのパルスの変化でカウンタをUp/Downさせたいとき、VHDLでどう書きますか?
↓のようなことをしたいのですが。
process (reset, plsA, plsB) begin
if (reset = '0') then
cnt_reg = X"00";
elsif (plsA'event and plsA = '1') then
cnt_reg <= cnt_reg + '1';
elsif (plsB'event and plsB = '1') then
cnt_reg <= cnt_reg - '1';
end if;
end process;

957774ワット発電中さん:2009/01/28(水) 19:41:17 ID:w+vyhNm1
どう書く、以前に、どういう回路にするつもり?
958774ワット発電中さん:2009/01/28(水) 20:42:14 ID:8epi3Xcb
>>957
それも含めて聞きたかったんです〜。
A,Bのパルスより速いCLKを入れて、CLKのタイミングで
A,Bそれぞれをの立ち上がりを検出して、カウンタをUp/Downさせる。
は、考えたのですが、もっと簡単な方法あるのかなと思いまして。
959774ワット発電中さん:2009/01/28(水) 21:22:45 ID:hjMiHcB4
パルスは完全に非同期?
同時にupとdownが発生する可能性もある?
960774ワット発電中さん:2009/01/28(水) 21:28:04 ID:8epi3Xcb
パルスは完全に非同期です。
まったく同時の場合は、差し引きゼロでカウンタは増減せず
または、増えてすぐ減る。でもいいですけど。

2つカウンタ用意しておいて、それぞれカウントアップしておく。
取り出したいとき、減算。でもいいかな。無駄多い気がするけど。。
961774ワット発電中さん:2009/01/28(水) 22:11:58 ID:kHViaEys
じゃあ、カウントアップ回路とカウントダウン回路を直列につなぐのはどう?

両方アクティブなら+1−1=0

加算器と減算器、2個使うけど

なんで非同期なの?
962774ワット発電中さん:2009/01/28(水) 22:46:16 ID:fmYyufyn
>>920
有難うございます。
自分なりに書き直したのですが、テストベンチで何を入力をして、また出力がどう出ているのか分かりません。

case文でi_selに値を入力しないと計算がされないから?
963774ワット発電中さん:2009/01/28(水) 23:06:57 ID:fmYyufyn
いや、私の見間違いだったようです。すみません。
964774ワット発電中さん:2009/01/28(水) 23:24:32 ID:fmYyufyn
アルファベットが含まれているからおかしいと思ったんだ・・・
これって何進数なのでしょうか?
入力も出力も2進数にしたい場合はどこをいじればいいのでしょうか?
965774ワット発電中さん:2009/01/29(木) 04:25:51 ID:h2+xHnPp
ttp://www.nikoli.co.jp/ja/puzzles/slitherlink/
1.「スリザーリンク」を解くHDLを書いてください
2.適当な難易度で問題を出題するHDLを書いてください
966774ワット発電中さん:2009/01/29(木) 08:29:29 ID:BG9dFSmC
これはCPUで解いた方がいいだろ
967774ワット発電中さん:2009/01/29(木) 19:33:08 ID:QeLOxTlx
>>960
何だか非同期の考え方が甘いような気がするけど、実際は自分で言っているA,BパルスをCLKで叩くか
A,Bそれぞれカウンタを作って、減算器に突っ込むかだと思う。
968774ワット発電中さん:2009/01/29(木) 23:33:22 ID:d6W2KRX2
CPLDの中でGCKを分周したクロック(gated clock)を
さらに分周して外に出したいだけのときは

always@(posedge gated=clock) begin
div_clk <= ~div_clk;
end

でOK?
クロック扱いになるけど、UCFでクロック指定とかしないとまずい?
969774ワット発電中さん:2009/01/29(木) 23:59:53 ID:sGtPLe7b
さては英語キーボードだな
970774ワット発電中さん:2009/01/30(金) 01:01:59 ID:KG7ZUxk6
>>968
合成してみなよ。
971968:2009/01/30(金) 01:47:54 ID:FshUA9D2
合成もできたしとりあえずは動いてますが、ちょっと不安になったので。

一応クロックなのでnet gated_clock BUFG=CLK; って書くのかなとも思ったり、
ほかに、posedge_gated使うとこないから、いらないかなとも思ったりしてます。

>>968さん
やっぱり会社と家でキーボードが違うとだめですね。
972774ワット発電中さん:2009/01/30(金) 09:17:09 ID:c1RHCiAN
div_clk は、クロック扱いにならないんと違う?
内部で使ってなければグローバルクロックラインを使う必要もないが
973774ワット発電中さん:2009/01/30(金) 11:05:20 ID:zQxH1SMG
quartus2で論理合成すると10時間くらいかかって,正しく終了したんだけど何かおかしいのかな?
974774ワット発電中さん:2009/01/30(金) 12:44:13 ID:LD4gvxUW
もし>>973が質問だとしたら、一番おかしいのは>>973の質問の仕方だな

ただの愚痴ならば無問題
っ ハイハイ大変デチュネー オチゴト ゴ苦労チャマ
975774ワット発電中さん:2009/01/30(金) 13:37:48 ID:/NILP2cr
激遅CPU+カツカツメモリーだったら仕方ない
976774ワット発電中さん:2009/01/30(金) 14:30:56 ID:A93KQmJy
IntelとかAMD の CPU って論理合成&&配置配線にどれくらいリソース使ってるのかね
大規模並列マシンで何日もかけてまわしてるんだろうか
977973:2009/01/30(金) 14:31:05 ID:zQxH1SMG
athlonXP2600+にRAM1G.
合成してみてロジックエレメントは約1万.
除算コードがそのまま論理合成通ったから,そこに問題があるのかと思ったんだが.
今までの感覚だとかかっても1時間程度かと思ってたら10時間・・・
ISEだと"/"が通らないから,コアジェネレータのやつ使うんだろうが.
まあ,通ったので実装してみる.
978774ワット発電中さん:2009/01/31(土) 00:30:45 ID:PPOAF2Dn

教えてください。

FPGAのシミュレーションなどに時間がかかるので、
高速なノートPCを買おうと思うのですが、
どれにしたらよいのかわかりません。アドバイスおねがいします。

ツールも64bit対応してるみたいだし、
64bitのPCにした方が良いと思うのですが、以下のどのCPUが64bitのCPUかわかりません。
・Xeon
・Intel Core 2
・Intel Core 2 Duo
・Intel Core i7

HDDよりソリッドステートドライブSSDにしたほうが、
高速になる、特にFittingが早くなると聞いたのですが、本当でしょうか?

高速にするために メモリも多く積みたいのですが、
Windows VISTAだと16GBまで認識するでしょうか?

もしオススメのPCがあれば、教えてください。
今考えているのは、LenovoのW700 2757A13です。
よろしくおねがいします。
979774ワット発電中さん:2009/01/31(土) 00:55:14 ID:TA2to0gn
その64bitに対応したシミュレーションツールって言うのは何だい?
VISTA に対応してるのかい?
もしかして、釣りなのかい?
980774ワット発電中さん:2009/01/31(土) 01:09:55 ID:hU88UMsw
>>978
ノートでなくデスクトップが良いでしょう
ツール名を書いてください
おそらくXeonが良いと思います
基本SSDの方が早いので、高速なSSDにしましょう
Windowsは捨てて、Linuxにしましょう

>>979
どう見てもくまー
981774ワット発電中さん:2009/01/31(土) 01:36:30 ID:PPOAF2Dn
ありがとうございます。
>その64bitに対応したシミュレーションツールって言うのは何だい?
ツールと書いたのは、ISE10のことで、シミュレーションツールではありません。
変な書き方してすみません。

>VISTA に対応してるのかい?
そのあたりのことが わからないんです。

>>980
現場とか新幹線の中でHDL書いたりしたいので
デスクトップは調子悪いです。
SSDにも低速/高速があるのでしょうか?
どのようなキーワードで探せば遅い/速いがわかるのでしょう?

OS Linux Linuxだとメモリが16GBまで使えると聞きました。
Windows VISTAでは16GBは見えないでしょうか?

982774ワット発電中さん:2009/01/31(土) 01:50:11 ID:bad4/foD
ネットブックのatomのPCでも動きますか?
983774ワット発電中さん:2009/01/31(土) 01:51:03 ID:YouOG5pZ
ノートで合成?回路規模にもよるけど、まあ、やってみたらいいよ。
984774ワット発電中さん:2009/01/31(土) 02:03:01 ID:Jr2eOBeR
ほほう、最近ではXeon搭載のノートPCがあるんだ。時代は変わったねぇw
Core i7も、もう出たんだ。早いねぇ。
985774ワット発電中さん:2009/01/31(土) 02:06:57 ID:2NoQFkGP
core2duoのレッツノートでいつも合成してるけど不自由ないよ。
10000ALUTくらいの規模で10分くらい。
変な記述するとなかなか終わらないが。
986774ワット発電中さん:2009/01/31(土) 07:36:53 ID:bad4/foD
ume
987774ワット発電中さん:2009/01/31(土) 07:37:39 ID:bad4/foD
ume
988774ワット発電中さん:2009/01/31(土) 07:38:11 ID:jg6ec2a1
 
989774ワット発電中さん:2009/01/31(土) 07:39:02 ID:jg6ec2a1
 
990774ワット発電中さん:2009/01/31(土) 07:43:36 ID:I1wWvchh
 
991774ワット発電中さん:2009/01/31(土) 07:44:46 ID:I1wWvchh
 
992774ワット発電中さん:2009/01/31(土) 07:55:46 ID:tc9iLMMN
 
993774ワット発電中さん:2009/01/31(土) 07:57:37 ID:tc9iLMMN
 
994774ワット発電中さん:2009/01/31(土) 09:46:09 ID:LE4zYdXe
 
995774ワット発電中さん:2009/01/31(土) 09:47:15 ID:LE4zYdXe
 
996774ワット発電中さん:2009/01/31(土) 10:38:38 ID:LE4zYdXe
 
997774ワット発電中さん:2009/01/31(土) 10:53:21 ID:bqi3mWiH
998774ワット発電中さん:2009/01/31(土) 12:51:10 ID:PcndCvGF
 
999774ワット発電中さん:2009/01/31(土) 13:16:19 ID:TVxISROZ
携帯から初の1000!
1000774ワット発電中さん:2009/01/31(土) 13:17:07 ID:TJ4j/WOt
 
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。