SystemC、SpecCについてのスレ 2

このエントリーをはてなブックマークに追加
1牛乳配達人
2774ワット発電中さん:04/12/31 05:01:30 ID:cLD/1Xr2
2 以降 get 禁止
3774ワット発電中さん:04/12/31 05:33:44 ID:8Jr3hI3s
関連(重複?)スレ
SystemC、SpecCについてのスレ part2
http://science3.2ch.net/test/read.cgi/sim/1104398794/l50

シミュレーション言語SystemCを語る
http://science3.2ch.net/test/read.cgi/sim/1104385750/

関連スレ、続き

MATLAB/simulinkでシミュレーション
http://science3.2ch.net/test/read.cgi/sim/1031226067/l50

Verilog,VHDL等のHW記述言語のシミュレータ
http://science3.2ch.net/test/read.cgi/sim/981553632/l50

では、このスレを本拠地とするという事で宜しいですね? > ALL
4774ワット発電中さん:04/12/31 06:22:21 ID:7svNSwgj
カトチャン、屁゜
5774ワット発電中さん:04/12/31 08:30:56 ID:4b8rzmXR
で、結局、HY-CとBDLのどちらに投資すれば良いのでせうか? 理由をつけての
優劣比較きぼんぬ!
6774ワット発電中さん:04/12/31 13:12:26 ID:vRr+3chs
これ、こちでねぇ
シミュレーション言語SystemCを語る
http://science3.2ch.net/test/read.cgi/sim/1104385750/
7名無しさん@3周年:04/12/31 13:36:26 ID:jRlVxOdc
>>6
ワロタ (w

http://science3.2ch.net/test/read.cgi/sim/1104385750/6
> 上の書き込みで、シミュレータがどのようにHWモデルをCに変換するかを説明しました
> が、SystemCフレーム・ワークをつかってHWのモデルを手でガリガリ書くことは、シミュ
> レータがHWモデルをCに変換する過程を、自分の手でやることと同じことです。

SystemC、やはりLiving Dead というのは本当なようで。となると、>>5
回答に興味が出てくるね。教えて、エロイ人!
8774ワット発電中さん:04/12/31 14:22:06 ID:3+atMd/z
Living Deadか・・・キョンシーですかな?
91:04/12/31 14:53:48 ID:63lkUAp0
重複スレにて、本スレじゃなくて ↓ に移動してください


http://science3.2ch.net/test/read.cgi/sim/1104385750/




以 下 レ ス 禁 止
10774ワット発電中さん:04/12/31 15:31:40 ID:TEXCVRfE
どうしてココじゃ駄目なん? それに、>>7 の様子だとシミュレーションに
限定して突っ込んで語る事なさそうなんですけど。なので、合成とかシステム
設計とかも視野に入れてこの版で議論した方が良いと正直思うのですが、
如何でしょう? > ALL
111:04/12/31 15:38:25 ID:63lkUAp0
実用に耐えない合成しかできない現状じゃここでやる意味がないので
↓へどうぞ。

http://science3.2ch.net/test/read.cgi/sim/1104385750/

ここでやるのはまともな回路合成できる目処がたってから。というわけで


---------------------   終    了 -----------------------

12774ワット発電中さん:04/12/31 15:42:43 ID:TEXCVRfE
支那腐死巣の陰謀の にをひ……
13774ワット発電中さん:04/12/31 15:43:14 ID:3+atMd/z
SystemCはあくまでもシミュレーションだけで
合成の事は考えていないということだしね。
シミュ板が最適。
14774ワット発電中さん:04/12/31 15:52:12 ID:63lkUAp0
現状はC++の亜種にしかすぎないのでム版でもいいんだけどね。

回路設計にこそ意味がある電気・電子版ではジャマしに来ないで!! 
それではこれにて

---------------------   終    了 -----------------------
15774ワット発電中さん:05/01/01 13:57:04 ID:csqaD9K1
http://ne.nikkeibp.co.jp/members/01db/200301/1010509/

これを読むと、2005年にはIPを、SystemCとRTL(多分Velilog-HDL)両方で
作成し、SystemCで動作合成、検証し、SystemCで使ったIPのRTLを統合して
全体のRTL記述作成、論理合成しFPGAを焼き、ハードウエア検証して問題な
ければ通常のLSI設計に入ることが記されている。

BDLは辞めるということですな。
16774ワット発電中さん:05/01/01 14:20:27 ID:csqaD9K1
>>15
この作業をサポートしたのが、Cowareのこのニュースですな
http://www.coware.co.jp/news/2004/2004.11.16.html
17774ワット発電中さん:05/01/01 16:02:27 ID:WLaK2V+B
>>ALL
重複スレにて、本スレじゃなくて ↓ に移動してください


http://science3.2ch.net/test/read.cgi/sim/1104385750/




以 下 レ ス 禁 止
18774ワット発電中さん:05/01/01 16:09:01 ID:sCh+/qAD
このスレは、SystemC関連の話題で特に実装を意識した部分を取り扱う方向
で存続、と理解してよいのかしら?

>>15
エLがBDLを諦めるとすると、期待できるのはHY-Cって事?

Renesas Technology Corp. Selects Y Explorations for C Based IC Design Flow
http://www.yxi.com/news-05-29-03.htm

As part of the joint development, both parties will develop an
extension to ISO/ANSI C, called HY-C, to support hardware constructs,
such as cycle constraints, communication, and parallelism. The
language will also support simulation and verification using
equivalence and model checking technology. Multiple clock hardware
design will also be supported.

とあるから複数クロックにも対応するって事だよね? クロック比を考慮した
ような設計って現状ではRTLでしか出来ないんだよね。SystemCじゃ話にならん
のよね実際。状況どうなのか、教えてエロイ人!
191:05/01/01 16:28:03 ID:WLaK2V+B
>>ALL
重複スレにて、本スレじゃなくて ↓ に移動してください


http://science3.2ch.net/test/read.cgi/sim/1104385750/




以 下 レ ス 禁 止
20774ワット発電中さん:05/01/01 16:39:00 ID:qEoy5Wr4
>>15 結局、どこもこの方法を採用するようだ。

http://www.renesas.com/jpn/news/2004/0921/index.html
21774ワット発電中さん:05/01/01 16:46:54 ID:qEoy5Wr4
>>18
>クロック比を考慮した ような設計って現状ではRTLでしか出来ないんだよね。
SystemCじゃ話にならんのよね実際。状況どうなのか、教えてエロイ人!

多分、イレギュラー対応で、BDL、HY-Cも残るのでしょう?
22774ワット発電中さん:05/01/01 17:12:04 ID:PEpoedHS
>>20
LogicBenchって確か、eXCiteじゃなかったけ?、アーリープロトのツール。
記事を読む限り、SystemCは純粋なシミュレーションのみが目的のようだけど。
というか、SimulationはCモデルをSystemCモデルへ書き換えて実施し、合成
はCモデルから直接行う、という風にも読めるのだがこの記事。どうなんだ
ろう? 関係者の方、情報お待ちしておりやす。
23774ワット発電中さん:05/01/01 17:55:55 ID:YO2cBidl
RTLで作った物をSystemCのライブラリ化して、
全体の結線をSystemCにしてシミュレーションして、
SystemCで書いたのと同じ物をCなりHDLで書き直して
いこうという、泥縄的なやり方ではないの?

何だかちっともエレガントじゃないな。ルネサスらしいと言えば
らしいけどさ

>15
引用してる資料が古すぎるよ。
24774ワット発電中さん:05/01/01 17:57:31 ID:YO2cBidl
やっぱりSystemCに関してはここでは板違い
http://science3.2ch.net/test/read.cgi/sim/1104385750/
に移動方

ってことで
−−−−−−−−−−−−終了−−−−−−−−−−−−−−−
25 【凶】 【641円】 :05/01/01 18:04:56 ID:ptjerw7t
なんかこの手のスレ進行パターン、遠い昔に見たような希ガス。
261:05/01/01 18:09:42 ID:WLaK2V+B
>>ALL
本スレは誘導スレです。 ↓ に移動してください


http://science3.2ch.net/test/read.cgi/sim/1104385750/




以 下 レ ス 禁 止

-------------------------終了-----------------------------
27774ワット発電中さん:05/01/01 18:39:55 ID:Q2OtOInt
>>22
情報提供です。 あんたは正しい
http://lsi.soliton.co.jp/products/yxi/excite/excite_index.html
28辻角久美子:05/01/01 18:46:14 ID:aswhaDpP
いい加減にやめろ。切り刻むぞ。

>>ALL
本スレは誘導スレです。 ↓ に移動してください


http://science3.2ch.net/test/read.cgi/sim/1104385750/




以 下 レ ス 禁 止

-------------------------終了-----------------------------
29774ワット発電中さん:05/01/01 21:02:22 ID:BX8/dqJB
>>28
だから、どうしてそんなに心が狭いの? 議論すべき内容がこことそこでは
異なるでしょ。そんなにSystemCが嫌いなの? というか、否定したくて
仕方ないという悪意しか感じられないよ。そーゆーの、イクナイ!
30774ワット発電中さん:05/01/02 01:12:56 ID:MhZyq5b1
>>28
オマエやるだけ逆効果になってるから、
正式に削除依頼出してこい。
31774ワット発電中さん:05/01/02 01:48:28 ID:VDJetm+6
-------------------------終了-----------------------------
32774ワット発電中さん:05/01/02 21:49:25 ID:yZ7m7JRM
SpecCはここですか?
33774ワット発電中さん:05/01/05 00:01:48 ID:EWeiS56r
東芝がForteを採用したニュースが出ている
http://www.forteds.com/japan/news121304.asp


34名無しさん@3周年:05/01/05 04:40:05 ID:KleVGAVk
-------------------------終了-----------------------------
35774ワット発電中さん:05/01/05 14:46:49 ID:7z05UMgv
つーか前スレ沈んだの?いつのまに…orz
誰か過去ログうpきぼんぬ
36774ワット発電中さん:05/01/05 17:17:26 ID:W60wU3ZC
>>35
.dat形式だけど・・・

ttp://radio.s56.xrea.com/radio/upload.html の radio0112.zip
37774ワット発電中さん:05/01/05 21:06:54 ID:yto0aLXw
もうここには誰もいないようですね。
38774ワット発電中さん:05/01/06 08:25:59 ID:O8lCIhcU
http://www.celoxica.co.jp/corporate/press_releases/show_release.asp?DocumentID=323
システム設計ツールDK Design Suiteの最新バージョンではCベース・アルゴリズムからのSoCプロトタイピングを強化し、FPGAデバイスや組み込みプロセッサのサポートも拡大
プロセッサもサポートだって
39名無しさん@3周年:05/01/06 10:01:43 ID:G8I+6VNV
-------------------------終了-----------------------------
40774ワット発電中さん:05/01/06 10:29:51 ID:70EqS4mo
-------------------------立入禁止-------------------------
41774ワット発電中さん:05/01/06 10:30:27 ID:70EqS4mo
---------------------SystemC通行止め----------------------
42蟻酢と海苔 ◆VpKHzOu04Y :05/01/06 14:14:35 ID:Kj7qf2kK
age
43774ワット発電中さん:05/01/06 19:50:56 ID:Vb6NuLor
何で通行止め?
SpecCとソリトンとルネサスと◎立専用で続けようよ

-----------| 入り口  ソリトン様 ルネサス様|------------
44774ワット発電中さん:05/01/06 19:54:38 ID:70EqS4mo
−−−−−−−|シミュ板へのワームホール|−−−−−−−−
http://science3.2ch.net/test/read.cgi/sim/1104385750/
45774ワット発電中さん:05/01/06 22:21:35 ID:tcNjT2hy
celoxica大好き
46774ワット発電中さん:05/01/06 22:53:57 ID:Vb6NuLor
----- | 入り口  |−−−−−−−−−−−
おいで
47774ワット発電中さん:05/01/06 22:54:33 ID:Vb6NuLor
まってるよ
48774ワット発電中さん:05/01/07 03:59:52 ID:I+BA8wi1
-------------------------終了-----------------------------
49774ワット発電中さん:05/01/07 06:52:40 ID:LIo1Iknp
end
50774ワット発電中さん:05/01/07 06:58:39 ID:dn0wbyVx
>>48-49
保守乙
51774ワット発電中さん:05/01/07 13:36:09 ID:FZsHexpp
ひとつだけいえることは、>>36は気の毒だってことだ。
52774ワット発電中さん:05/01/10 15:47:07 ID:X0yK8Tym
>>36
レスおそくなってすいません。ありがとうございます。ログ頂きました。
最近バイト忙しくて大学来てなかったんです。。。ユルシテ( ´Д⊂
53sage:05/01/16 07:45:54 ID:QnJf9YoO
漏れも今日ログ見ました。
いいスレだったと今思います。

>>1
復活を望みます
54774ワット発電中さん:05/01/17 21:09:34 ID:pdg84cG1
復活まだ?
55774ワット発電中さん:05/01/17 22:28:28 ID:BUX9zbhe
シミュ板でいいんでない?
56774ワット発電中さん:05/02/05 11:33:34 ID:0VZC/+3k
保守
57774ワット発電中さん:05/02/05 12:51:40 ID:TpnQpsAd
-------------------------終了-----------------------------
58保守:05/02/11 14:02:38 ID:OgYM3GDI
59774ワット発電中さん:05/02/11 19:27:03 ID:HP7UV3CJ
なるほど
60774ワット発電中さん:05/02/11 19:28:32 ID:HP7UV3CJ
来週はSystemC関連株が急騰 間違いない
ディープチップドットコムのレポートは面白杉
61774ワット発電中さん:05/02/11 23:06:40 ID:nvcPTGsH
ここで話そうね
62774ワット発電中さん:05/02/12 00:06:39 ID:LI1JaXD0
ここでやれ!
http://science3.2ch.net/test/read.cgi/sim/1104385750/


-------------------------終了-----------------------------
63774ワット発電中さん:05/03/08 23:00:43 ID:1D+Rioc0
保守
64774ワット発電中さん:2005/06/08(水) 22:34:46 ID:MnBRT7dx
ここ人気ねー
DAC記念柿弧
65774ワット発電中さん:2005/08/10(水) 22:45:37 ID:gaLmcsGD
RTLをSystemCにする方法知ってたら教えてくれ!
RTCというのがあるらしいが、それは意味ある?
66774ワット発電中さん:2005/08/14(日) 07:51:43 ID:mDWRbAs8
>>65
http://www.cqpub.co.jp/dwm/design_resource/EV573.HTM
によればVerilog2SystemCというのが存在してるらしい
でも開発元のサイトの製品一覧には載ってない
開発中止になったのかもしれないけど、問い合わせてみたら?
6767:2005/08/19(金) 23:14:38 ID:uDgkT8x1
VTOCってのもあった
http://www.tenison.com/

日本の代理店はCoWareになったみたいなので、問い合わせしる!
68 :2006/03/11(土) 20:48:51 ID:36xK3p/D
hoshu
69774ワット発電中さん:2006/03/20(月) 04:43:20 ID:0rlJ78na
やはりSystemCも一時のブームで終わりか
SystemVerilogの方に移っていくんだな

と言うわけで 終了
70hoshu:2006/03/29(水) 22:23:52 ID:D8kGLZB9
71 :2006/03/32(土) 01:18:34 ID:cKISKT4B
72sage:2006/04/25(火) 10:37:32 ID:fPyq0Vs2
73774ワット発電中さん:2006/04/26(水) 02:26:30 ID:s1F/uCK5
藻前らPSLとかSVA(SystemVerilog)とかOVLとか
使ってる?
74shoshu:2006/06/28(水) 02:20:03 ID:8zpFu5qR
75774ワット発電中さん:2006/07/19(水) 21:20:39 ID:uZi7dEHo
SystemCは不人気か・・。でも、遠い将来、ハードウェア設計と
ソフトウェア設計が融合したときにはVerilogベースじゃなくてC言語
ベースのほうがありがたい。

でも、ハードウェア設計とソフトウェア設計の融合は10年以上先だろう
から、そのときの人気のソフトウェア言語をベースにすればよくて、
今の人気言語であるC/C++/Javaを使う必要はないな。
76774ワット発電中さん:2006/07/19(水) 23:30:19 ID:9+pmH7KZ
Cがいまだに不滅なように、十年後Java/C#とかでやってそう…

D位が組み込みまで降りてきてるかな?
77 :2006/07/20(木) 03:24:29 ID:NTxPKU7A
78774ワット発電中さん:2006/09/21(木) 04:02:33 ID:+0s9rHO5
age
79名無しさん@5周年:2006/11/14(火) 11:12:27 ID:wUgTpJCB
hoshu
80774ワット発電中さん:2007/03/06(火) 17:35:00 ID:YHhAZzc8
SystemCがとうとう、ここまで来た
http://www.atmarkit.co.jp/fembedded/special/systemc/systemc01.html
81774ワット発電中さん:2007/03/07(水) 13:29:50 ID:Q5yRbLcu
どっちかというと
こっちの記事に興味が湧いた
http://www.atmarkit.co.jp/fembedded/special/fpga/fpga01.html
82774ワット発電中さん:2007/03/11(日) 21:19:18 ID:xup+62H2
もっとも、初期の動作合成ツールは必ずしも評判が良かったわけではありません。
というのも、動作合成ツールの登場当時は「SystemCのコードから、
人間がコーディングしたRTL回路よりもスピード、面積などに優れたコードが生成される」
「SystemCで開発を行えば、もうRTLの設計は必要ない」など、非常に期待されていたのです。
こうした期待と現実とのギャップの大きさが、実際よりも印象を悪くしたといえるでしょう。
83774ワット発電中さん:2007/03/11(日) 21:25:41 ID:uOg/t/2K
>遠い将来、ハードウェア設計とソフトウェア設計が融合したときには
>VerilogベースじゃなくてC言語ベースのほうがありがたい

禿しく同意。(遠い話だけどね)
84774ワット発電中さん:2007/03/12(月) 00:00:02 ID:ZwiAdeum
Handel−C
極めてC言語だった
85774ワット発電中さん:2007/03/12(月) 00:29:17 ID:Awfu1jal
CでLSIの動作を書くのがどだい無理ね
86774ワット発電中さん:2007/03/12(月) 00:45:22 ID:GfaTzqtJ
LSI-C
87774ワット発電中さん:2007/03/12(月) 00:45:35 ID:ZwiAdeum
CRTCとか、シリアルポートとか・・・ブロック崩しも作ってみせてたでしょ?DW誌で
数日で全部できちゃったとか
88774ワット発電中さん:2007/03/12(月) 01:49:35 ID:Awfu1jal
その程度の簡単なもんならできる罠
89774ワット発電中さん:2007/03/12(月) 02:32:58 ID:s5WxRt2O
C++ベースなんて余計冗長だろ
C99ベースでちょうどいい
90774ワット発電中さん:2007/03/12(月) 05:18:48 ID:0GquC0If
ポリモルフィズム無しでいいから継承は使いたいな。
91774ワット発電中さん:2007/03/13(火) 09:44:31 ID:QNl7Ht1K
EDAはハッタリだらけだから信用できんのが困り者
92774ワット発電中さん:2007/03/13(火) 09:56:50 ID:U+FPMGNO
>>88
でも、ブロック崩しをCPUコア使わず全部VerilogなりVHDLで書けと言われたら
漏れには2日程度じゃきつい
93774ワット発電中さん:2007/03/13(火) 22:21:03 ID:gjKD6WCd
それインターフェースの記事じゃなかったか
94774ワット発電中さん:2007/03/14(水) 09:45:29 ID:WnowQgn6
テニスゲームをverilogで2日か3日で作ったというのは見たことがある
95774ワット発電中さん:2007/03/14(水) 12:32:26 ID:kyLOVAmi
別な言語で書いたことがあるかがキモだと思う
コーディングは半日もあればいけど、設計は2日じゃ終わんないな
96774ワット発電中さん:2007/04/12(木) 13:17:30 ID:aju/xod4
SystemC使えるエンジニアで転職希望者いませんか〜?
97774ワット発電中さん:2007/04/15(日) 04:52:39 ID:IC2o2Jhj
SystemCで、CPUというモジュールを複数インスタンス作成してかつ、そのインスタンス内で
が自分がいまどのCPUかを識別したいとき、どのようにモジュール化&インスタンス化すれば
よいのでしょうか?
自分が以下のようなコードで試すと型が違うといわれコンパイルできません。
SystemCというよりC++の質問かもしれませんが...
コンパイラはVC++6.0です

SC_MODULE(CPU) {
sc_in<int> id;
...
};
sc_main() {
CPU* cpu0 = new CPU("cpu0");
cpu0->id(0);
CPU* cpu1 = new CPU("cpu1");
cpu1->id(1);
...
}
→main.cpp : error C2664: '()' : 1 番目の引数を 'const int' から 'const struct sc_bind_proxy &' に変換できません。
98774ワット発電中さん:2007/04/18(水) 13:20:05 ID:fUvCreMA
>96
いたら良いことはありますか?
99774ワット発電中さん:2007/04/21(土) 18:53:59 ID:VPxlA42S
SystemCとSpecCは別物だよなあ
どちらにしろ使いこなせなかったけど
100774ワット発電中さん:2007/06/16(土) 22:56:30 ID:YE7ipy3v
100アヒョ
101774ワット発電中さん:2008/03/15(土) 20:29:31 ID:+4aiBjJ9
http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline

「ソフトの皆様,お待たせしました」,NECシステクがC言語入力のLSI設計環境の最新版

NECシステムテクノロジー(NEC ST)は,ドイツのミュンヘンで開催中のDATE’08で,
C言語を使ったLSI設計環境「CyberWorkBench(CWB)」の最新版(version5.0)を発表した。
CWBの開発者である同社の若林一敏氏に,新機能について聞いた。
同氏によれば,今回のバージョン・アップによって,当初から目標としてきた「C言語を
使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現したという。

この記事へのコメント
管理職の暴走だね
久しぶりに笑ってしまったな。うちも、自分では何もしなくなって久しい管理職が、外で血圧上がってトチ狂ったことを言うから、どこも同じだなあと。うちの幹部連中、...(2008/03/15 17:32:47)

ピントがずれすぎ
| 「C言語を使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現 |「処理の詳細な調整を可能にしてきたが,ソフトウェア設計者にはそれが...(2008/03/14 22:13:50)

オオカミ少年
いつも大風呂敷を広げれば皆寄ってくると信じているのがCAD屋さん。ソフトウェア設計者がLSIを設計って、HDLのときにも聞いたな。(2008/03/14 13:18:16)

停滞気味のEDAの法螺吹きでしょ?
ここに限ったことじゃないですがまるで実体が伴わないいつもの話題づくりとしか。。。値段が10万円、よいハードが普通のC++を変えずにワンタッチで出る、が完全...(2008/03/14 09:37:51)
102774ワット発電中さん:2008/03/15(土) 20:37:16 ID:+4aiBjJ9

http://techon.nikkeibp.co.jp/members/01db/200301/1010509/

http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline


CAD屋は脊髄反射で嘘言ってすぐ忘れる。5年経つのに進歩なし。(爆笑



103774ワット発電中さん
結局RTLレベルまで落とすにゃHDLで設計するのが
一番手っ取り早いってことか・・・
ちょっと期待してたのに・・