Verilog,VHDL等のHW記述言語のシミュレータ

このエントリーをはてなブックマークに追加
40_:03/07/16 05:13
41_:03/07/16 11:02
42_:03/07/16 12:25
43_:03/07/16 14:42
44山崎 渉:03/08/02 02:26
   ∧_∧
  (  ^^ )< ぬるぽ(^^)
     ∧_∧  ∧_∧
ピュ.ー (  ・3・) (  ^^ ) <これからも僕たちを応援して下さいね(^^)。
  =〔~∪ ̄ ̄ ̄∪ ̄ ̄〕
  = ◎――――――◎                      山崎渉&ぼるじょあ
46山崎 渉:03/08/15 18:46
    (⌒V⌒)
   │ ^ ^ │<これからも僕を応援して下さいね(^^)。
  ⊂|    |つ
   (_)(_)                      山崎パン
47名無しさん@3周年:03/11/29 18:57
kai
48名無しさん@3周年:04/06/02 21:30
AccelleraつまりSystemVerilogがIEEE1364つまり今までのVerilogと袂を分かって
IEEE1800という番号を取るというニュースが流れてきたけど
その話題はここでいい?
49名無しさん@3周年:04/06/02 21:30
AccelleraつまりSystemVerilogがIEEE1364つまり今までのVerilogと袂を分かって
IEEE1800という番号を取るというニュースが流れてきたけど
その話題はここでいい?
50名無しさん@3周年:04/07/26 02:10
age
51名無しさん@3周年:04/08/21 05:58
金が無ければLinuxのikarus Verilogを使いなさい。
VCD波形はgtkwaveで表示しなさい。
気に入らなければオープンソースなんで、自分で手直しして使いなさい。
古いMacにMacOS Xを入れてiverilog(Icuarus)、GTKwaveを使っているよ。
53名無しさん@3周年:04/08/27 10:54
Windowsでもiverilogは使えるよ
http://www.kogaku.co.jp/studt/+%83%BF/e03+%83%BF.html
54名無しさん@3周年:04/08/27 12:12
55MyDoom被害経験者(当時は深刻):04/09/10 20:09:28
>52
GTKwaveはあまり生きの良いVCDファイルをうまく開けなかった記憶が
あるのですが、ボクの持ってるバージョンが古いのかな?
誰か国産で作ってみれ。

それと、イカルスは優れモノですね。惚れたますた。
あとはまともにVCD Fileを波形表示できるツールがあればなあ。
56名無しさん@3周年:04/09/25 08:37:54
シミュレーターでは GPLの cver はどう? 結構それなりに動くと思った。

VCD は・・昔いたとこで SimWave 使ってたんだけど、
あれは synopsys に買われて消えたのかな?
いま synopsys の tool で VCD は何で見てるんだろう?
57名無しさん@3周年:04/10/25 16:22:53
右翼 FUCK!
58ぼるじょあ ◆yBEncckFOU :05/01/13 22:57:21
     ∧_∧  ∧_∧
ピュ.ー (  ・3・) (  ^^ ) <これからも僕たちを応援して下さいね(^^)。
  =〔~∪ ̄ ̄ ̄∪ ̄ ̄〕
  = ◎――――――◎                      山崎渉&ぼるじょあ
59名無しさん@3周年:05/01/15 12:57:08
ダロス
60山.崎 渉:05/02/22 20:52:10
...これからも僕を応援して下さいね(^^)。   
  
━―━―━―━―━―━―━―━―━[JR山崎駅(^^)]━―━―━―━―━―━―━―━―━―
         
     ∧_∧
ピュ.ー (  ^^ ) <これからも僕を応援して下さいね(^^)。                         
  =〔~∪ ̄ ̄〕                                            
  = ◎――◎                      山崎渉                       
                                
 __∧_∧_                                                 
 |(  ^^ )| <寝るぽ(^^)      
 |\⌒⌒⌒\                                
 \ |⌒⌒⌒~|         山崎渉             
   ~ ̄ ̄ ̄ ̄                            
                            
   ∧_∧                                       
  (  ^^ )< ぬるぽ(^^)      
                                                       
    (⌒V⌒)                    
   │ ^ ^ │<これからも僕を応援して下さいね(^^)。   
  ⊂|    |つ                                
   (_)(_)                      山崎パン 
                                         
     ∧_∧  ∧_∧
ピュ.ー (  ・3・) (  ^^ ) <これからも僕たちを応援して下さいね(^^)。
  =〔~∪ ̄ ̄ ̄∪ ̄ ̄〕                          
  = ◎――――――◎                      山崎渉&ぼるじょあ
61名無しさん@3周年:05/03/13 04:28:04

設計言語Verilog-HDL入門
D.E.トーマス/P.R.モアビー(共著)
飯塚哲哉/浅田邦博(共訳)
62名無しさん@3周年:2005/06/03(金) 05:31:00
63名無しさん@3周年:2005/06/13(月) 00:49:03
イカロスverilog って
parameter Vref = 5.0/2.0;
というような表現はNGなんですか?
NCではOKだったのに
「unable to evaluate real parameter」
といって怒られます。
64名無しさん@3周年:2005/06/24(金) 16:07:53
それは、未だだ。
65名無しさん@3周年:2005/06/24(金) 16:24:42
イカロスなんて世の中に存在せんわけだが
66(´;& ◆Yw1l9IIdjg :2005/06/24(金) 21:48:20
(´;?ё;?`)ニコッ
67m9(& ◆xgOpg/KPLE :2005/06/24(金) 21:48:51
m9(??u?)
68名無しさん@3周年:2005/08/13(土) 00:04:45
ModelSimで"vsim -c"でCUIで動かしたのですが波形が出力できません。
vsim -wlf wave.wlfってやってもでない。
どうやればwlfファイルを出力できますか?教えてください。

vcdはでた
69JAPAN FUCK:2005/08/17(水) 23:13:25
JAPAN FUCK FUCK FUCK !!!!!!!!!!!!!!!!!!
70名無しさん@5周年:2005/12/28(水) 10:45:26
Java版VHDLというものを見つけた。

JHDL Homepage
http://www.jhdl.org/

BYUという大学で研究されてるってか。

CVSとかSubversionでバージョン管理してオープンソース
として公開してほしいところだが
71名無しさん@5周年:2006/04/12(水) 22:54:21
>>68
ログをとればいい。

わからなければ、 log -r * を付けてみると吉


#って、まだスレにいるのかなw
72名無しさん@5周年:2006/04/22(土) 22:29:29
教えておくんなまし。
VCDファイルを読み込んで、一部だけを再シミュレーションしたいのです。
ModelSimではできそうなのですが、VCSでの方法をご存知の方、教えて下さい。
73名無しさん@5周年:2006/05/01(月) 20:52:53
>>72
Modelsimでのやり方を教えてくれい
742:2006/05/04(木) 06:33:18
ASICをやめ、ソフト屋に転向して数年、
このスレまだあったんだ・・・。
75名無しさん@5周年:2006/11/15(水) 12:24:53
初心者で、学習ソフトのEndeavorをやってるのですがわからないところがありましたのでご教授お願いします。

こちらです。
http://up.spawn.jp/file/up50839.txt
「テンキーの指定したビットを1にします。」
と間違った部分にコメントが出ます。

■■■の部分が分からないんのですがよろしくお願いします。
76名無しさん@5周年:2007/04/02(月) 00:23:04
  ┌────────┐
  │ ☆祝☆長寿スレ |
  └────┰───┘ 
     ∧_∧
    ( ・∀・)   ∬
    ( ⊃旦⊃   旦
    ( ⌒)⌒) ┳┳

77名無しさん@5周年:2007/06/16(土) 22:57:28
ktkr
78VCS:2007/07/19(木) 09:10:35
シュミレーターツールの Synopsys VCSについて、どんな事でもいいので、知ってることがあれば教えて!!!

79名無しさん@5周年:2007/08/11(土) 09:06:42
お前が知っていることを書け。それからだ。
801:2007/11/07(水) 20:52:11
もう6年も経つのか・・・
未だにスレが残っている事にちょっと感動です;w;
81名無しさん@5周年:2007/11/07(水) 21:03:50
893 VS 名古屋大学相撲部 対決映像
http://video.nifty.com/cs/catalog/video_metadata/catalog_071106039866_1.htm
893が75キロ VS 105キロの空手家 体重差 30キロの殴り合い映像
http://video.nifty.com/cs/catalog/video_metadata/catalog_071105039557_1.htm
店でNo4 ホスト VS 黒帯空手家 決闘映像
http://video.nifty.com/cs/catalog/video_metadata/catalog_071104039294_1.htm
イケメン ホスト VS ブルースリー ボコボコに殴る映像
http://video.nifty.com/cs/catalog/video_metadata/catalog_071103039028_1.htm
893 VS ブルースリー マジに死闘映像
http://video.nifty.com/cs/catalog/video_metadata/catalog_071102038922_1.htm
82名無しさん@5周年:2007/11/21(水) 03:18:43
modelsimについての質問はどこに書けばよいでしょうか?
83名無しさん@5周年:2007/11/21(水) 03:20:49
どうぞ
84名無しさん@5周年:2008/05/29(木) 05:16:02
シミュレータは便利ですね。
85名無しさん@5周年:2008/06/07(土) 23:39:24
ModelsimのWebEditionって遅くしてあるみたいだけど製品版使ったらどのくらい速くなるかとかの目安ってあるんでしょうか。
現在テスト中のモジュールだと最終結果が判るまで丸一日かかるので期待した結果が出てないとマジへこみます。
86名無しさん@5周年:2008/06/19(木) 11:25:10
Cver とか使ってみたら?比較したことないけど。
87名無しさん@5周年:2008/08/01(金) 18:31:50
>>85
どこの誰だか知らんが評価ライセンスもらえ
もう見てないだろうけど
88名無しさん@5周年:2008/09/11(木) 23:47:29
CoWareってどうですか?誰に聞いても悪口しか言ってくれません。
89名無しさん@5周年
シミュレーターは開発には不可欠だね。