【Verilog】記述言語で論理設計 Project6【VHDL】

このエントリーをはてなブックマークに追加
1 ◆MXILINXS4E
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も
安価で入手できるようになってきました。

このスレが目に入ったおまえ! HDLで何か作って遊んでみませんか。

日曜工作のHDL書き、学生さん、プロの方、カキコお待ちしております。
関連情報は >>2-10 あたり。

前スレ
【Verilog】記述言語で論理設計 Project5【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1174319964/
2 ◆MXILINXS4E :2008/03/08(土) 03:25:10 ID:BzTCKM0A
3 ◆MXILINXS4E :2008/03/08(土) 03:28:34 ID:BzTCKM0A
関連スレ
【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 07@電気・電子
http://science6.2ch.net/test/read.cgi/denki/1187612023/

暇だからVHDLでも書こうかな@プログラム
http://pc8.2ch.net/test/read.cgi/tech/1043858153/

フリーのツール(情報追加よろしく!)
ALTERA Quartus II Web Edition http://www.altera.co.jp/
XILINX ISE WebPack http://www.xilinx.co.jp/

評価基板とか(追加情報よろしく!)
Spartan-3 Starter Kit http://www.xilinx.co.jp/products/spartan3e/s3eboards.htm
CQ出版のシリーズ http://www.cqpub.co.jp/eda/

雑誌
Design Wave Magazine(DWM) http://www.cqpub.co.jp/dwm/
※基板が付録で付いている号はバックナンバー希少になりがち
Interface http://www.cqpub.co.jp/interface/
4774ワット発電中さん:2008/03/08(土) 11:03:19 ID:77L8a/SR
スレ立て乙です。

前スレ993さま
前スレ992です。
ありがとうございます。
初心者用と書いたのは、トラ技の付属の小冊子です。
5 ◆YMO/ALTERA :2008/03/08(土) 13:37:25 ID:lxhRqjn2
>>1

◆ALTERA.COM ◆XILINX.COM トリップを探してるが全然ヒットしねえぞ!
(あたりまえ…)
6774ワット発電中さん:2008/03/08(土) 14:00:40 ID:YWUz8dB1
特定文字じゃないからな。
Cyclone3でトリッパー作れば、100マソくらいで実用的なのがつくれそうだが、誰か作らないのかな?
7774ワット発電中さん:2008/03/08(土) 14:13:17 ID:I2twuIuT
>>6
もうちょっと緩めのものならあったぞ
ttp://www.neko.ne.jp/~freewing/fpga/des_tripcalc/
8774ワット発電中さん:2008/03/08(土) 14:29:35 ID:79PE9PAf
そうなのだが、56bitをフルに計算するにはもっとパワーがいるから。
前に計算したのだが、ゲート単価だとCyclone3が安い。QFPもあるから基板も作りやすい。ツールもフリーだしな。まあ、作っても“すげー”って言われるだけだから…
おっと、少しスレチだな。失礼。
9774ワット発電中さん:2008/03/08(土) 19:25:26 ID:3gR9K/eL
シミュレーション以外で := 使うな、とかいうレスがあったけど、使うでしょ。
10 ◆No1111111k :2008/03/08(土) 19:40:27 ID:OLPKPDF/
>>6
今100マソつぎ込めればかなり爆速なものが作れるハズ。
つか M は末尾特定文字だってば。見つかるかどうかは別として。

>>7-8
EP1S10 用 http://svn.sourceforge.jp/cgi-bin/viewcvs.cgi/trunk/Toriya/?root=naniya

5年前だとPCで回すのがアホらしくなるくらい速かったよ。
11774ワット発電中さん:2008/03/08(土) 20:10:10 ID:BzTCKM0A
Sboxをあらかじめ全パターン計算し終わったものを使うと高速化出来るかもしれない
12774ワット発電中さん:2008/03/08(土) 20:48:45 ID:WFclJktp
確かに面白そうな用途ではあるな。
13774ワット発電中さん:2008/03/08(土) 21:09:01 ID:b9NpGEjl
>>11
それなんていうLUT?
14774ワット発電中さん:2008/03/08(土) 21:20:01 ID:sxg/yWNu
レイテンシは死ぬほど遅くてもスループットさえ小さくできればバンザイなんだろ?
既存のIP使わずにDESを一から書き直さにゃならんのだな。
15774ワット発電中さん:2008/03/08(土) 21:59:15 ID:b9NpGEjl
>>14
茶々入れるとスループットを高めないとバンザイできないでしょ。
16774ワット発電中さん:2008/03/08(土) 22:34:43 ID:PnFEhQfi
質問なんですが、Verilog HDLでモジュールを配列的に配置するための記述はありますか?
17774ワット発電中さん:2008/03/08(土) 22:53:50 ID:b9NpGEjl
>>16
配列ではないが generate endgenerate で調べれ。
Verilog2001の文法だ。
18 ◆YMO/ALTERA :2008/03/09(日) 01:45:16 ID:XR/y6Pqh
>>14
レイテンシを縮めようとしたら fmax が下がる。
fmax を上げようとパイプライン段数を多くすると
(F/Fは有限資源なので)収容ロジックを圧迫する。

>>10 の実装では、1ステージあたりのレイテンシ(==パイプライン段数)は2.
800クロック後に結果がぼこぼこ出てくる。
Stratixではfmax=200MHzを超える能力があるが
ギチギチに詰めるとfmax=160MHzとかになってしまう。

つワケで、安価で大容量のデバイスが載った基板を紹介してくださいみなさん。
19774ワット発電中さん:2008/03/09(日) 02:09:08 ID:drv9iAKC
Stratix3 や Virtex4/5 だと BGA で基板が作れない。
DES 自体は、回路規模は小さいから、1つのFPGAに
詰め込むよりも、FPGA の数を増やすという方向が
イイと思う。

XC3S500E-4PQG208C $24.90
EP3C25E144C8NES $39.50

あたりだと、QFP だから自分で基板を起こせるし、
ゲート単価も安い。
100万円コースだと、↑のFPGAを200〜300個使える。
結構行けると思うのだが…

マジで、スレ立てしてくれれば、協力するよ。
20 ◆YMO/ALTERA :2008/03/09(日) 02:24:04 ID:XR/y6Pqh
>>19
Cyclone3が数発〜数十発載った基板に興味アリ。

要件としては

・外部I/Oは少なくても構わない。
・FPGA間のインタコネクトはそれなりに欲しい。
・ホストインタフェイスはRS-232Cでも構わないけどUSB2くらいは…

・WEでも扱えるとええなぁw
 (いちおサブスクリプションはあるけど)



で、"最速のトリップ基板"スレ、マジで立てるの?ww

ちなみに現状では

EP1S10....13M (フルパイプラインDES x2)
C2Q 2.66GHz...12M
PS3 CBE(3.192GHz, PPC+SPUx6)...15M

なので、これらをブッチぎるような速さがないと話にならん。
2chトリップ仕様については、ある程度答えられます。
21774ワット発電中さん:2008/03/09(日) 05:23:56 ID:gq2hPz8A
まあ遊びで使える金額次第だよな、設計自体は簡単だし。
22774ワット発電中さん:2008/03/09(日) 09:38:39 ID:KiF/J2cs
単純にデバイスをたくさん並べると消費電力がすごいことになるぞ
電源周りだけで結構なコストになるから、大容量BGAデバイスを
使った方が結局トータルで安上がりになると思う
23774ワット発電中さん:2008/03/09(日) 09:46:08 ID:drv9iAKC
>>19 です。

Altera 環境を入れていないので、とりあえず Xilinx で DES だけ
入れてみた。(演算時間400クロック)
3S500E で、DES だけなら30個入る勢い。
結果を比較するとか諸々の回路も入れるから、まあ現実、20個くらいか。

150MHzで動かして、DES 1回路当たり、375k鳥/sec
20回路入れて、7.5M鳥/sec/FPGA。

あとは予算次第だな。
FPGA×4くらいのボード(30M鳥/sec)=1万円ちょっと
を作って、複数並べるのが現実的かと思う。

56bit やるには、30M鳥/sec だと、28000日かかる。
1000万あれば、28日!(平均すれば、14日)
だれかやってくれ!


Device utilization summary:
---------------------------
Selected Device : 3s500epq208-4
Number of Slices: 153 out of 4656 3%
Number of Slice Flip Flops: 128 out of 9312 1%
Number of 4 input LUTs: 298 out of 9312 3%
Number of IOs: 143
Number of bonded IOBs: 131 out of 158 82%
Number of GCLKs: 1 out of 24 4%

Timing Summary:
---------------
Speed Grade: -4
Minimum period: 5.326ns (Maximum Frequency: 187.751MHz)
Minimum input arrival time before clock: 5.521ns
Maximum output required time after clock: 4.496ns
Maximum combinational path delay: No path found

24774ワット発電中さん:2008/03/09(日) 12:38:01 ID:+7hI1iiV
既にやってるやつはいるよ
http://www.copacobana.org/
25 ◆YMO/ALTERA :2008/03/09(日) 13:04:23 ID:XR/y6Pqh
もはよう。

まだ単独でスレ立てるほどの話題はなさそうだし
かといってあまりここで続けるとスレチ…?
ホンネは基板起こしたいし、妄想では億万おじさんに
ASIC起こしてもらいたくてしょうがないのだけど
現実的には、容積の大きなデバイスの載った基板が
こづかい程度で手配できるかどうかってことになっちゃう。


>>23
このサマリは、DESコア1つの場合?
26774ワット発電中さん:2008/03/09(日) 15:19:11 ID:982MNRWQ
Verilog = javascript
VHDL = Java
27774ワット発電中さん:2008/03/09(日) 19:43:13 ID:drv9iAKC
>>25
QFP で基板を起こそうかなって話。BGA だと実装も面倒だし…
Stratix や Virtex は、開発ツールの問題もあるし。
会社のリソースを使えば可能だけどなあ。EP2S90 の基板もあるし。

>>23 は、DES コアのみ、1個。
内部のRAMを有効に利用できればもう少し回路削減できそうだけど。
ま、スレ違だからやめるわ。
28774ワット発電中さん:2008/03/09(日) 20:05:30 ID:N59Q4zsr
>>19
>>25
おもしろそうだからここで続けていいんじゃね
漏れは手伝えることがなさげだけど
29774ワット発電中さん:2008/03/09(日) 23:50:48 ID:1yMb0SoP
シミュレータの板&スレあるけど、過疎ってるみたいなんでこっちで質問。

GTKWave 3.1.4のWindows版使っていますが、リロードで死にます。
C:\gtkw\binというところにすべて放り込んでパスも通してあります。
なんかコツでもあるんでしょうか?

Linuxが利用できるところではLinux版使ってますけど、WindowsとLinuxを
行き来するのはやっぱり不便です。
30 ◆YMO/ALTERA :2008/03/10(月) 00:18:56 ID:h1juV18d
>>27
>>10の論理は、MRAMを存分に使ってる。
スペックをあらためて書くと、EP1S10 で DES 21Gbps 相当。
ノーマルDESと違い、Salt処理があるので
既存IPを流用できない可能性が高い。
むしろ鍵をシーケンシャルで喰わせていいので
DESは新規に書き起こした方がいい。

トリップのために専用基板起こすってのはクールなのだけど
製造単価以外にもイニシャルがバカにならないでしょう。
なので俺は躊躇してる。

先にも述べたけど、既存の基板(自製含)とかPCのものの
パフォーマンスを遥かに凌駕できる目処が立たないと




大蔵大臣にしばかれます(;´Д`)
娘(10ヶ月)に成果物を蹂躙されます( ´∀`)
31774ワット発電中さん:2008/03/10(月) 00:40:11 ID:G9raQcrq
形だけでも汎用で使えるよう装わないと人は集まらんだろーなー。
32774ワット発電中さん:2008/03/10(月) 01:36:16 ID:Nc/uxQZv
とりあえずDWMの付録でも動くものから作るか
33774ワット発電中さん:2008/03/10(月) 02:19:31 ID:HmHnui7Q
>>29
andLinuxはどうでしょう
3429:2008/03/10(月) 03:26:05 ID:xpI37aCc
>>33
なんと、いつのまにやらそんなものが。
まだググってちょっと情報を見ただけですが、リモートXサーバーとして、
CygwinよりもXが使いやすいとうれしいですね。
35774ワット発電中さん:2008/03/12(水) 00:19:12 ID:yeg/2Dte
DWM(2007.03)の付録DVDからWEBPACK ISE 8.2i(インストール先のOSが2kの為)を
インストールしようとした出来なかった。
2kのノートにUSB1.1でDVDROMドライブ接続してるんだが、DVDがドライブの中で
回ってるだけで、一向にインストーラーが起動しない。
他のPCソフトは普通にインストールできたから、DVDROMドライブが壊れてるってことは
ないはずだし、DVDの裏面見たけど傷は特に無し。

なんでだろう・・・・。
他のPCでDVDのイメージ作って仮想ソフトに突っ込まないとダメなのかしら。
36 ◆YMO/ALTERA :2008/03/12(水) 00:31:22 ID:cWHAN9WS
>>35
いっそサイトからダウンロードしてみては?
37774ワット発電中さん:2008/03/12(水) 01:37:40 ID:yeg/2Dte
>>36
サイトから9.2i以外ってDLできるんですか?
9.2iがXPとVistaしか保証してないので、DVDからインストールしようとしたんですが。

イメージ作成してもダメだった・・・・。
イメージを仮想ドライブに入れて起動しても応答なしになる。。。(;´Д⊂)
OSが2kだとインストールできないのかなぁ。
38774ワット発電中さん:2008/03/12(水) 01:52:20 ID:4QvtpovC
Setup.exe みたいのを直接実行してもダメなんかな?
3935:2008/03/13(木) 08:27:08 ID:UY1m42en
>>38

exe叩いてもダメだったんで、9.2iをDLしてインストールしたら無事動きました。
とりあえずこれでなんとかなりそうです。
40774ワット発電中さん:2008/03/13(木) 12:51:57 ID:9mHDtPaS
ISEのLinux版は、リモートからは使いにくいな。
ローカルエリアでも結構遅いが、インターネット越しなんかになると
とても使えたもんじゃない。それほどたいした描画情報が必要だとは
思わないんだが。
41774ワット発電中さん:2008/03/13(木) 14:27:29 ID:OO1JaL+r
Cygwin X じゃないよな?

おせっかいなアプリは全般的にリモート遅延でもたつきやすい感じガス
42774ワット発電中さん:2008/03/13(木) 20:45:37 ID:9mHDtPaS
CygwinのXサーバだけど?

ISEより描画量が多いはずのWebブラウザでももう少しマシに動く。
43774ワット発電中さん:2008/03/14(金) 12:42:33 ID:NbBFcYzI
ISE→Win32API→WINE→Xlib→(ネット)→Cygwin/Xserver→Win32API→画面

win版をremote desktopで使った方が全然軽そう
44774ワット発電中さん:2008/03/14(金) 23:55:26 ID:JJ98lYwk
「ソフトの皆様,お待たせしました」
http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline

今回のバージョン・アップによって,当初から目標としてきた「C言語を使う
ソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現したという。

ピントがずれすぎ
| 「C言語を使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが
実現 |「処理の詳細な調整を可能にしてきたが,ソフトウェア設計者にはそれが...
(2008/03/14 22:13:50)

オオカミ少年
いつも大風呂敷を広げれば皆寄ってくると信じているのがCAD屋さん。ソフト
ウェア設計者がLSIを設計って、HDLのときにも聞いたな。
(2008/03/14 13:18:16)

停滞気味のEDAの法螺吹きでしょ?
ここに限ったことじゃないですがまるで実体が伴わないいつもの
話題づくりとしか。。。値段が10万円、よいハードが普通の
C++を変えずにワンタッチで出る、が完全...
(2008/03/14 09:37:51)
45質問です:2008/03/16(日) 12:23:56 ID:sRm0LIQl
簡単な電卓を作ろうと試行錯誤していますが、全く回路が生成されません。
resetをアサートした後、setを押すたびに値1,値2、演算子がラッチされる仕組みです。

`timescale 1ns / 1ps

module calculate(reset,set,in_value,out_value);

  input reset;
  input set;
  input [7:0] in_value;
  output [7:0] out_value;

  reg [7:0]   value1;
  reg [7:0]  value2;
  reg [1:0]  operand;
  reg [7:0]  display_buffer;
  
  reg [1:0] process;
  
  // assign statement
  assign out_value = display_buffer;
  
  // event statement
  always @(posedge reset)
    begin
      process <= 1;
      display_buffer <= process;
    end
46質問です-:2008/03/16(日) 12:26:37 ID:sRm0LIQl
  always @(posedge set)
    case(process)
      1: /* latch value1 */
        begin
          value1 <= in_value;
          display_buffer <= in_value;
          process <= 2;
        end
        
      2: /* latch value2 */
        begin
          value2 <= in_value;
          display_buffer <= in_value;
          process <= 3;
        end
        
      3:  /* latch operand and calcurate*/
        begin
          /* fetch operand */
          operand <= in_value[1:0];
          
          /* execute calcuration */
          case(operand)
            0:  /* + */
              display_buffer <= value1 + value2;
            1:  /* - */
              display_buffer <= value1 - value2;
            2:  /* * */
              display_buffer <= value1 * value2;
          endcase
          
          /* initialize */
          process <= 1;
        end
      default:
        process <= 0;
    endcase
endmodule

なにが悪いのかどなたか教えてください。
47774ワット発電中さん:2008/03/16(日) 13:11:39 ID:BR0oXZkq
operandが入った時と計算する時が同じだから動作としては
入力 val1(1) val2(1) ope(1)   val1(2) val2(2) ope(2)
出力          無効値           val1(2) val1(2) ope(1)
って感じになるかと
48質問ですの人:2008/03/16(日) 13:39:59 ID:sRm0LIQl
なるほど・・・並べたとおりに実行されるワケじゃないから。。。
解決しました、どうもありがとうございました!!
49質問ですの人:2008/03/16(日) 14:16:16 ID:sRm0LIQl
ごめんなさい・・やっぱ解決してないです。
operand を無くして
/* execute calcuration */
case(in_value[1:0])
にしたのですが、やはり回路が生成されません。原因がわかりましたら助けてください。

なお
WARNING:Xst:647 - Input <reset> is never used.
WARNING:Xst:646 - Signal <value1> is assigned but never used.
WARNING:Xst:1780 - Signal <value2> is never used or assigned.
という警告がでます。>>45-46のresetの立ち上がり記述はこれじゃダメなんでしょうか?
50774ワット発電中さん:2008/03/16(日) 14:28:58 ID:BR0oXZkq
当たり前の話だが、もちろんこれトップモジュールなんだよね?
51質問ですの人:2008/03/16(日) 14:36:16 ID:sRm0LIQl
トップモジュールには違いありません。
ためしにプロジェクトを作り直してもダメでした。もう泣きそうです。
なお利用しているのはISE 9.1です、重くてもう泣きそうです。
52774ワット発電中さん:2008/03/16(日) 14:38:40 ID:BR0oXZkq
これで回路が生成されないって事は他のサンプルとかでは上手くいって動作してるんだよね?
53質問ですの人:2008/03/16(日) 14:53:52 ID:sRm0LIQl
always @(posedge reset)
begin ... end

を消したらうまくいきました、回路が生成されました。
でもresetがないと意味がないし、まるでresetが常時実行されますって言ってるような挙動です。
54774ワット発電中さん:2008/03/16(日) 15:00:13 ID:BR0oXZkq
回路が生成されないってのを最適化で消えるとかそういうのだと思ってたけど
もしかしてエラーでてたんじゃない?・・・
もしかしてコピペミスかと思ってたけどresetのほうのend module無いんじゃ…
55774ワット発電中さん:2008/03/16(日) 15:01:08 ID:BR0oXZkq
イヤ違う何を言ってるんだ俺は・・・
56774ワット発電中さん:2008/03/16(日) 15:01:48 ID:BR0oXZkq
イヤ違う何を言ってるんだ俺は・・・
57774ワット発電中さん:2008/03/16(日) 18:30:08 ID:bICwSoLE
別々の always で同じ信号を制御しちゃいかんよ。
一つにまとめて、

always @(posedge set or posedge reset)
if(reset) begin
process<= 1;
display_buffer<= 0; //知らんけど
end
else begin
ほにゃらら
end

ってしてね。
58質問ですの人:2008/03/16(日) 18:48:21 ID:sRm0LIQl
解答どうもありがとうございます。
時間がないので帰ってきたら試してみたいと思います。
59774ワット発電中さん:2008/03/16(日) 19:12:03 ID:AXsknLSu
まずステート図を書けと小一時間…ww
年寄のタワゴトでスマソ。
60774ワット発電中さん:2008/03/22(土) 23:40:27 ID:AUU6AA0R
VHDLのフリーのシミュレータってあります?GHDLはだめぽ
61774ワット発電中さん:2008/03/22(土) 23:40:59 ID:AUU6AA0R
あ、RTLでのシミュレーションです。
62774ワット発電中さん:2008/03/23(日) 00:04:08 ID:kJXT8lOz
ModelSIMで十分だろう
63774ワット発電中さん:2008/03/23(日) 00:32:02 ID:o1E3nCr5
FreeHDLは?
使ったこと無いけど。
64774ワット発電中さん:2008/03/25(火) 14:30:40 ID:K8VwKsWJ
c++プログラムの特定の関数でメモリアクセスが何回起きてるか調べられるシミュレータってありますか?
65774ワット発電中さん:2008/03/25(火) 14:49:39 ID:fUBWuSgf
デバッガかバスアナライザ使え
66774ワット発電中さん:2008/03/30(日) 20:14:19 ID:ro+7Wxjb
Verilog関連での国家資格ってありますか?
あれば取って転職の足しにしたいです。
検索しても出てこないからもしかしたら、無いのかもしれませんが・・・
67774ワット発電中さん:2008/03/30(日) 23:15:41 ID:9ipoWW1s
そういや
ここにいる人たちって、なんの資格持ってんの?

自分は転職するとき、資格を尋ねられたことないわ
経歴書はごっつ突っ込まれたけど。
でも、やっぱ資格持ってると違うのかな。どなんn持ってます?
68774ワット発電中さん:2008/03/31(月) 00:39:00 ID:7U6hZ6fL
普通自動車第一種運転免許
69774ワット発電中さん:2008/03/31(月) 02:08:57 ID:kt2M44Vb
第四級アマチュア無線技師
70774ワット発電中さん:2008/03/31(月) 02:09:45 ID:kt2M44Vb
×技師
○技士
71774ワット発電中さん:2008/03/31(月) 05:16:45 ID:C8WU9r6V
第二種電気工事士
72774ワット発電中さん:2008/03/31(月) 10:16:00 ID:Vt0B8bGx
第一級アマチュア無線技士 (和文通信術があった頃にとったジジイ)
73774ワット発電中さん:2008/03/31(月) 22:24:06 ID:7U6hZ6fL
マイコン検定4級
7467:2008/03/31(月) 22:37:21 ID:42FZ7ITs
>>68-73
ありがとありがと。

うちは>>71を持ってると資格手当が出るらしい。
>>72なんかすげぇ

あと田舎なんで、転職するときは>>68が絶対条件だった。
75774ワット発電中さん:2008/03/31(月) 23:27:45 ID:Cxoft61W
ISE WEBpackでこれでRUNできないのだが、理由おすえてください。

module AND (IN,OUT);
input IN;
tri1 PUP;
output OUT;
assign OUT = IN | PUP;
endmodule
76774ワット発電中さん:2008/04/01(火) 00:06:05 ID:zlNY8Yo3
エラーメッセージ読んだ?
77774ワット発電中さん:2008/04/01(火) 00:12:58 ID:vfBMcYIb
RUN できないの?
エラーになるんじゃなくて?
78774ワット発電中さん:2008/04/01(火) 10:50:05 ID:zLmT6KC5
ERROR:Xst - "test.v" line 1: Unsupported tri1 net type.
ERROR:Xst:861 - "test.v" line 3: Unsupported tri1 net type.

errorはこれです。
本に書いてあるとおりにかいてもだめですた
79774ワット発電中さん:2008/04/01(火) 11:15:51 ID:/nzZP7Sf
ポートタイプPUPはどっから来たのよ?
80774ワット発電中さん:2008/04/01(火) 11:25:34 ID:zlNY8Yo3
適当にぐぐったら、
Xでverilogのtri0、tri1、triregはunsupportedだって書いてあったです
携帯なんで引用めんどい

まぁ普通使わん
81774ワット発電中さん:2008/04/01(火) 11:45:53 ID:zLmT6KC5
どいうこと?
82774ワット発電中さん:2008/04/01(火) 11:46:20 ID:zLmT6KC5
>>80
thx
83774ワット発電中さん:2008/04/01(火) 23:17:27 ID:UVBoN5jw
プルアップ、プルダウンは、入力未接続時の論理固定か、電圧レベルが異なる機器に接続したり、
一つの端子に何十mAも流して機器をドライブするとかFPGAが対象外の機能だからな。
84774ワット発電中さん:2008/04/07(月) 16:24:24 ID:bcPlXv8H
シミュレーション用の記述で合成してるとおもわれ
85774ワット発電中さん:2008/04/17(木) 23:58:07 ID:/7AGbjFk
上司からCPUインタフェースについて勉強しておけと言われたが・・・。
何をどう調べればいいのかさっぱり。
どうしたもんか
86774ワット発電中さん:2008/04/18(金) 00:07:49 ID:5KpUXx3q
>>85
i/fというからには相手がいるはずだが?
87774ワット発電中さん:2008/04/18(金) 00:27:47 ID:sNWw4SzT
>>85
のハズなんだが、CPUとか聞いても回答返ってこなかった。
話聞いてると、”マイコン-I/F-他のブロック”のようなイメージみたい。
うーむ・・・


88774ワット発電中さん:2008/04/18(金) 01:47:51 ID:mE3rD0Ma
内部接続のI/Fのことなのか?
PCIexpとかSATAとかの。
89774ワット発電中さん:2008/04/18(金) 07:32:33 ID:Er+lGIi/
実際にマイコンのインターフェースを作るのか、それともPCのようなインターフェースを作るのか…
まあデータの流れ方以外あまり変わらないとは思うけどね
アドレス
ケーブルセレクト
ライトイネーブル
アウトイネーブル
データ
がどう動くか理解しておけば良いんでね?
90774ワット発電中さん:2008/04/18(金) 12:16:19 ID:IYAZUGTe
どこのCPUを使うのか指示はあった?
それもないようだったら、とりあえずAMBAでも勉強しておいたら。
91学生:2008/04/18(金) 14:06:18 ID:Xj7RmjsO
はじめまして。
VHDL初心者です。
ライブラリ宣言とパッケージ呼び出しのことで下記のエラーが出たんだけど、自力で解決できませんでした。どなたか詳しい方いませんか?
VHDL Entity Declaration warning at baseball_pkg.vhd(4): primary unit already exists -- overwriting existing primary unit with new primary unit
92774ワット発電中さん:2008/04/18(金) 19:57:22 ID:uwImKoNb
野球_pkg.vhd(4行目)のVHDL Entity Declaration警告:
プライマリユニットは既に存在しています。
新しいプライマリユニットで既存のプライマリユニットを上書きします。
93774ワット発電中さん:2008/04/19(土) 09:02:56 ID:FR/Dt5ez
その日本語じゃ>>91じゃない俺にも何がなんだかわからないぞ
まあVHDL使いのやつには常識的な単語なのかもしれんが
9485:2008/04/19(土) 09:08:45 ID:t4uyHgN2
レス相手間違ってた。寝ぼけながらのレスは危険だ。。。

インタフェースよりI/Oと表現したほうが良いのかもしれない。
PCIexとかSATAのような規格ではなかった。

出された課題が、マイコン(CPU?)とメモリやレジスタ、パラシリ変換とかのブロックとの
データのやり取りについてのものだったから、89氏が言ってた事が当たりでした。

全くの素人にクロック乗り換え込みの回路の設計仕様書3日で作れってのはどうなんだ;;
マイコンとかサッパリなんで、ワケワカランですよ。
タイミングチャートが鬼門すぎる・・・orz

95774ワット発電中さん:2008/04/19(土) 09:10:30 ID:dZvVpfoJ
>>93
>>92
・問題のコードも提示しない
・コンパラが何かも示さない
・メッセージを和訳しようと努力した気配すらない
>>91に対する嫌味でしょ。
96774ワット発電中さん:2008/04/19(土) 09:14:12 ID:dZvVpfoJ
>>94
3日で分かる範囲で仕様を書いて図面を描いて基板発注
 ↓
基板のバグ出し
パターンを削ってジャンパ飛ばして図面もソフトも仕様も随時修正
 ↓
基板の改正をかけて再発注
 ↓
ウマー
97774ワット発電中さん:2008/04/19(土) 11:08:30 ID:H/ir9qux
>>96
それ、なんて○ニー?
98774ワット発電中さん:2008/04/19(土) 11:15:36 ID:uQkf+FpM
>>96
> 基板のバグ出し
> パターンを削ってジャンパ飛ばして図面もソフトも仕様も随時修正

実作業する下請け死亡。
俺\(^o^)/オワタ
99774ワット発電中さん:2008/04/19(土) 12:37:52 ID:dZvVpfoJ
>>97
SOニー?
違いますよ

>>98
SOニーはどうか知らないけどうちの場合、初回の実作業は図面引いた奴がやりますね
初回だけじゃバグがで切らないと下請けを管理する部門から文句言われまくります
シミュレーターやら評価ツールやらそういったのを使いこなす能力ない連中ばかりなのでこんな風になってます

つか、3日じゃどうにもならないのにどうにかせいって言われたらこんくらいしか手がないと思うんだけど??
100774ワット発電中さん:2008/04/20(日) 17:54:21 ID:u/BMy1A7
wireとtriの違いがいまいち解りません。
triは「トライステートのネットを宣言する物」と考えていいのでしょうか?
101774ワット発電中さん:2008/04/22(火) 01:26:04 ID:R2xpbVlk
シリアルーパラレル変換の回路に2つのモード切り替えるものを考えています。
(パラレル変換後のbit数は8bitで考えています)

1:入ってきたデータをそのままシリアルーパラレル変換して8bitデータにする。
2:入ってきたデータを逆順にしてシリアル-パラレル変換して8bitデータにする。
例 10101100 (左をMSB 右をLSB) -> 00110101

当初シリアルデータが8bit分溜まったら、バレルシフトでしようかと思ったんですが
データを取り込んだ後に8CLK分の時間がかかるので、あまり良くなさそうに思えたので。

2の処理を早くする方法ってどのようなものがあるのでしょうか。

102774ワット発電中さん:2008/04/22(火) 01:29:59 ID:178k6MwO
右シフトと左シフト、2系列のシフト回路を用意して常に動作させておいて、
出力だけをモードによって選択するようにしたら?
103774ワット発電中さん:2008/04/22(火) 02:58:34 ID:YK9NX2I6
モード1と2がビットエンディアンの違いだけという事なら、2通りの結線を用意するだけでOKでは。

 MODE1_OUT <= (7=>IN(7), 6=>IN(6), ..., 1=>IN(1), 0=>IN(0));
 MODE2_OUT <= (7=>IN(0), 6=>IN(1), ..., 1=>IN(6), 0=>IN(7));
104774ワット発電中さん:2008/04/23(水) 21:43:16 ID:2E5wM0y2
>>100
「トライステートをネットで宣伝する物」に見えた。つ秋月か!
105774ワット発電中さん:2008/04/24(木) 23:54:41 ID:QVCpikSz
moduleのI/O宣言で
inputと
input wire
の違いがわかりません。
106774ワット発電中さん:2008/04/25(金) 01:26:36 ID:Mh/QodFP
>>105
どっちも同じだと思う。

port宣言した信号のNet宣言は省略できるけど、
それを明示的に記述してるダケかと。>input wire
107774ワット発電中さん:2008/04/25(金) 02:52:35 ID:RKBPMAeU
そういやwire宣言無しでも通ってるな
モジュールの宣言の時点でインアウトも決められるしVerilogは楽で良いな
108774ワット発電中さん:2008/04/25(金) 08:34:54 ID:3vZPXRVl
>>101

シフト量が決まっているなら1クロックでできるのでは。
SIPO[7:0] <= SIPO[0:7];
みたいな。

シリアルデータが入る前に逆順にすることが確定しているなら
最初から逆順で入力してもいいかも。
if(MODE1) SIPO[7:0] <= {SIPO[6:0],SIN};
else SIPO[7:0] <= {SIN,SIPO[7:1]};

順番の入れ替えがシリアルデータ入力後または入力中に確定だったら
assign POUT[7:0] = MODE1 ? SIPO[7:0] : SIPO[0:7];
のが簡単のような。

記述はうろ覚えなんで間違ってたらごめん
109774ワット発電中さん:2008/04/25(金) 09:00:46 ID:59GduPbI
まあ、別々に2つ回路を組んでも損はないと思うよ。
110774ワット発電中さん:2008/04/29(火) 00:16:16 ID:cElN1deB
>>105
`default_nettype noneしてるときに、
Precisionだとinput wireじゃないとエラーが出る。
SymplifyやQuartusだとinputだけでOK。
規格的はどっちが正しいんだろう?
111774ワット発電中さん:2008/04/29(火) 06:10:04 ID:U9oqZk35
クロックの立ち上がりに同期する信号と、立下りに同期する信号が同じ回路に
含まれてる場合always文でどうやって定義するのだろう
112774ワット発電中さん:2008/04/29(火) 06:27:34 ID:J/YUM1CK
>>111
両エッジに同期ってこと?

always @( signal)  // posedge,negedgeの付け忘れじゃないよ
begin
  // 処理
end

じゃなかったっけ
113110:2008/04/29(火) 06:46:49 ID:U9oqZk35
>112

CSBという名前の信号がCLKの立下りに同期で、
WEB,REBという信号がCLKの立ち上がりに同期する回路
優先度としては、CSB>WEB=REB です。
114774ワット発電中さん:2008/04/29(火) 07:58:20 ID:6+v2Lvyw
>>113
別々のFFで出力させたら?

でないと、記述は出来でも、回路にはならないと忠告しておこう。
115774ワット発電中さん:2008/04/29(火) 21:01:26 ID:pRoYdSqJ
>>111
always文×2
116774ワット発電中さん:2008/05/03(土) 23:15:11 ID:OvB+Gb0f
出力なら
あるイネーブル信号を基準に、立ち下がりと立ち上がりそれぞれ動作するalwasy文を記述して

入力なら
CSB信号を 外部-FF1-FF2と繋いで(FFは共にCLKの立ち上がり同期)、
FF1==1'b0、FF2==1'b1なら立ち下がり検出でいいんじゃないか。

どんな回路作ってるか知らんが、CLKのデューティ比が50%(H区間:L区間=50%:50%)
とは限らないし、立ち上がり側と立ち下がり側のタイミングを合わせるために
ゲートレベルで回路を作るか、FPGAでセルの位置決めまでしないといけないから
単純な言語設計の枠を超えた話になるな。
117774ワット発電中さん:2008/05/04(日) 01:46:30 ID:27B9qE/O
むしろクロックダブラーでも使った方が....
118774ワット発電中さん:2008/05/07(水) 14:21:41 ID:w+50mgp6
クロックダブラーってなんだよw
119774ワット発電中さん:2008/05/07(水) 18:41:57 ID:vBwt+rbY
周波数逓倍器?
昔ラムダブラーってソフトがあったのを思い出した
120774ワット発電中さん:2008/05/09(金) 16:40:49 ID:FMomER3P
Verilog2001の解説本でお勧めありますか?
レベルは入門編を卒業した位です。(と思っている。)
121774ワット発電中さん:2008/05/09(金) 18:05:43 ID:FagPClvE
入門編を卒業したなら、実際に回路を書いてシミュレーションしたり、FPGAに焼いてみたりすることをオススメするよ。
122120:2008/05/09(金) 21:07:46 ID:FMomER3P
どもども。

「FPGAの部屋」を見ていると「Verilogでこんな記述が使えるだぁ」的なが良くありまして、
調べると2001から拡張された新しい記述方法だと分かったり・・・。
こういう事は入門編の書籍には書かれていない事が多いんです。
それでお勧めの解説本があれば買ってみようと思っているわけです。
123774ワット発電中さん:2008/05/09(金) 22:58:05 ID:m+unP4ug
2001だと、signedが使えるようになったり、配列関連が拡張されてたり、便利になってるよね。
ちゃんとした文法書があるといいんだけど。
洋書でも当たってみるか…
124774ワット発電中さん:2008/05/10(土) 16:34:40 ID:vzkTrEkr
verilogで質問です。

moduleから他のmoduleで定義されてるregにノンブロッキング代入ってできますか?
125774ワット発電中さん:2008/05/11(日) 00:25:05 ID:wgl5QWfr
>>124
できない
126124:2008/05/11(日) 12:42:13 ID:YcGSkLr1
お答えありがとうございます。

同じ機能を実現するためにはmodule分けずに記述しないとだめってことですね。
複数のmoduleがMUX経由で一つのレジスタを共有するような場合は
全体を一つのmodukeとして記述するしかないってことですか。

かきにくいなー
127774ワット発電中さん:2008/05/11(日) 14:36:28 ID:2ETU1UBk
いわゆるレジスタファイルのことだな
128124:2008/05/11(日) 16:29:56 ID:YcGSkLr1
レジスタファイルっていうよりは、
複数のSERDES(同時に動くのは一つだけ)でレジスタ共有して
小規模なCPLDに入るかなーと思ったのですが
1つmoduleつくって複数のインスタンス作って終了ってわけにはいかなさそうですね。
129774ワット発電中さん:2008/05/12(月) 09:03:43 ID:Q4z3tN1l
>>124
厳密に言うと、インスタンス指定すれば代入は出来るよ。
回路にはならないけどさ。
130774ワット発電中さん:2008/05/12(月) 23:14:38 ID:gSaqUebi
レジスタのモジュールを1個、Muxのモジュールを1個、SerDesのモジュールを複数個
インスタンスしてwireで接続じゃダメなの?
131774ワット発電中さん:2008/05/12(月) 23:53:17 ID:bRcBw4z0
>>130
そう思って、
>>124の質問になったんじゃまいか?
Serdesモジュールからレジスタモジュールに入れたい
→Serdesモジュール内でノンブロッキング代入
みたいな
132774ワット発電中さん:2008/05/13(火) 01:19:10 ID:NXZ3OqAm
>>124は、SerDesモジュールからレジスタモジュール内のレジスタへ直接代入する
ノンブロッキング代入文を書けますかという質問で、それはできないよと回答があったのでは。

>>130で言いたかったのは、レジスタモジュールが内部のレジスタへ書き込むための
インターフェース、例えばWriteDataとWriteEnable、を作ってMux経由で複数のSerDes
モジュールから書き込むのじゃダメなのという質問。
要はSRAMマクロに対して複数のモジュールから書き込みをするイメージ。
133124:2008/05/13(火) 23:45:09 ID:34RVtL7T
皆様いろいろとありがとうございます。

>>130-132さん
その場合各Serdesモジュール自体はレジスタを持たなくてもいけるのでしょうか?
全体でSerdesとして使うレジスタは一つ(8bitだけ)にしたいです。
134774ワット発電中さん:2008/05/15(木) 06:22:53 ID:AQMc6a7E
>>133
うーん、ヒントどころか答えをモロ書きしてるんですけどねぇ。
>> 要はSRAMマクロに対して複数のモジュールから書き込みをするイメージ。
これの意味するところを良く考えてください。そうすれば求める答えが分かります。
135774ワット発電中さん:2008/05/15(木) 06:34:42 ID:gZz7mEQ3
                   ,/ ̄ヽ\
                  //     V }
                     { {rヘ,. -ー‐}/‐- ._
                 /ヽ  `ヽノ    ヽ`ヽ、
                  / / ヽ \ \    i |、ヽ
                 / ///\、 \ \  l | ヽ ヽ                     _
                 ,' ///   \、 \ n」^Y7ァ、ハ                   / }
              l.///      \\ 」 f⌒<_心rトヘT7┐       _     ,. '´ /
              !_彡 u  ヽ、    \辷{  _,>ェ<´_ ̄}_〈      / }‐-、,. '´  /
              ,亟 >;==、、      く.〈_ {仁ト廻ノ}コ} {_」   _ノY ノ<⌒ヽ  <
               {./!. {〈 ;::::::}.!     ,.二幺L ̄l7< ̄r<ノ  ,.イノ レ \ 、  V  ノ、
           _,./イ |  ゝ-'′      /::::ヽVL>《、__,》┘´  /, 〉  |   } }.ゝ┘ノ ,ノ
          rく |/、 ゝ! ///     '    廴':ノ } /!| 》 《r<}//-‐L_ 「  く   {二 ‐ ノ    DualPortSRAMで楽してズルして
         <ヽ\>''´ 、    「 ̄ 7  /// .イ._||_rへハ〈     =辷.    ト、_,.しイ 〉    いただきかしら
         匸`ヽ∨_,.へ、\  ヽ ノ    /ヲ|! リ // 〉〉       〉   K 人   〈 | )
       (( >'´ ̄   r===、ー-  _,. ィ.三ニ{_   ∨ //      V/  ヽ  ) __ ノノ {
        / /      ||__/__,>ェェ====、-∠(   /| |二     ー∨  ヘ ∨ Y V
     rへ、{_  /     ̄{ / 8 ||\  | ||二Z    /| |_>、__  ヽ、└く_/_    ノ_ノ
二ヽ、__>-; 、二}  /!   /‐- 、__||_\!_」|ニて_ノ-‐⌒ヽ!     ̄ ̄ \__ァ‐'⌒ ̄
/ ̄ ̄`´  | |l   / |   / r‐--‐、||      }
          | |  /  〉 / く/ハ\>! ト、   〈
   ヽ 、  | |  /  / /  <><>||  .   ヽ
    ヽ.\ リ ,/  /   _r┘ノ L└リ、  \  ヽ
〉        /  / __r┘r‐┘  └┐└n_    ヽ
136774ワット発電中さん:2008/05/15(木) 08:47:16 ID:bujAdYtH
>>134
デュアルポートRAMのことではないだろうか?
137124:2008/05/17(土) 19:31:09 ID:YONkJJnD
みなさまレスありがとうございます。
SRAMは使ったことないのですが、
ようはただのフリップフロップ、レジスタですよね?

moduleから他のmoduleで定義されたSRAMマクロ/レジスタ
に書き込む具体的なコードなど示していただければ幸いです。
138774ワット発電中さん:2008/05/17(土) 19:47:24 ID:caO07AK4
ヅアルポートラムはきちんと書けばFPGAに"少量では有るが実際に存在する"RAMに割り当ててくれる筈
139774ワット発電中さん:2008/05/18(日) 01:32:24 ID:edkFF9Oy
>>137
module_ram module_ram0(
wadrs( wadrs ),
wdata( wdata ),
radrs( radrs ),
rdata( rdata ),
);
とか、そのまま。
140124:2008/05/18(日) 02:25:04 ID:txrBdWYg
>>139さん
レスどうもです。

それのramを複数のmoduleから利用する場合ですが、
ramにアクセスするそれぞれのmoduleで

module_serdes serdes1(
input Clk,
input in_data,
output out_data,
省略
);

always@(posedge clk) begin
 wdata <= out_data;
end
って感じに書けるのでしょうか?
wdataにノンブロッキング代入する時点で怒られように思うのですが、どうですか?
141774ワット発電中さん:2008/05/18(日) 03:39:15 ID:8nf6sTPb
まさかとは思うけど同タイミングで同じRAMに別のデータ書こうとしてるバカなの?
それともハード的に考えたら複数モジュールから同じデータ領域に書き込むためには、優先度決めたりするモジュールが存在する必要がある事が分らないソフト屋なの?
142774ワット発電中さん:2008/05/18(日) 05:53:35 ID:6Xp09+ZF
>>141
ソフトでも同じハードを複数のスレッドやタスクで叩く時はセマフォやクリティカルセクションというのを使ってだな
143774ワット発電中さん:2008/05/18(日) 10:05:11 ID:edkFF9Oy
>>140
>それのramを複数のmoduleから利用する場合
この意味がよくわからないが、

>wdataにノンブロッキング代入する時点で怒られように思うのですが、どうですか?
これは普通にできる。
144124:2008/05/18(日) 11:53:32 ID:WDnJWPqG
自分で問題だと思っているところ全体を記述してみました。
SDI1 or SDI2 から入ってくるデータをtopで定義してある Des_dataに入れる感じです。

module top(
input Clk,
input Select,
input SDI1,
input SDI2
);
reg Des_data;
wire Data_in1,Data_in2;
MUX MUX(
.Select(Select),
.Data_in1(Data_in1),
.Data_in2(Data_in2),
.Data(Data)
);
des des1 (
.Clk(Clk),
.SDI(SDI1),
.Data_out(Data_in1)
);
des des2 (
.Clk(Clk),
.SDI(SDI2),
.Data_out(Data_in2)
);
always@(posedge Clk) begin
Des_data <= Data;
end
endmodule

module MUX(
input Select,
input Data_in1,
input Data_in2,
output Data
);
assign Data = Select ? Data_in1 : Data_in2;
endmodule

module des(
input Clk,
input SDI,
output Data_out
);

always@(posedge Clk) begin
Data_out <= 1'b1;
end
endmodule

これで合成すると、
Reference to scalar wire 'Data_out' is not a legal reg or variable lvalue
Illegal left hand side of nonblocking assignment
のエラーになります。
145774ワット発電中さん:2008/05/18(日) 12:15:59 ID:/H0nYUgx
とりあえずエラーをなくしたいなら、module desに
reg Data_out;
を記述すればいい。
それ以外は、何がしたいのかよく分からんのでパス
146774ワット発電中さん:2008/05/18(日) 12:19:47 ID:edkFF9Oy
>>144
always@(posedge Clk) begin
Data_out <= 1'b1;
end

これ自体を、
assign Data_out = 1'b1;
とするか、
reg指定は省略できないので指定する。
147124:2008/05/18(日) 13:27:41 ID:WDnJWPqG
>>145-146さん
reg指定すればエラーがないのはわかるのですが、
その場合、各moduleでもレジスタが確保されてしまいますよね?
>>133で書いたように、レジスタを節約するため
全体でレジスタは一つという構成にしたいと思っています。
148774ワット発電中さん:2008/05/18(日) 14:08:57 ID:tJoKyGcS
>その場合、各moduleでもレジスタが確保されてしまいますよね?
はい。レジスタを必要とする記述を>>124さんがしているから。

>>146さんの
>assign Data_out = 1'b1;
これを採用すればレジスタはひとつ(topのDes_data)で済むのでは。

もしくは↓とか
module top(
input Clk,
input Select,
input SDI1,
input SDI2
);

always@(posedge Clk) begin
if(Select) Data <= SDI1;
else Data <= SDI2;
end
endmodule

149124:2008/05/18(日) 14:24:15 ID:WDnJWPqG
長らくお付き合いいただきありがとうございます。
今の議論が一番ききたかったことで、
Desの機能を一つのmoduleとして書ければ全体がすっきりすると考え
>>144のようなイメージでいたが、エラーになる。
>>124の質問になり、結局module化はあきらめて>>148のように書くしかない
ということですか?

>>144のコードdes moduleの
Data_out <= 1'b1; は Data_out <= SDI; 
の間違いです。すいません。
実際のDesが1bitってことはないのでクロックに同期して
Data_outには随時SDIの内容を入れていくためには
同期回路として、ノンブロッキング代入しか使えないと思うので
assign Data_out = SDI;
は無理そうです。
150774ワット発電中さん:2008/05/18(日) 15:21:05 ID:tJoKyGcS
SDIのインタフェースが不明なので
>実際のDesが1bitってことはないのでクロックに同期して
>Data_outには随時SDIの内容を入れていくためには
>同期回路として、ノンブロッキング代入しか使えないと思うので
↑ここがよく判らないけど、
あと、>>124の質問の仕方も変なんだと思うけど、

(moduleとか階層関係なく)
複数の順序回路からひとつの出力を得ることは>>125

他の人の意見も待ってみて。
151774ワット発電中さん:2008/05/18(日) 17:57:59 ID:Meb9W1sV
もしかして>>124はシリパラに使うF/Fを各SerDesで共有したいって言ってるの??
そんなものSerDesでも何でもねぇだろ。単なる入力切替!!
>>144の des モジュール(にreg Data_out; を補足したもの)のSDIの前にMuxを付けて
SDI1とSDI2を切り替えれば十分だろ。
SerDes間でレジスタを共有していって言ってるから、おそらくこのスレの100人中100人が
シリパラ後の出力を格納するレジスタを共有する話だと思っているぞ。
>>125-143までの議論は全てそうなっている。

あと、>>149の Data_out <= SDI; はシフトレジスタになっていないけど、これで良いの?
152774ワット発電中さん:2008/05/18(日) 18:14:00 ID:3QqBQwju
話の流れをブッタギル様。

Emacs の verilog-mode.el について、インデントルールなどの
デフォルトがキモすぎる(しかもバージョン毎にまちまち)なんだが
このへんのカスタマイズについて誰か教えてくれ。
つか"俺が使ってる設定はコレ!"とかでもいい。

ググタゾカス
153774ワット発電中さん:2008/05/18(日) 22:06:11 ID:TQuADRhr
>>152
verilogなんとかHDLを使わないか
自分でテキストエディタ作ればよくね
154774ワット発電中さん:2008/05/18(日) 22:39:42 ID:42WuDJkM
verilog の勉強で SDRAM アクセスの回路を作っています。inout の信号に遅延を入れるにはどうすればいいのでしょうか。

一度合成してできたタイミングレポートのピン間遅延情報をTopモジュールにまとめて入れたいと思っています。
input や output の信号はいいのですが、inout の信号はどうすればいいのでしょうか?今は次のようにしています。

module TopModule(
 :
 DQ
);

 inout [15:0] DQ;
 :
 wire [15:0]  wDQ;

 parameter DQ_DELAY = 16;
 :
 assign #DQ_DELAY DQ[15:0] = wDQ[15:0];

 SdramIf SdramIf(
   :
  .Dq      (wDQ[15:0])
 );

DQ が SDRAM とのデータ通信(ピン)、SdramIf モジュールの Dq 信号も inout です。
これだとREAD 時に SDRAM からの出力データを取り込めません。
こういう場合は、SdramIf モジュールの Dq 信号を input と output に分けて、

assign #DQ_DELAY DQ[15:0] = !oe ? Dq_out[15:0] : 16'hzzzz;
assign #DQ_DELAY Dq_in[15:0] = DQ[15:0];

などとするしかないのでしょうか。(oe = output イネーブル信号,ローアクティブ)
ご意見お聞かせください。
155774ワット発電中さん:2008/05/18(日) 22:50:30 ID:fecXyP9/
>>154
ディレイはどう実装するつもり?
156774ワット発電中さん:2008/05/18(日) 22:52:57 ID:fecXyP9/
>>152
ソース見てパラメータ変えればいいんじゃない?
157154:2008/05/18(日) 23:20:06 ID:42WuDJkM
>>155
> ディレイはどう実装するつもり?

遅延を実装したいのではありません。
とりあえず出来上がった回路を合成して、レポートされた遅延情報を
シミュレーションに反映させたいんです。何か間違ってますか?
158154:2008/05/18(日) 23:22:04 ID:42WuDJkM
…と見返してみると、なるほど、誤解しそうな書き方でしたね。スミマセン。
159774ワット発電中さん:2008/05/18(日) 23:33:10 ID:fecXyP9/
バックアノテーションしたいわけね。でチップ外の部分のディレイですね。

3項演算子で書くと慣性遅延になるから、直接DQに代入しないで一度alwaysのセンシティブリストに吐かせて
そのalways文でDQにノンブロッキング代入したほうが良いと思うよ。
160154:2008/05/19(月) 01:02:10 ID:/Qig1Lze
>>159
うー、新しい概念が…>慣性遅延。調査中…。
できれば簡単な例など示していただけないでしょうか。

後、遅延の種類だけではなく、入力時の問題もそれで解決するんでしょうか?
161774ワット発電中さん:2008/05/19(月) 20:34:59 ID:mxcBPl/K
ブロッキング代入とノンブロッキング代入っていつも意味が反対っぽく感じてしまうなあ。
162774ワット発電中さん:2008/05/20(火) 21:55:42 ID:A8WqFDJj
Spartan3EスターターキットとISE10.1使ってるんですが
基盤付属のDDRメモリを使おうと思ったら一番簡単なのはやっぱりCoreGeneratorでしょうか

Micronの公式資料やVerilogモデル読んだけどとんと理解できない俺orz
163774ワット発電中さん:2008/05/20(火) 22:02:04 ID:N8Z3kYbP
>Micronの公式資料やVerilogモデル読んだけどとんと理解できない

何が目的でやりたいのかなあ。正直やめとけ。
164774ワット発電中さん:2008/05/20(火) 22:09:14 ID:C2ym809c
エルピーダの資料がわかりやすかった。

http://www.elpida.com/ja/products/documents.html

Xilinxのアプリケーションノートも読んでみれば。
165774ワット発電中さん:2008/05/20(火) 22:36:02 ID:A8WqFDJj
>>163
何が一番効率的な方法か分らないので情報収集中です。
目的を達成するためには必要ないってことでしょうか?

>>164
うぉぉ!英語資料をがんばって読んでた日々が嘘みたいだっ!
次メモリ買うときはエルピーダにします。
166774ワット発電中さん:2008/05/20(火) 22:42:07 ID:A8WqFDJj
って…age過ぎだ自分orz
167774ワット発電中さん:2008/05/20(火) 22:43:30 ID:k7MKnMgK
>>165
無理に ELPIDA のメモリ買わなくても、ELPIDA の資料で概要をつかんで
Micron のメモリ使えばいい。verilog 用のモデルもあるし。
168774ワット発電中さん:2008/05/20(火) 22:50:59 ID:A8WqFDJj
>>167
(自作パソコン的な意味で)エルピーダの売り上げに貢献したくなった、ってことですw
分りにくくてすいません。
169774ワット発電中さん:2008/05/20(火) 23:54:48 ID:k7MKnMgK
>>168
ほほう。
俺はやっぱり入手性かな。
170774ワット発電中さん:2008/05/21(水) 20:38:35 ID:KTkeq3K5
>>168
しっかり頼むぞ

                株主より
171774ワット発電中さん:2008/05/26(月) 06:05:08 ID:oYqikpNJ
>>162
ISEはもう10が出てんのか‥
172774ワット発電中さん:2008/05/26(月) 08:28:25 ID:yO1SAsQi
予定通り納品されないけどな。
173774ワット発電中さん:2008/05/26(月) 09:18:05 ID:tHn0H+oj
バージョン上がるたびにタイミングレポートの結果が良くなっているな。
動作周波数上がってるし、遅延も小さくなってる。
信用していいのかな。
174774ワット発電中さん:2008/05/26(月) 11:42:34 ID:fabrGp31
配置が頭よくなってると信じれ。
175774ワット発電中さん:2008/05/26(月) 12:51:04 ID:VE9oQunl
この手のツールって
バージョンアップのペース早いよな
modelsimにしろ、論理合成ツールにしろ
あまりに早すぎてついていけてない
メジャー改訂直後マイナーバージョンアップの
パッチが山ほど出るし。
実際、ホントに必要なバージョンアップなのかな?
スキンとかもころころ変わって、
あったはずの設定項目を探すの苦労したり
もうちょっと落ち着いてリリースしてくれないかな。
176774ワット発電中さん:2008/05/26(月) 19:50:03 ID:OA3FXNlS
ModelSimの使い方がまったくわからん俺…licence.datってそこにあるじゃんよ…指定しても拒否るなよ…orz
177774ワット発電中さん:2008/05/26(月) 21:18:29 ID:D/3zrxPu
古いバージョンだと設定が面倒だったけど、最近のバージョンではそれほど難しくはないよ。
178774ワット発電中さん:2008/05/27(火) 04:27:44 ID:4Le1A1cw
>>176
割っただろ
179774ワット発電中さん:2008/05/27(火) 10:14:11 ID:2rPUM5lu
>>171
QuartusIIは8.0が出てるし!
コンパイル平均時間が 1/3 ってほんとか!?
180774ワット発電中さん:2008/05/27(火) 11:01:29 ID:2rPUM5lu
>>179
ごめん、はやまった。WEのダウンロード開始は6月3日からだった
181774ワット発電中さん:2008/05/27(火) 13:58:00 ID:I8VdgGhH
>>180
(・言・)…


コンパイル時間短縮は期待
182774ワット発電中さん:2008/05/27(火) 22:20:20 ID:JDdTRbiq
>>173
配置が同じだったりしてないか確認しるw
183774ワット発電中さん:2008/05/27(火) 23:45:26 ID:H0QTcxWc
>>176
LM_LICENSE_FILEにlic.datのパス指定した?
184774ワット発電中さん:2008/05/28(水) 02:17:04 ID:BK16WrBx
>>178
試用版です><
185774ワット発電中さん:2008/05/28(水) 06:50:25 ID:dlk6UxGZ
>>184
俺もwebEditionで登録ができねぇ('A`)人('A`)
186774ワット発電中さん:2008/05/29(木) 23:17:28 ID:NpFvQtvh
どっちのWebEdition?
187774ワット発電中さん:2008/05/30(金) 00:09:47 ID:VhCpng7R
話の流れからしてModelSimしかないと思うが?
188774ワット発電中さん:2008/05/30(金) 10:53:49 ID:5fDISkL5
EAGLE が 5.0.0 になってたんで入れてみた。
前にデータに互換性がないらしい報告があったけど、ひとつ前のバージョンの
データもとりあえず読めた。

ボードデザイン画面の背景が白になったのは違和感あるけど、シルクデータが
少し透けてて見やすくなったんじゃないかな。

シートの一覧ペイン(ウィンドウ)が追加されてるけど、フリーバージョンじゃ意味ねぇ。('A`)
もちろん消した。

Linux 版も入れてみよ。
189188:2008/05/30(金) 10:55:52 ID:5fDISkL5
ごめん、すげー誤爆した。
190774ワット発電中さん:2008/05/30(金) 11:05:18 ID:58nFlDRx
ゆるさん。
191188:2008/05/30(金) 11:27:02 ID:5fDISkL5
まーそう言わずに。続き書いたから。
http://science6.2ch.net/test/read.cgi/denki/1182856252/
192774ワット発電中さん:2008/05/30(金) 12:34:01 ID:58nFlDRx
アマチュアじゃあるまいし、なんで今時オールワンでないEagleみたいなわけわからんアプリをつかわにゃならんのだ。
回路図との整合性はどうやってチェックするんだ?ネットリストのチェックとかできるんか?
あと、伝送シミュレートぐらいできんのか?
193188:2008/05/30(金) 12:45:40 ID:5fDISkL5
アマチュアなんだけど。
194774ワット発電中さん:2008/05/30(金) 12:50:42 ID:58nFlDRx
アマチュアならアマチュアらしく、手書きでいけ。

195774ワット発電中さん:2008/05/30(金) 12:51:46 ID:58nFlDRx
>>193
あんまりきれいな基板つくんなよ。
アマチュアでもこんだけの基板作れましたとかなったら、
廃業せにゃならんプロが山ほどいる
196774ワット発電中さん:2008/05/30(金) 13:01:57 ID:fJ/RQDaF
プロは納期内で最低限の品質のものをつくっとけ。
工芸品はアマチュアに任せろ。
197774ワット発電中さん:2008/05/30(金) 19:18:15 ID:58nFlDRx
確かに、品質でプロ > 尼ってのは大間違い。
手間暇掛けられる尼の方がいい物できる可能性が高い
しかも、Protel DXP/Altium Disgner あたり同じツール使えるなら、
尼の方が圧倒的に有利
198774ワット発電中さん:2008/05/30(金) 19:57:58 ID:Vbp09xYY
>>197
下二行詳しく
199774ワット発電中さん:2008/05/31(土) 19:26:51 ID:O7zsq7RD
Protelなんかで、ろくな基板設計でけへんよ。
やっぱ国産のCADに限る。
200774ワット発電中さん:2008/05/31(土) 19:59:52 ID:1kzflux4
それはお前がアホだから
201774ワット発電中さん:2008/05/31(土) 20:00:15 ID:1kzflux4
しかもProtelなんて既にないしな
202774ワット発電中さん:2008/06/01(日) 01:21:04 ID:TeZv30XS
Mentorユーザーって多いの?
203774ワット発電中さん:2008/06/01(日) 08:03:17 ID:r+cCKiHX
>>202
何の話?
シミュレータならダントツ
論理合成ならSymplifyに負ける
基板作成とかかなりマイナー
204774ワット発電中さん:2008/06/01(日) 12:40:52 ID:r7kszdVS
まあ、synplicity も synopsys に買われた訳だが
205774ワット発電中さん:2008/06/01(日) 17:02:11 ID:r+cCKiHX
ハァ?
何をちゃちゃ入れてくるかと思うとホントくっだらん奴だなお前。
だから、わざわざ製品名で書いてるだろうが。
会社はSynopsysに吸収されても、製品のSynplifyそのものはちゃーんと存続してることぐらい確認してから言え
206774ワット発電中さん:2008/06/01(日) 21:03:47 ID:T7FgC+Hx
ISEWebPack使ってるんだけど、論理合成のたびに You are using evaluation ほげほげ と出て、残り日数が表示される。
これって、そんだけ過ぎちゃったら論理合成すら出来なくなるってこと?
207774ワット発電中さん:2008/06/01(日) 21:26:32 ID:9CqUcxKa
>>205
つまり、symplify マンセーってわけか
208774ワット発電中さん:2008/06/01(日) 22:07:42 ID:DX4MrbiW
>>206
ほげほげ、の内容次第。
209774ワット発電中さん:2008/06/01(日) 22:33:13 ID:T7FgC+Hx
>>208
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 27
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 27 days, this program will not operate. For

ですがどうでしょう。
210774ワット発電中さん:2008/06/01(日) 23:31:49 ID:m+vzSyw4
WebPack じゃなく、Foundation の評価版使っているというオチは無いだろうか?
211774ワット発電中さん:2008/06/02(月) 01:04:42 ID:WzVp42ka
ネット上から落としたものがFoundationの評価版かもしれない、ってこともあるのか
212774ワット発電中さん:2008/06/02(月) 18:56:21 ID:8oBQ/EWo
>>196
正論ですな
213774ワット発電中さん:2008/06/04(水) 00:13:56 ID:/tJ3fPLI
>>211
その通り
214774ワット発電中さん:2008/06/08(日) 18:55:29 ID:d5kaptaD
syMplify(www
215774ワット発電中さん:2008/06/10(火) 10:44:30 ID:a1W7Y5V1
おすすめのフリーのVerilogシミュレータを教えてください
216774ワット発電中さん:2008/06/10(火) 11:42:43 ID:KE3W+hks
>>215
Cver
217774ワット発電中さん:2008/06/11(水) 20:43:58 ID:cjBaGtu8
アルテラのQuartus使ってる人いる?
自動生成やSoPCビルダーやIPコアなどで作る部品ってVerilogコード読める?

ライセンス的に流用しちゃ行けないのは知ってるけど、俺のVerilog力の血肉としたいんだ。
218774ワット発電中さん:2008/06/11(水) 23:19:06 ID:MWfYj6y4
>>217
それは甘い考えだろう…
219774ワット発電中さん:2008/06/14(土) 14:31:50 ID:K0lQw/Qg
opencores逝って読みまくれ>>217
220774ワット発電中さん:2008/06/16(月) 23:17:19 ID:wdi2etdU
デジタル回路に詳しくないのだが

module test(clk,R,OUT);
input clk;
input [7:0] R,B;
output [7:0] out,out2;

reg [7:0] out,out2;
wire Y;
Y = R/5+G/5;
always @(posedge clk)
if (Y > 0 )begin
out <= 8'b0000_0000;
out2 <= 8'b0000_0000;
end else begin
out <= 8'b1111_1111;
out2 <= 8'b1111_1111;

end module

と記述したときoutの出力が全部一気に1にならないのはなぜか教えてくれませんか?
221774ワット発電中さん:2008/06/16(月) 23:34:27 ID:mys9PGKN
>>220
verilog なら

:
end else begin
out <= 8'b1111_1111;
out2 <= 8'b1111_1111;
end <== 追加
endmodule <== スペース削除

かも。ていうか、ツールでwarning出ないか?
222774ワット発電中さん:2008/06/16(月) 23:35:19 ID:XwlHuSj/
>>221に追加して、
Bって何だ?
outとOUTは何だ?
Yって1ビットか?
デジタル回路の前に、伝えたいことは正確に書こうな。
223774ワット発電中さん:2008/06/16(月) 23:42:20 ID:wdi2etdU
>>221
コンパイルしたやつのソースコピペしないで手動で書いたので・・・・

Quartusのシュミレーションでやってみるとずれて立ち上がるのでデジタルはもとからなのかなぁと
224774ワット発電中さん:2008/06/17(火) 07:46:10 ID:H+l+MEyX
ずれて立ち上がるためにクロックで同期とるの
225774ワット発電中さん:2008/06/17(火) 09:04:39 ID:BAjXn/Em
割り算ってそんなに気軽に使えるものなんか?
俺は大小比較も極力避けて、== か != で判定できるように工夫してるんだが…。
無駄なんかな。
226774ワット発電中さん:2008/06/17(火) 10:33:47 ID:oq6GHM2Z
合成するつもりなら割り算は避けるべし
つーか、HDL言語はデジタル回路を知らなくても回路設計できる魔法のツールではない
227774ワット発電中さん:2008/06/17(火) 19:38:33 ID:H+l+MEyX
できるだろ。
あとはシミュレータで確認しとけば。
228774ワット発電中さん:2008/06/17(火) 19:40:17 ID:H+l+MEyX
>>225
>俺は大小比較も極力避けて、== か != で判定できるように工夫してるんだが…。

同期回路で?
229774ワット発電中さん:2008/06/17(火) 20:30:20 ID:oq6GHM2Z
>>ID:H+l+MEyX
合成した回路の速度とか面積を考えたことはないのですか?
230774ワット発電中さん:2008/06/17(火) 21:10:31 ID:H+l+MEyX
速度もシミュレータでわかるがな。リソースはフィッタでわかる。
にぶーいお前のオツムで考えるだけで、検証すらしねーのか。
231774ワット発電中さん:2008/06/17(火) 21:58:55 ID:56YJcvP9
>>225
例えば、4ビットバスで、8と等しいかどうかを調べるには4ビット全部調べないといけないが、8以上かを調べるには最上位ビットだけを見れば済む。
回路規模や速度を重視することが必要なときもあるが、可読性を重視して欲しい。個人的には。
232774ワット発電中さん:2008/06/17(火) 22:25:04 ID:CuFX1gWp
>>230
マルチプレクサとバス記述、それぞれの利点と欠点言えるか?
そういう知識無しに行き当たりばったりでHDL書いてから検証してるのか?

なんにせよデジタル回路の知識は必要だと思うけどな。
233774ワット発電中さん:2008/06/17(火) 22:33:17 ID:cv2g39Y2
>>230
RTL設計の理論でも学んでみ。
そんなこといってるのが恥ずかしくなるぞ。
残念ながら日本にはちゃんと理解しているひとが少ない。
この板にも殆ど理解している人はいないだろう。
いかにして大規模で高速な回路システムを記述するか、
HDLの文法構造がなんでできあがったのかもわかるよ。

それと、ただ書いて、シミュレータで確認して安心なんて単なる初心者。
小規模なのならいきあたりばったりでもいけるが。
種種のカウンタの使い分けもできなそう。
234774ワット発電中さん:2008/06/17(火) 22:52:11 ID:H+l+MEyX
>>233
何を言い出すかと思えばRTL設計ごときのレベルのひくーい職人レベルの理論になってない
理論風で、目から鱗ってか?お前のオツムってのはレベルなんだろな。幸せな奴だ。
RTL設計なんてもんは、決め手になるような理論があるわけでもなく経験則の羅列だ。
そんなことも知らずよくもまぁは恥ずかしいレスをつけられたな。

>いかにして大規模で高速な回路システムを記述するか
235774ワット発電中さん:2008/06/17(火) 22:56:21 ID:H+l+MEyX
>>233
>いかにして大規模で高速な回路システムを記述するか

ゲラゲラ、それはな。できあがりの検証を十分にされたFPGAメーカのお墨付きのライブラリを使い回すことだ。
おきまりの回路をおきまりのツール使って設計するRTL設計に何アホな独自性求めてんの?
しょせん釈迦の手の中で七転八倒してるだけってことにいーかげん気付や。
幻想いだいてるんだろなコイツ
236774ワット発電中さん:2008/06/17(火) 22:57:26 ID:cv2g39Y2
いやあ、俺はアナログ回路からOOPまでやってるんだが、
ソフト系のやつによくある勘違いだよね。
HDLが設計ツールであるかのように思いこんでいる人。
スケマティックでもシミュレータとにらめっこしながら、
行き当たりばったりで部品組み合わせるだけなら
同じことができるんだが、そりゃ設計とは言わない。
しかも、シミュレータやフィッターはそもそもHDLとは
独立した連携ツールでしかないのに、HDLを書いているというだけで、
なんでもHDLの力だと思ってしまうアフォがあとをたたない。
237774ワット発電中さん:2008/06/17(火) 22:58:32 ID:cv2g39Y2
>おきまりの回路をおきまりのツール使って設計するRTL設計に
RTL設計というのは日本では言葉ばかり広まっているだけで、
理解している人がほとんどいないんだよ。書籍もまともなのがない。
お前もその中の一人。
238774ワット発電中さん:2008/06/17(火) 22:58:43 ID:CuFX1gWp
>>234
…?日本語使ってくれ。
>RTL設計ごときのレベルのひくーい職人レベルの理論になってない
>お前のオツムってのはレベルなんだろな

文法構造の話なら
Verilogはいろいろ厳密じゃないあたりが落とし穴で嫌だが
VHDLは文体のもっさり感がもっと好みじゃない。
239774ワット発電中さん:2008/06/17(火) 23:01:55 ID:CuFX1gWp
>>235
…Quartus派?
240774ワット発電中さん:2008/06/17(火) 23:03:40 ID:5KR7De7B
FPGAメーカのお墨付きのライブラリを使い回すだけで、
大規模で高速な回路システムを記述とはw
241774ワット発電中さん:2008/06/17(火) 23:04:24 ID:H+l+MEyX
>>233
>それと、ただ書いて、シミュレータで確認して安心なんて単なる初心者。
>小規模なのならいきあたりばったりでもいけるが。

ふふーん。
経験の少ないシロート+αを大量に使って安く仕上げるのがRTL設計のそもそもの思想
つーかな、アナログ回路であってもそのやり方でやれる。PSpiceこねくりまわしてりゃ、
フレッシャーズも設計10年選手も大して変わらん。
パターン設計にしろ伝送シミュこねくり回してりゃ、ちゃーんと物は設計できる。
お前が必死になってレベルの違いをほざこうとも、ツールのおかげで、日本人がやらなくても、
チャンコロでもベト君でもちゃーんと設計できるんだ。
242774ワット発電中さん:2008/06/17(火) 23:05:43 ID:cv2g39Y2
RTL設計では
メモリ、レジスタ、外部I/O
をすべて広義の"レジスタ"として据えるところから
スタートするのよ。
>>234はなんか勘違いしてるけど。
243774ワット発電中さん:2008/06/17(火) 23:07:34 ID:JoW53rdp
ん?なんでFPGAが前提になってるんだ?
244774ワット発電中さん:2008/06/17(火) 23:10:31 ID:H+l+MEyX
>>242
お前何レベルのひくーい解釈してんの。
245774ワット発電中さん:2008/06/17(火) 23:17:25 ID:CuFX1gWp
デジタル回路知らないけどRTLのお陰で楽々だよ!って言ってる奴は
アセンブラ一切知らなくてもC言語使えるならプログラマ名乗れると思ってるんだろうな。

まぁそうなんだけどさ。
246774ワット発電中さん:2008/06/17(火) 23:18:00 ID:cv2g39Y2
>>244
俺の解釈じゃなくて単に君が知らないってだけ。
多分、君は周りのレベルが高くなくて、
シミュレータも満足に使いこなせるやつがいないおかげで、
自分が設計できる気になっちゃってるだけだよ。
247774ワット発電中さん:2008/06/17(火) 23:18:45 ID:H+l+MEyX
>>236
お前さ、アナログ回路からOOPまでやってて、
よくそういうレベルのひくーい解釈してるな。
目先の納期だけに追われて、いったい自分が何をしてるかを見通せてないだろ。
しかもHDLとシミュとフィッターが独立って、何当たり前のことをわざわざレスってんの。
自分がどういうプロセスで設計してるか、
アナログ回路、ソフトウェア、ディジタル回路それぞれちゃーんと紙にでも書いてみ。
そうすりゃわかるわ。
248774ワット発電中さん:2008/06/17(火) 23:25:10 ID:H+l+MEyX
>>246
おまえさ、>>242に書いたお前の話はRTLの下位レイヤの解釈に過ぎないってことがわからんかい?
そういうレイヤのことを話してるんじゃないことぐらいいい加減気付や
249774ワット発電中さん:2008/06/17(火) 23:33:13 ID:H+l+MEyX
>>245
アセンブラどころかCなんて組み込みかデバドラ書く以外商用では使わんだろ。
組み込みでもミドルウェアの買い物組み合わせてるのが実情のようだしな。
250774ワット発電中さん:2008/06/17(火) 23:46:13 ID:cv2g39Y2
探したけどあまりいいソースがみあたらなかったな。
もちろんRTL設計はデジタル回路の基礎がないと満足に理解できないよ。
学問分野的には デジタル回路→スイッチング理論→RTL設計(HDL)
だから。

http://www.cs.gsu.edu/~cscapp/4210/course_notes/ch_4.htm

>>249
ソフトもかけんのに乙。
251774ワット発電中さん:2008/06/17(火) 23:55:56 ID:K1zwd/AK
レスが進んでると思ったら変なのが沸いてるだけだった(´・ω・`)ショボーン

レベルが低い高いとか言ってるだけじゃなくて設計メソドロジーを議論して欲しいものだ。
IPを組み合わせるだけで回路ができるっていうのは妄想だよな。
ってことで、俺はまたROMに戻りますwww
252774ワット発電中さん:2008/06/18(水) 00:00:56 ID:cv2g39Y2
253774ワット発電中さん:2008/06/18(水) 00:05:02 ID:cv2g39Y2
Register Transfer記法をほぼそのままの形で
シミュレーションや設計に応用したもの = HDL
実際にはゲートレベルやビヘイビアレベルも混じってたりする。
もとは70〜80年代の大型コンピュータの研究の課程で理論化が進んだ。
254774ワット発電中さん:2008/06/18(水) 00:09:50 ID:H+l+MEyX
>>250
お前さ。学問を持ち出す前に

  デ  ジ  タ  ル

なんて表記したまともな専門書は一冊たりともない事ぐらい認識しとけまぬけが。

デジタル回路→スイッチング回路だぁ?
アホかお前はよ。で、ミドルウェアが何かもわからんってか。片腹痛いはアホが。
255774ワット発電中さん:2008/06/18(水) 00:14:39 ID:eBGNzjcC
デジタル回路 → 論理設計/スイッチング理論
なんだけど、大学ではデジタル回路だけで終わることがおおい。
あのカルノー図とか実際にはほとんど役に立たないやつ。

>お前さ。学問を持ち出す前に
持ち出すも何も、学問板だよ、ここ全体がw

>デ  ジ  タ  ル
>なんて表記したまともな専門書は一冊たりともない
学問と無縁な人の勝手な妄想ですね。アフォに限って表記がどうのと…。
256774ワット発電中さん:2008/06/18(水) 00:20:23 ID:6AyK6ezM
表記をきちっと書けない奴は一事が万事この調子。
お前デジタルって書いて特許はそのまま明細書ができあがるが、
論文はそのまま通るとでもおもっとんのかアホ。
論文の一つもまともに読んだことのないまがいもんが学問などと笑わせんな。
お前のいう

 デ  ジ  タ  ル

回路が信学会でどのソサイェティかも知らんだろお前
257774ワット発電中さん:2008/06/18(水) 00:25:02 ID:6AyK6ezM
>>255
>持ち出すも何も、学問板だよ、ここ全体がw

んなこたぁ。わかってるがな。およそ学問に縁のない所詮学卒レベルのお前が
糞生意気に学問を持ち出すから注意してやったまでだ。論文の一つも書いたことがないどころか、
日頃から読むに値しない糞仕様書書いてることが手に取るように見透けたんでよ
258774ワット発電中さん:2008/06/18(水) 00:33:43 ID:nc0StIXu
…今はじめて、"ディジタル"にこだわりが有る奴を見た。

多分こいつは、バイオリンもヴァイオリンって表記しなきゃ気がすまないんだろうwwwwレベルの低いことでwww
259774ワット発電中さん:2008/06/18(水) 00:37:40 ID:y1m4oDXM
信学会が好きらしいな

デジタル の検索結果のうち ieice.org からの日本語のページ 16,600 件
http://www.google.com/search?num=50&hl=ja&q=%E3%83%87%E3%82%B8%E3%82%BF%E3%83%AB+site%3Aieice.org&lr=lang_ja

ディジタル の検索結果のうち ieice.org からの日本語のページ 17,600 件
http://www.google.com/search?num=50&hl=ja&q=%E3%83%87%E3%82%A3%E3%82%B8%E3%82%BF%E3%83%AB+site%3Aieice.org&lr=lang_ja

信学会でも表記がゆれてるんだよ、どちらも正しい
「デジタル」と書いただけでファビョる 6AyK6ezM は糞
260774ワット発電中さん:2008/06/18(水) 00:38:17 ID:y1m4oDXM
ていうか「ソサイェティ」って何?wwww
261774ワット発電中さん:2008/06/18(水) 00:41:04 ID:eBGNzjcC
262774ワット発電中さん:2008/06/18(水) 00:56:12 ID:6AyK6ezM
>>259

お前アホだろ。なぁ。論文って書いてんのに、
信学会でも部外者相手の解説頁を引用して何してんだお前アホ

>ていうか「ソサイェティ」って何?wwww


所詮このレベルなのが >> ID:y1m4oDXM
一稿でも論文誌に載せてから言えホント片腹痛いわ
お前のできるのは所詮ターム検索だけかいこのウジ虫野郎
263774ワット発電中さん:2008/06/18(水) 01:01:04 ID:nc0StIXu
262は地デジのことも地ディジって呼ぶの? ねぇ、どうなのよw
264774ワット発電中さん:2008/06/18(水) 01:19:03 ID:6AyK6ezM
263はディズニーランドのこともデズニーランドって呼ぶの? ねぇ、どうなのよw
265774ワット発電中さん:2008/06/18(水) 02:16:20 ID:nc0StIXu
>>264
ハァ?誰が読んでもディズニーはディズニーだしデジタルはデジタルだろ?
文盲?池沼?
266774ワット発電中さん:2008/06/18(水) 02:19:04 ID:RKkbDfkw
>>264
ネズミーランドって呼んでたりしてな。
267774ワット発電中さん:2008/06/18(水) 02:23:07 ID:IbOmEyfs
ディゼニーランド
268774ワット発電中さん:2008/06/18(水) 05:47:42 ID:wkRQ7S6e
デゼニワールド
269774ワット発電中さん:2008/06/18(水) 07:13:00 ID:HdphQNH8
>>262
読点w
270774ワット発電中さん:2008/06/18(水) 07:32:03 ID:RKkbDfkw
>>268
あまりのネタの古さに吹いた
271774ワット発電中さん:2008/06/18(水) 07:53:52 ID:aE9CMbel
14Vにエサやるなよ。居付くから。
272774ワット発電中さん:2008/06/18(水) 12:11:26 ID:fU5tKcQH
そうさ、イエティは、雪男さ。
273774ワット発電中さん:2008/06/18(水) 18:54:02 ID:eHmQlxEs
6Aの人気に嫉妬ww
274774ワット発電中さん:2008/06/18(水) 19:08:44 ID:6Mj4n1P4
まだやってたのかw
275774ワット発電中さん:2008/06/18(水) 19:26:42 ID:eBYisrII
>>268
まず予約な。
276774ワット発電中さん:2008/06/18(水) 21:04:58 ID:nREwbK9w
なんか突風が吹き荒れていたようだ・・・
277774ワット発電中さん:2008/06/18(水) 22:34:42 ID:sY6oPf+e
ワーニング馬鹿を罵倒スレ
http://science6.2ch.net/test/read.cgi/denki/1190012676/

過去にはこういうスレがあったのだが、ここの>>1と同一人物とみた。
278774ワット発電中さん:2008/06/18(水) 22:39:16 ID:sY6oPf+e
> デ  ジ  タ  ル
>  ア  ホ  は  死  ね?
このスペース空けの書き方とか…マジで同一人物確定だなw ワロタw
昔からこんなんで粘着紙続けてるのか。
279774ワット発電中さん:2008/06/19(木) 10:43:17 ID:PxwfpB8P
H8,SH系マイコン限定スレ 6チップ目
http://science6.2ch.net/test/read.cgi/denki/1200361592/

>>1でもある。
まさしくキチガイ。
280774ワット発電中さん:2008/06/19(木) 15:18:19 ID:aXg18pzX
QuartusIIで7か8あたりから日本語入力ができないんだが、できなくなったのだろうか?それとも設定次第か。
6までは普通にできてたんだけどね。7からは入力ボックスが左上に表示されて確定しても入力できない。

もしできるならやり方教えて欲しいです。
281774ワット発電中さん:2008/06/19(木) 15:21:35 ID:aXg18pzX
ああ、FPGAスレだと思ったら違った。ごめん。
あっちに書き直してくる。
282774ワット発電中さん:2008/06/21(土) 08:09:06 ID:uonJjdx8
>>232
>なんにせよデジタル回路の知識は必要だと思うけどな。

アナログ回路は知識の前提無く設計するわけにいかんだろうが、
デジタル回路なんてそれこそ、単なる論理演算シンボルの接続にしかすぎんだろ。
はっきり言って、こんなもんは文系の奴でも理解できるし、中学生でも理解できる
こんな薄っぺらいもんを"知識"だなんてよく祭り上げられるな。
283774ワット発電中さん:2008/06/21(土) 10:08:38 ID:2vebXJTG
レベルの低い煽りだが乗ってやる

たしかにロジック設計だけならシンボルを接続するだけだろう。
それでもModelsimの中では動くように見えるかもしれない。

だがそれはアナログ回路も同じ。
増幅器、フィルタ、演算器、Z変換なんかのシンボルを接続するだけでも
MATLABシミュレーションなら問題なく動くように見えてしまう。

難しいのはロジック設計ではなく、実回路にする実装だ。
デジタルでもアナログでもぞれぞれの回路特有の知識が必要となってくる。
それらを知らないと動く製品はできあがらない。
284774ワット発電中さん:2008/06/21(土) 11:48:16 ID:uonJjdx8
>>283
レベル低いのはお前だろ。アナログ回路でZ変換ってお前は専門卒か?
だいたい、アナログ回路のシミュレートにSpiceでもADSでもなくSimulink使うなんざ、随分悠長な仕事してるじゃねーか。
Over 100GHzの回路でもいじってるんか?あるいは専門卒どころかシミュレータの選択も知らん学生か?
そもそも、アナログ回路だって、物理現象をLCRに等価変換して書いてるわけだが、
それでも2階の線形微分方程式にしろ、d/dt→jω、∫→jωなんて最低理系教育を受けてないと絶対理解できない。
複素平面の概念を理解できない奴だと、できあがった実装回路のインピーダンスマッチングとるのに
ネットワークアナライザいじることすら不可能

これに対して、デジタル回路の方は、単なる機能図の接続情報だ。
接続と立ち上がり、立ち下がりのタイミングだけ、フローチャートを横に書いただけとも言える。
実際、電気系の専門教育を受けてないソフト屋にもHDL書かせてるのが現状だ。
シミュレーションだけでなくロジアナ使ったデバッグぐらいは十分できるので、外注のソフト屋なんかには実際やらせてる。
アナログ系の設計は理系教育受けてないようなソフト屋には絶対やらせない。
285774ワット発電中さん:2008/06/21(土) 11:52:33 ID:IvRrZER4
まだやってるんですかw
286774ワット発電中さん:2008/06/21(土) 11:53:18 ID:uonJjdx8
×∫→jω
○∫→1/jω
287774ワット発電中さん:2008/06/21(土) 11:58:46 ID:69/STikE
さぞかしレベルの高いお方なのでしょうw
288774ワット発電中さん:2008/06/21(土) 15:02:56 ID:y0bGQ3lE
>>284

お前さ。学問を持ち出す前に

  デ  ジ  タ  ル

なんて表記したまともな専門書は一冊たりともない事ぐらい認識しとけまぬけが。

デジタル回路→スイッチング回路だぁ?
アホかお前はよ。で、ミドルウェアが何かもわからんってか。片腹痛いはアホが。
289774ワット発電中さん:2008/06/21(土) 15:05:38 ID:y0bGQ3lE
表記をきちっと書けない奴は一事が万事この調子。
お前デジタルって書いて特許はそのまま明細書ができあがるが、
論文はそのまま通るとでもおもっとんのかアホ。
論文の一つもまともに読んだことのないまがいもんが学問などと笑わせんな。
お前のいう

 デ  ジ  タ  ル

回路が信学会でどのソサイェティかも知らんだろお前
290774ワット発電中さん:2008/06/21(土) 16:16:01 ID:ZBg1OVUR
ソフト屋に回路の不備をねちねち指摘されて他人に八つ当たりしているハード屋がいると聞いてやってきました
291774ワット発電中さん:2008/06/21(土) 16:33:53 ID:jHJTNQ/z
ごめん、日本語の論文なんて読まないから。
292774ワット発電中さん:2008/06/21(土) 17:06:07 ID:wetyWzAE
回路設計、論理設計のレベルはわからないが、
コミュニケーションレベルは低いとしか・・・。
293283:2008/06/21(土) 18:07:18 ID:2vebXJTG
>>284
アナログ回路でZ変換っていったらインピーダンス変換のことだろ 常考
文脈上どうやっても勘違いしようがないのだが…

聞きかじった用語に少しでも似たのが出てきたら飛びつくあたり、底の浅さが見えるな
294774ワット発電中さん:2008/06/21(土) 19:55:31 ID:Idv5W1qY
なんかエロゲの議論で延々と言い争ってるテンプレ思い出した。
295774ワット発電中さん:2008/06/21(土) 19:59:33 ID:IvRrZER4
まだやってるんですかww
296774ワット発電中さん:2008/06/21(土) 20:11:12 ID:uonJjdx8
>>293
アナログ回路で離散系前提のZ変換持ち出してインピーダンス変換?アホだろお前。
297774ワット発電中さん:2008/06/21(土) 20:14:11 ID:uonJjdx8
>>203
お前、デジタル回路があーだこーだご託並べたあげくZ変換ごときもほとんど
使いこなしてないだろ。マジ専門卒か学生レベルだろ。
298774ワット発電中さん:2008/06/21(土) 20:20:25 ID:uonJjdx8

学生レベルつーかアホ学生の >>293

http://ja.wikipedia.org/wiki/Z%E5%A4%89%E6%8F%9B


間違いの多いwikiだが、これはとくに問題ないから参照しとく
Z変換ぐらいはちゃーんと理解しとけアホ
299283:2008/06/21(土) 20:20:29 ID:2vebXJTG
Zと書いてインピーダンスを表すことも知らない人がいるとは
ここは本当に電気電子板なのか? (プ
300774ワット発電中さん:2008/06/21(土) 20:23:17 ID:N9G2u/oX
「ディジタル回路」じゃなくて「デジタル回路」でもよくなったんですねwww
301774ワット発電中さん:2008/06/21(土) 20:23:22 ID:uonJjdx8
302774ワット発電中さん:2008/06/21(土) 20:25:44 ID:uonJjdx8
>>299
>Zと書いてインピーダンスを表すことも知らない人がいるとは

ちゃ・はー
Z変換のZをインピーダンスと解釈して通用すると思ってるアホ学生の >>299 == >>283 でした。

ヘキサゴンのアホ以下のアホでした
303774ワット発電中さん:2008/06/21(土) 20:27:56 ID:uonJjdx8
ま、>>299のようなアホでも学費払えば居候させてもらえる糞大学もあるってことで。チャンチャン!
304774ワット発電中さん:2008/06/21(土) 20:32:04 ID:uonJjdx8
アホ学生にとって

Z変換 == インピーダンス変換

だってよ。
ギャハハハアホならではの独りよがり表記

世の中通用しねーんだよ。アホ
305283:2008/06/21(土) 20:36:45 ID:2vebXJTG
マジレスするよ

インピーダンス変換の意味でZ変換と表記するのはごく普通のこと
いっぺん「Z変換 マッチング」等でぐぐってみてはいかが
TZアンプ(Trans Z amplifier)なんて言葉も知らんのだろうな

もちろん離散系処理のラプラス変換に類似する畳込み演算のことも
同じく名前のZ変換と表記されるが、このふたつは異なる分野で使われるため
混同される心配はまずない。

ただし「聞きかじった用語に少しでも似たのが出てきたら飛びつくバカ」が
いない場に限るけどな

Z変換といえばデジタルフィルタの例のヤツしかないと思ってしまうのって
あまりにもモノを知らな過ぎで残念なことです
306774ワット発電中さん:2008/06/21(土) 20:41:40 ID:uonJjdx8
>>283
>増幅器、フィルタ、演算器、Z変換なんかのシンボルを接続するだけでも
>MATLABシミュレーションなら問題なく動くように見えてしまう

インピーダンス変換をZ変換と称する時点でアホ丸わかりなんだが、
ちなみに
http://www.google.co.jp/search?num=100&hl=ja&client=firefox-a&channel=s&rls=org.mozilla%3Aja%3Aofficial&hs=MAM&q=MATLAB+%E3%82%A4%E3%83%B3%E3%83%94%E3%83%BC%E3%83%80%E3%83%B3%E3%82%B9%E5%
A4%89%E6%8F%9B+Z%E5%A4%89%E6%8F%9B&btnG=%E6%A4%9C%E7%B4%A2&lr=

わずか8件

http://www.google.co.jp/search?num=100&hl=ja&client=firefox-a&channel=s&rls=org.mozilla%3Aja%3Aofficial&hs=pBM&q=%E3%82%A4%E3%83%B3%E3%83%94%E3%83%BC%E3%83%80%E3%83%B3%E3%82%B9%E5%A4%89%E6%8F%9B+Z%E5%A4%89%E6%8F%9B&btnG=%E6%A4%9C%E7%B4%A2&lr=

わずか28件


http://www.google.co.jp/search?num=100&hl=ja&client=firefox-a&channel=s&rls=org.mozilla%3Aja%3Aofficial&hs=isg&q=Z%E5%A4%89%E6%8F%9B&btnG=%E6%A4%9C%E7%B4%A2&lr=

14900件

おまえの意図するZ変換 == インピーダンス変換など世の中通用しないってこった
307774ワット発電中さん:2008/06/21(土) 20:44:09 ID:uonJjdx8
アホ故の間抜けぶりをまさにものがたる google検索結果であった。
アホ == >>283 俺ならここまでアホさらすならムトウハップにサンポール注いで自殺するわ
恥ずかしすぎるからさ。>>高卒283
308774ワット発電中さん:2008/06/21(土) 20:48:37 ID:uonJjdx8
インピーダンス変換ごときをMATLABでシミュレート

アホ高卒ならではのアプリの利用法!
309774ワット発電中さん:2008/06/21(土) 21:45:40 ID:1GcUEGlr
ロジックで必要なのは高尚な知恵と知識じゃなくて納期までに地道にやり遂げる力だよ。
頭が良くて優れていても何も生みだせていいなら無いのと同じ。どんなに頭が悪くやり方が悪くても結果が全て。
310774ワット発電中さん:2008/06/21(土) 21:58:02 ID:zNy4G/fx
デジタル回路 解禁ですか?

311774ワット発電中さん:2008/06/21(土) 22:23:36 ID:IvRrZER4
まだやってるんですかwww
というより、まだやるのかよ(#゚Д゚)ゴルァ!
312774ワット発電中さん:2008/06/21(土) 22:55:47 ID:66vtxqmF
一生やってて良いけどスレ違だから消えてくれない?
該当スレがないって言うならスレ立てても良いから
313774ワット発電中さん:2008/06/21(土) 22:57:09 ID:zbJy/46u
いいぞ、もっとやれ。
314774ワット発電中さん:2008/06/21(土) 23:09:49 ID:iVImkWoC
カタカナ英語の表記にこだわるやつ == 英語表記を扱う世界にいないやつ
315774ワット発電中さん:2008/06/22(日) 00:37:31 ID:qCnY6NIp
まぁ、RTLもソフトウェアと同じでコーディングは誰でもできる、
という点では同意せざるを得ない。
しかし、誰でも低面積・高スループットの回路を設計できるという訳ではない。
H+l+MEyX, 6AyK6ezM, uonJjdx8 (同一人物?)はその辺が全くわかってない。

設計において難しいのはアルゴリズムであり、アーキテクチャでしょう。
工夫の仕方によって面積や性能が大きく変わるってことを体験したことがないんでしょうかね。
316774ワット発電中さん:2008/06/22(日) 00:55:32 ID:ac5OQtoL
>>309
禿
317774ワット発電中さん:2008/06/22(日) 00:56:13 ID:SIAb7EYO
>工夫の仕方によって面積や性能が大きく変わるってことを体験したことがないんでしょうかね。

おまえと同程度の工夫も誰でもできる
318774ワット発電中さん:2008/06/22(日) 01:01:49 ID:nsrI5FeI
ロジックでも、FPGA/CPLDとASIC。
それからフルカスタムの大規模なプロセッサ
では、全然考えることの量が違うだろ。
このスレの場合は殆どがFPGA/CPLD、いってもASIC程度だからな。
319774ワット発電中さん:2008/06/22(日) 02:12:59 ID:qCnY6NIp
>>317
おまえにはできない
320774ワット発電中さん:2008/06/22(日) 03:56:34 ID:ac5OQtoL
こっちのスレと棲み分けしますか?
http://science6.2ch.net/test/read.cgi/denki/1185598168
321774ワット発電中さん:2008/06/22(日) 04:28:24 ID:SIAb7EYO
>>319
糞仕事を山より高い仕事のように吹聴してなにかいいことあるんかい?雑用係が( ゚д゚)、ペッ。
322774ワット発電中さん:2008/06/22(日) 09:06:59 ID:3K/PpMQt
>設計において難しいのはアルゴリズムであり、アーキテクチャでしょう。
>工夫の仕方によって面積や性能が大きく変わるってことを体験したことがないんでしょうかね。

年がばれちゃうよ、超小規模30年前のゲート設計ならそれでいいが。

レベルが低いじいさん限って、波形図だの、仕様書だの、工夫だの、書きたがる。
工夫なんて自動設計ツールにやらせる時代だよ。

出力動作だけ書いて、flatten effort high set_max_area=0 で金曜の夜に
シノプシスに合成させれば、人間が下手に考えた設計より
総合的に、低消費電力、最小回路規模、最高速度、の回路がでる。

部分的に人間の設計が良くても結局総合的設計は自動設計が上回る。
自動設計だから、なんだかんだで実機で記述通り動く。

でも1000ゲート級の研修用回路なら人間に勝ち目がありますよ。
相当に熟練した人間に限られますがね。

1000万ゲート級なら熟練人間でもミスなく総合的にいい設計できる訳ない。
今では1000万も小規模扱いだし。
323774ワット発電中さん:2008/06/22(日) 11:23:42 ID:Y0cJ9Fq4
仕事してる限り仕様書は絶対なんだが、これを否定するなんて底が知れてる。

趣味程度で規模も小さく一人で完結するようなもので、
やりっぱなしで数年後に改良や修正等で二度とさわることがないならいらないが。
あと、規格を記している規格書も仕様書なんだよね。

ツールを使えば組み合わせ論理は最適化できるけど
高速化のためにレジスタをどこに挟むか、全体のタイミングの整合性をどうとるとかは
人間が決めることだよ。

ソフトなら1つの処理が速いか遅いかで考えるところを、
ハードは複数の処理を正確に何クロックでどうするかを考える。
ハードでも制限を緩やかにすればソフト間隔で簡単に作れるけど速くはない。
324774ワット発電中さん:2008/06/22(日) 11:50:53 ID:1sXpt2Nu
>>323
>ソフトなら1つの処理が速いか遅いかで考えるところを
んなこたーない。
325774ワット発電中さん:2008/06/22(日) 12:00:20 ID:ac5OQtoL
アセンブラで直接書くよりコンパイラの最適化の方が優秀とかいう話に似てる罠
326774ワット発電中さん:2008/06/22(日) 12:38:13 ID:jcDgEDxj
まともな仕様書を作らないNとかSみたいな社風の所もあれば、
回路の構造まで指定するような仕様書を作る所まで色々ある。

本当に必要な仕様書は、
インタフェースと動作の定義がきちんと行われているものなのだが、
そういう仕様書にはお目にかかったことが無いorz
327774ワット発電中さん:2008/06/22(日) 13:22:53 ID:Z7bru3ny
>>323
>高速化のためにレジスタをどこに挟むか、全体のタイミングの整合性をどうとるとかは
>人間が決めることだよ。

タイミングを決めるのが重要なんじゃなくて、出力タイミングも書いとけば、
あとはツールがやってくれることとを >>322は言ってる。
何が不満なんだよお前

328774ワット発電中さん:2008/06/22(日) 13:25:47 ID:Z7bru3ny
>>323
>仕事してる限り仕様書は絶対なんだが、これを否定するなんて底が知れてる。

機械がオートでやるより低レベルの仕様書なら、機械でもここまでできますよで、
せせら笑ってユーザに説明すればいいだけの話。改善提案。
つーかQuartusかISE標準ツールしか使ったこと無いんだろな
329315:2008/06/22(日) 15:20:31 ID:qCnY6NIp
どうも俺が言いたいことが伝わってないようだ。

合成ツールの有用性は否定しないよ。
設計期間が短くなっていく中でツールを使わずにゲートレベルに落とすのは不可能だ。
そんな常識的なところがつっこまれるとは思ってなかったw

ソフトウェアだってコンパイラの性能がいくら上がっても、
バブルソートはクイックソートに勝てないだろ?
ハードウェアだってアルゴリズムやアーキテクチャで
スループットが変わる、ってことが言いたいのだよ。
そこはツールがいくら性能向上しても人間が考えないといけない。

動画像コーデックや無線通信モジュールの1つや2つぐらい設計してから反論してくれ。
作ってるのにわからない人は詳細設計書を見てコーディングするしか能がない人。

327 は TLM のことを言ってるのか?
TLM は俺はやったことがないからわからないけど、合成できたんだっけ?
合成できるにしても、アーキテクチャは人間が考えないといけない点では同じだ。
330774ワット発電中さん:2008/06/22(日) 17:12:03 ID:gza8QqXr
>>315

>バブルソートはクイックソートに勝てないだろ?

それはアルゴリズムだろうが。
実装より上位レイヤの話。お前は実装時の工夫を主張してたんだろ。
Turbo符号のEb/N0 vs BER がたたみ込み符号/Viterbiデコーダより
XdB改善されましたってのと同じ。誤魔化すな。

>動画像コーデックや無線通信モジュールの1つや2つぐらい設計してから反論してくれ。

なーんか全然違うアプリの設計やってるなんざ、お前映像でも、変復調の専門ってのでもなくて、
ユーザからCレベルのアルゴリズムもらってせっせとHDL書いてるだけの下請け害虫だろ。
えっらそうに。ホントにアルゴリズム理解してんのかい。
そのCレベルのソース書くときにやる工夫こそが一番重要ってことすらわかってない。
お前らがやる工夫は落ち葉拾いレベルの話
331774ワット発電中さん:2008/06/22(日) 17:29:02 ID:jSioYKUW
>>322の言うとおりだったら、1万個の0〜65535の値を入力すると
大きさ順に並び替えた1万個の値が出てくるって出力動作だけ記述すれば
ツール様が一晩で良きにはからって、最小の面積、最高の周波数、
最短のクロックレイテンシの回路をつくってくれるんだよね?
332774ワット発電中さん:2008/06/22(日) 18:03:33 ID:tQgo18Xv
>>330
ハードウエアアルゴリズム、算術アルゴリズムってあるでしょ。
バブルソートやクイックソートはあくまでも例かと。
Cレベルのアルゴリズムもらって設計って何のことだからさっぱり。
そんな仕事してるやつが周りにいるの?
ハードとソフトのアルゴリズムって互換性ないもん。

なんか話が脱線してきている気はするけど、
自動設計だけで高スループットの設計ができるってほんと
性能が重視されず、数もあまりでない組み込みの専用設計だけだろう。
333315:2008/06/22(日) 19:26:21 ID:qCnY6NIp
とりあえず、330が日本語が不自由なのがわかった。

ターボ符号とビタビ符号で誤り訂正能力が違う、っていうことを言ってるのではなく、
同じターボ符号でも設計の仕方によってスループットが変わる、と言っているのだ。

それに、俺は「実装」とは一言も言ってない。「設計」の話だ。
まさか「実装」と「設計」の意味の違いもわからずにこの業界にいるのか?

Cソースを与えて自動的に高スループット・低面積の回路を出力、というのは
現時点での合成技術では不可能だからちゃんと人間が考えないといけないわけだ。
単純作業で変換できるってのは妄想。
それができる時代が来ればよいのだがな。
334774ワット発電中さん:2008/06/22(日) 20:28:01 ID:Y0cJ9Fq4
>>328
そうだな、グループ会社や1社から仕事を請け負って設計をしてるている程度なら
ユーザーにべったりで説明すればいいんだろうけど、
不特定多数のユーザーをターゲットにマスク起こして月産何万個と量産するような
仕事してるとな仕様書がないと、次の製品作ったりするのに忙しいのに設計者が
毎回かりだされて説明しないといけないし、ユーザーも使い方が分からなくて困る。

自社を基準に考えすぎてたようだ、チップメーカーなら仕様で話を進めるんだが、
組み込みだとプロセッサなどに接続できた後は既にある「プロセッサの仕様書」に
任せてしまったり、とりあえず動いてればそれでいいみたいな感じであまり仕様書は
必要ないのかなぁ。
335774ワット発電中さん:2008/06/22(日) 22:58:57 ID:s2Y71XCv
>>322

> 出力動作だけ書いて、flatten effort high set_max_area=0 で金曜の夜に
> シノプシスに合成させれば、人間が下手に考えた設計より
> 総合的に、低消費電力、最小回路規模、最高速度、の回路がでる。

消費電力減らすのにどれだけ苦労しているか知らないとは幸せ者だなあ。

だいたい、ツールを使っている人間なら、“シノプシスに合成させる”なんて
言わないと思うけどな。
336774ワット発電中さん:2008/06/22(日) 23:14:32 ID:EvQskikn
ところで楽しい?
337774ワット発電中さん:2008/06/22(日) 23:21:30 ID:JEQzc4rM
くやしいから止められないんでしょ。
338774ワット発電中さん:2008/06/23(月) 02:32:57 ID:QFUxC7Qy
とりあえず、>>330はコテ付けてくれ。
ディジタル君 あたりの名前にしてくれると分りやすくてGJ。

デジタル回路の知識皆無だとスキューとかの用語すらわかんないだろうし
デバイスの仕様書読むにも9割以上意味が分らんと思うぞ…。
339774ワット発電中さん:2008/06/23(月) 03:09:58 ID:sojZ057X
>>338
それ、俺からも希望。そうすれば「あぼーん」設定できる。
俺様論理を撒き散らすならば、それくらいの礼儀はわきまえて欲しい。
340774ワット発電中さん:2008/06/23(月) 07:06:19 ID:0Ufw99dh
>>338
デバイスじゃなくて、ディヴァイスとか言い出すはず
341774ワット発電中さん:2008/06/23(月) 13:52:06 ID:JIBBhqMB
仕様書が存在しないけど実物が納入されて万事動いているシステムってお前は俺の会社かよ?w
342774ワット発電中さん:2008/06/23(月) 18:46:46 ID:WnvFsuYx
それ普通だろ。仕様書、書ける奴なんて出会ったことねえ。
343774ワット発電中さん:2008/06/23(月) 20:43:32 ID:KmUp0Prx
Verilog で符号付きと符号なしの掛け算って普通に

module test(

input [7:0] A,
input signed [15:0] B,
output signed [23:0] O
);

assign O = B * A;
endmodule

じゃだめなのですか?
344774ワット発電中さん:2008/06/23(月) 20:55:10 ID:QFUxC7Qy
>>343
何のためにFPGA上にわざわざブロックRAMと並んで乗算器があるのかとry
345774ワット発電中さん:2008/06/23(月) 21:08:10 ID:JEY5RvT+
>>344
すいません。
今月のデザインウェーブのものがVHDLで記述されていて、Verilogに変換したいと思ってました。

これでできないのかなぁと
346774ワット発電中さん:2008/06/23(月) 21:18:29 ID:x3noeHFx
符合付きと符合なしを乗算すると符合なしで評価される仕様だった希ガス
347774ワット発電中さん:2008/06/23(月) 21:23:18 ID:JEY5RvT+
>>346
やっぱりそうですか・・・・・・
ありがとうございました
348774ワット発電中さん:2008/06/23(月) 22:42:28 ID:QFUxC7Qy
ずっとXilinx使ってる俺だけど、なんかむしゃくしゃしたのでQuartus8.0入れてみた。
…さしあたり何をしたらXilinxに無い楽しみ方が出来るだろうか。
349774ワット発電中さん:2008/06/23(月) 22:53:21 ID:xPQevkPX
>>341
仕様書が完璧だけど、実物が動かないお前の会社よりましw
350774ワット発電中さん:2008/06/23(月) 23:46:06 ID:buubD1ab
仕様書は現物に合わせて書くんだよ。
それでも間違ってることは多いけどな。
現物と異なる場合は現物優先と注記しとかにゃな。
351 ◆YMO/ALTERA :2008/06/24(火) 00:16:52 ID:/uZzMmhu
>>350
> 現物と異なる場合は現物優先と注記しとかにゃな。

(参考になる: +5)
352774ワット発電中さん:2008/06/24(火) 00:28:12 ID:dKPSawW7
./J か!
353774ワット発電中さん:2008/06/24(火) 00:28:45 ID:dKPSawW7
間違えた。
/.J だ。
354 ◆YMO/ALTERA :2008/06/24(火) 00:44:17 ID:hJmvbByW
× ./J
△ /.J
◎ スラドw
355774ワット発電中さん:2008/06/24(火) 04:01:14 ID:nhyBstzP
仕様書なんてどうせ誰も読まないよ
356774ワット発電中さん:2008/06/24(火) 04:39:28 ID:551orhHS
検収条件の確認に必要だろうw
357774ワット発電中さん:2008/06/24(火) 07:57:37 ID:btdPRG4Y
誰もつっこまないので一応礼儀として

> 現物優先と注記
不動産屋かよ!
358774ワット発電中さん:2008/06/24(火) 16:54:15 ID:DN+UrPiq
>349
読解力が足りなそうお前
359774ワット発電中さん:2008/06/24(火) 19:59:14 ID:rCUwDr5o
>>348
つ NiosU




むしゃくしゃして入れた。
Altera なら何でもよかった。
今は反省している。
360socket774@rc:2008/06/24(火) 21:50:05 ID:17nemdZF
仕様書関連の話を要約すると、
仕様書の管理の仕方が担当者レベルでまともに
出来てない人がいるという事でOK?
361774ワット発電中さん:2008/06/24(火) 23:21:55 ID:tUT/U4Bc
>>350

設計ミスではありません。さあ、仕様書を書くぞ。

    γ⌒'. .: .:. .:.:. γ⌒'  CO CO CO CO     O 「「「l
    ノ .   .: ,; ,;;;, :.)  CO CO CO         o \. V7
   ,..'". .:.:.::. . . .:.::..)CO CO              ○`) |  |         r‐、
   (  . . .:.::;;;._,,...'" CO                (⌒) o |  |         >、,>
   ) . .:. .:.:;;.;;;.:.)  CO            ____`o ○ |  |.       | |
  ノ .   ..::.:;;;.;.ノ  CO         //      |O。゚-ト、. |      | |
  (  ,..-‐''"~            .//| /(・) ∩ |o.  | | |  /\ | |
    )ノ                 |//|       |   | | | /   | | ̄`l     /\
  ____              |//|   (・)   \_| |─/    /く  |   /  r、/`ーっ
 |\___.\             |//  \           | /   /  |  \/\/  `ー'"
 | .| National |             |/  ヽ__       //  /   |     /
 | .|.     ..|            mn____|___r──l__/    |    /
 | .|≡≡≡≡| 〜           ヽ_______|__ノ────' ──''"
 \.|______| 〜    
362774ワット発電中さん:2008/06/25(水) 00:45:29 ID:AltNBghk
>>361
これは大量○人兵器かなにかですか?
363774ワット発電中さん:2008/06/25(水) 07:49:47 ID:9xfbaSj4
>>360の日本語?の意味がよく分からない。
自己紹介乙でいい。

364774ワット発電中さん:2008/06/25(水) 11:14:23 ID:QUwd8gku
>>361
ムトーハップとサンポール混ぜた画を描いた自殺幇助で通報しといた
365774ワット発電中さん:2008/06/25(水) 13:01:02 ID:AltNBghk
ナショナルって洗剤だしてたっけ
仕様書読めよ
366774ワット発電中さん:2008/06/25(水) 14:12:09 ID:kn+7igbs
交通事故より自殺の方が多いんだ
367774ワット発電中さん:2008/06/25(水) 17:31:13 ID:+4R8Mv9F
FFファンヒータの話だろ。
未だにナショナルのトップページに貼ってあるよ。
パナソニックにブランドを変えて逃げるつもりだろうな。

しかし、カツオ君は東芝のほうが似合うな、やっぱし。
368774ワット発電中さん:2008/06/25(水) 21:44:23 ID:CjfCTueJ
仕様です。 仕様書はないけど 仕様です。

                              /\二___\  | |
        __,,,,,,                      |\/‖   ''' |  | |
    ,.-'''"-─ `ー,--─'''''''''''i-、,,.           | |  ‖┌┐ .|  | |   給排気筒
 ,.-,/        /::::::::::::::::::::::!,,  \.         | |  ‖└┘ .|┌|=.|ヽ__↓
(  ,'          i:::::::::::::::::::::;ノ ヽ-、,,/''ー'''"7    | |  ‖    .|二| |回_凵
 `''|          |:::::::::::::::::::::}     ``ー''" .    | |  ‖    .|└|=.|/
   !       '、:::::::::::::::::::i               |ミ.|  ‖    .|  | |
   '、 `-=''''フ'ー''ヽ、::::::::::/ヽ、-─-、,,-'''ヽ  |\ノ.|ミ |  ‖    .|  | |
    \_/     ヽ--く   _,,,..--┴-、 ヽ .|_》 | |  ‖    .|  | |
                ``"      \>.    \|_‖____.|  | |

369774ワット発電中さん:2008/06/25(水) 23:27:18 ID:j6J3Byw5
仕様なら問題ない
仕様通り設計して、仕様通りか検証して
仕様通り製造して、仕様通りか検査して
仕様どおりの製品を発売した
だって松下電器が仕様通り仕事しない訳ないじゃん
370774ワット発電中さん:2008/06/26(木) 12:58:13 ID:PNzsA2Tc
さあ、通常業務の後付け仕様書作成
ますば実物の動きの観察からですね
371774ワット発電中さん:2008/06/26(木) 13:37:28 ID:A7Xcxzu8
実物がちゃんと動いたらシミュレータの波形をぺたぺた貼り付けましょう
372774ワット発電中さん:2008/06/26(木) 17:37:53 ID:fH/txg6f
入出力も後付けが基本、先に決めれる人は予言者だよね
373774ワット発電中さん:2008/06/26(木) 20:51:33 ID:uSoXlZqL
何でか知らんが、凄くシニカルな空気が漂っているな。
374774ワット発電中さん:2008/06/26(木) 21:35:58 ID:oh2uxVSk
>>329
その言い方だと、まさかゲートで設計してるとか。

もしそうなら、
そのアンティークな会社、何処の会社だか教えてくれません。
375774ワット発電中さん:2008/06/27(金) 03:19:40 ID:D4cwrHem
仕様書を後で書く方が多数派のようだな。
先に書くって人はいないのかい?
376774ワット発電中さん:2008/06/27(金) 06:48:36 ID:qEJ2Ppof
すげー小規模回路で納期は未定なら先に書けんじゃねえ
377774ワット発電中さん:2008/06/27(金) 08:36:42 ID:L3reOw4y
後に書くよ。
先に書くものはでっちageにしかならん
378774ワット発電中さん:2008/06/27(金) 11:13:56 ID:HgWu5a8f
挙動と仕様がごちゃまぜな奴、多いな。
379774ワット発電中さん:2008/06/27(金) 15:59:24 ID:vQNnc+/c
verilogファイルからEDIF出力して、それをQuartusに食わせたいんだけど、
verilog→EDIFを無料のツールでやる方法がわかりません。
誰かわかる人がいたら教えてください(><)
380774ワット発電中さん:2008/06/27(金) 18:32:50 ID:7sl9eb29
仕様書先にかくとかいってる厨は、よほど簡単な小さな回路しかやって事ないんだよ。
仕様書先に書けるわけねーつの。

128ビットリスク型パイプラインCPU
積和演算子プロ4基搭載、メモリー2次キャッシュ対応で
仮想アドレス空間10Gバイト以上、割り込みベクタ128本

の仕様書を一週間で書いてみろよ。
381774ワット発電中さん:2008/06/27(金) 18:37:05 ID:7sl9eb29
仕様書先に書きたいやつは勝手に先に書いてればいいのにな。
すぐに他人に仕様書先に書かかせたがる。
ようするに自分で出来ないから他人におしつける。
技術的に本当に重要なら、通常の技術者なら他人にしゃべらないぜ。
他の技術者を陥れる為に、他人に先に仕様書書かせたがるんだよ。
あとで、クレームとかつけて、俺は偉いと主張するためさ。
382774ワット発電中さん:2008/06/27(金) 18:46:30 ID:INO7fHmw
>>380
まずは3日以内に企画書等必要書類出してくださいね。
ほら、書いちゃうと途中で仕様変更できませんから。
383774ワット発電中さん:2008/06/27(金) 19:28:36 ID:3lyfGOJ7
>>380

>128ビットリスク型パイプラインCPU
>積和演算子プロ4基搭載、メモリー2次キャッシュ対応で
>仮想アドレス空間10Gバイト以上、割り込みベクタ128本

>の仕様書を一週間で書いてみろよ。

お前、仕様書の意味わかってないだろ。しかも外仕と内仕の区別もつかん大馬鹿野郎と見た
仕様書を見ながらもの作ったこともないんだねかわいそーに。
どんな仕事してんの。
384774ワット発電中さん:2008/06/27(金) 19:45:06 ID:1egBepaC
>>380
NECの下請け?
385774ワット発電中さん:2008/06/27(金) 20:01:17 ID:1ddjVJ7r
仕様書はともかくブロック図先にかかないやつっている?
386774ワット発電中さん:2008/06/27(金) 20:07:25 ID:sW1gVRKb
>>383
おれのかんがえたさいきょうきんにくちょうじん
に、よくレスできるな、ちょっと尊敬。
387774ワット発電中さん:2008/06/27(金) 20:31:22 ID:YeYqxVzN
だからといってExcelでブロック図を描くのもどうかと思うんだ
388774ワット発電中さん:2008/06/27(金) 21:14:46 ID:Bqc35SyG
外資無いし
その言い方で系列バレるぜ
389774ワット発電中さん:2008/06/27(金) 21:51:44 ID:YdTt0KrM
>>385
作りながら、時々整理するためにブロック図に落とす。どうにもバス周りが苦手なので。
390774ワット発電中さん:2008/06/27(金) 23:28:23 ID:LcHryQ8O
>>383
おまえ、害仕や無い仕書くよりも、2ちゃんに書く事しかできないだろ。
仕事なんてしてないよな。ぷーぷぷぷ。
391774ワット発電中さん:2008/06/27(金) 23:48:16 ID:UYv57Olk
_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/


名前に「who-fusianasan」
本文に「>>1」(知りたい番号)と入力する。
書き込みを押すと投稿者が表示されるよ。
アンチ仕様書君の自演がまる見え。

_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/

392who-fushianasan:2008/06/28(土) 00:04:54 ID:LTrfp9Ah
393774ワット発電中さん:2008/06/28(土) 00:10:38 ID:M48xtr9g
>>391
すげーコマンド>>380の自演が表示されたよ
394774ワット発電中さん:2008/06/28(土) 02:30:27 ID:j+D9HlJD
機能仕様、或いは要求仕様は、書くけどなぁ。
設計仕様はあとでいいけど。
395774ワット発電中さん:2008/06/28(土) 05:00:11 ID:JePqzaXP
>>349
おまえしか理解できない、おまえ仕様書ですか?
396774ワット発電中さん:2008/06/28(土) 05:09:20 ID:qX/DA/rB
申し訳ありません
397774ワット発電中さん:2008/06/28(土) 08:25:22 ID:y/oxqgek
オレが仕様書だ!





あ、仕様ミスですね。
398774ワット発電中さん:2008/06/28(土) 09:12:19 ID:bxaAvZTr
仕様書が意味不明な場合、書き手の書きかたが悪いか、読み手のスキルが皆無かのどちらかなのだが

通常は政治的な理由できまります。『ここが重要ですね』

同じ社内なら、役職、休職、勤続年数の低い方が悪くなり。
社外なら、外注、下請けが悪くなる。


社内レビューを重ねて世の中にでる高品質な仕様書は大事な事程小さく書いてある。

生保なら、
特大フォントで「入院1日につき5000円至急」
そして端のみずらいところに極小フォントで「最大5日間」

読み手を
「書いてあるのにちゃんと読んでいないから悪い」
へ、おとしいれる事ができる高品質な仕様書になっている。
399774ワット発電中さん:2008/06/28(土) 09:13:44 ID:bxaAvZTr
あ、仕様間違えた 休職⇒級職 おれが仕様でいいか。
400774ワット発電中さん:2008/06/28(土) 09:15:20 ID:bxaAvZTr
あ、至急もちがう、あ、読み手のスキルがないということで。
401774ワット発電中さん:2008/06/28(土) 09:38:10 ID:M48xtr9g
仕様書だのブロック図だの先にでっち上げたい奴は勝手にかいてろ
402774ワット発電中さん:2008/06/28(土) 09:44:06 ID:q/GYewZC
先に書かないやつって、いったい何を基準にモノ作りするんだろうな。
作らないからいいのか。
403774ワット発電中さん:2008/06/28(土) 09:53:44 ID:e4l/rFA4
休職>>>若手 と読み、ヒエラルキーの悲哀を感じた。
5000円至急の部分は当たり前に読んでしまった。

こうやって齟齬がうまれるワケだな?
404who-h116-0-199-160.catv02.itscom.jp:2008/06/28(土) 10:40:37 ID:LTrfp9Ah
405774ワット発電中さん:2008/06/28(土) 11:11:53 ID:ZJGcoiGH
↑書き込む前に2チャンの仕様書よんだ?
406774ワット発電中さん:2008/06/28(土) 14:01:31 ID:TBT10y66
仕様書よまない君は東急沿線にお住まいですね
おれは先も後も仕様書かかない
仕様書書くのってド新人のやることだよ
407774ワット発電中さん:2008/06/28(土) 14:10:44 ID:qrBeErzN
>>380にかみついていた
仕様書君は人の仕様書は読まないくせに
俺様仕様書を押し付ける馬鹿でいい
408774ワット発電中さん:2008/06/28(土) 14:15:55 ID:0kRfDX6e
俺だけ使用で前に書く
ブロック図前に書く
人の仕様書は理解できない
どなり散らして俺様使用
ええいますいます
409774ワット発電中さん:2008/06/28(土) 16:15:21 ID:Y1fybVrc
ぷ〜ぷぷぷ
2チャンの仕様も読めない奴が
回路の仕様だってよ
うぇ〜ははははははははは

2チャンに仕様はちゃんと読んでるぜ
糞客の仕様はよまないがな

糞下電器は仕様通り殺人ストーブですか

ぶははははははははは
しっかりと賠償しろよ
殺しのつぐないだな
410774ワット発電中さん:2008/06/28(土) 16:22:46 ID:18oNn79R
こりゃ日本語の使用方法から学びなおすべきだな
411774ワット発電中さん:2008/06/28(土) 16:37:56 ID:GecrBurA
ふつう仕様書やブロック図はいらない
べりログが仕様記述そのものだ
字幕が必要な上司や客の子守はほんと重労働だよな
412774ワット発電中さん:2008/06/28(土) 17:52:39 ID:WelAbdK+
回路図が仕様だとかコードが仕様だとかそういう寝言はいい加減聞き飽きた
413774ワット発電中さん:2008/06/28(土) 17:56:26 ID:xBCUXCIz
正直、ブロック図かかないやつってプロのハード屋とは思えないんだけどw
他人に理解できない、理解できない者のせいにするやつってプロとして失格。
絶対一緒に仕事したくないな、おれは。人に理解させるのって結構楽しい作業だよ。
414774ワット発電中さん:2008/06/28(土) 17:57:13 ID:xBCUXCIz
Cのソースがまさに仕様だとかいってるソフト屋なんてまさしく能なしだし、
ドキュメントが書けない技術者はレベルが低いだけ。
時間があればいつだってちゃんと書きたいのだ。
415774ワット発電中さん:2008/06/28(土) 18:00:13 ID:yIUbMdM8
>>411
ほんとゴミだなおまえ
それでまともに仕事してんのかカス
416774ワット発電中さん:2008/06/28(土) 18:01:48 ID:gOIyeRfZ
あらすじ聞かれて全編通して視聴しろって返すやつがいるのは、このスレですか?
417774ワット発電中さん:2008/06/28(土) 18:28:05 ID:bdWLkmgP
違うな

ここにいるのは、本編見せろといわれたら
本編の20倍の尺のメイキング画像素材を出してくるバカ
418[email protected]:2008/06/28(土) 18:30:29 ID:LTrfp9Ah
釣られた…orz

419774ワット発電中さん:2008/06/28(土) 18:54:45 ID:2ZUMpH7l
>>414 がいいこと言いました。

特に最後の行。
420774ワット発電中さん:2008/06/28(土) 20:15:34 ID:Ke0veyyB
codingするまえにブロック図とか大体のレジスタまっぷ書かないと
コードも何も書けないよ
421774ワット発電中さん:2008/06/28(土) 20:25:28 ID:RbuseRBm
そんなものは私の頭の中にある。
と、古の巨大ロボアニメの博士なら言ってくれるさ。
422774ワット発電中さん:2008/06/29(日) 00:03:41 ID:7K4T64Vi
>>414,419
そうそう、書きたいけど時間がないから書けないよね。
423774ワット発電中さん:2008/06/29(日) 01:05:03 ID:T+TnKyUa
>>422
俺は食品偽装業だけど本当はちゃんと検査したいんだ、本当は。
検査したいんだけど時間がないからできないんだよね。

お前らはこれを認めるか?
424774ワット発電中さん:2008/06/29(日) 01:12:06 ID:7K4T64Vi
食品偽装は金儲けしたいからであって、時間がないからではない。
ちゃんとニュース読め。
425774ワット発電中さん:2008/06/29(日) 01:25:41 ID:T+TnKyUa
外から見れば言い訳が違うだけで同じ結果。
客に「されてない」理由なんか関係ない。
426774ワット発電中さん:2008/06/29(日) 03:58:08 ID:7K4T64Vi
>>425
おいおい、じゃあ、

A. 仕様書が完璧!でも動かなかったりすることがあるから頻繁にリセットが必要
B. 仕様書が適当・・・しかし完璧に動くし、長期間連続動作してもOK

設計期間の都合上、仕様書も成果物も完璧にはできないとしよう。
どっちがいいの?Aの方がいいっていう人はいないだろ。

だから仕様書は後回しになりがちだと言ってるんだ。
客のことを考えるなら仕様書を書いている間にテストしてる方が
いいと思うんだが?
427774ワット発電中さん:2008/06/29(日) 06:16:43 ID:42ygbjs5
うちもいい加減で設計仕様所は最後まで作らない場合が多いけど
機能仕様所は絶対に先につくってるなぁ。
機能仕様所がないと検証項目抽出できないので、仕様書書きと
検証が並行して進められないし、仕様書書いてレビューを通さないと
あとから機能追加依頼されてたまったもんじゃないし。
(というか、機能追加はレビュー後にも言われて泣きたくなるが)
428774ワット発電中さん:2008/06/29(日) 06:44:56 ID:cQSF7cxY
祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝祝


グッドウィルが廃業、来月は東京エレクトロンデバイス@DDCの正社員決定。

「ここで正社員として働かない」って言われた。
さよならインタープロジェクト@横浜営業所の犯罪者諸君。
もうピンハネできねーでやんの。犯罪グループの一員ですかね。
まもなく東京エレクトロングループの一員である俺の靴を舐めてもらおうか。

俺は東京エレクトロングループの一員です。おまえら派遣は失業おめでとう。


正社員正社員正社員正社員正社員正社員正社員正社員正社員正社員正社員
429774ワット発電中さん:2008/06/29(日) 07:54:54 ID:Svj7EBHc
>>415
東京エレクトロンデバイス正社員の俺様に派遣がなんのようだい?
430774ワット発電中さん:2008/06/29(日) 08:55:46 ID:zS5aq1CN
>>429
早くこの前のESECで出してた
アバールデータのPCI-E FPGA変換のチップ積んでる、
汎用I/Oボードの詳細ページ作ってもらえませんか?

あとあれってドライバの雛形(orボードと通信するためのDLL)って付いてくるんですか?
431774ワット発電中さん:2008/06/29(日) 10:17:53 ID:7XH0GQYI
VerilogやHDLソースが仕様って言うなら、ソフト屋から『この○○レジ
スタの○○フラグがセットされるタイミングは、いつですか?』とか、
『○○と△△のイベントが同時に発生したらこの××はの動作はどう
なりますか?』なんて聞かれたら、即答できるようにしてもらいたい
もんだな。
432774ワット発電中さん:2008/06/29(日) 10:40:20 ID:/vV+D8hO
外人が日本人を特別視してるってのは、自分の国の歴史少しでも知ってたら誰でも分かるだろ。
まず、資源が全くないってことはすごいこと。もう両手両足もがれたぐらいのハンデ。
しかもすごいのは、米国の3分の1の人口、わずか25分の1の領土で、
その上ただでさえ極小の国土の7割が山、山、山。なーんにもない、山・・・。
だから農業で輸出して食べていくことすらできない。条件からして最貧国でもおかしくない国。
そんな国が、100年ほど前で当時世界最強クラスだった露助とか清をあっさり倒して、
非白人国家で普通に白人常任倶楽部仲間入りしちゃってて、おまけに米国敵に回して
ガチで戦争して、世界で唯一米国本土爆撃して、英国の無敵艦隊フルボッコにして、
オランダ倒して、世界で唯一原爆落とさせるほどてこずらせて。しかも二発だよ。二発。考えられない。
敗戦とか言ってるけど日本のせいでアジアから白人の植民地全部消されたし。
しかも信じられないのは、戦争に負けてただでさえ何にもない国がさらにインフラまで全部叩き潰されて、
多額の賠償金まで背負わせて100%再起不能にしといた極貧衰弱国家で、
今度こそ生意気なイエローモンキーが消えて数百年はウザイ顔見ないで済むと思ってたら、
直ちに再び白人社会に経済で参戦して来くさって、参戦どころかごぼう抜きでたった2,30年であっという間に米国さえ抜いて世界第一位。
東京の土地だけで米国全土が買えるほどの呆れた価値になっちゃう程の超絶経済力で世界中( ゜Д゜)ポカーン・・・状態。
その後もずーっと二位維持。頭一本でそれ。
しかも経済の80%が内需。内需だけでそれ。
金融とかでまだ全然進出してないし車や家電、工業製品ももまだまだ進出しきってなくてそれ。
もうキチガイの域。伸びしろありすぎワロタ。戦後60年一発も打たずに侵略せずにこれ。
何気に世界最長寿国とかなってる。んで今度は漫画・アニメ・ゲーム。気がつけばハリウッドの規模とっくに超えてる。
アメリカの検索で一位になってるのが日本のアニメとか。世界中で一番人気の映像作品が日本のアニメとか。
極めつけは世界一長い国号、2000年のどの白人より長い王室ならぬ、その上の皇室保有。エンペラーに代表される歴史。
普通の神経してたらこんな国怖くて関わりたくない。
433774ワット発電中さん:2008/06/29(日) 10:51:43 ID:nrm9Fywb
日本が無資源というのは政府のプロパガンダに過ぎない
石炭 石灰岩 天然ガス 鉱物資源などたくさんあるよ 水や木材も豊富

ただ、石油が乏しく人件費が高いのがネック
434774ワット発電中さん:2008/06/29(日) 11:01:57 ID:IV/TyBTR
>>426
それが実験ならAでいいけど、
自社製品や受託物であるなら、
両方だめでしょ。

仕様書を書く時間がないとか、じゃなくて、
時間は作るもんだ。

製品の出荷検査や出荷判定で、
仕様書なしでokって会社があるとしたら、
取引したくないな・・・。
435774ワット発電中さん:2008/06/29(日) 12:36:58 ID:feo2dnFf
>>431
そんな質問するソフト屋いねーよ
436774ワット発電中さん:2008/06/29(日) 12:41:16 ID:/vV+D8hO
このまえテレビで本人が歌ってるのを見て、
声が酒焼けしてて、ぞっとしたんだが、
こいつ当時からへたくそだったんだな。
http://jp.youtube.com/watch?v=zNozAaYnQiY

それに引き替え
http://jp.youtube.com/watch?v=xpWglqTEVi8
感動した。こ
んなにいいメロディだったとは。
本人も感動して涙で歌えなくなってるのな。

437774ワット発電中さん:2008/06/29(日) 12:43:04 ID:/vV+D8hO
>>431
んなことないだろ。RTOSはイベントドリブン
優先順位とかタスクディスパッチを知らずどんなソフトを書くんだ?
438774ワット発電中さん:2008/06/29(日) 13:53:09 ID:7XH0GQYI
仕様書を書かないことと、出来上がったソフトウェアやハードウェアの
品質が良くなることに、>>426は、どんな因果関係があると言い訳するん
だろ?

そもそも、>>426の居るような会社は、仕様がない状態で何を基準に製品
を作り、何を基準に良否判定しているんだ?

仕様がない状態で開発に着手して、ずるずると開発期間が延びた挙句、
出来上がった製品は品質が悪く、ドキュメントもろくにないという話は
よく聞くけどな。(w

ドキュメントって成果物の一部であり、当然「質」が要求される対象に
含まれるとは考えないだろうな。

こういう連中って、年中残業しながら、スパゲッティプログラムや、参考
回路の継ぎ剥ぎで無駄だらけの回路を量産しているから困る。
439774ワット発電中さん:2008/06/29(日) 16:27:54 ID:PfxjDErS
仕様書、先だ、先だ、と口うるさいのは何処の学生さんですか。
就職して仕事やれば、仕様書を先に上げるなど無理だと身をもってしるだろうよ。
理想は先に仕様書があがる事だが、その仕様書も先輩社員や客の読解力が低ければ君の
書き方が悪い事になる。年功序列だからしかたないよ。

でも、面接では
「仕様書は先に書くべきです、私は先に書きます」
「先にかかなくて、どうやって設計するんですか」
の応対でいい。

まちがっても、面接で「仕様書は後で書く」といってはいけない。
面接する方も、その件については、十分に承知の上で会話しているら問題ない。
「先に仕様をでっちあげるのですか?」と意地悪な質問がくれば手応えありだ。
骨がある奴だとみこまれて反応を観察されている。
(嘘つき、又は世未経験だと疑われている可能性もあるぞ)

新卒ならそれでいいんじゃねぇ。

中途で
「私は何時も仕様書は先に書いて設計をしてきました」
と答えれば即落とされるぞ。

履歴書が東京大学卒なら説得力あるが、愛知工業大学卒とかなら、即落ちる。

本当に仕様書先に上げてるやつが、2チャンで粘着してる訳ねーよな。
440774ワット発電中さん:2008/06/29(日) 18:11:18 ID:U+CX5Ec9
仕様書って書く時、機能, 要求, 設計仕様などなど明記してね
441こうのすけ:2008/06/29(日) 18:43:53 ID:Eqqx092r
松下電器様からの要求御仕様書です。


                              /\二___\  | |
        __,,,,,,                      |\/‖   ''' |  | |
    ,.-'''"-─ `ー,--─'''''''''''i-、,,.           | |  ‖┌┐ .|  | |   給排気筒
 ,.-,/        /::::::::::::::::::::::!,,  \.         | |  ‖└┘ .|┌|=.|ヽ__↓
(  ,'          i:::::::::::::::::::::;ノ ヽ-、,,/''ー'''"7    | |  ‖    .|二| |回_凵
 `''|          |:::::::::::::::::::::}     ``ー''" .    | |  ‖    .|└|=.|/
   !       '、:::::::::::::::::::i               |ミ.|  ‖    .|  | |
   '、 `-=''''フ'ー''ヽ、::::::::::/ヽ、-─-、,,-'''ヽ  |\ノ.|ミ |  ‖    .|  | |
    \_/     ヽ--く   _,,,..--┴-、 ヽ .|_》 | |  ‖    .|  | |
                ``"      \>.    \|_‖____.|  | |


要求仕様書通りの製品がでてまーす。だから、設計仕様書と機能仕様書あとで書くよ
442774ワット発電中さん:2008/06/29(日) 18:44:35 ID:pXNgskFA
だれも最初から完全な仕様書なぞ要求してない。
途中で変更があったら、都度修正すればええ。
時間が無くて、物を仕上げてから修正する事もあるかもしれん。

ただ、仕様書無しで作り始める事はありえない。
443774ワット発電中さん:2008/06/29(日) 18:57:40 ID:pXNgskFA
>中途で
>「私は何時も仕様書は先に書いて設計をしてきました」
>と答えれば即落とされるぞ。
特にこれなんか、ありえなさ過ぎ。こういうこと書く目的が不明。
目標がぼんやりとしか決まって無い研究開発だって、概要の仕様くらいは書く。
444774ワット発電中さん:2008/06/29(日) 19:00:50 ID:tyZ0hH8P
↑コイツだれかに『その通りだ』と言ってもらうまで荒し続けるぞ、
445774ワット発電中さん:2008/06/29(日) 19:09:11 ID:cBR0vKG3
荒し呼ばわりするしか反撃のし様がないんだな。
哀れだ。
446774ワット発電中さん:2008/06/29(日) 19:56:01 ID:l18Dr1uj
その通りだ
447774ワット発電中さん:2008/06/29(日) 19:56:26 ID:/vV+D8hO
>>439 == あほ確定
448774ワット発電中さん:2008/06/29(日) 21:40:43 ID:4mgyhS66
>>323-447
ここまでをまとめると、

・仕様書を最初に全部書くとか無理
・仕様書を全く書かないとかありえない
・ブロック図とか最低限は書いてから作り始める

でおk?
449774ワット発電中さん:2008/06/29(日) 22:22:11 ID:OFTc+O5L
おk。
450774ワット発電中さん:2008/06/29(日) 22:29:26 ID:CUjF6QIa
自分で作るならそんなもん頭の中にありゃ十分だ。
451774ワット発電中さん:2008/06/29(日) 22:55:54 ID:+Q1o7SAg
まあ、仕事の場合は自分の中だけでクローズする訳じゃないから、
他のメンバーや後工程担当者へどうなってるか伝達する道具として、
色々なドキュメントも必要なわけですな。

俺の経験では、ソフト担当に出した仕様書の不備が原因のトラブルで、
一週間くらい毎日終電まで仕事をする羽目になった事もあるし、
ドキュメントはバカにできないですわ。

452774ワット発電中さん:2008/06/29(日) 23:59:10 ID:qnDoZehM
『その通りだ』
453774ワット発電中さん:2008/06/30(月) 00:43:22 ID:QxLcA7xj
>>451
で、そのソフト屋さんは3日間くらい徹夜したわけですね。
分かります。
454774ワット発電中さん:2008/06/30(月) 02:28:34 ID:zepvbU7C
まぁまぁオッサンどもえー歳こいてけんかしてねーで、
これでも見て一服してけろ!
どーせりあるに青春してたんだろ。
http://jp.youtube.com/watch?v=ro9DY0_Kqsk
http://jp.youtube.com/watch?v=XXWvbZXf5pw
455774ワット発電中さん:2008/06/30(月) 06:27:59 ID:9aeI0RbX
上司先輩、客の仕様書ならどんなに酷くても
「大変に素晴らしい仕様書です」
と持ち上げゴマをすりまくり

部下後輩、外注の仕様書なら
なにがなんでも欠点を見つけて、いちゃもんつけて、
怒鳴りちらして、俺様は偉いぞと主張する最低君いる。

もし君の上役が仕様書お宅なら最低君だ。
でもねぇ最低君にも長所があるんだ。
社長の仕様書ならトイレットペーパについたウンコでも
平気でなめますよ。
456774ワット発電中さん:2008/06/30(月) 17:30:07 ID:XXoHP8S0
自演仕様書先に付けさんは仕様書書くために残業かな
ゲラゲラゲラゲラゲラゲラ
457名も無き冒険者:2008/06/30(月) 18:28:07 ID:ZmVcNGvc
ゲラゲラとかどこの昭和40年代だよ
458774ワット発電中さん:2008/06/30(月) 19:23:53 ID:63kfZODq
彼にはそろそろ「仕様書」の意味を知って欲しいと思ったり、忘れたり。
459774ワット発電中さん:2008/06/30(月) 22:24:30 ID:SZ3LCMCk
『その通りだ』
460:2008/06/30(月) 23:44:16 ID:cSyl7BYF
>>458
よけいなお世話だ、バーカ。
461774ワット発電中さん:2008/07/01(火) 00:53:51 ID:HokknOUj
『その通りだ』
462774ワット発電中さん:2008/07/01(火) 06:17:19 ID:OFrGT/mB
ここで聞いていいのかわからないけど、Visual Elite使ってる人います?
今度仕事で使うんだけど、周りに使ってる人がいなくて困ってます
実際に動かして試してみるのに、よさそうなサイトがあったら教えてもらえませんか?
ググっても全然いいのが見つからないorz
463774ワット発電中さん:2008/07/01(火) 11:52:35 ID:OFrGT/mB
自己解決しました
464774ワット発電中さん:2008/07/01(火) 12:54:22 ID:HokknOUj
『その通りだ』
465774ワット発電中さん:2008/07/01(火) 14:23:52 ID:PO+FvEJD
ときょエレレロロンは新入が半年でマルハゲになる職場だからな〜
漏れは3ヶ月持たなかった
466 ◆YMO/ALTERA :2008/07/01(火) 14:35:04 ID:4L2Q1T6Q
俺は本業ソフト屋だが
"仕様書はいいからHDLソース見せれ"
といいたくなる。

逆に、おかしい原因を推測してレポートあげると
その通りだったりすることも多い。
467774ワット発電中さん:2008/07/01(火) 15:32:02 ID:NbPQlKBC
『その通りだ』
468774ワット発電中さん:2008/07/01(火) 15:33:45 ID:NbPQlKBC
しかし、相手がおかしいと言えるのは仕様書があるからでもある。
469774ワット発電中さん:2008/07/01(火) 17:31:50 ID:dpG8j+3a
話の流れぶったっぎって申し訳ないのだが。

今modelsim XEを使用してるんだけど、SIMの波形を画像ファイル
にしたいのですが何か方法ないですか?
SIM画像をExcelファイルに貼り付けたいのですが、psファイルだと
Excelが読み込めないし。
470774ワット発電中さん:2008/07/01(火) 18:25:59 ID:tOpwR0yH
>>469
PrintScreen→ペイント貼り付け
471774ワット発電中さん:2008/07/01(火) 22:13:19 ID:HokknOUj
『その通りだ』
472774ワット発電中さん:2008/07/01(火) 23:05:35 ID:DrOtGvcJ
その通りだ砲、発射ーーー
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
473774ワット発電中さん:2008/07/01(火) 23:06:31 ID:DrOtGvcJ
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
474774ワット発電中さん:2008/07/01(火) 23:24:25 ID:vNATUkdf
これはいい、都合の悪い書き込みがあったらスルーで上にながす。
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
475774ワット発電中さん:2008/07/01(火) 23:38:48 ID:OGRAsOZf
…なんか恥ずかしい書き込みでも消したいのかな。
まだ続くようだったらちょっとログ追ってみようかな。
476774ワット発電中さん:2008/07/01(火) 23:43:59 ID:vNATUkdf
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
477774ワット発電中さん:2008/07/01(火) 23:49:11 ID:OGRAsOZf
>>475も都合悪い書き込みだったのかwww
478774ワット発電中さん:2008/07/02(水) 00:00:38 ID:hG0ECWiO
>>468
普段コードを相手にしてるソフト屋なら、
HDLの文法が分かれば、
仕様書と違う動作をしてる箇所を見つけるのは、
それほど難しいことではないかもね。
479774ワット発電中さん:2008/07/02(水) 00:24:45 ID:hHHd4zTt
『その通りだ』
480774ワット発電中さん:2008/07/02(水) 00:35:47 ID:hPIRlHXc
>>478
無理だと思う。
組み合わせ回路ぐらいじゃないかな、わかるのは。
481774ワット発電中さん:2008/07/02(水) 01:16:46 ID:Vvlzg9c2
>>478
それですぐ出来たらシミュレータは要らないんじゃね?
482774ワット発電中さん:2008/07/02(水) 01:47:00 ID:BIbCwRax
ソフト屋+HDLの文法
これだけじゃ無理だな
483774ワット発電中さん:2008/07/02(水) 01:47:18 ID:Wf1E3tr9
1モジュールの中に always 文1つだけでソフト的な書き方をしている
糞RTLならソフト屋でも読めるかもな
484774ワット発電中さん:2008/07/02(水) 02:12:13 ID:myxzvIUX
Verilogでcase()〜endcaseにて質問。
例えば
case( hogehoge )
 4'b0000: x<=x+1; y<=y+3;
endcase

みたいに、一つのStatementに複数の命令を埋め込めないものだろうか?
VHDLとかなら平気なのだが・・・
485774ワット発電中さん:2008/07/02(水) 02:20:32 ID:Vvlzg9c2
case (state)
init :begin
 x<=0;
 y<=0;
end

ここまで書けばわかるだろう
486774ワット発電中さん:2008/07/02(水) 02:20:51 ID:Wf1E3tr9
>>484
よく覚えてないけど、begin-endで囲んだらできたと思うよ。
でも、case文を分けて書いた方がよいと思う。
487774ワット発電中さん:2008/07/02(水) 13:01:41 ID:hHHd4zTt
『その通りだ』
488774ワット発電中さん:2008/07/02(水) 13:09:26 ID:RAqNt8yy
コードがあるならシミュしてみりゃ仕様と一致してるかどうかなんてすぐわかるだろ
だいたい、ソフト屋ならシミュ用のテストベンチぐらいは自分で書けるんだろしな
489774ワット発電中さん:2008/07/02(水) 13:49:46 ID:BIbCwRax
>複数の命令を埋め込めないものだろうか?

命令と言ってることに違和感があるんだ
490774ワット発電中さん:2008/07/02(水) 18:35:01 ID:ke13y4fo
『その通りだ』
491774ワット発電中さん:2008/07/02(水) 18:53:45 ID:xQSr1zHZ
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
はい、つぎもかた
492774ワット発電中さん:2008/07/02(水) 18:56:09 ID:xQSr1zHZ
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』

493774ワット発電中さん:2008/07/02(水) 18:58:55 ID:xQSr1zHZ
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』

494774ワット発電中さん:2008/07/02(水) 19:16:13 ID:W0L1oZyC
ソフト屋といってもピンキリ。
有能なソフト屋、ハードに強いソフト屋ならはわかる。
どこまで興味と知識の幅があるかが問題。
ハード屋だけど、最近の流行のプログラミング/スクリプト言語も
読める程度には大概覚えていってるし、ソフト屋のコードを読んで原因を
調べるときもある。知識の許す限りなんでもあり。
495774ワット発電中さん:2008/07/02(水) 20:53:59 ID:RwVhkfzD
ピンとキリって、どっちが上だっけ?
496774ワット発電中さん:2008/07/02(水) 21:16:51 ID:Vvlzg9c2
ピン芸人
キリが無い
497774ワット発電中さん:2008/07/02(水) 21:38:27 ID:65OQg01Z
ピン:仕様書不要  なぜか実物が動く
キリ:仕様書マニア 実物動かない
498774ワット発電中さん:2008/07/02(水) 21:52:55 ID:RwVhkfzD
どっちも最低だな。
499774ワット発電中さん:2008/07/02(水) 22:41:15 ID:mtrSth5c
実物が動く=儲かる 結果がすべてさ。
500774ワット発電中さん:2008/07/02(水) 22:45:41 ID:RwVhkfzD
何を作ったのか説明も出来ないものでか?
501774ワット発電中さん:2008/07/02(水) 22:50:23 ID:mtrSth5c
ああ、儲かればいい、
何を作ったか説明できても儲からなければクソ
これがプロの世界だ。
502774ワット発電中さん:2008/07/02(水) 23:02:41 ID:RwVhkfzD
いや、儲かるのか?って・・・
503774ワット発電中さん:2008/07/02(水) 23:04:47 ID:RwVhkfzD
やっぱり、どっちも最低だな。
504774ワット発電中さん:2008/07/03(木) 01:31:24 ID:aoPSFw/Z
その理屈で言うなら、実物がなくても儲ける詐欺師が一番だな。
505774ワット発電中さん:2008/07/03(木) 01:52:15 ID:9uRM5sTj
外注に仕様書かくの面倒だから実際のコード渡して
”こんなのつくれ”
ってやってったらほとんど自分で書いてた
... って経験はあるぞ
506774ワット発電中さん:2008/07/03(木) 22:32:02 ID:5ygtP9QU
最も重要なのは売れる回路のコピーセキュリティー
仕様書は分かりずらく、中身の説明ができなくて、実物が動くのがプロの理想。

せっかく売れる回路をつくっても、コピー業者や同業に解析コピーされたら利益をむさぼられてしまう。
セキュリティーは最も重要で通常は分かりづらく解析されにくい回路構成をとりますが、
作った本人さえ解析できないのが理想のセキュリティーです。
作った本人が退社をしても回路情報は一切漏れることなく資産は会社にのみのこるからです
507774ワット発電中さん:2008/07/03(木) 22:36:35 ID:5ygtP9QU
Windowsも未だにセキュリティーホールで更新だらけ、つぎはぎのもぐら叩き状態。
分かりづらくて仕様書もないよね。仕様書がちゃんとしてれば更新はない。
そして実物は動いて売れている、MSは儲かってるよね。これがトップのプロの仕事。


508774ワット発電中さん:2008/07/03(木) 23:05:54 ID:+LW0gzsK
『その通だ』
509774ワット発電中さん:2008/07/03(木) 23:12:25 ID:PRlVp0+s
>これがトップのプロの仕事

合法詐欺師じゃまいか?
捕まらない程度にいい加減な仕事でごまかす,っとwwww
510774ワット発電中さん:2008/07/04(金) 00:13:35 ID:ucAXU+OO
超初心者ですが、質問です
QuatusIIで2ビットのレジスタをつくっているのですが、ブロック図をコンパイルするときにエラーがでてしまいます。
エラー内容をみるとVerilog HDL記述が間違えているみたいなのですが、どこが間違えているか教えてください。

/* REG2 */
module REG2module ( EN, CLR_B, IN1, IN2, CLK, Q );
input CLR_B, CLK;
input IN1, IN2;
output [1:0] Q;
output EN;
assign EN=FUNC_EN (IN1, IN2);
function FUNC_EN;
input IN1,IN2;
if (IN1>0|IN2>0)
FUNC_EN=1;
else
FUNC_EN=0;
endfunction
wire [1:0] D;
CONECT (IN1,D[0]);
CONECT (IN2,D[1]);
reg [1:0] Q;
always @( posedge CLK or negedge CLR_B )
if( !CLR_B )
Q <= 0;
else if(EN)
Q <= D;
endmodule


エラーにはError: Node instance "comb_8" instantiates undefined entity "CONECT"
Error: Node instance "comb_9" instantiates undefined entity "CONECT"

とでています。CONECTが定義されていない?とはいったいどういうことなのでしょうか・・・
どこをなおせばいいか教えてください。
511774ワット発電中さん:2008/07/04(金) 00:33:06 ID:/hXr2nq2
エラーの原因はこれ↓

CONECT (IN1,D[0]);
CONECT (IN2,D[1]);

多分、

assign D[0] = IN1;
assign D[1] = IN2;

に書き換えたら動くんでないだろうか。
期待している動作と合ってるかわからんが。
512774ワット発電中さん:2008/07/04(金) 00:57:07 ID:ucAXU+OO
>>511
ありがとうございます。あとで試してみます
513774ワット発電中さん:2008/07/04(金) 01:03:10 ID:lD6FUE8F
>>510
>定義されていない?とは
定義されていないってこと。
まさかとはおもうが、CONECT-->CONNECTとか。
514774ワット発電中さん:2008/07/04(金) 02:19:58 ID:ucAXU+OO
すいません、またわからないところがあったため質問させてください。
これさえ完成すれば電卓が完成(する予定なので・・・)
1つの4ビットの入力と2つの5ビットの入力の中から5ビットの出力を選び出す回路です。

/* 3-5SELECTOR */
module SEL3_5module (A, B, C, SEL, OUT);
input [3:0] A;
input [4:0] B, C;
input [1:0] SEL;
output [4:0] OUT;
wire [4:0] IN;
CONNECT (A[0],IN[0]);
CONNECT (A[1],IN[1]);
CONNECT (A[2],IN[2]);
CONNECT (A[3],IN[3]);
CONNECT (0,IN[4]);
assign OUT = SEL4_5_FUNC (IN, B, C, SEL);

function [4:0] SEL4_5_FUNC;
input [4:0] IN, B, C;
input [1:0] SEL;
case ( SEL )
0: SEL4_5_FUNC = IN;
1: SEL4_5_FUNC = B;
2: SEL4_5_FUNC = C;
3: SEL4_5_FUNC = 0;
endcase
endfunction
endmodule

Error: Node instance "comb_4" instantiates undefined entity "CONNECT"
Error: Node instance "comb_5" instantiates undefined entity "CONNECT"
Error: Node instance "comb_6" instantiates undefined entity "CONNECT"
Error: Node instance "comb_7" instantiates undefined entity "CONNECT"
Error: Node instance "comb_8" instantiates undefined entity "CONNECT"
がエラー内容です・・・
>>511を参考に自分でも試してみたのですが、どうしてもうまくいきません。
どこをなおせばいいかアドバイスお願いします。

>>513
それも試してみたけど同じエラーがでました。>>511の通りやったらできました。
515774ワット発電中さん:2008/07/04(金) 03:06:13 ID:uthb4XX3
always文以外でcase文が使われてるのを初めて見た。

CONNECTってQuartus特有なん?
516774ワット発電中さん:2008/07/04(金) 03:08:42 ID:/hXr2nq2
2ch で宿題の質問するのやめようぜ・・・
そういうのは友達に教えてもらえよな
517774ワット発電中さん:2008/07/04(金) 09:07:19 ID:LxB0yAyZ
>>514
INってどこにつながってんの?
518774ワット発電中さん:2008/07/04(金) 12:32:22 ID:66Ff/93G
で、そもそも CONNECT って何?
519774ワット発電中さん:2008/07/04(金) 14:33:07 ID:qy11cldn
520774ワット発電中さん:2008/07/04(金) 15:24:50 ID:rdjy4+uY
>514はマルチだし

まぁ、やりたいことを想像するにassignで良いんじゃないだろうか。
521774ワット発電中さん:2008/07/04(金) 15:32:11 ID:B5+bvU1R
回路イメージ無さ杉じゃ?
言われたとおりに「文字列を書き換える」だけの対処じゃ上達しないよ。
522774ワット発電中さん:2008/07/04(金) 15:52:27 ID:/4SW8+oi
>>519
Verilog-AMS か…聞いたことはあったが… Quartus とは関係ないな。ってか、使えないだろ。
だからインスタンスがなくてエラー
523774ワット発電中さん:2008/07/04(金) 18:48:56 ID:qy11cldn
VHDLとVerilog使いだが最近BASICを覚えようと思って勉強してるが
VHDLに似てないか>?
524774ワット発電中さん:2008/07/04(金) 19:52:56 ID:uthb4XX3
>>523
論理回路を描くイメージで書いてないとHDLはすぐにダメになるorz
ソフトウェアと似てるとは思えん…
525774ワット発電中さん:2008/07/04(金) 20:11:07 ID:qy11cldn
>>524
いや
if thenとか似てるなぁと
526774ワット発電中さん:2008/07/04(金) 20:52:12 ID:Btzmzjv9
そら、表面上は似てるでしょ。英語なんだし。
527774ワット発電中さん:2008/07/04(金) 21:38:07 ID:uxJitKVR
=じゃなくて:=なところは好き
528774ワット発電中さん:2008/07/04(金) 22:05:11 ID:Uxz8qRdX
<=はすきじゃないの?
529774ワット発電中さん:2008/07/05(土) 05:13:04 ID:KnG/1CRD
製品仕様書よんでないし
設計仕様書かいてないし
俺自信なにつくったか良く分からないし
ましてや説明なんて出来ないし
会話はいつも噛み合わないし
コメントもないし
抽象度低い記述だし

あるのは
.18の1000万ゲート250MHzの回路を作った実績だけ

でも仕様変更も何故かできるから不思議だ
530774ワット発電中さん:2008/07/05(土) 05:59:05 ID:CM6/Y4Us
製品仕様書よく理解したし
設計仕様書かいてレビューしたし
周りにも何を作ったか理解させたし
会話はいつもかみあうし
コメントは充実しているし
分かりやすい抽象的な記述だし

問題なのは
実物が動かない事だけ

仕様変更なんて入ったら、なぜか作り直しだから不思議だ
531774ワット発電中さん:2008/07/05(土) 08:05:02 ID:pv947Wzr
>>529
あまり小人さんを酷使するなよな
532774ワット発電中さん:2008/07/05(土) 08:18:30 ID:LMaNIzJ6
>>531
寝言に突っ込みは、かわいそうだよ。
533774ワット発電中さん:2008/07/05(土) 15:39:35 ID:lg7X/g1h
みんな、やっぱ小人さんに会ってんの?

自分は夢の中で妖精さんにバグを指摘された。
翌日、確認してみたら確かにバグってた。

同僚は、仏に「あのプロジェクトにはあと10個バグがある」と言われたそうだ。
534774ワット発電中さん:2008/07/05(土) 16:12:35 ID:pUSc5WFh
神仏は崇めるものではなく一人一人の心に在るもの。

つまり、仏様が10個あるといっているように見えて、

 あー今回は納期追いまくられるは仕変の嵐でgdgdだわ。
 この分だとどうも見切れてないあの辺とその辺は地雷があるな。
 だいたい10個くらいは本番になってから出てくるかなぁ・・・

と本人が内心で思ってるんだよ。ただ、ほぼ確信してても
実際にあることを他人に示せないからストップできなくて
ジレンマになり、夢に出てくる。
535774ワット発電中さん:2008/07/05(土) 16:27:47 ID:067Qu7p0
南無阿弥陀仏
536774ワット発電中さん:2008/07/05(土) 20:03:56 ID:sGlx1qm/
小人さんがHDの中でテニスをしているようです
537774ワット発電中さん:2008/07/05(土) 20:43:45 ID:9nd+3WEq
>>536
なむぅ〜(−人−)
538774ワット発電中さん:2008/07/06(日) 14:24:54 ID:KgDJ5syN
うちの会社は仕様書を書く部隊と
荒手、仕様書なをて書かなくて大規模回路作る部隊があるよ
539774ワット発電中さん:2008/07/06(日) 15:17:39 ID:gmXoQszn
>>538
携帯から乙
540774ワット発電中さん:2008/07/06(日) 16:12:46 ID:KgDJ5syN
いやPCからだか、改行から判断したか乙仕様書と同じで改行は読みずらくするのか癖さ
541774ワット発電中さん:2008/07/06(日) 16:22:11 ID:K2/dFwUY
やっぱり携帯か。
542774ワット発電中さん:2008/07/06(日) 17:37:20 ID:KgDJ5syN
はははPCだって
100歩ゆずって携帯だとして
だからなんだってんだ
543774ワット発電中さん:2008/07/06(日) 17:43:03 ID:K2/dFwUY
>>542
なむぅ〜(−人−)
544774ワット発電中さん:2008/07/06(日) 17:48:49 ID:+DtwtFxT
勿論、仕様書は見づらく分かりにくく書くのがポイントだよ。

一酸化炭素を噴出すのが、こうのすけ電器の仕様ですから、うぇ〜ははは。

分かりやすかったら大変だよな、うぇ〜ははは。

コロシの賠償しっかりやれよ、こうのすけ電器、うぇ〜ははは。

Helpキーはジャストシステムの特許、常識だよね、こうのすけ電器くん。

地上デジタルTV量産して地球環境どんどん破壊してくださいね、こうのすけ電器くん。
545774ワット発電中さん:2008/07/06(日) 18:06:19 ID:U02F4IL7
消費者は毒ガスでころされ、つかいすて従業員は過労でころさせ
殺下電器に殺された御霊に南無・・・
まね下電器が一日も早く潰れますように、合唱・・・
546774ワット発電中さん:2008/07/06(日) 18:17:05 ID:QtUsO6ea
何かパナに個人的な恨みでもあるんだろうか、この人。
547774ワット発電中さん:2008/07/06(日) 20:34:13 ID:gmXoQszn
>>540
パソコンで「を」と「ん」を打ち間違える奴見たことないぞ…携帯なら良く見るが
548774ワット発電中さん:2008/07/06(日) 20:46:09 ID:K2/dFwUY
みちゃだめ!
549774ワット発電中さん:2008/07/07(月) 06:15:42 ID:684Kex3+
こんなのできますた                              /\二___\  | |
        __,,,,,,                      |\/‖   ''' |  | |
    ,.-'''"-─ `ー,--─'''''''''''i-、,,.           | |  ‖┌┐ .|  | |   給排気筒
 ,.-,/        /::::::::::::::::::::::!,,  \.         | |  ‖└┘ .|┌|=.|ヽ__↓
(  ,'          i:::::::::::::::::::::;ノ ヽ-、,,/''ー'''"7    | |  ‖    .|二| |回_凵
 `''|          |:::::::::::::::::::::}     ``ー''" .    | |  ‖    .|└|=.|/
   !       '、:::::::::::::::::::i               |ミ.|  ‖    .|  | |
   '、 `-=''''フ'ー''ヽ、::::::::::/ヽ、-─-、,,-'''ヽ  |\ノ.|ミ |  ‖    .|  | |
    \_/     ヽ--く   _,,,..--┴-、 ヽ .|_》 | |  ‖    .|  | |
                ``"      \>.    \|_‖____.|  | |

仕様書通りですか、それとも仕様書無視?
550774ワット発電中さん:2008/07/07(月) 06:17:15 ID:684Kex3+
こんなのできますた 


                              /\二___\  | |
        __,,,,,,                      |\/‖   ''' |  | |
    ,.-'''"-─ `ー,--─'''''''''''i-、,,.           | |  ‖┌┐ .|  | |   給排気筒
 ,.-,/        /::::::::::::::::::::::!,,  \.         | |  ‖└┘ .|┌|=.|ヽ__↓
(  ,'          i:::::::::::::::::::::;ノ ヽ-、,,/''ー'''"7    | |  ‖    .|二| |回_凵
 `''|          |:::::::::::::::::::::}     ``ー''" .    | |  ‖    .|└|=.|/
   !       '、:::::::::::::::::::i               |ミ.|  ‖    .|  | |
   '、 `-=''''フ'ー''ヽ、::::::::::/ヽ、-─-、,,-'''ヽ  |\ノ.|ミ |  ‖    .|  | |
    \_/     ヽ--く   _,,,..--┴-、 ヽ .|_》 | |  ‖    .|  | |
                ``"      \>.    \|_‖____.|  | |

ねぇねぇ、仕様書みせてよ。
551774ワット発電中さん:2008/07/07(月) 21:22:41 ID:CazwhFhV
こうのすけ いわく
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
『その通りだ』
552774ワット発電中さん:2008/07/07(月) 22:07:07 ID:c9YIzCdm
その通り連投はつまらんのでヤメレ
553774ワット発電中さん:2008/07/07(月) 22:44:20 ID:9L0fwXWo
本人は面白いと思ってるらしいからつつかないであげてw

まぁこんだけ空気読めないとリアル生活のほうで相当苦労してそうだよなw
554774ワット発電中さん:2008/07/07(月) 23:10:13 ID:M94OEG8x
と言うよりも、仕様書ネタ自体飽きた。どうでも良いでしょ。
書かなくてもうまくやっていける所はそのままで良いし、
書く必要がある所は書く。それで十分でしょ。
文句がある奴は、こんな所で愚痴を垂れてないで
上司に直接言ってくれ。
555774ワット発電中さん:2008/07/08(火) 00:08:18 ID:X1r6AupC
じゃ次はスケジュールは必ず遅れるネタ
556774ワット発電中さん:2008/07/08(火) 03:42:39 ID:QAm3rc7X
じかんはもどらない
557774ワット発電中さん:2008/07/08(火) 12:21:04 ID:yCvPAkyM
納期は遅れないだろ。


客からどんだけ仕様変更が入っても。
558774ワット発電中さん:2008/07/08(火) 13:04:19 ID:QAm3rc7X
無駄なことはやらない
頼まれていないことはやらない
だんだん質落ちて行くんだよな
559774ワット発電中さん:2008/07/08(火) 13:50:05 ID:Y2FqvIyG
>>558
これはある意味依頼する側の要求仕様に問題ありともとれるな
依頼された側は頼まれた通り作業したってことで
頼まれていないことを気を利かせてやるかは、依頼する側の人柄次第

といってみるw
560774ワット発電中さん:2008/07/08(火) 17:22:54 ID:F3xuWApf
まさにデスマーチ
561774ワット発電中さん:2008/07/08(火) 22:54:48 ID:xC9OSTMt
●頼まれていないことを気を利かせてやる

 うまくいった場合⇒口先だけで「ありがとうございます」と言われる
 うまくいかなかった場合⇒賠償問題になる

●頼まれていないことはやらない

 うまくいった場合⇒「仕様書通りつくりますた」
 うまくいかなかった場合⇒「仕様書通りつくりますた」


●余計な事はやらない事だ。ワイヤードオアだろうが、電源GNDショートだろうが
 おせっかいな事はしない、意図した電源GNDショートの可能性がある。
 例えば電子制御式発火ライターかもしれない、
 発火できない電子制御式ライター納品したら賠償要求されるからね。^^;
562774ワット発電中さん:2008/07/08(火) 23:55:49 ID:V18+zHkJ
質問いいでしょうか。

VHDLを始めたばかりなのですが、
AD変換に関係した回路の設計をしています。
分解能4ビットで返還する際、
ADする波形の振幅が0000から1111の範囲を超えて
オーバーフローする場合があります。
このオーバーフローを検出し、オーバーフローしていることを
示すフラグを1にするような回路を設計したいのですが、
検出の方法がよく分かりません。
ご存知の方がいましたら
教えていただけないでしょうか。
よろしくお願いいたします。
563774ワット発電中さん:2008/07/09(水) 01:17:13 ID:1Cg5TjR9
寝る前にに『小人さんヨロシク』といってから寝る
564774ワット発電中さん:2008/07/09(水) 01:49:59 ID:akZrj8po
>>562
AD変換ってVHDLで出来るのか?
とりあえず5ビットにして5ビット目使っとけばいいんでないの?
565774ワット発電中さん:2008/07/09(水) 12:13:48 ID:TwtkBZ0I
>>564
当然できる
知らないのはおまえの勉強不足
恥を知れ
566774ワット発電中さん:2008/07/09(水) 12:31:35 ID:zdppefhY
>>565
なにに対してできるって?
説明できなければもっとたちが悪いハゲ!
ちゃんと主語を書けよ。

>>562
変化量を監視して一定以上の差が生じたときにフラグをセットするのを期待しているのかな?
フラグのセットはなんとかなったとしても復帰条件をどうするかがよくわかんねえ。
今度は平均をとって変化量が一定以下になったら正常になったとするのかな?
この手の問題はデータの変化を眺めていけばどうすれば良いかが見えてくるはずだ。
567774ワット発電中さん:2008/07/09(水) 13:07:15 ID:1Cg5TjR9
説明は小人に任せた
568774ワット発電中さん:2008/07/09(水) 14:21:27 ID:TwtkBZ0I
>>566
>なにに対してできるって?

よみとれないのはおまえが糞だから。


>フラグのセットはなんとかなったとしても復帰条件をどうするかがよくわかんねえ。

ま、糞のおまえじゃわからんだろうて。
569774ワット発電中さん:2008/07/09(水) 14:31:04 ID:37A8z7OB
最近なんでこのスレでは喧嘩が起きてるんだろう?

570774ワット発電中さん:2008/07/09(水) 15:00:44 ID:Lub5Jhcq
ここだけじゃないし。
571774ワット発電中さん:2008/07/09(水) 17:15:59 ID:p6uIasI+
>AD変換ってVHDLで出来るのか?

ハードが普通のロジック入力だけでは、無理なんでない?
例えば外付けまたは内蔵のコンパレータがあればできるけど。
572774ワット発電中さん:2008/07/09(水) 17:21:11 ID:zdppefhY
景気が悪いからみんなイラついているんだよ。

>>565,568みたいに仕事がなくてネットに張り付いて他人を貶して楽しむしか娯楽がない自営が増えているからね。
おれもいつもはレスしないけど、上司と口論した直後だったので思わず反応してしまった。

ヒントをちゃんと書いているのにそれすら読めないTwtkBZ0Iは文盲同然。
悔しかったらまともなレスつけて欲しい所だが、所詮能力不足で罵倒する事しか出来ないのは>568で証明済み。
出来ると書いたけどその方法を書いていないのも自分の勉強不足を晒している。
頭が硬化してプライドだけの自営はこれだから困る。
573774ワット発電中さん:2008/07/09(水) 17:38:02 ID:TwtkBZ0I
文章を読み取れないゴミ野郎が何をごちゃごちゃ糞レスつけてんのカス野郎こと ID:zdppefhY
574774ワット発電中さん:2008/07/09(水) 17:41:15 ID:TwtkBZ0I
>>ID:zdppefhY

>上司と口論した直後だったので思わず反応してしまった。

あひゃひゃひゃ!どーりでなぁ。やっぱりのう。
おまえのようなあほが部下にいるとかわいそうなもんだな。
上司もこまってんだろ。あほの取り扱いには。
おまえのようなあほは組織の願だし、文章も読めない知障ではとてもエンジニアなんかむいてない。
これを機会にさっさとやめて缶拾いでもやってろ戯けが。
575774ワット発電中さん:2008/07/09(水) 17:59:52 ID:Ab7x6afK
まーた14Vが涌いてるのか。
巣に帰れよ。
576774ワット発電中さん:2008/07/09(水) 18:27:18 ID:zdppefhY
めしからかえってきたらまだはりついているよこのバカ。
うちの上司は2chにはりついていないからまだ救いようがあるけど、こいつは救いようがないね。

賢い所をちょっとでも見せてくれるかなと思ったけど、わざわざ誤字変換して意味のない反論しか出来ない。
結局答えられない己のバカさを証明しただけ。
一度病院に見てもらった方がいいよ。
興奮すると正常な文字認識ができない病気持ちなのは間違いない。
レスしなくていいよ。どうせゴミレスしか出来ないのは判っているから。
こう書いてもレスするんだろうな、いやぜったいやる。この手のバカは興奮すると我慢できないから。
賢い人はレスしないで無視するよ。ぜったい。そうして相手(俺)をイライラさせる。
でもおまいはできない。
ほら、うずうずしてきたろう。興奮しすぎてキーボード壊すなよボケ。落ち着いて人差し指でキーボードを見ながらゆっくりと入力しな。
気が向いたら見てあげるよ。
577774ワット発電中さん:2008/07/09(水) 19:10:13 ID:ZaPaALjS
562です。

>>566どもです

質問しておいて申し訳ないのですが
今日確認したらオーバーフローをしているという信号は
上位モジュールから貰えるようでした。
お騒がせいたしました。
578774ワット発電中さん:2008/07/09(水) 22:03:44 ID:9gMdHm7X
562です。

>>566のバカへ

知ってておちょくりの質問してみますた。
マジレスするなんて本物のバカですね。
天然記念物ものですよ。
579774ワット発電中さん:2008/07/09(水) 22:12:19 ID:fLaCVSN/
AA貼りってどうしようもないな。
580774ワット発電中さん:2008/07/09(水) 22:24:12 ID:m6Hq1Rgi
こんなんだから、日本のLSI設計技術は台湾、韓国の背中を拝むハメに。
581774ワット発電中さん:2008/07/09(水) 22:38:29 ID:7dVrmbTQ
納期、まもらない、まもらない、って騒いでれば、
「仕事をしてるー」って気になるからね。
君たちの周りにも必ずいる筈。。。
582774ワット発電中さん:2008/07/09(水) 22:38:59 ID:+yStvuoD
>>577
ちなみに質問ですが、
「"0000"の次に"1111"が出力されるとオーバーフローである」
ということは、使っているA/Dコンバータの出力は2の補数形式ではなくて、
符号なしということですか?
583774ワット発電中さん:2008/07/09(水) 22:58:30 ID:akZrj8po
>>565
出来るわけねーだろ…

VBでネオン管点灯できますか?とか聞かれてもできると答えるのか?
あくまで言語は目的に到達するための手段であって、デバイスではないんだから。
584774ワット発電中さん:2008/07/09(水) 23:26:42 ID:/mOgT4Y5
日本に足りないのは技術よりも、まともなプロジェクトリーダーだな。
585774ワット発電中さん:2008/07/10(木) 21:01:49 ID:FCsHOxRe
派遣でピンハネする事と、怒鳴りチラシの俺様リーダしかいないからな。
586774ワット発電中さん:2008/07/11(金) 22:43:35 ID:HBtYcO+J
特定の人だけ負荷が多い→ミスる可能性が大→うるさく言われる→辞める→多くの負荷が残る
→他社へノウハウ流出→事業部がなくなる→他社の空いた席に落ち着く
587774ワット発電中さん:2008/07/11(金) 23:17:39 ID:zfYuqNc0
>>585
理系を大事にしないからそうなる
588774ワット発電中さん:2008/07/12(土) 14:52:54 ID:7PK2dVR1
未だにwireとasigneの差が分からない
589774ワット発電中さん:2008/07/12(土) 18:09:32 ID:nZFUPMGz
asigneを検索してしまったじゃないか
590774ワット発電中さん:2008/07/12(土) 22:29:18 ID:g9D0A3tR
担当はある日突然前触れもなく辞めるもの
技術がなくなるだけならいいが、
競合に技術が行く事がおおい。
S○NYにはFTDLそっくりの言語がある。
さて、とこから流出したんだか。
591774ワット発電中さん:2008/07/13(日) 02:41:19 ID:jeyCah7l
日本国内に現役LSI設計者って何人いるの?
592774ワット発電中さん:2008/07/13(日) 08:14:32 ID:NfwG0EKa
引越し前に、いやがらせの花束がおくられます。
593774ワット発電中さん:2008/07/13(日) 21:49:39 ID:NfwG0EKa
雛形:
○年間大変お世話になりました。
これから会社に貢献するという時にこのような形になり大変に申し訳ないです。
いやがらせの花束を受け取る。

本音:
えらそーに、プチッ、もう子守はたくさん、勝手にやって終了してくれ。

帰り道:
受け取ったいやがらせの花束は武蔵引田駅のゴミ箱直行。退社したから環境保護も関係ないし。
594774ワット発電中さん:2008/07/14(月) 04:29:16 ID:LPJulTTN
日本はもう駄目ポ、どのプロジェクトも外注(製品開発の為というより、上前はねが目的)ばっか、

もうじり貧じゃ。
595774ワット発電中さん:2008/07/15(火) 21:08:47 ID:eegdUWq9
武蔵中原駅のゴミ箱も花束で飾られている事が多いよね。
駅前にITの大会社がありますが?
596774ワット発電中さん:2008/07/16(水) 12:11:41 ID:Ws0PzsNI
>>594

理系を大事にしないからそうなる
597774ワット発電中さん:2008/07/18(金) 15:20:38 ID:LT67byq0
設計スタイルガイドはどこまで使えるんですか?
もう信用しきっちゃっていいんですかね
598774ワット発電中さん:2008/07/18(金) 21:34:29 ID:LbXf4AWu
>>597
あれはあくまで第2、第3参考物だろ……
場合によっては当てはまらない場合もある
599774ワット発電中さん:2008/07/18(金) 21:57:28 ID:oZTX/NA7
STARCとかですかね。
キッチリ守るのは難しいし、書きにくいから、都合のいいところだけマネすればいいんでねの?
ベンダーの違いもあるしね。
あとは経験値を上げるのみ。
600774ワット発電中さん:2008/07/18(金) 23:13:03 ID:zJnWsUGS
直属のリーダのSTARCスコアーがお前らの半分以下ならどうする。
601774ワット発電中さん:2008/07/18(金) 23:34:22 ID:aVyc04Sv
STARCスコアって何?
602774ワット発電中さん:2008/07/18(金) 23:53:46 ID:vEBcKzFY
ESA
603774ワット発電中さん:2008/07/19(土) 00:09:16 ID:9HmQhl4A
わかんねえ
604774ワット発電中さん:2008/07/19(土) 00:38:40 ID:70nkT+M7
スコア55以上なら誰かの半分という事はない
605774ワット発電中さん:2008/07/19(土) 07:19:21 ID:0RtvGL8/
Verilogも建築士みないに国家資格制度のほうがいいだろう。
606774ワット発電中さん:2008/07/19(土) 08:36:14 ID:6IgOF3u2
>>604
残念 1000点満点だ
607774ワット発電中さん:2008/07/19(土) 09:47:04 ID:On0+F+VE
>>606
内の会社の平均点は45点 いちおう一流って呼ばれてる会社。
608774ワット発電中さん:2008/07/19(土) 12:13:41 ID:9ZBZu6JN
これか:

業界唯一のデジタル回路設計者 技能検定試験 ESA
- ttp://www.shin-yokohama.jp/pdf/071018d.pdf
- ttp://www.hdlab.co.jp/web/a040assessment/0010starc_esa.php

 難易度の設定:RTL設計経験3年で60点取ることができる

だそうな。
609774ワット発電中さん:2008/07/19(土) 14:45:06 ID:rR6I9+Le
Verilogで、異なるModule間で同一名のparameter定義を共有したいのだけど
どうすればよいのだろう?
Moduleの外で定義すると文法エラーだし。
defineマクロはなるべく避けたい
610774ワット発電中さん:2008/07/19(土) 16:15:05 ID:9HmQhl4A
perl使って置換
611774ワット発電中さん:2008/07/19(土) 17:55:40 ID:rR6I9+Le
>Verilogも建築士みないに国家資格制度のほうがいいだろう。

プロでVerilog使ってる人のうち、何%くらいの人がCPU作れるものなの?
例えばMIPS系のシンプルなCPU。
612774ワット発電中さん:2008/07/19(土) 18:18:00 ID:9ZBZu6JN
>>611
条件抜きで「CPU」なら、作れないプロなどいないと常考
613774ワット発電中さん:2008/07/19(土) 19:10:42 ID:U+vQtHz1
>>612
ハァ?せいぜいPICレベルつくるのが精一杯のお前が笑わせんな!
614774ワット発電中さん:2008/07/19(土) 19:44:37 ID:ZFkqJusw
平均点45の会社はどっちだ?

このスレを見ている人はこんなスレも見ています。(ver 0.20)
東京エレクトロン CN事業をTEDに継承Ver 3.0 [機械・工学]
現SCEの会 Part48 [ソニー]
615774ワット発電中さん:2008/07/19(土) 20:53:37 ID:piNbYLMd
> 条件抜きで「CPU」なら、作れないプロなどいないと常考

> ハァ?せいぜいPICレベルつくるのが精一杯のお前が笑わせんな!

PICって簡単だと思ってるんだろうなぁ・・・
616774ワット発電中さん:2008/07/19(土) 21:21:39 ID:1J65kn01
>>609
defparam で上から渡す
617774ワット発電中さん:2008/07/19(土) 22:33:23 ID:rJvG3z9b
ソニー スコアー45
↓設計委任
富士通 スコアー75
↓奴隷代理店
東エレ スコアー35
↓家畜以下の害虫 スコアー15
グッドウィル[ただ今国から業務停止命令施行中

618774ワット発電中さん:2008/07/19(土) 23:57:08 ID:P4oWhUJv
組み込み系のMPUってほとんどヘネパタそのものだから
基本はどれも変わらないんだよな。
619774ワット発電中さん:2008/07/20(日) 00:54:06 ID:0r1JufpP
>>608
試験代高すぎw
620774ワット発電中さん:2008/07/20(日) 02:01:50 ID:apA4L+Mg
cpuつっても外部IFの量次第じゃないか?
621774ワット発電中さん:2008/07/20(日) 07:33:16 ID:y3tEVu+8
また馬鹿が出た
622774ワット発電中さん:2008/07/20(日) 09:38:04 ID:QMENbkJe
わざわざCPUを作るプロはいねーよ。
検証が面倒だし、コンパイラやデバッガもいる。
ソフトが悪いのにプロセッサが疑われる。
ちょっとしたシーケンサを組んでソフト屋に公開したら大変な目にあった。
CPU周りはベンダーに任せてしまえ。
623774ワット発電中さん:2008/07/20(日) 11:21:05 ID:3G4GAosx

FPGAだと外に出さずに中で済ませてしまうとか
できるのに対して
同一ピンを何通りも使い分けしたり
実際のCPUの方が面倒なことが多い
624774ワット発電中さん:2008/07/20(日) 11:35:06 ID:1PuXml1V
わざわざCPUを作らないとなると、プロは普段、何を作ってるの?
もっと難易度の高いLSI設計って素人の俺には、ぱっとは思いつかない。
ただ単に視界に入らないだけかもしれないが。
625774ワット発電中さん:2008/07/20(日) 12:10:20 ID:4g+wXpP0

孕み女だと外に出さずに中で済ませてしまうとか
できるのに対して
同一コンドームを何回りも使ったり
実際ピルの方が面倒なことが多い
626774ワット発電中さん:2008/07/20(日) 12:11:32 ID:4g+wXpP0
>>624
>わざわざCPUを作らないとなると、プロは普段、何を作ってるの?

CPUメーカでもないのにCPU作るプロなんているかよアホ
邪魔だからいー加減すっこんでろ
627774ワット発電中さん:2008/07/20(日) 12:26:26 ID:sq6a7MqB
>>624
あなたがCPUにさせると思ってるような処理を、CPUじゃ遅すぎるから
回路で組んでるんだと常考。
628774ワット発電中さん:2008/07/20(日) 14:14:52 ID:C646Dj8g
ここには2社の社員がいる

このスレを見ている人はこんなスレも見ています。(ver 0.20)

現SCEの会 Part48 [ソニー]
東京エレクトロン CN事業をTEDに継承Ver 3.0 [機械・工学]

629774ワット発電中さん:2008/07/20(日) 17:57:32 ID:xVkB9uTp
CPUでやるのが良いと思われる処理を、HDLでやらされるこの苦痛をお分けしたい今日この頃
630774ワット発電中さん:2008/07/20(日) 21:15:52 ID:IDTLBG3u
いいじゃん、思いっきりでっかいデバイス使って、きっちり請求すれば。
631774ワット発電中さん:2008/07/20(日) 22:48:56 ID:0fQaPXRS
そうだよ。500円のCPUでできるのに、400万もかけて俺って
何をやってるんだろ。
632774ワット発電中さん:2008/07/20(日) 22:57:36 ID:sq6a7MqB
いいじゃん、思いっきりいっぱい勉強して、きっちり請求すれば。
633774ワット発電中さん:2008/07/21(月) 02:52:00 ID:KyLw9DeB
ハードで複雑な挙動を実装しようとすると、どうしても
なんらかのステートマシンを組んでシーケンシャルにならざるを
えないし、分岐機能入れた日にはCPUっぽくなってしまうよね?
634774ワット発電中さん:2008/07/21(月) 11:03:18 ID:nz4allrE
>>633
> ハードで複雑な挙動を実装しようとすると、どうしても
> なんらかのステートマシンを組んでシーケンシャルにならざるを
> えないし、分岐機能入れた日にはCPUっぽくなってしまうよね?
>
これらと、CPUは、別に関係ないぞ。
635774ワット発電中さん:2008/07/21(月) 11:42:46 ID:wz3qUeQk
結局、順序回路はCPU使うのと大差ないってのは至極当然の意見だ。
それすらわからん >>634は死にな!
636774ワット発電中さん:2008/07/22(火) 00:39:26 ID:GrCM8Xm0
組み合わせ回路からステートマシンを作ることを考えた奴は天才だと思う。1次元から2次元への世界を開いたような感じ。
ステートマシンからCPUなんてものを思いついた奴は天才だと思う。2次元から3次元に飛び出したような衝撃だ。

Verilog書いてると、1クロック未来が恐ろしく別世界のように感じながらも、レジスタを使ってその橋渡しをすることにも戸惑いを覚える

これが3ヶ月FPGA弄った俺の感想。反論は受け付ける。
637774ワット発電中さん:2008/07/22(火) 01:20:27 ID:4fMhG7A5
論理書いてると、タイミング合わせで論理は入らないFFがすげーもったいなく思えてくる
どうしたらいいんだ
638774ワット発電中さん:2008/07/22(火) 01:29:28 ID:KwWGJZiq
出力ピン → CR → 入力ピン
639774ワット発電中さん:2008/07/22(火) 09:31:29 ID:NHomK6Zo
>>616
へー!defparam勉強しようっと
いままでparameterファイル作っては`includeしてました。

ありがとうございました。

>>598
そうなんですねぇ。
最終的にはお客さんの仕様にあわせますもんね。

・・・しかし会社内なら統一してほしい・・・
(同RTLで負論理がXPINかPINnかになっててプルの設定ミスとか・・)
640774ワット発電中さん:2008/07/22(火) 10:03:52 ID:qsDSIJqa
>>637
FPGAの場合はFFがたくさんあるんだから気にするな。

どうしても気になるならASICの世界に旅立てばいい。
そうすればFFのありがたさがよく判るよ。
641774ワット発電中さん:2008/07/22(火) 10:19:32 ID:rIrJRqZe
ASICで設計してると、クロックツリーやホールド保証とか、
論理に関係ないバッファが大量に入ってすげーもったいなく見えてくる
どうしたらいいんだ
642774ワット発電中さん:2008/07/22(火) 10:35:34 ID:NHomK6Zo
>>641
出てきたネットリスト見るわけじゃないですし
面積犠牲にして動かないASICを作っても仕方ないんで

我慢するしかないんでしょうかね?
643774ワット発電中さん:2008/07/22(火) 20:10:42 ID:SQpifmBr
半分はホールド保証バッファー 動く
ぎちぎちの手設計       動かない

当然、動くほうがいいよな。
644774ワット発電中さん:2008/07/22(火) 22:16:52 ID:bL5NW8qZ
>手設計       動かない
これは比較するのが・・・。
せめて、工数がかかるとか、で比較して欲しいが。
645774ワット発電中さん:2008/07/22(火) 23:39:31 ID:JQWQjpc5
スキャンとかMemoryBISTとか勿体無くてたまらねえ
手でマルチサイクルとか全部考えると相当バッファ抜ける気がする、でも多分死ぬ
646774ワット発電中さん:2008/07/23(水) 00:30:21 ID:BoWJEem2
>>641
クロックツリーなしって、非同期回路はどうよ
647774ワット発電中さん:2008/07/23(水) 17:47:21 ID:Z82/UeVC
担当のスキルがS級でないと動きません
648774ワット発電中さん:2008/07/23(水) 20:35:37 ID:NykhAxTX
>>641
俺はバッファーを入れないと不安になるけどなぁw
649774ワット発電中さん:2008/07/23(水) 22:37:52 ID:kTFvg2YG
>>646
非同期回路動かせる奴なんているのか?

andが1個だけとか、そういうのなし。
10状態以上の本格ステートマシンとか、動いたら軌跡だね。
650774ワット発電中さん:2008/07/23(水) 22:40:32 ID:a7qV3ANq
設計方法論は昔からいくつも提案されてるし、
ちゃんと使えば対応してる遅延仮定の範囲内ではちゃんと動くのができるよ。
651774ワット発電中さん:2008/07/23(水) 23:37:38 ID:KoKYMkpd
SCEじゃ非同期回路職人は山ほど(いた)
652774ワット発電中さん:2008/07/23(水) 23:45:47 ID:a9I2cxdn
伝説の非同期ステートマシン
 確かに理論的にはありえるが実現できる職人さんはもういないでしょうね。

そのSCEとやらに作ってもらいますか?
653774ワット発電中さん:2008/07/24(木) 01:12:04 ID:KC6Gf837
非同期設計と言ってもイロイロあり、職人でなくても大丈夫な設計手法も存在する。

非同期式ディジタル回路ってど〜よ?
http://science6.2ch.net/test/read.cgi/denki/1101395104/48-

非同期設計のARMコア
http://journal.mycom.co.jp/articles/2006/05/19/spf2/index.html
654774ワット発電中さん:2008/07/24(木) 01:48:42 ID:oMWKgn2Q
STGで書ける仕様ならpetrifyとかで回路を自動合成してくれる。
非同期設計を十把一絡的に職人技と思ってると取り残されるかもしれんよ。
655774ワット発電中さん:2008/07/24(木) 02:23:22 ID:3vb/aXWj
>>653
上で言ってるのは非同期のステートマシン設計の話だろ
656774ワット発電中さん:2008/07/24(木) 05:27:47 ID:xw0Who2N
>>655
デジタル回路って組み合わせ回路とステートマシンの2種類しかなくね?
657774ワット発電中さん:2008/07/24(木) 07:27:06 ID:r8O6as3f
>>654
非同期な時点で100年遅れてるよ
658774ワット発電中さん:2008/07/24(木) 09:22:04 ID:ddijHezy
>今話題になっているのは,多分になりゆきまかせで成立していた
>大昔の非同期設計の復活ではない.
>現在,開発が進んでいる非同期設計は統一されたマナーによって
>統率された方式であり,昔の非同期設計とは異なる技術であると
>言える.
659774ワット発電中さん:2008/07/24(木) 20:45:03 ID:1g+UyaqD
しかしながら非同期回路って動くと最速なんですかねぇ。

RDYBSYだらけの回路になりそうで怖いです。
660774ワット発電中さん:2008/07/24(木) 23:03:32 ID:oMWKgn2Q
ハンドシェークとかしっかりやるなら速さは期待できないな。
661774ワット発電中さん:2008/07/25(金) 01:48:53 ID:+311peA6
素人疑問なんだけど
なんで日本って1GHz超えるCPUを開発できないの?
クロックは目安にもならないけど、IntelだとかAMDとの
CPUとは実力差ありすぎ。
なんとかならないの?
662774ワット発電中さん:2008/07/25(金) 01:58:00 ID:Lk2SSbyB
1GHzを超えるCPUは出来るし作ってるけど
663774ワット発電中さん:2008/07/25(金) 02:51:58 ID:iqtSahUc
cellはソニー製だと思ってたが。
664774ワット発電中さん:2008/07/25(金) 09:53:22 ID:dULTTMZ5
動作周波数だけが性能の全てではないよ
665774ワット発電中さん:2008/07/25(金) 19:03:46 ID:ZcqGS3I+
3進カウンターについてなんですがx=1,x=0でそれぞれ1、0を入力することを
意味して、状態をSiとしてDフリップフロップQ1,Q2を用いて状態を表すとき

状態・Q1Q2
S0・00
S1・01
S2・10

としたときQ2’=Q1~(x@Q2)が答えなんですが(~が否定。@がXOR)、
カルノー図を用いるとQ2'=x~Q2+xQ1~Q2~となってしまうのですが、これだと
不正解らしいです。なぜこれはダメなのかわかりますか?
666774ワット発電中さん:2008/07/25(金) 19:14:34 ID:OOP4ayXE
その論理式に値を代入して調べてみれば?
667774ワット発電中さん:2008/07/25(金) 20:21:07 ID:Y+fRFDk8
>>661
日本はデスクトップ用のプロセッサで商売してるところは既にない
大抵が組み込み用だ。で、クロックに比例して消費電流が増える。
やみくもにクロックを上げるメリットがないからそういう選択をしない
668774ワット発電中さん:2008/07/25(金) 22:42:25 ID:lZ710Zjw
>>665
1、2、サーン!でアホになってゼロに戻すという話かと思ったよ
669774ワット発電中さん:2008/07/26(土) 00:40:15 ID:F+0rKleB
>>665
ダメじゃない。正解。表現が違うだけ。
670774ワット発電中さん:2008/07/26(土) 01:11:47 ID:RrM17rwN
>日本はデスクトップ用のプロセッサで商売してるところは既にない
商売してないんじゃなくて、技術力が足りねーからニッチに
追い込まれた負け組にしか見えない。
671774ワット発電中さん:2008/07/26(土) 01:14:29 ID:Svvp25AX
>>670
業界がわかってないようにしか見えない。
672774ワット発電中さん:2008/07/26(土) 02:03:31 ID:e+35eS9D
だから聞いてるんじゃないのか?
673774ワット発電中さん:2008/07/26(土) 07:24:32 ID:zDtVCNf7

ソニーと東エレのリベート対決、がんばれやーー

いままでのやりとりから
 非同期派 ソニー  同期派 東エレ
 仕様書肯定派 ソニー 仕様書否定派 東エレ
だろう。
674774ワット発電中さん:2008/07/26(土) 11:11:53 ID:F+0rKleB
>>670
足りないのは技術力でなく政治力。
日本は組み込み向けが強いが、ハイエンドのCellとかもある。
今のインテルx86系も元々は電卓向けに日本と共同開発したって話だったと思う。

確かに商売という面ではIntel様にかなわない。
だが、それは技術力だけに起因しているわけではないのだよ。

あと、組み込みはニッチではないよ。
だからIntelもAtomとかをがんばって売ろうとしている。
675774ワット発電中さん:2008/07/26(土) 11:19:44 ID:xpWMH2gd
でおまえはソニーか?東エレか?
676774ワット発電中さん:2008/07/26(土) 11:31:43 ID:RrM17rwN
x86互換作って巨大な市場で正面と戦わないのはなぜ?
政治力?国家間の闇の契約でもあるの?
ハイエンドにCellがあるだの言われてもねぇ。
事情知ってる人、plz
677774ワット発電中さん:2008/07/26(土) 12:16:38 ID:cY43hIEW
Intelは日本企業のおかげで創業からの主力だったメモリ事業撤退に
追い込まれてるわけで、自分がコントロールできないような相手には
絶対ライセンスしないんじゃないか?
678774ワット発電中さん:2008/07/26(土) 12:53:42 ID:F+0rKleB
x86互換を作らないのは投資しても回収できるかどうかわからないし、
リスクが高いからなのでは?M$様と手を組めれば別だがな。

おい、>>676、Cellの性能なめんなεε=ヽ( `Д´)ノ ウワァァァン
あと、プロセッサだけが半導体産業だと思うなよ
679774ワット発電中さん:2008/07/26(土) 14:10:09 ID:RrM17rwN
Cell作る資金力あるならx86互換作れたと思うのだけど。
最悪、PS3という需要があったわけだし。
680774ワット発電中さん:2008/07/26(土) 14:13:46 ID:hHv061Kt
Cellの利用目的考えたらx86にするメリットなんてなにもないが
681774ワット発電中さん:2008/07/26(土) 14:39:26 ID:/Vp7kd5U
つーか、Cellは実質IBM設計ですよ。
日本のハイエンドの代表格がCellになっているやつは
プロセッサ業界を知らない(そもそもCellはハイエンドの分類じゃない)。
日本にもSPARC64というのがありますが何か?
ハイエンドマイクロプロセッサは米国と日本しか作っているところはなく、
米国が圧倒的ってだけ。他の国に比べればまだまだ設計能力があります。
682774ワット発電中さん:2008/07/26(土) 15:42:58 ID:AafpSnk5
たまにはARMのことも思い出してあげてください。
683774ワット発電中さん:2008/07/26(土) 16:16:31 ID:cY43hIEW
> 日本にもSPARC64というのがありますが何か?

???。どこが「日本」なんだ?
ライセンス生産を「自国独自の技術」とかいうのと同じ位イタい・・・
684774ワット発電中さん:2008/07/26(土) 17:04:58 ID:ihqdsFQq
そこでSPARCを出すのも、ライセンス生産と言うのもどうかと思うがな。
685774ワット発電中さん:2008/07/26(土) 17:16:06 ID:RrM17rwN
i4004だとかz80は嶋さんによる設計であったこと考えると
今の世代は情けないと思うのは素人視点?
686774ワット発電中さん:2008/07/26(土) 18:37:41 ID:ihqdsFQq
うん。
687774ワット発電中さん:2008/07/26(土) 18:53:46 ID:1VNR/OZi
まぁ86互換CPUを作るノウハウは確実にIntelに敵わないだろうな。
だがそれだけで、CPU部門オワタするのは早すぎる
688774ワット発電中さん:2008/07/26(土) 20:29:12 ID:EHtausdQ
>>685
いま新たな(なんの特徴も無い汎用の)CPUを大枚はたいて開発して、どうやって回収するの?
PS3用のみたいに、マーケットが計算できて、用途から目標とする方向と性能が決まれば、
開発もできるだろうけど。
Z80とかの時代は、そもそもCPU自体が流行りものだったわけだし。
689774ワット発電中さん:2008/07/26(土) 22:02:01 ID:vFmxIrHm
アクアラインは需要もなければ回収も出来ない。それでも作った。
690774ワット発電中さん:2008/07/26(土) 22:05:28 ID:sbKaqNQU
そりゃ一部の懐が暖まるからな
691774ワット発電中さん:2008/07/26(土) 22:44:21 ID:/Xmh07/b
売れないCPU作っても、ただでさえ寒い日本の半導体メーカーの懐がさらに冷えるからなぁ
装置メーカーは別にインテル様ががんばってくれてればみたいな状態だし。
692774ワット発電中さん:2008/07/26(土) 23:07:28 ID:j1TwxyoI
つまり、x86互換CPU開発を国家プロジェクトで、ということですね。
693774ワット発電中さん:2008/07/27(日) 00:02:27 ID:wmEDcGWo
互換って時点で本物には勝てない。
694774ワット発電中さん:2008/07/27(日) 00:05:33 ID:7L1Nue52
>>692
> 国家プロジェクトで、

それ死亡フラグ(w
695774ワット発電中さん:2008/07/27(日) 00:31:47 ID:dJq/Mp5O
互換うんぬんではなく商売のやり方をかえないとね
696774ワット発電中さん:2008/07/27(日) 02:02:18 ID:+TmGI8yy
例えばクロックは1GHz程度だけど省電力でWinXPが実用的に動きます
ってな国産x86CPUってのは作れないの?
697774ワット発電中さん:2008/07/27(日) 02:10:12 ID:LKQrbK5T
ぶっちゃけスレ違い
698774ワット発電中さん:2008/07/27(日) 02:36:50 ID:IMt9v2Gh
やべぇ、このスレレベルが低い…。
>>684
富士通のSPARC知らないのか?
汎用のハイエンドでは唯一日本の設計のものだよ。
もちろん命令セット何かなんて今の時代あまり重要じゃないんで。
アウトオブオーダー実行をまともに備えたやつで米国以外のがあれば
あげてみろよと。
PPCベースでIBMが実質核の部分を設計してるだけのCellとは
もちろん違います。
他にもベクトルプロセッサなどは国産のものが多いが。
何しろ外国というと米国しか比較対象がない
病人に何をいっても駄目レッテル張られちゃいますが。
699774ワット発電中さん:2008/07/27(日) 02:58:37 ID:VIqPThYx
今時SPARCって(笑)
700774ワット発電中さん:2008/07/27(日) 04:00:11 ID:wRvNUEL6
へ?SPARCってSUNじゃないの?
あと、Cellも命令セットがPower互換ってだけで別にPPCベースではないんでないかい?
701774ワット発電中さん:2008/07/27(日) 04:04:45 ID:dJq/Mp5O
>>700
少しは自分で調べてから来い。
無知すぎる。
702774ワット発電中さん:2008/07/27(日) 04:55:55 ID:IMt9v2Gh
>>699
命令セットにこだわるやつが多いが、技術屋なら流行よりも中身で技術力を判断してほしいわ。
マルチコアとハードウエアマルチスレッドでアウトオブオーダー。
このクラスのCPUを実際に(日本で)設計できてるってところが意味があるの。
命令セットはそもそも今は技術的にはあまり重要じゃないのよ。
x86もPPCも別に命令セットの設計が優れているから生き残ったって
わけじゃないからさぁ。
703774ワット発電中さん:2008/07/27(日) 08:54:53 ID:irxTeV1D
>>676
おまえマジでアホやろ
x86ベースのデスクトップマシン用チップ販売数なんてもんはCPU全体から見れば微々たるもんってことも、
互換チップを作って訴訟に持ち込まれビジネスチャンスを失ったNECの過去の事例も一切しらんのかアホ
704774ワット発電中さん:2008/07/27(日) 09:04:22 ID:irxTeV1D
>>701
お前が無知すぎんだよ。
SPARCはもともとSunのアーキテクチャ
富士通はライセンス供与を受けたに過ぎない
自前のアーキ作ってビジネスに載せるだけの
技術力も政治力も富士通なんかにはみじんもない。
705774ワット発電中さん:2008/07/27(日) 10:31:10 ID:dJq/Mp5O
>>704
SPARCアーキテクチャとSPARC64の設計をごっちゃにしてんのか?
話の流れから1GHz Over, 日本を前提で、元がどこかなんか話してないんだが
706774ワット発電中さん:2008/07/27(日) 10:40:10 ID:krtZPu9M
707774ワット発電中さん:2008/07/27(日) 11:21:42 ID:VIqPThYx
SPARC64 by 富士通
っていうのは聞いたことがないし、性能が優れているのかもしれないが、
少なくとも俺は SPARC64 が乗ったサーバ、ワークステーションを見たことがない。
今時 Sun 製サーバだって Opteron が乗ってるしな。

そもそもSPARCという命令セット自体絶滅寸前だろ。。。
昔はCADツールとかはSPARCじゃないと動かなかったから渋々Solarisとか動かしてたけど、
今はどれもx86に対応しているし、何しろx86互換の方がシミュレーションが早いんだよな。

そう考えると、命令セットなんて真性RISCでない限りどうせμOPに変換されるわけだし、
x86の命令セットでCPUを作ろうと思うことは自然な流れのような気がするな。
(対抗馬のARM命令セットはライセンス上使えなかった気が)
多分ノウハウが違いすぎてx86互換を作っても性能面で勝てないんだろうな・・・
708774ワット発電中さん:2008/07/27(日) 11:34:26 ID:/pUYvePv
こんどは命令セットの話かwww
嫌日厨必死だなwwwww
709774ワット発電中さん:2008/07/27(日) 11:56:30 ID:5hzbI8w4
>>702
ていうかIntelはバージョンアップのたびにx86がうんこだからデコーダが一番発熱してるとか嘆いてるな
710774ワット発電中さん:2008/07/27(日) 12:00:45 ID:+TmGI8yy
つまり日本人は、x86互換でIntelより高速なCPUは作れないんですね。
分かります。

711774ワット発電中さん:2008/07/27(日) 14:46:13 ID:zjKLAkmV
>>707
富士通、2008年登場の「Sparc64 VI+」チップは4コア、2.7GHz動作に
http://japan.zdnet.com/news/hardware/story/0,2000056184,20089732,00.htm

富士通はもろ日本で開発してるよ。製造も日本。
これは米国以外では唯一の汎用ハイエンドマイクロプロセッサ。
ItaniumとPOWER4の狭間で一瞬だが、SPEC CPUで世界最速になったこともある。
ちなみにSPEC CPUのrate(4 socket)の方だと現在最速は、意外にもSunのNiagara 2です。
x86やPOWER6にも勝っている。まあ、所詮rateだからな。
イメージでものを判断しないで欲しい。命令セットは所詮命令セット。
712774ワット発電中さん:2008/07/27(日) 14:52:17 ID:zjKLAkmV
記事が古かったか。
富士通と米Sun、クアッドコアSPARC64 VII搭載で性能を1.8倍向上させたの「SPARC Enterprise」
http://digitallife.jp.msn.com/article/article.aspx/genreid=104/articleid=316421/
http://img.jp.fujitsu.com/downloads/jp/jhpc/sparc64vii-wpj.pdf

rateでは実は世界最速は現在SPARC。

・SPECfp_rate2006(result/base)
UltraSPARC T2+ 1.4GHz 8core×2CPU  119.0 111.0
Power6 4.7GHz 4core×2CPU        116.0  98.8
Opteron2360SE 2.5Ghz 4core×2CPU    90.1  82.4
Xeon X5482 3.2GHz 4core×2CPU      88.7  78.8
Itanium2 9140M 1.66GHz 2core×2CPU   55.8  54.5

・SPECint_rate2006(result/base)
UltraSPARC T2+ 1.4GHz 8core×2CPU  157.0 142.0
Xeon X5482 3.2GHz 4core×2CPU     147.0 121.0
Power6 4.7GHz 4core×2CPU        122.0 108.0
Opteron2360SE 2.5Ghz 4core×2CPU   106.0  92.4
Itanium2 9140M 1.66GHz 2core×2CPU   62.8  58
713774ワット発電中さん:2008/07/27(日) 15:02:30 ID:LKQrbK5T
>>710
君のようなのはビジνですらフルボッコだから。
714774ワット発電中さん:2008/07/27(日) 15:17:30 ID:zjKLAkmV
>>704
VHDLのスレなのにSPARCは現在オープンアーキだってしらないのか??
富士通が特別ライセンスをうけてるわけじゃないから。
フリーのソースだってあるし。
日本で自前のアーキっていうだけならベクトル方面では色々ある。
汎用プロセッサで自前のアーキをやらないのは
ハードでいくらがんばってもソフトインフラがそろえられる技術力が日本にはないからだが。
715774ワット発電中さん:2008/07/27(日) 15:24:09 ID:zjKLAkmV
よく日本の半導体はメモリでがんばっていたが、その後メモリ価格は大暴落し、
マイクロプロセッサで成功した米国が躍進した。
日本もマイクロプロセッサをやっていればよかった。
日本にはマイクロプロセッサをやる頭がない。

みたいな話を読んだりするが、単なる結果論だし的はずれだよな。
米国では確かにRISCなどが出てきたが、そんなんよりも
HPCにしろPCにしろ、コンピュータの世界で
ソフト技術とのミックスに圧倒されたってのが遙かにでかいから。
716774ワット発電中さん:2008/07/27(日) 15:54:06 ID:AG1k2NaW
まぁ、PC分野では日本は負けてるし、今後も勝つのは難しいだろうね

そういえば、世界最速スパコンを神戸に作るって話はどうなったんだろ?
あれ富士通も絡んでなかったっけ?SPARC64で作るんだろうかね?
717774ワット発電中さん:2008/07/27(日) 15:56:28 ID:LKQrbK5T
せっかくだからOpenSparcのコードについて騙ろうぜ
718774ワット発電中さん:2008/07/27(日) 18:28:41 ID:+TmGI8yy
http://techon.nikkeibp.co.jp/article/NEWS/20080228/148159/
中国政府教育部,LSI教育のために米Sun Microsystems社の
「OpenSPARC」技術を採用
719774ワット発電中さん:2008/07/27(日) 19:14:33 ID:bkC+WnFa
>>673
ソニーって仕様書否定派大手の双璧の一つだと思うけど。
もう一つはNEC。
720774ワット発電中さん:2008/07/27(日) 23:55:40 ID:irxTeV1D
>>714
アホはお前
Sparcがいったいどこで開発されたかが重要で、
商売として成り立たなくなったからこそオープンにした。
それが富士通自前ってアホかお前は。
721774ワット発電中さん:2008/07/27(日) 23:58:47 ID:irxTeV1D
Sparcが富士通ってSS-1あたりを全く知らんガキの世代だろ。
こういうアホはXあたりも日本製と言い出すんだろな。
Sparcに関しても富士通なんて所詮賛助会員だったに過ぎん
722774ワット発電中さん:2008/07/28(月) 00:10:17 ID:WsmawKS3
おまえはGMICROでも作ってろと小一時間(ry
723774ワット発電中さん:2008/07/28(月) 00:16:42 ID:E8hv/Yo9
>>714
>富士通が特別ライセンスをうけてるわけじゃないから。

何を言い出すかと思えば、オープンになる前Sparc インターナショナルの設立メンバーだっただろうが。
富士通なんて、砂糖に群がった蟻にすぎんのだよ。Sparcアーキテクチャのいったいどこに富士通オリジナルがあるんだい。
単に製造請け負っただけ。んな日本企業の基本スタンスも理解できないお前は技術屋1年目か?
724774ワット発電中さん:2008/07/28(月) 00:49:52 ID:0YYUDZzM
と、アーキテクチャと実装と製造の違いの理解出来ないじいさんが言っております。
725774ワット発電中さん:2008/07/28(月) 01:17:09 ID:/YVas1Cm
>>714
だから命令セットはあまり重要でないっていってるじゃんw
命令セットといってもわからないんだろうけどw
726774ワット発電中さん:2008/07/28(月) 01:18:48 ID:WNvvv8La
>>720,721,723
誰もSPARCを富士通が作ったなんか言ってない
SPARCの命令セットで富士通が64ビットプロセッサ作ったって話だろ
まずは日本語を一から勉強して欲しい

でも、富士通は糞だと思うwww
727774ワット発電中さん:2008/07/28(月) 01:19:00 ID:/YVas1Cm
703 :774ワット発電中さん [] :2008/07/27(日) 08:54:53 ID:irxTeV1D
>>676
おまえマジでアホやろ
x86ベースのデスクトップマシン用チップ販売数なんてもんはCPU全体から見れば微々たるもんってことも、
互換チップを作って訴訟に持ち込まれビジネスチャンスを失ったNECの過去の事例も一切しらんのかアホ

704 :774ワット発電中さん [↓] :2008/07/27(日) 09:04:22 ID:irxTeV1D
>>701
お前が無知すぎんだよ。
SPARCはもともとSunのアーキテクチャ
富士通はライセンス供与を受けたに過ぎない
自前のアーキ作ってビジネスに載せるだけの
技術力も政治力も富士通なんかにはみじんもない。

720 :774ワット発電中さん [↓] :2008/07/27(日) 23:55:40 ID:irxTeV1D
>>714
アホはお前
Sparcがいったいどこで開発されたかが重要で、
商売として成り立たなくなったからこそオープンにした。
それが富士通自前ってアホかお前は。


721 :774ワット発電中さん [↓] :2008/07/27(日) 23:58:47 ID:irxTeV1D
Sparcが富士通ってSS-1あたりを全く知らんガキの世代だろ。
こういうアホはXあたりも日本製と言い出すんだろな。
Sparcに関しても富士通なんて所詮賛助会員だったに過ぎん

なんだ
単なるいつもの基地外か…。
早く退院できるようにがんばってね。
728774ワット発電中さん:2008/07/28(月) 01:21:44 ID:/YVas1Cm
>>726
それも違うけど。
命令セットアーキテクチャとマイクロアーキテクチャの区別がつかない。
マイクロアーキテクチャの重要度が命令セットに対して理解されてない。
要するに古い世代が多いから富士通のSPARC64 VIIも中身がどんなものか
ドキュメントはってるのに理解できない、レベル低いんですよ。
とにかくよく知っている企業や日本の業績を叩ければ、
安心できるみたいな幼稚な人も多いし。もっと役に立つことかけよと。
729774ワット発電中さん:2008/07/28(月) 01:35:31 ID:WNvvv8La
>>728
よくわからんのだが
つまり、じいさん世代は命令セットが同じでもマイクロアーキテクチャが同じとは限らない
ということがわからんと言うことか?
ドキュメントは俺も読んでないからよくわかんね。

富士通叩いてすまんかった
しかし作っても売れないと意味ないんではないかのぉ
730774ワット発電中さん:2008/07/28(月) 01:53:23 ID:K3HdokAI
>>ID:WNvvv8La
おい。脳軟化症バカ

>>698
>富士通のSPARC知らないのか?
>汎用のハイエンドでは唯一日本の設計のものだよ。

富士通がSPARCを作ったとレスしてるものも調べずにアホかお前は
お前自身が、日本語を幼稚園レベルからやり直せ戯けが。
731774ワット発電中さん:2008/07/28(月) 01:58:18 ID:K3HdokAI
>>ID:/YVas1Cm

>>725 >>727 >>728
ヲウヲウ連投してよっぽど悔しかったんだね。学生ちゃん。
富士通に内定でももらったんかい?
総合電機は強電の方で活路を見いだせたが(今後20年は安泰)、
人海戦術のIT企業を標榜するだけで何の技術力もなく
10年後は沖あたりとともにチャンコロに合併されるんだろうから
今からムトウハップとサンポールでも用意しとけや
732774ワット発電中さん:2008/07/28(月) 01:59:56 ID:/YVas1Cm
富士通のSPARC == SPARC64
だろ普通に読んで。
SPARCの命令セットを富士通が定義したなんて書くわけないじゃん。
君はそれに近いレベルだからかみついてるだけ。
733774ワット発電中さん:2008/07/28(月) 02:00:16 ID:kaG7BpVv
どこかで見たことのある下品さだ
734774ワット発電中さん:2008/07/28(月) 02:02:57 ID:/YVas1Cm
ID:K3HdokAI == ID:irxTeV1D

中二病患者の低能くん
くやしかったら人格批難の妄想ばっかりじゃなくて
中身のある書き込みしてね
735774ワット発電中さん:2008/07/28(月) 02:05:19 ID:/YVas1Cm
ID:K3HdokAI == ID:irxTeV1D

ディジタル
ウォーニング

で粘着するこのスレ古参の基地外くんです。
シミュレーションだけで設計できる自慢の電波脳の持ち主でもあります。
736774ワット発電中さん:2008/07/28(月) 02:20:36 ID:X/g9F6PC
>>732
ハァ?自分が正確に書かずに何を人の推測を期待してるんだお前。
マジで間抜けだな。理解してほしければ正確に記述しな。
富士通は、Tiとともに旧SPARCの製造もしてた。それを富士通のSPARCと言わんのかアホ。
そういう経緯も一切斟酌せず何自分の脳内だけでわかった気になって延々書きこんでんるんだカス野郎
なーんかだーれも相手にしてないCPUアーキを延々書き込むなんざ、所詮アホ学生なんだろうけどな。
そうそう、お前何か中身のあるレスでもしたんかい?ゴミ野郎

>>732, >>734 >>735

くやしい、くやしいなぁ。連投するほどくやしいなぁ。えぇアホ学生
737774ワット発電中さん:2008/07/28(月) 02:26:14 ID:DFysTv+P
久々にスレが伸びてると思ったらまたこいつが暴れてるのか。

ディジタル君はそんなに顔真っ赤にしながら煽らなくていいよ。あとコテハン付けて。
738774ワット発電中さん:2008/07/28(月) 02:32:11 ID:/YVas1Cm
>>736
このスレをアホで検索するときみの書き込みばかりでバレバレだぞ。

とりあえず粘着デジタルくんよりCPUに関してスレ違いとはいえ
圧倒的に詳しいんだから仕方ないなあ。
デジタルくんに限らず、
Cellみたいな結局GPUだのみになってしまった事実上の失敗アーキがこのスレで肯定的に語られて
SPARC VIIみたいな地味にハイエンド設計やってるのが誰も買ってないだのと
と拒否反応(ホントに売れてなきゃこんな長々開発しつづけられるわけないのだが)
されるのが理解できないが。
あと、学生でも富士通関係者でもないんで妄想乙。業界ぜんぜん違うし。
739774ワット発電中さん:2008/07/28(月) 02:40:09 ID:X/g9F6PC
暴れてるのはコケにされた富士通社員ID:/YVas1Cmだろ。

>圧倒的に詳しいんだから仕方ないなあ。


あひゃひゃひゃ!無知の知を知らないってのが真性バカ == ID:/YVas1Cm
740774ワット発電中さん:2008/07/28(月) 02:45:31 ID:DFysTv+P
すげぇな。こいつ詭弁のガイドラインに見事に沿ってる。ここまで来ると逆に物凄い国語力なのかもしれん。

よっぽどストレスとか溜まってる派遣君なんだろうね、ネット弁慶で実に乙であります。
ここで憂さ晴らししたらまた明日からがんばって働いてね(´・ω・`)つ旦 お茶おいときます
741774ワット発電中さん:2008/07/28(月) 04:24:18 ID:WDVteabR
どんなに正しい意見でも、煽り方は50通りもあるんだって、
死んだ友達が言ってた。
742774ワット発電中さん:2008/07/28(月) 06:31:02 ID:TF7i3P1m
沖電気はロームに半導体事業部を売却することで既に合意。

ちなみに、ホンダ車は、現行シビックなど主力車種で同じ芙蓉グループ
だった沖電気製ARMマイコン(非外販品なのに、ホンダ向けのカスタムと
いうわけでもない変テコ仕様)のマイコンを採用済み。

今後は、安く買い叩いていたロームとの立場が逆転するかもな。
もっとも、ロームなんかも先が長いとは思えんけど。
743774ワット発電中さん:2008/07/28(月) 07:43:40 ID:V5CEdnBD
SS-1を全く知らんガキとかかいてる爺さんが荒らしてんのかw
お前は名前しってるだけで、CPU設計や装置設計なんかしたこと無いだろ
744774ワット発電中さん:2008/07/28(月) 08:18:33 ID:JVsmgbXo
>ID:/YVas1Cm

>CPUに関してスレ違いとはいえ
>圧倒的に詳しいんだから仕方ないなあ。

ぶるぶる寒ッ!一知半解君の自画自賛
圧倒的に詳しい知識の片鱗の在処を
上の一連のレスから是非引用して紹介してみなよ。
笑ってやるからさぁ
745774ワット発電中さん:2008/07/28(月) 21:07:32 ID:DFysTv+P
>>744
そろそろ空気嫁
746774ワット発電中さん:2008/07/28(月) 22:08:37 ID:gPF6+hOa
SCEの者ですが富士通に仕事たのんでます。
だまって石を納品してくれればいいのに、
ダンボール1箱分の仕様書が届いて大変に迷惑です。
こっちも上司の目とかあるから読まなければならないんですよ。
動けば中なんてどうでもいいから依頼してるのになあ。

747774ワット発電中さん:2008/07/28(月) 22:50:02 ID:V5CEdnBD
>>746
納品物の保存期間等があり紙だと保管場所などいろいろ面倒なので
仕様書などはCD-Rに焼いて収めてね!でいいんじゃね?
実際そういうとこあるよ
748774ワット発電中さん:2008/07/28(月) 22:50:53 ID:wQQ/F780
教えて君登場です。

Verilog で、module で output 宣言した線を
module 内で呼び出してるモジュールに入力ピンとして
入れていいんですか??
不定な値を入力する事になりません??

文法書で細かい本が無いからわかんにゃい(´;ω;`)
749774ワット発電中さん:2008/07/28(月) 23:23:16 ID:Na218iq6
>>747
そのCDRは中身が無くてもいいよ
しっかりと『納入仕様書』とラベルがあればいい
CDがあるという事に意味がある
中身は誰も見やしない
750774ワット発電中さん:2008/07/28(月) 23:59:48 ID:mNVvHMqy
>>749
たまに物好き(俺みたいなw)なのが居て見ることも有るぞ。
751774ワット発電中さん:2008/07/29(火) 00:16:43 ID:RAuC7TQN
>>750

頑張ってF痛著書ダンボール1箱分の仕様書を読んでくれ。
752774ワット発電中さん:2008/07/29(火) 00:24:19 ID:+CKwA1fa
>>748
そのためにwire構文があろうだろうが

>文法書で細かい本が無いからわかんにゃい(´;ω;`)
本の仕業にするな。



753774ワット発電中さん:2008/07/29(火) 06:47:33 ID:RAuC7TQN
担当からある日突然辞表が提出される。いつくるかは分からない。
754774ワット発電中さん:2008/07/30(水) 00:32:45 ID:YVUGkzQ4
お客に仕様書渡して、お客が仕様書を理解してしまったら次から仕事なくなるよ

次の仕事が来るということは、仕様書は客先でゴミ箱直行しているか
『5年後に廃棄』と書かれて棚の奧に封印されてるな
それか読み手がレベル低すぎか書き手がレベル低すぎか

承認印が届いても仕様書は理解されていないでしょう
理解されたら困るし、送ったと受け取ったという儀式が大事なんだよ
755774ワット発電中さん:2008/07/30(水) 01:59:52 ID:Qn8ucJPo
明日もVHDLで書かれた非同期回路をSystem-Verilogの同期回路に直す作業が始まるよ。
756774ワット発電中さん:2008/07/30(水) 16:35:27 ID:Nd3jWPV3
RTL の意味を考えると、スケマで描いた回路も RTL じゃないのかと思ふ。
まあ今となっては「ROM だってランダムアクセスできるじゃないか」というのと
同じような屁理屈だが。
757774ワット発電中さん:2008/07/30(水) 18:43:51 ID:1CEi4Bqi
スケマで書いて伝統文化を守りつづけている会社ある?
もちろん生き残ってる会社に限ります。
758774ワット発電中さん:2008/07/30(水) 21:28:36 ID:BKuUK9os
スケマってなに?
759774ワット発電中さん:2008/07/30(水) 22:02:18 ID:8DAQG16i
>>758
HDLじゃなくて回路図

>>757
あるよ。

アルテラのFPGAでそれをやったら双方向端子がうまく定義出来ないとか、
シミュレーションがうまく走らないとか、仕様変更にうまく対応できないとか、
色々問題が多かった。
760774ワット発電中さん:2008/07/30(水) 22:21:43 ID:YVUGkzQ4
そのスケマ社の社名は
ないから言えないよな
761774ワット発電中さん:2008/07/30(水) 23:03:09 ID:zHPz+UAN
アフリカの奥の方に行けばスケマで設計している会社があるかもしれない。
762774ワット発電中さん:2008/07/31(木) 21:37:59 ID:d/Zuu2xl
スケマで設定してるなら、電卓の代わりにソロバンかな。
職人になると、掛算や割り算もできるらしいぜ。
763774ワット発電中さん:2008/07/31(木) 21:57:40 ID:XH8fgFy7
>>761
その会社は都営三田線沿線にある。
が、それ以上は秘密だ。
764774ワット発電中さん:2008/08/01(金) 09:42:20 ID:/ycX5twC
スケマ・・・

ヴィジュアルVHDLとかいうソフトがスケマだと言うなら・・・


某大手さんからとどいたVHDLがそれで作られていて
「非同期リセットを使ってください、初期値はやめてください」といったら

「変更に3週間かかるので出来ればこのままでお願いします」
765774ワット発電中さん:2008/08/01(金) 10:54:50 ID:A31nk6vd
>>764

パワーオンリセットオンリー?
766774ワット発電中さん:2008/08/01(金) 12:02:10 ID:/ycX5twC
>>765
いえ、それでしたら非同期リセット付きのFFで使えるんですが・・・

クロック分周の箇所で、シミュレーション用の初期値を使っているんです。
回路的には(1か0なんで)問題ないとおもいますが、レイアウトでSCANチェーン張れず、

SCANチェーン対象外で終わりました。
767774ワット発電中さん:2008/08/01(金) 18:35:30 ID:pWlOpBGd
>>763
スケマで設計したらいけないと言う法律があるわけではないし
社名くらいいえるだろ、それとも客先にはRTLで作りましたといっといて
実はスケマでやってるのか、スケマで設計してる事がばれると仕事が来なくなるから
768774ワット発電中さん:2008/08/01(金) 18:37:46 ID:9knqFWIB
>>767
それで?
769774ワット発電中さん:2008/08/01(金) 18:48:13 ID:2zwtpik0
いくらあおったて、ねぇーものはねぇー、
21世紀にスケマ設計してる会社なんか、
アフリカはしらんがな。
770774ワット発電中さん:2008/08/02(土) 08:53:04 ID:BQJNpMLt
普段「やめるーやめるー」っていっている奴はやめないが
ぼろくそ言われても平気な顔してる奴は、
デジタル的で急にやめるよ。HかLしかないみいたい。

771774ワット発電中さん:2008/08/02(土) 08:59:52 ID:oBt3xGfw
>>769
最上位レベルのブロック図的に眺めればいい部分は今でもスケマ使うがな。
何間抜けな戯言延々ほざいてんの
772774ワット発電中さん:2008/08/02(土) 09:23:39 ID:WL5Gvj/G
>>770
HかLしかないのは誰でも一緒じゃないのか…?
途中でアルバイトになって最後に辞めるやつとか見たこと無いが…
きっとシュミットトリガ式だったんだろ
773774ワット発電中さん:2008/08/02(土) 09:41:04 ID:HpNB4W9U
途中でアルバイトになるとか、そういう立場どうこうの話じゃなくて
止めるそぶりがあるかないかって話では。
774763:2008/08/02(土) 10:03:30 ID:U0dE6Ds4
>>767
中小の客先企業なのでちょっと社名は勘弁を…
ちなみに、その会社では、Quartus-IIに付属している
スケマ設計ツールをメインに使っているらしい。
775774ワット発電中さん:2008/08/02(土) 11:42:58 ID:uUOjss59
>>774
仕変とか設計変更とかの対応どうしてるのか激しく気になる。
あと受注してる回路規模も。本格的なのならある意味神業。
776774ワット発電中さん:2008/08/02(土) 13:30:58 ID:7hCeaEnd
7月の終わりに入ってきた24の若者にVerilogを教えているんですが

思ったのは、はじめはスケマで設計して
「分周回路はたいへんだろぉー!、でもHDLを利用すればほら!」

って事をした方が取っ掛かりがいいのかなと・・・大変か
777774ワット発電中さん:2008/08/02(土) 14:38:28 ID:6KT51giK
分周回路ってHDLで書けるの?
778774ワット発電中さん:2008/08/02(土) 14:50:00 ID:1aEwRVK1
>>777
reg clk100m, clk50m;
always@(posedge clk100m) clk50m<=~clk50m;
779774:2008/08/02(土) 17:18:34 ID:U0dE6Ds4
>>775
元請けから仕様変更が入った時に呼ばれて行ったが、
回路図データを再編集してコンパイルし直すということをやった。

で、アイテムはプラント設備にあるような、
操作盤やモニタの信号を中継したり単発パルスに変換したり
するものなので、信号数は多いものの、ロジックとしては単純。

どう考えてもHDLが最適なのに、何でわざわざスケマを使うんだか、
訳が分からないというのが本当の所だわ。
780774ワット発電中さん:2008/08/02(土) 21:27:36 ID:6KT51giK
>>778
そっか、分周って周波数を1/Nにすることか。N倍にすることかと思ってた
当然HDLで書けるわな
781774ワット発電中さん:2008/08/02(土) 21:29:59 ID:9K7LDoK3
>N倍にすることかと思ってた
それ、逓倍(ていばい)
782774ワット発電中さん:2008/08/02(土) 21:36:32 ID:HpNB4W9U
> >N倍にすることかと思ってた
> それ、逓倍(ていばい)

初めて知った!

783774ワット発電中さん:2008/08/03(日) 00:03:09 ID:5OUqYpsc
勉強になります。

でも、実際の回路で >>778 みたいな書き方で大丈夫なんでしょうか?
ドライブ能力とかの面での対策が必要なのでは?合成時に指定?
784774ワット発電中さん:2008/08/03(日) 06:23:30 ID:oFtICXnR
別に問題ないよ
単に、クロックがPLLやクロック入力のIOセルから出てくるか、
普通のFFから出てくるかの違いだけだし
もちろん合成時はクロック指定するし、レイアウト時にはクロックツリーを張る
(張らない場合もある)
785774ワット発電中さん:2008/08/03(日) 07:36:39 ID:Oxi8j6l2
なんかスケマである事を隠したいようだけどスケマってRTLにおとるのかな?
当社は、熟練職人が真心こめて設計した手づくりですって、堂々と言えば。
786774ワット発電中さん:2008/08/03(日) 08:02:36 ID:WXSkZGQL
RTLで記述するにしても最初か最後に設計確認用の回路図を書くから
スケマティックでも悪くないんだが配置が悪いと訳のわからない回路になるし
手を加えるたびに配置が気になる。
ところでスケマティックで書いた回路は移植性(ASIC設計、FPGA確認)があるのか。
787774ワット発電中さん:2008/08/03(日) 12:06:52 ID:quqNjXO+
んー、スタセルの範囲で書くんなら、適当にセル名変換+αレベルでできね?
HDL記述できる範囲をスケマで入れるって前提ですが


それで変換できる範囲の回路なら、スケマで書かないと思うけど


うちは手書きレベルの回路図書いてからRTLだなぁ・・・
788774ワット発電中さん:2008/08/03(日) 13:00:13 ID:MTqfA38K
なんでここ中小レベルの害虫しかいないんだ?
789774ワット発電中さん:2008/08/03(日) 13:04:54 ID:qfVsGK8k
そもそも、レベル高いやつが
こんなところにいる理由がないだろ。
790774ワット発電中さん:2008/08/03(日) 15:33:26 ID:54EolSlt
>>783
そのクロックをいろんなところで使い倒したいなら確かに低スキュー・高ドライブ能力の線を使うように指定すべきだが
俺の場合は50MhzのSpartan3E基盤のクロックをVGA出力の為に25Mhzのピクセルクロックにして使った程度だったので特に問題は起きなかった
791774ワット発電中さん:2008/08/04(月) 14:18:28 ID:It5QZVBC
おれのところはスケマとVHDLを相互に変換するソフトを作っているので、スケマが
おおいな。分かりやすい。
792774ワット発電中さん:2008/08/04(月) 16:53:52 ID:uAB9h6xK
スケマスケマって
スケマ書くより状態遷移図先に書くだろふつーは。
状態遷移図さえきちっと書いとけば、
VerilogもVHDLもちゃーんと出力してくれるツールは昔からあるやん。HDL Designer
HDL→スケマなら論理合成ツールならふつーにできるし。
793774ワット発電中さん:2008/08/04(月) 19:25:14 ID:OC+YPZ/m
>>792
っすよね、ISEにもQuartusにもついてるっすよ。

ただ、1画面に収まりきれず、ページ数が20とか30になっちゃいますが・・・


FPGAで書く時の分周(というかCLK下げる奴)は

module GEN_CLK(CLK, RST_X, GCLK);
input CLK;
input RST_X;
output GCLK;

reg [22:0] Q;

always @(posedge CLK or negedge RST_X) begin
if(!RST_X)
Q <= 23'd0;
else
Q <= Q + 23'd1;
end

assign GCLK = Q[22]; //22 ~ 0
endmodule

という感じで使ったりしてます。
794776,793:2008/08/04(月) 20:36:49 ID:OC+YPZ/m
<<793
ちなみに中盤以降は>>777さんあたりへのレスでした・・・すみません。
795774ワット発電中さん:2008/08/04(月) 21:27:27 ID:BeVnfEdy
>>793
素人質問なんだろうけど、なんでQは23ビットもいるの?
796774ワット発電中さん:2008/08/04(月) 21:52:24 ID:XhdqJhC7
>>793
どうせなら、2^n倍以外の分周の書き方を披露してくれると嬉しかった。
デューティ比を可能な限り50%に近づけた上でハードウェアコストが低い方法。
797774ワット発電中さん:2008/08/04(月) 21:52:39 ID:Rky1groD
1/23のクロック数にするっていう例なだけでしょ・・・
798774ワット発電中さん:2008/08/04(月) 22:01:59 ID:WdIWRROf
>>792
普通はVerilogが先だ。
でも仕様書先に書きましたと嘘をつくのが普通だ。
だからお前は普通。
虚名のネットだから建前なんていらないよ。
799774ワット発電中さん:2008/08/04(月) 22:09:43 ID:BeVnfEdy
>>797
23回Q <= Q + 23'd1;してもQ[22]は1にならなくね?
2の22乗回いるんじゃね?
800774ワット発電中さん:2008/08/05(火) 10:14:06 ID:UMQXYShj
>>795
Qを23乗にしているのはFPGA評価ボードの仕様で
18.432Mhzぐらいを1CLK近く落とすのに23bitくらいですかね・・・

>>796
2^n倍以外のはQの値を拾う組み合わせ回路が入るんで
値が適当でいい時は上のを使っています(言い訳ですが・・・)。

2^n倍以外の回路をdefparamの勉強がてら作ろうとは思ってます。
こんなんでいいですかねぇ

module GEN_CLK2(CLK, RST_X, GCLK)
input CLK;
input RST_X;
output GCLK;

//pls, set defparam on.
parameter P_MAXCLK = 1; //global CLK
parameter P_MAKECLK = 1; //get GCLK

parameter P_DEVIDER = P_MAXCLK / (P_MAKECLK * 2)
parameter P_DEVBIT = // ・・・だれかLOGの計算を・・・


reg [P_DEVBIT-1:0] Q;
reg GCLK;

always @(posedge CLK or negedge RST_X) begin

if(!RST_X)
Q <= P_DEVBIT'd0;
//こんなんできたっけ

else if(Q == P_DEVIDER);
Q <= P_DEVBIT'd0;

else
Q <= Q + P_DEVBIT'd1;

end
801774ワット発電中さん:2008/08/05(火) 10:18:14 ID:UMQXYShj
>>800のつづき

always @(posedge CLK or negedge RST_X) begin
if(!RST_X)
GCLK <= 1'd1;

else if(Q == P_DEVIDER)
GCLK <= !GCLK;

else
GCLK <= GCLK;

end

endmoudle


defparam U1.P_MAXCLK = 18432000;
defparam U1.P_MAKECLK = 1000;
CLK_GEN2 U1(.CLK(CLK), .RST_X(RST_X), .GCLK(GCLK));

外に行かないパラメータは小文字だったっけ,P_maxclkとか。
ああ、暇な時にやろう・・・
802774ワット発電中さん:2008/08/05(火) 12:03:02 ID:VwVupwvz
クロック分周はすなおに DLLつかえばいいじゃのい
803774ワット発電中さん:2008/08/05(火) 17:39:38 ID:UMQXYShj
>>802
ぎゃーーーーーー・・・○rz
ツールを使い切るのも良い技術者ってことすね・・・
804774ワット発電中さん:2008/08/05(火) 20:00:43 ID:UJxmAzcx
保証期間が過ぎたら製品が故障するタイマーを設計しようと思います。
805774ワット発電中さん:2008/08/05(火) 20:50:48 ID:Q19LbG4S
reg x[32:0];//x倍に分周する

wire clkin;
reg clkout,cnt[31:0];

always @(posedge clkin, negedge reset_N) begin
 if(!reset_n) begin
  cnt<=0;
  clkout<=0;
 end else if(cnt<x[32:2])begin  //xの半分まで行ってない
  cnt<=cnt+1;
  clkout<=0;
end else if(cnt>x[32:1])begin  //x超えた
  cnt<=0;
 end else begin           //xの半分超えた
  cnt<=cnt+1;
  clk_out<=1;
 end
end

…デューティ比を50%にしたかったらclkのnegedgeにまで手を伸ばすべき?
HDL暦3ヶ月の俺にはわからん。
806774ワット発電中さん:2008/08/05(火) 21:13:42 ID:gvYpKcZx
デューティ比50%にしたいなら、分周比を2Nにするべきだろう。
分周比Nのままで両エッジ使ってデューティ比50%にするには、入力クロックの
デューティ比が50%でなければならない制限が付いてしまうぞ。

それと「N倍に分周」じゃなくて「N分周」な。
807774ワット発電中さん:2008/08/06(水) 14:25:17 ID:I2KPfFB0
>>805
れっつシミュレーション♪

先ずはバグとりから始まるが・・・
808774ワット発電中さん:2008/08/06(水) 14:55:10 ID:67JC2qxa
>>804
それは S○ny の(専売)特許。
809774ワット発電中さん:2008/08/07(木) 14:52:12 ID:zB5tRpYc
デューティー50%を保ったままDLL/PLLを使わず1/3文集したいのですがどーすればいいですか?
810774ワット発電中さん:2008/08/07(木) 15:19:24 ID:qR1RiULL
クロック以外を赤く塗る
811774ワット発電中さん:2008/08/07(木) 22:03:12 ID:WcNFrTA5
保証期間が1年だから、1年と一ヶ月あとに、故障フラグがたてばいい。
お客様がお買い上げになって最初に電源を入れたときがスタートだね。
812774ワット発電中さん:2008/08/07(木) 23:18:34 ID:IdpJL9Sc
>809
HDLで文集は、できません
813774ワット発電中さん:2008/08/08(金) 00:00:39 ID:j+EdFqTt
>>811
正確には、お買い上げ成立時点で自動的にスタートして、
電源切っててもカウントし続ける必要がある。
ここが特許たる所以。
814774ワット発電中さん:2008/08/08(金) 01:14:28 ID:F4bJE0D6
他社で同じ技術を使われないように
特許は取らずに非公開です。
815774ワット発電中さん:2008/08/08(金) 07:07:57 ID:I01pWFZn
ソニーのハードディスクビデオコクーンを30万でかいました。
ある日、動かなくなった。保証書を見ると保証期間をわずかにすぎていた。
でも、ビデオがないと不便なので、しぶしぶ修理にだしました。
修理代は10万円かかりました。また、修理に対する保証書がついて来ました。
今度も保証期間がすぎてから故障。仕様どおりにだったのか。
故障すると、お金の問題より、ケーブル外してお店にもっていくの大変なんだよね。
816774ワット発電中さん:2008/08/08(金) 09:52:33 ID:5snMPYJL
>>809
>1/3文集
つまりは周波数を3倍にしたいのか?
それとも文集はガチなのか?

$display("1/3bunsyu-");
$porbe("1/3bunsyu-");
817774ワット発電中さん:2008/08/08(金) 19:54:12 ID:1NHftniP
ンニータイマー 素晴らしい技術だ。
818774ワット発電中さん:2008/08/09(土) 06:33:16 ID:kBlto+GQ
製品が故障して修理代を取ると、ンニーから特許侵害で訴えられる訳だな。
でもタイマーによる、故意の故障でなければ、いいんだよね?
819774ワット発電中さん:2008/08/09(土) 08:29:33 ID:5l9+nNMm
ソニーのは故意の故障
ありゃひどいわ。ネットがないときは、使い方がわるいんじゃないかとか、
よくわからなかったんだが、消費者間の口コミで、
品質管理に問題のあることが、ほぼ確定的になった。
今やほとんど、ものづくりの情熱もなくサムソンにべったり頼り切るありさま。
ソニーを買えばサムソンに金が回る。
820774ワット発電中さん:2008/08/09(土) 18:32:34 ID:5oH24sqR
>>819
> 品質管理に問題のあることが、ほぼ確定的になった。

なんと失礼な。絶妙な寿命設計と言ってほしいね(w

つか、普通の会社なら設計寿命はずっと長いのだろうが、
ソニーはマヂで保証期間=設計寿命という社内規約なんじゃ
なかろうか?
821774ワット発電中さん:2008/08/09(土) 23:49:55 ID:waHOAzA1
毎回加速試験して寿命チェックしてんの?
それとも試験なんかいらないノウハウがあんの?
822774ワット発電中さん:2008/08/10(日) 00:40:28 ID:decAG0rf
>>821
部品や工法ごとに寿命を計算する点数が付いている。抵抗コンデンサは1点、IC(低集積度)は100点、
ハンダ付けは1カ所0.1点とか(実際はこんな配点ではないです&納入部品ごとに評価)
だいたいそれぞれのMTBFが反映されているわけですけど。

で、このスコアが一定以下になるようにがんばって設計する。入らない時は寿命係数が良くなるようにする。
電解コンの温度が下がるように廃熱設計したり、余裕が無ければ一段上の定格の部品に替えたりなどなど。



どうもソニー製品ってどれも熱いような気がする。
823774ワット発電中さん:2008/08/10(日) 01:27:40 ID:t0Q5eVzI
>>821
するしない、というか、品質基準は
企業それぞれでは自由じゃない?
ユーザは買う買わない自由があるんだし。
824774ワット発電中さん:2008/08/10(日) 09:15:55 ID:2UuafFuh
電気設計もそうだが、もっとひどいのはケミカル製品
昔、テープデッキ用の青と赤のクリーナー溶液があったんだが、
ピンチローラにつかうと、ゴムの表面が化学変化おこしてテープを巻きこむようになった。
カセットデッキ時代にもDAT時代にも経験してサービスに文句言って無償で修理させてやった。
他にも、購入してわずか3か月で異常なドロップアウトを発症するようになったβビデオテープとか、
異常なヘッド汚れをおこすDV用テープとかその他もいっぱい。
ソニー本体に文句いってもサービスに押し付けようとするし、問題は設計そのものというより会社の姿勢だ。
結局ここは今も昔も意匠デザインしか見るべきものはないメーカだったことがはっきりした。
今はどの製品も完全にパナに負けてるし、セット製品としてはソニーを購入することは今後ありえないと思う。
スキャナのイメージセンサやリチウム電池で未だにソニーが使われてることは残念だが。。。
825774ワット発電中さん:2008/08/10(日) 18:21:22 ID:vPTYEtON
おいおいスレチもいい加減にしろよ
なんで単なるカウンタが製品寿命の話になってんだよ
どんっだけ色眼鏡なんだよ
826774ワット発電中さん:2008/08/10(日) 20:34:30 ID:MvS2iaiP
色眼鏡じゃなくてくソニーの品質管理がなってないのは有名だろ
ソニータイマーとかいうけど諸外国の保証期間ってどのくらいなんだろ?
827774ワット発電中さん:2008/08/10(日) 21:00:53 ID:DdIVvjBZ
うちの製品は1年保証ですが、10年もつのか100年もつのか誰もよく分かっていません
828774ワット発電中さん:2008/08/10(日) 21:17:05 ID:MvS2iaiP
松下部品は社外秘の社内ランク持ってるよな
文句言わないと公称スペック満足してない製品を平気で持ってくる
この品質管理は見事だと思った
829774ワット発電中さん:2008/08/10(日) 21:22:58 ID:nuio+WVc
809が74TTLのJKなら1/3分周できるのになあと思っているに1票
830774ワット発電中さん:2008/08/11(月) 17:54:54 ID:BDU/JnfQ
暇だからVHDLの勉強でもしなおそうかなぁ。


ieee...やっぱやめよ


831774ワット発電中さん:2008/08/11(月) 18:06:29 ID:FzD3pBCK
>809
clockのposedgeとnegedgeでそれぞれ3進カウンタ作って、
その出力をよっく見ているとなにやら見えてくるはず。
グリッジに気をつけてね。
832774ワット発電中さん:2008/08/11(月) 19:07:05 ID:VH/0Z3zB
ンニータイマーの真の目的は修理代稼ぐことではなくて
新品に買い換えさせる事だよ。
833774ワット発電中さん:2008/08/11(月) 19:43:14 ID:yXl2Cc2X
>>831
ASICでは、SCANがらみで禁じ手だろうな
834774ワット発電中さん:2008/08/11(月) 20:32:59 ID:gZsnGU0i
>>830
今や死に言語のVHDLやりなおすぐらいなら
SystemVerilogでもやったほうがよっぽどいい
835774ワット発電中さん:2008/08/11(月) 21:58:09 ID:BKBwM2GS
ASIC向けフリーの論理合成ツールって何かありますか?

教えてエロい人
836774ワット発電中さん:2008/08/12(火) 10:27:05 ID:JJm2SUVy
そもそもフリーの論理合成ツールなんかあるんか?
837774ワット発電中さん:2008/08/12(火) 12:05:42 ID:QHHcIEpi
そもそも ASIC やるのにフリーなんてあり?

FPGA 用のフリーツールには興味あり。
教えろエロい人。
838774ワット発電中さん:2008/08/12(火) 12:53:46 ID:y6fCuCHb
ASIC作ってくれるところなり
FPGA作ってるところなりから提供されてるのを使えば良いだけでは
839835:2008/08/12(火) 13:25:34 ID:Ve+2BJyp
>>838
いえ、うちは某エロSIC会社なんですが、
プロジェクトにツール課金されるんで
ちょこっとお試し合成に使いたいんです。

エロPGA向けならIcarus Verilogとかあるようなんですが、
840774ワット発電中さん:2008/08/12(火) 13:59:41 ID:JJm2SUVy
>>839

Icarus?シミュレータだろ
それ以外の展開なんかあんのか?
FPGAでもフリーの論理合成なんてチップメーカがだれかわからん相手に
チップ情報の公開なんてするとは到底思えないんだがな?
841774ワット発電中さん:2008/08/12(火) 17:44:21 ID:NFEkSBsf
そろそろ釣り宣言が来る頃だろ
842774ワット発電中さん:2008/08/12(火) 18:47:50 ID:b0zOvR5I
Icarusだと、Xilinx用のネットリストが生成できるらしい。
843774ワット発電中さん:2008/08/12(火) 19:53:41 ID:/WQAqHH6
3流商社@東エレの分際で、1流メーカ@ソニーに意見するとは片腹痛いぜ。
844774ワット発電中さん:2008/08/12(火) 23:00:41 ID:uuqwYyJs
ASIC用のツールでXILINXできたとしても
FPGAのツールにしかならんだろ
フリーのASICなど遠くて及ばない
845774ワット発電中さん:2008/08/12(火) 23:40:03 ID:z3xXgBzO
流れぶったぎってすみません。

どなたかVerilog-Perlのコールバック関数の
使い方をご存じの方教えていただけませんでしょうか。

例えば Verilog::Preprocの
$self->comment("hogehoge")を使って、
コメント文が来た時に何か処理をしたい、
とかです。

どこに$self->...を書けばいいのか判りません・・・

「コールバック関数」は割り込みハンドラの
ようなものと思っていますが、そこから間違って
ますでしょうか。

846774ワット発電中さん:2008/08/13(水) 07:19:35 ID:7Rj42e5r
このスレを見ている人はこんなスレも見ています。(ver 0.20)
東京エレクトロン CN事業をTEDに継承Ver 3.0 [機械・工学]
現SCEの会 Part48 [ソニー]
東京エレクトロンデバイスのLAN技術 [通信技術]

↑↑↑↑↑↑ アンチスレにリンクして援軍よびますた
東京エレクトロンとソニーの子会社代理対決だね。
847774ワット発電中さん:2008/08/13(水) 12:22:03 ID:HYuw1Czl
>>845
Verilog-Perl ってナニ?
848774ワット発電中さん:2008/08/13(水) 15:24:51 ID:t5iqGCV1
東京エレクトロンとソニーって仲わるいの?
849774ワット発電中さん:2008/08/13(水) 21:18:28 ID:4V2r/t/5
東エレはいつもンニーに苛められてるから腹いせだろ。
850774ワット発電中さん:2008/08/13(水) 23:23:39 ID:pmsLrb+S
851774ワット発電中さん:2008/08/15(金) 02:05:07 ID:GOFdZzzU
東エレなんかに仕事させて大丈夫か?
富士通に頼んだほうがいいよ。
852774ワット発電中さん:2008/08/16(土) 02:12:14 ID:pV0zbemm
SCEのLSI設計技術は日本一
853774ワット発電中さん:2008/08/16(土) 05:54:26 ID:ZyAfaBT5
利益重視のソニータイマーインサイドLSIですか。
854774ワット発電中さん:2008/08/16(土) 16:22:34 ID:AgSMGaHd
ンニー製品からンニータイマーを外すにはどうしたらいいんだ。
どこかLSIの足を上げるとか
855774ワット発電中さん:2008/08/16(土) 21:58:15 ID:caZGsdIJ
>>852
初耳だわ。
856774ワット発電中さん:2008/08/17(日) 12:08:17 ID:MUvUVNqJ
input CLK8;
output OUT_CLK;
reg [2:0] CNT;
reg OUT_CLK;

always @ (posedge CLK8)
begin
if(CNT)
CNT <= CNT - 1;
else
CNT <= 7;
end

always @ (posedge CLK8);
begin
if(CNT==0)
OUT_CLK <= 0;
else if(CNT==4)
OUT_CLK <= 1;
end

OUT_CLKの代入のとこでエラー出るんだけどなんで?
教えてエロイ人
857774ワット発電中さん:2008/08/17(日) 13:25:13 ID:l8Te1WU+
>>856
CNT が 0、4 以外のときの OUT_CLK の状態が不明だからじゃね?
858774ワット発電中さん:2008/08/17(日) 13:33:29 ID:nqnPZJea
always @ (posedge CLK8);
↑セミコロンが余分
859774ワット発電中さん:2008/08/17(日) 15:04:25 ID:pLwk6RJG
>>856
input CLK8;
output reg OUT_CLK;
reg [2:0] CNT;

always @ (posedge CLK8)begin
if(CNT == 0)
OUT_CLK <= 0;
else if(CNT)
CNT <= CNT - 1;
else if(CNT==4)
OUT_CLK <= 1;
else
CNT <= 7;
end

まとめるとこんな感じだけど、

else if(CNT)

この書き方はどうかと思う
860774ワット発電中さん:2008/08/17(日) 16:02:25 ID:ayBG5qY/
えー?
861774ワット発電中さん:2008/08/17(日) 19:02:29 ID:nTbQ3Z4W
>856
答えは858さんが書いているので省略。
ただシミュレーションするとCNTがXで動作確認できないはずだけど
いいのかな。
初期化しないのはこれぐらいの回路では頭の中で読めるのでいいけれど
もっと複雑な回路ではそうはいかないよ。
>859
その回路では同じようには動かないよ。
862774ワット発電中さん:2008/08/17(日) 21:14:36 ID:rS1oUaOU
input CLK8;
output OUT_CLK;

reg [2:0]cnt;
wire OUT_CLK;

assign OUT_CLK = cnt[2];

always @(posedge CLK8)begin
cnt <= cnt + 1;
end


初期化とか省いてるけどこゆのでいいんじゃないの?
863774ワット発電中さん:2008/08/18(月) 01:13:27 ID:YXcPnPhf
862の解答が見事な解だと思う。
>>859
多分それは動かない。
always @ (posedge CLK8)begin
if(CNT>4 && CNT>0)
OUT_CLK <= 0;
CNT <= CNT - 1;
else if(CNT > 0)
OUT_CLK <= 1;
CNT <= CNT - 1;
else
OUT_CLK <= 7;
end
こんな感じ?

個人的には
reg CLK4,CLK2,CLK1;
always @(posedge CLK8) CLK4=~CLK4;
always @(posedge CLK4) CLK2=~CLK2;
always @(posedge CLK2) CLK1=~CLK1;
こんな風にしちゃうとどうなるんだろう、と気になる。
教えてエロい人
864774ワット発電中さん:2008/08/18(月) 07:04:17 ID:zQuMwEeF
↑普通にリップルキャリカウンタ
865774ワット発電中さん:2008/08/18(月) 09:55:08 ID:FaTBdL15
そういえば
小さい規模だと、各レジスタ毎にalwaysで区切ったほうが親切なんですかねぇ
866774ワット発電中さん:2008/08/18(月) 12:02:13 ID:eV8f1nWJ
>松下部品は社外秘の社内ランク持ってるよな

あははは
867774ワット発電中さん:2008/08/18(月) 14:45:34 ID:1VJivPnB
>>865
むしろ大規模の方がalways区切らないと訳がわからないと思うが
868774ワット発電中さん:2008/08/18(月) 19:39:38 ID:4rMsHaoj
>>866
一酸化炭素を噴出すストーブのランクは?
869774ワット発電中さん:2008/08/19(火) 02:34:41 ID:5h9aPwQ+
見やすいようにalwaysで細かくブロックを区切る場合と
ベターっと全部一つのalwaysにしてしまう場合で論理合成のどの部分が違うわけ?
870774ワット発電中さん:2008/08/19(火) 08:21:09 ID:3RpDPAK0
小さい順序回路をたくさん作ろうとするか、
巨大な順序回路を1個作ろうとするか、じゃない?
871774ワット発電中さん:2008/08/19(火) 13:51:01 ID:xWXK0mW9
どなたか教えて欲しいんですけど、ISEで

assign BUS_B[15:0] = BUS_A[0:15];

でエラーが出るんだけど、どこかの設定で回避できないかな?
1ビットずつ繋げば、一応動くんだけど。
872774ワット発電中さん:2008/08/19(火) 16:32:43 ID:9R+QOxCZ
じゃあ1ビットずつ繋げばいいじゃない
873774ワット発電中さん:2008/08/19(火) 19:20:16 ID:WmNZkrqu
>>870
そうなんか?
論理合成するのにいったん全always文を解析して、全信号のスケジューリングするんじゃないの?
ソフトウェアコンパイラだと関数はアセンブラのサブルーチンにほぼ1対1対応するけど、
論理合成の場合alwaysってのはあくまで人間側からみた一つのブロックで、
それに対応してハードウェアモジュールが構成されるものじゃないと思ってるんだが違うのか?
874774ワット発電中さん:2008/08/19(火) 19:55:10 ID:xWXK0mW9
>>872
それはそうなんだけど、16ビットの反転が6個で、
相互に繋がなくちゃいけないから、見づらくてややこしくて。
何とかなりませんか?
875774ワット発電中さん:2008/08/19(火) 19:57:15 ID:MZUqZvUC
そういうモジュールなり関数なりを作ればいいのでは?
876774ワット発電中さん:2008/08/19(火) 22:09:03 ID:+Er4Evan
>>871
宣言時の MSB,LSB をビット範囲指定で入れ替える記述が許されない
ということだろう。つか俺もハマった。

wire [0:15] BUS_A;
wire [15:0] BUS_B;
の場合は
assign BUS_B[15:0] = BUS_A[0:15];
は(何やってるのか記述者がわかってれば)問題ナシ。

とりあえず
assign BUS_B[15:0] = {BUS_A[15], BUS_A[14], ... BUS_A[0]};
じゃダメ?
877871:2008/08/20(水) 09:11:50 ID:AhwOq8H2
>>875
Quartusでは特に考えなくても出来てたから、
ISEでも大丈夫だろうなと思ってて、ハマってしまいました。

>>876
参考にさせてもらいます、ありがとうございました。
878774ワット発電中さん:2008/08/20(水) 12:17:45 ID:eqXJR0rP
>>873
下手にelseなんて忘れるとラッチ入るとかの違いじゃないのかな
879774ワット発電中さん:2008/08/20(水) 17:49:42 ID:wvOOLtp6
>>873
その通り。
880774ワット発電中さん:2008/08/22(金) 21:58:55 ID:g+chUrJc
:>>786
for 文で回すのはどうよ?
881774ワット発電中さん:2008/08/23(土) 06:54:45 ID:f+NZr7dn
>>880
そういうソフト屋的発想は好きになれん
882774ワット発電中さん:2008/08/23(土) 11:48:12 ID:StxHXeuV
>>881
でもfor文ってそういう目的のためにあるんだしなぁ。

forにするか、16本の順序を逆順にする専用のモジュールを作るか。
このどっちかがスマートな書き方だと思う。
883774ワット発電中さん:2008/08/23(土) 13:37:48 ID:PcnPReO+
always @(posedge 仕事)
動かない回路は素晴らしい;
884774ワット発電中さん:2008/08/23(土) 18:21:53 ID:yuy8JgiZ
ビットの並び替えってハードの最も得意とするところだよな(笑)
ゼロクロックで出来るぜ!
885774ワット発電中さん:2008/08/23(土) 22:32:36 ID:28TO8gda
流れをぶった切って質問
verilogでCの int array[10] = { 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 }; に相当する記述ってどうすればいいの?
886774ワット発電中さん:2008/08/23(土) 23:39:43 ID:to7SY3oX
>>885
intの長さは実機依存のはずだが

reg[31:0] array[9:0];
always@ (posedge clk,negedge reset_n) begin
if(!reset_n) begin
array[0]<=1;
array[1]<=2;
arr......
end else if(clk) begin
以下略

verilogをCの兄弟みたいなもんだと思ってるのなら速やかに退散した方がいい
887774ワット発電中さん:2008/08/24(日) 01:19:04 ID:mcPDPi1H
>>886
舌足らずな質問ですまんかった
例えば転送モードがいろいろあって AC タイミングのパラメータが複数あるシミュレーションモデルを
作るとき、シンプルに書けないかなと思ったもので…
今 parameter を沢山書いて if, case や ifdef などで切り替えててちょっと見難いなと思ってるんで、
なにかよい方法があればと…
888774ワット発電中さん:2008/08/24(日) 01:43:12 ID:tN9k0yfP
>>887
定数の初期化がしたいの?
とか、連続した値なのか?
など、いまいち条件が不明ですが、
連続した値なら、
for でいいんじゃないかな。
889774ワット発電中さん:2008/08/24(日) 07:46:23 ID:ln+Ztdg7
シミュレーション用ならtask文でいいんじゃないか

シミュレーション記述

--回路最上位層
module
reg [31:0] out_array0;
reg [31:0] out_array1;
(回路本体)
endmodule

--タスク記述
task task_sample
input [31:0] in_array0;
input [31:0] in_array1;
begin
out_array0 = in_array0;
out_array1 = in_array1;
end
endtask

--シミュレーション処理
initial
begin
case(sel)
0 : task_sample( 0, 1 ); //pat a
1 : task_sample( 2, 3 ); //pat b
endcase
#100
task_sample( 4, 5 ); //pat c
end
890774ワット発電中さん:2008/08/27(水) 11:51:29 ID:yJ7UPwlh
>>887
>AC タイミングのパラメータが複数あるシミュレーションモデル
あ、これ便利そう。
うまく行く案ないですかねぇ。

最悪、シェルとかに頼るんですかね
891774ワット発電中さん:2008/08/28(木) 09:49:03 ID:ir8Qjr8Y
ところで
include "file.h";


`include "file.h"


違いってありますか?
892774ワット発電中さん:2008/08/30(土) 20:27:49 ID:F5SV3g5P
なんちゃってー仕様書 をよみなさい。
893774ワット発電中さん:2008/09/02(火) 16:40:20 ID:eru5wMvD
894名無しさん@Vim%Chalice:2008/09/03(水) 14:08:31 ID:A8OT84CH
>>892
なんちゃってー仕様書ってなんですか?
895774ワット発電中さん:2008/09/03(水) 21:06:10 ID:WUrgcx1t
質問させてください。
すみません、ちょっとうるさくなってしまうので
気が向いたときに気が向いたやつを教えていただければ嬉しいっす

@xilinxの資料を読んでたら、
  always @(posedge clk or posedge rst)
    if (rst)  hoge1 <= #1 1 'b0;
    else   hoge1 <= #1 hoge2;

という記述があったのだが、この#1は何を表しているのでしょうか。
テストベンチの時間? 実機に実装できるの?
俺が知らないまったく別の文法?


Averilogでいう
  input [MSB-1:0] hoge3;
を、VHDLで実現する方法はありますか?
ポートの宣言をbit可変にしたいです。


BVHDLにリダクション演算はあるのでしょうか。
検索してたらand_reduce(hoge4)とかあったけど、
Quartusではエラーになった。対応してないのかな


Cverilogの8'b0のように、[n]bitを0で埋めるような書き方はVHDLであります?

以上です。
896774ワット発電中さん:2008/09/03(水) 21:29:30 ID:MXojET2Y
>>895
(1) 「#遅延式」で遅延の制御が可能。テストベンチ用。
(2) 「generic文」を使ってパラメタライズ設計をします。
(3) 「use ieee.std_logic_misc.all」が抜けてるとか?
(4) 「hoge <=(others=>'0');」でOK。
897895:2008/09/03(水) 21:52:36 ID:WUrgcx1t
>>896
ありがとうございます!!!

(1)解決しました!
(2)解決しました!
(3)解決しました! ……orz コールすべきライブラリがいまいち解ってないらしいです。。

(4)フルbit埋めではなく、一部bit幅を埋めたい場合は方法ありますか?
hoge(15 downto 0) <= hoge(7 downto 0) & <残りは0埋め>;
みたいな。


(2)にすごくすごく悩んでたので本当に感謝です!

898774ワット発電中さん:2008/09/04(木) 01:21:00 ID:ATdzg/Mn
>>897
特定bit値の指定は「集合体」で可能です。1bitづつの指定になりますが。
hoge <= (7=>'1', 0=>'1', others=>'0'); -- bit7とbit0を'1', 他を'0'で埋める

「hoge <= moge & "残りを自動で0埋め";」のように、hogeとmogeのbit幅で
良きに計らって欲しいということであれば、attribute を使うのがよろしいかと。
hoge(hoge'left downto hoge'left-moge'length+1) <= moge;
hoge(hoge'left-moge'length downto 0) <= (others=>'0');
899895:2008/09/04(木) 07:04:38 ID:g9siD6F6
>>898
ありがとうございます。試してみます。
attributeは使ったことなかったです。
900774ワット発電中さん:2008/09/05(金) 15:26:58 ID:onqOhax6
Verilogでモジュール間接続に2次元配列は使用できないのでしょうか?

inout [7:0] port[7:0];

みたいな書き方をするとエラーがでます。
901774ワット発電中さん:2008/09/05(金) 17:08:43 ID:3VFks9h8
>>900
うーん・・・メモリですか?
addressとdataに分けて書いていいのなら・・・

module memori(ADDR, CE_X, WE_X, OE_X, DQ);
input [7:0] ADDR;
input CE_X;
input WE_X;
input OE_X;

inout [7:0] DQ; //毎度毎度inout見難い・・・

reg [7:0] mem [0:7];

always @(negedge WE_X) begin
  if(!CE_X)
    mem[addr] <= DQ;
end

assing DQ = (!CE_X && !OE_X)? mem[addr]: 8'hzz;
endmodule

非同期メモリ・・・こんなんでいいっけ?

メモリじゃなかったらすんませんです。
つうかADDRがinoutじゃない・・・
902774ワット発電中さん:2008/09/05(金) 18:16:58 ID:F6HWrvnr
mem[addr]
なんて書き方が出来たのか…。
903774ワット発電中さん:2008/09/05(金) 19:09:24 ID:dn5JMtlL
合成するとあっという間にメモリ不足に陥るから注意な(笑)

>>900
inout [63:0] port;
でガマンかな…
904774ワット発電中さん:2008/09/05(金) 22:08:00 ID:5Iu8XPVv
>900
System Veriogなら・・・じゃ回答になってないかな?

二次元配列になるほど口が多いモジュールだと、配置配線で死にそう
905900:2008/09/05(金) 23:33:00 ID:onqOhax6
>>903

その方法でやってみます。
単純に8ビットのI/Oポートを8個配置しただけで、
記述量を減らしたいなぁという程度なんです。
Verilogって融通効かないんですね。
906774ワット発電中さん:2008/09/05(金) 23:41:44 ID:YyQR+hro
×融通が利かない
○機転が足りない

[8*8-1]でとか色々やりようがあるだろに
907774ワット発電中さん:2008/09/09(火) 19:56:22 ID:+S7Zg/sp
FIFOの制御部を作ってるんですけど、
「EMPTYフラグが立ってるときにリードされたら不定値を返しますよ」
と謳っちゃっていいんでしょうか。
それとも一般的なFIFOはその場合の挙動が決まってるのでしょうか。。
908774ワット発電中さん:2008/09/09(火) 20:03:59 ID:FS7h/vuF
リングバッファなんで前書かれたの出ちゃいますよーしかもEMPTYフラグ消えちゃいますよーとか書いておけば良いんでね?
他にもEMPTY後も読んだらバッファぶっ壊れるからリセットしろって書いておいても良いし
909774ワット発電中さん:2008/09/09(火) 20:19:52 ID:+S7Zg/sp
>>908
ありがとうございます!
この機会にきっちり作っておきたいと思います。
910774ワット発電中さん:2008/09/09(火) 23:41:52 ID:e5JXS4f2
ん?リードしてもEMPTYは消えないんじゃない?
911774ワット発電中さん:2008/09/10(水) 00:08:06 ID:RSJV5caW
「仕様です。」
912774ワット発電中さん:2008/09/10(水) 08:13:24 ID:nIRt1r9y
しかもどこかに
EMPTY= (RDADDR==WRADDR)
FULL=EMPTY
とかあったりな
913774ワット発電中さん:2008/09/11(木) 03:03:39 ID:2KX1ce0O
教えてください。
Verilogで、僕は今まで

always @ ( posedge clock ) begin
  a <= a + 1;
  b <= b + 1;
  c <= c + 1;
  d <= d + 1;
end

と、1つのalways()の中に4つの処理を全部書いてきました。
が、今月号のデザイン上ーブの記事で、

always @ ( posedge clock ) begin
  a <= a + 1;
end

always @ ( posedge clock ) begin
  b <= b + 1;
end

always @ ( posedge clock ) begin
  c <= c + 1;
end

always @ ( posedge clock ) begin
  d <= d + 1;
end

と、それぞれの処理毎にalways()が使って書いてありました。
このような書き方もOKなのでしょうか?
以前、そのような書き方をしたら、ISEに怒られた?ような記憶があり
それ以来、1つのalways()の中に、押し込んでいます。
このような書き方もOKであれば、早速使いたいと思っています。

もし、それがOKなとき、同じmodule内なら、他のalways()内の出力を
参照することはできるのでしょうか? (出来そうな気がしますが)
こんか感じです↓
always @ ( posedge clock ) begin
  c <= d + 1;   // 他のalways()のdを参照している
end

always @ ( posedge clock ) begin
  d <= d + 1;
end
宜しく御願いします。
914774ワット発電中さん:2008/09/11(木) 03:34:47 ID:8WrbOwYO
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;>>913 1000マンゲートでも1つのalwaysに押し込む気か
     r'"ヽ   t、   \___ すこしは考えろよ、バカ。
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
915913:2008/09/11(木) 03:59:52 ID:Av12K7Vg
>>914

わからんから聞いとるんじゃ。このカス
916774ワット発電中さん:2008/09/11(木) 08:20:21 ID:IiP/5VAf
>>914の言い方はあれだが
>>915を見た瞬間答える気も失せた
一人で調べてろ
917774ワット発電中さん:2008/09/11(木) 08:48:17 ID:8ssiik5o
やってみれば、すぐ分かることだけど全然ok
逆にやってはいけないのが、同じregを複数のalwaysで書き込むこと。
918774ワット発電中さん:2008/09/11(木) 09:16:05 ID:PwPGjnQf
回路なのかテストベンチかにもよるけどな。
919774ワット発電中さん:2008/09/11(木) 10:07:18 ID:ovKbz7+1
>>916
釣られすぎ。id見ろよ。

んで、>>913 の答えは >>917 だな。
むしろ、always は reg ごとに分けて書くべき。
920774ワット発電中さん:2008/09/11(木) 10:42:33 ID:CnZlYelN
イベント単位で考えるか、個々のレジスタ操作毎にまとめるかの違いだが、
論理面から見たらイベント単位の方が見やすいよな。

複数箇所からのレジスタ設定はツールで管理出来ればいいかな。
921sage:2008/09/11(木) 12:21:30 ID:EnXqLGz5
posedgeで非同期リセットするのやめてくれぇ〜。

論理合成後絶対にNOTがはいるぅぅぅー
922774ワット発電中さん:2008/09/11(木) 13:37:12 ID:hddEFUcf
>>913です。
みなさん、ありがとうございました。

>むしろ、always は reg ごとに分けて書くべき。

>イベント単位で考えるか、個々のレジスタ操作毎にまとめるかの違いだが、
>論理面から見たらイベント単位の方が見やすいよな。

あーーーっ、なるほど。そういうことだったんですね。
激しく感激して納得しました。これはいいですね。僕もこれからそうしたいと思います。
今まで「わかりにくいなぁ」と思いながらも、全部を1つのalways()に
突っ込んでいました。他人のソースを見ると参考になりますね。
質問して良かったです。ありがとうございました。

>>916
>>915は、僕ではありませんので、宜しくお願いします。

>>917
>逆にやってはいけないのが、同じregを複数のalwaysで書き込むこと。
はい、これはハードウェアでも同じですよね。
出力同士をつなぐことになるので。

みなさん、本当にありがとうございました。


923774ワット発電中さん:2008/09/11(木) 14:15:03 ID:86pG5BXy
下がってなかったOTL

$display("ここから ここまで");
の間、改行しちゃいけんの?
924774ワット発電中さん:2008/09/12(金) 03:26:08 ID:d5VRUI7F
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;サルでも
     r'"ヽ   t、   \___ やっと理解できたか
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
925774ワット発電中さん:2008/09/12(金) 05:38:33 ID:AVUc/eN3
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;>>923 あたりまえだろうが
     r'"ヽ   t、   \___ このクズ
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
926774ワット発電中さん:2008/09/14(日) 02:14:23 ID:uO0qNaMA
>>921
今の合成ツールだったら、optimize するんじゃないの。
スクリプトの書き方でどうにでもできると思うけど。

# 一度、そのネットの buffer/inverter を全部引っぺがしてから、
# その reset のネットに対して CTS するとか。
927774ワット発電中さん:2008/09/14(日) 13:04:40 ID:wSXRGfJj
バッファよりインバータのほうが小さいしね。
928774ワット発電中さん:2008/09/16(火) 16:52:36 ID:m3qoFKRG
>>926
実を言いますと、マスク改変中でして・・・
RTLだとユニークな名前ですけど、合成後は無機質な名前に変わって見難いなー、と

バッファとかだけなら 信号名+文字列 なんですけど
マスク改変なんてそんなもんすよね・・・
929774ワット発電中さん:2008/09/17(水) 22:47:31 ID:h40mEjHB
>>921
非同期にedge表現は違和感を感じるけどおかしい?
930774ワット発電中さん:2008/09/17(水) 23:30:10 ID:fYJvzCwh
>>929
always @(posedge CLK, posedge RESET)
if (RESET == 1'b1)
これに激しく違和感覚えるだろ! 俺もだ
この記述どう捉えたら良いのかな
always @(posedge CLK)
if (CLK == 1'b1)
うーーーーん><
931774ワット発電中さん:2008/09/18(木) 00:16:25 ID:Pm9+2cfu
>>930
記述上はRESETの立ち上がりエッジ(イベント)が必要に見えるよな。
レベルセンシティブを表現する記述方法を追加すべきだよな>>verilog
932774ワット発電中さん:2008/09/18(木) 00:41:32 ID:5OXDS584
違和感ないね。
合成ツールにレジスタ推定させるためだけのものと割り切ることだ。
933774ワット発電中さん:2008/09/18(木) 03:26:45 ID:5MNVBhkr
RESETつきD-FF
always @(negedge reset or posedge A) // AはFPGAのCLKじゃないよ
begin
if (reset == 1'b0)
Q <= 1'b0;
else
Q <= D;
end
この場合,RESETはresetの立下りで開始され、
->RESET解除はresetが1になった後のAの立ち上がりで解除でOK?
934774ワット発電中さん:2008/09/18(木) 09:21:36 ID:LgLIKzrS
>>930
process (clk, rst_n)
begin
if (rst_n = '0') then
elsif (clk'event and clk = '1') then

ここらの記述力はやっぱりVHDLの方が上かな?
935774ワット発電中さん:2008/09/18(木) 15:13:08 ID:GMVZJoIX
ひょっとすると
always @(posedge CLK or RST_X) begin
if(!RST_X)
・・・・

ってすればいいかと思ったが
RST_Xがきれる時にDをセットしてしまうなぁ・・・


ところで

if(!RST_X)
がいいのか

if(RST_X == 1'b0)
がいいのか

どっち?
936774ワット発電中さん:2008/09/18(木) 21:33:09 ID:6VInXkAn
if(~RST_X) 派もいるよ
937774ワット発電中さん:2008/09/19(金) 02:38:59 ID:EJHbhVwC
>>935
会社で決まっている方。
ないなら、共通にしてあればどれでもいい。
938774ワット発電中さん:2008/09/20(土) 23:36:06 ID:Anq90vL7
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;だからどうした
     r'"ヽ   t、   \___ このクズ
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
939774ワット発電中さん:2008/09/21(日) 15:29:32 ID:4QRm5Eyp
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;だからどうした
     r'"ヽ   t、   \___ このクズ
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
940774ワット発電中さん:2008/09/21(日) 18:02:41 ID:VAM/+Tme
胸元になんでTELって書いてんの?
941774ワット発電中さん:2008/09/21(日) 19:02:36 ID:OhXd1RQz
東京エレクトロンの社員だから
942774ワット発電中さん:2008/09/21(日) 19:15:26 ID:17dU2ioR
キ リ ン って書いてあるレッテルのマネか。
943774ワット発電中さん:2008/09/21(日) 21:06:04 ID:jLtBLKN3
東京エレクトロンデバイスはTEDですよね。

東京エレクトロン? = TEL ?
東京エレクトロンという会社があるのでしょうか?

944774ワット発電中さん:2008/09/21(日) 22:07:10 ID:ihZb2+H7
なんで、東京エレクトロンなんだ、基地外>>938-939
あそこ、いまや半導体装置屋だろ
それがなぜHDLスレにいるんだなんだ
945774ワット発電中さん:2008/09/22(月) 01:18:54 ID:7cQmjlei
>>943
ある。っていうか親だ。
946774ワット発電中さん:2008/09/22(月) 14:20:27 ID:S3YpCDzS
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;俺は完璧なプロ
     r'"ヽ   t、   \___ おまえらクズはTEDでは通用しない
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
947774ワット発電中さん:2008/09/22(月) 14:24:22 ID:WnVGJrBk
>>935ですが・・・

要は
ifの条件内に==とかの分岐は書くべきなのか
assignなんかで一度生成してあげてからつっこむべきなのか
ということです(例がまずかったですね)。

カウンタなんかの条件分岐で
if(cnt == 9)
ってやるか

if(cnt9)
~
~
assign cnt9 = (cnt == 9);
てやるか、という感じです。

if(!RST_X) <- IF中、NOTにしてんじゃねーかぼけー
・・・というつっこみはないですよね。
948774ワット発電中さん:2008/09/22(月) 15:15:53 ID:7cQmjlei
>>947
だから、会社で決まっている方。
ないなら、共通にしてあればどれでもいい。
もし合成ツールで結果が違うなら、
期待する方に合わせて書けばいい。
949774ワット発電中さん:2008/09/22(月) 15:30:18 ID:vPMbWdzF
>>946 完璧プロ
>>947件は、TEDじゃどうしてる?
完璧プロなら
assign cnt9 = (cnt == 9)? XXX : YYY;
だろ
950774ワット発電中さん:2008/09/22(月) 16:35:40 ID:mR3cL7d7

デブで身体能力なし、PSでアニメ見すぎてメガネ必須
大学は全部不合格、有力所持資格無し
情報処理の専門学校卒業でも情報処理試験は不合格
マザコンでアニメお宅、ママの家ではネットワーク管理者
右折に失敗、パパの車の右側大破、
毎週の競馬ギャンブルは通算負け
唯一の取り得は仕事です。
東京エレクトロンデバイスで客先常駐やってます。
派遣元はグッドウィルのエリート特定派遣組
\________________________/
     派遣  V  ピンハネ
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
951774ワット発電中さん:2008/09/22(月) 16:38:05 ID:mR3cL7d7

デブで身体能力なし、PSでアニメ見すぎてメガネ必須
大学は全部不合格、有力所持資格無し
情報処理の専門学校卒業でも情報処理試験は不合格
マザコンでアニメお宅、ママの家ではネットワーク管理者
右折に失敗、パパの車の右側大破、
毎週の競馬ギャンブルは通算負け
唯一の取り得は仕事です。
東京エレクトロンデバイスで客先常駐やってます。
派遣元はグッドウィルのエリート特定派遣組
\________________________/
     派遣  V  ピンハネ
    /      \
   (  人__哲_)
    |ミ/  ー◎-◎-)  メガネメガネ  
   (6     (_ _) ) 
   ノ|/ ∴ ノ  3 ノ、  くさい 
 /   \_____.ノ  ヽ   
/   ,ィ -っ、        ヽ
|  / 、__ う 人  ・ ,.y  i
|    / 大きな体に     
ヽ、__ノ  小さな手足 ノ  ノ
  |      x    9  /
   |   ヽ、アニメ,ノ 彡イ
   |     (U)    | 
   ヽ、__ノ__ノヽ_
    ヽ ̄ ̄ノ^ | ̄ ̄ i
952774ワット発電中さん:2008/09/22(月) 16:41:41 ID:/2z+4C+h
また変なのが現れた…
TEDおよびそれに関係する人間全員いなくなればいいのに
953774ワット発電中さん:2008/09/22(月) 21:57:07 ID:rNqG2ggw
>>947
普通は後者。assignを使う方。
if(cnt==9)が今後一切絶対一箇所なら差はないけど、
2箇所以上なら回路シェアリングが見込める。

記述方法で悩むことが多々あるなら
STARCのデザインマニュアル買いなされ。
954774ワット発電中さん:2008/09/23(火) 00:06:52 ID:wZ28DoSg
いや、普通前者だろw
使い回すなら後者でもアリだが、たいてい合成でうまくまとめてくれる、はず
955774ワット発電中さん:2008/09/23(火) 02:24:28 ID:GtU804Yx
ステートマシン作るなら前者で
組み合わせ回路のみかステートマシン以外のFFの入力を複雑にするなら後者かな。
956774ワット発電中さん:2008/09/23(火) 07:59:07 ID:C7MF2cii
>>953
ちょくちょくこのスレで見かけるが、
STARC、STARCってHDL仕様策定に関わった人間が噛んでるならともかく、
勝手に作ったすべき集/べからず集を金科玉条のごとく勧めるおまえはバカだろ
つーか、版権でももってんのか?
957774ワット発電中さん:2008/09/23(火) 09:32:11 ID:I3bytrto
STRACのガイドって金科玉条のごとく奉るほどのもんじゃないよね
大学向け安ファブ使いたきゃ守れ程度のもんだろ?
958774ワット発電中さん:2008/09/23(火) 10:39:12 ID:eg9VqfjO
とりあえず >> 954 のように「はず。」で設計するな。そういう設計者は迷惑だ。
ちなみに俺のDC環境では比較して後者だった。

>> 956
で、いつ俺が金科玉条のごとく勧めた?
少なくとも >>947 のような人には参考にはなるだろ。
うちの社内(一流)でも参考程度にはなってる。
人のことバカいう、そんな暇あるなら、お前がガイドよりも参考になる解説してあげれば?たのむよ。

>> 957
奉るほどのものでもないが、大学向け安ファブ使いたきゃ守れ程度のもんでもない。
その辺の「はず」とかで設計している社員の妄想よりかは参考になる。
ガイドは素人が作ったものじゃなく、ノウハウが入ってるからな。


で、お前ら読んだことあるの?
959954:2008/09/23(火) 12:07:06 ID:wZ28DoSg
>>958
当然読んだことあるけど。
所詮「ガイド」程度にしか思ってませんがね。
あと、今回のルールは具体的にどのあたりに記述されてるわけ?
VHDLの2001年版があるけど、見当たらない。前にどこかで見た気もするけど。

合成環境によってまとまったりまとまらなかったりするから「はず」をつけたんだが。
環境依存だから断言できんだろ?

DC環境といわれても、面積優先か速度優先かでも結果が変わるし。
合成オプション、面積、動作周波数、ライブラリぐらい明記されてないと、
後者だったといわれても信じられない。
ちゃんとエビデンスを出さずに主張するのはずるいね。
1回しか出現してこない条件文なら結果は同じになるだろうし、
2回以上出てきても面積優先なら同じになるでしょうけどねw

あと、どうでもいいけど、>> と レス番号の間にスペース入れるのをやめてくれ。
2ch初心者丸出しだぞ。
960774ワット発電中さん:2008/09/23(火) 12:47:33 ID:eg9VqfjO
あのさ、そこまで書かないといけないわけ?
別にお前らを説得したくないし。掲示板だけで人を説得できるとも思ってない。
ただ>>947に助言しただけ。
>>954が勝手に「俺、説得されそうになってる」って思って絡んできただけでしょ?勘弁してくれ。

初版verilogガイドは手元にあるが、分厚本なので今調べる気にならない。内容古いしな。
会社に最新版PDFverがあるから気が向いたらページ番号教えてやるよ。

>2回以上出てきても面積優先なら同じになるでしょうけどねw
同じになるかどうかはしらないが、そもそも絶対に回路優先で合成されるとは限らないだろ?
だから、明記する方法をとるわけ。
俺と同じ職場じゃなかったら、信じなくてもいいよ。別に俺に害ないし。

人を否定をするのは簡単だけど、自分の意見を正当化することを言ってみたら?
なんで前者が普通なのかについて。
それで質問者に選んでもらえればいい。

わざわざ、人を否定してまでいってるんだからね。
あ、エビデンスはいらんよ。聞くだけだから。

そそ。俺は2ch初心者ですが。ブラウザでうっといのね?
961774ワット発電中さん:2008/09/23(火) 12:57:27 ID:ybXiq+vG
すごく・・・鬱陶しいです。
962954:2008/09/23(火) 13:18:23 ID:wZ28DoSg
スレ荒らしてごめん・・・
議論にならんね。
このレス書いたら名無しに戻ります。

俺の主張としては「回路効率が下がらないなら可読性の高い方の記述で」ってことだけです。

>>960も勘違いしてるけど、

> 同じになるかどうかはしらないが、そもそも絶対に回路優先で合成されるとは限らないだろ?
> だから、明記する方法をとるわけ。

明記して書いても書かなくても速度優先で合成したら結局回路共有されなくなるし、
面積優先なら共有されると思うんだがな。エビデンスないけど。
1行目は同意するが、2行目は全く同意できない。

> 人を否定をするのは簡単だけど、自分の意見を正当化することを言ってみたら?
> >>954が勝手に「俺、説得されそうになってる」って思って絡んできただけでしょ?勘弁してくれ。
> とりあえず >> 954 のように「はず。」で設計するな。そういう設計者は迷惑だ。

ぜーんぶ自分のこと言っているようにしか思えないのだがw
勝手に絡んできたのはそっちだし、否定してるだけなのもそっちだし、
「はず」で議論してるだけっていうのも今回のレスで露見しちゃいましたよねw

これはバカと言われても仕方がないね。
963774ワット発電中さん:2008/09/23(火) 14:30:04 ID:JofDLWoO
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;>>962はバカ
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
964774ワット発電中さん:2008/09/23(火) 15:00:41 ID:eg9VqfjO
後半の文章は犬の遠吠えにしか聞こえないのでナナメ読みだが。

> 勝手に絡んできたのはそっちだし、
ってのは、お前が>>954で最初に俺に絡んだのが最初だろ?ちがう?ん?
もしかして忘れてた? なら許すけど。

そもそもalways文のif文の条件内はシェアリングされない可能性があること知ってる?

そして可読性に関しても、名前の付け方をcnt_is9とか工夫すればそんな変わらんだろ。
> 「回路効率が下がらないなら可読性の高い方の記述で」
で回路効率が下がらない保障は?可読性が cnt == 9 の方が高いとなぜいえる?なぜ?
そして、この可読性の差って大きいか?

この僅かな差に対して、この↓勝手な予想で賭けてるお前は逆にスゴイねw
> 明記して書いても書かなくても速度優先で合成したら結局回路共有されなくなるし、
> 面積優先なら共有されると思うんだがな。

合成オプションで万事OKって思ってると痛い目あうぞ。
まぁ、がんばれや。
965774ワット発電中さん:2008/09/23(火) 22:52:58 ID:10+Di7+8
喧嘩したいなら実際に会って殴り合ったら?
966774ワット発電中さん:2008/09/25(木) 01:08:29 ID:9YWxDO/9
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;完璧です。
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
967774ワット発電中さん:2008/09/25(木) 02:11:29 ID:W92dg0Kk
あーだこーだ言う前に合成結果載せろよ
968774ワット発電中さん:2008/09/25(木) 21:18:19 ID:uFLE3YVs
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;「お願いします。」だろうが、このタコ
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
969774ワット発電中さん:2008/09/25(木) 21:59:23 ID:a1jlhpRg
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;「俺は基地外だからな。」
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
970774ワット発電中さん:2008/09/26(金) 12:04:21 ID:bqo7VfI1
>>947ですが・・・燃料投下?ってやつでしたか、すみません。

いろいろとありがとうございました。

流れ的には、特に決まってないのなら好きな方で・・・
ということのようですね。

シミュレーションで分かりやすい後者で行きたいと思います。
ぼちぼち
971774ワット発電中さん:2008/09/26(金) 21:55:53 ID:ni4W6G4U
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;バカにも分かるようにレベルさげてやったからな
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
972774ワット発電中さん:2008/09/26(金) 22:36:03 ID:TZKYw3sG
いいかげんAAうざいよ
おもしろいつもりなの?
973774ワット発電中さん:2008/09/26(金) 22:37:27 ID:SEn7QfIP
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;>>947はブーリアンすら理解してないド素人
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
974774ワット発電中さん:2008/09/26(金) 23:24:46 ID:+tp+WjzK
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;「>>972俺は基地外と言ったろ。」
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
975774ワット発電中さん:2008/09/27(土) 07:10:52 ID:KHHNC0sg
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;バカ相手はつかれるぜ
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
976774ワット発電中さん:2008/09/27(土) 09:21:56 ID:OfomLAme
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;TEDをなめるなよ
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
977774ワット発電中さん:2008/09/27(土) 10:11:15 ID:7YpYM1yQ
ロッテ「コアラのマーチ」から高濃度のメラミン検出

中国製の乳製品などから化学物質メラミンが検出されている問題で、
マカオ特別行政区政府衛生局は25日、マカオで販売されている
ロッテのスナック菓子「コアラのマーチ」(中国名・楽天小熊餅)から、
安全基準値の24倍に当たる1キロ当たり24ミリグラムの高濃度の
メラミンが検出されたと発表した。
http://headlines.yahoo.co.jp/hl?a=20080926-00000003-mai-int
978774ワット発電中さん:2008/09/27(土) 15:25:45 ID:0R1x+7AC
日本の電子産業が駄目になったのTEL・TED基地外みたいなのが増えたからですか?
やっぱ、FPGAはAlteraですよね
979774ワット発電中さん:2008/09/28(日) 10:50:03 ID:+JVxELtG
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;AlteraはTEDから売って頂け。
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
980774ワット発電中さん:2008/09/28(日) 23:03:54 ID:DCoVqZS9
自称自営のニートは、ここに巣食うことにしたの?
981774ワット発電中さん:2008/09/29(月) 12:33:35 ID:2hicr90H
a
982774ワット発電中さん:2008/09/29(月) 22:15:11 ID:neWjAMy3
さっさと埋め立てろ
983774ワット発電中さん:2008/09/29(月) 22:26:06 ID:h0bDoqnF
埋めるのは次スレ立ててからにしてくれ
984774ワット発電中さん:2008/09/29(月) 22:52:59 ID:awWB2Twx
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ;次スレの講師はプロの俺様
     r'"ヽ   t、   \___ !
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''
985774ワット発電中さん:2008/09/30(火) 19:39:06 ID:qPXqcHkg
自称自営のニートは、ここに巣食うことにしたの?
986774ワット発電中さん:2008/09/30(火) 21:37:06 ID:NviBMgqG
VHDLなんですが。。

入力AorBが変化したとき、Cをトグルさせたかったので、

process(A,B)begin
 if(nreset='0') then C<='0';
 else          C<= not C;
 end if;
end process;

という、書き方をしてみました。
modelsimでは、思うとおりに動きました。
でも、実機ではさっぱり動きませんでした。

実機はalteraです。RTLviewerで確認したら、まったく回路になってません。
quarutsは、センシなんとかリストにCが無い、と
warning出してますが無視して、errorは出てません。

この書き方はもう文法的にアウアウなんでしょうか?
他に十分に速いクロックを持っているので、
シフトレジスタのエッジ検出で回避してきましたがどうもすっきりしませぬ。
987774ワット発電中さん:2008/09/30(火) 22:03:47 ID:LPfUkTF1
文法的にはおk。
だからModelSimで動いてる。
988774ワット発電中さん:2008/09/30(火) 23:59:34 ID:XKMjB7eF
>>986
俺の知ってる範囲の合成ツールはセンシティビリティーリストは完全無視する。
センシティビリティーリストで動作を制御させようとしても、
RTLシミュレーションでは動作しても、合成時完全無視なのでまともな回路にはならない。
989774ワット発電中さん:2008/10/01(水) 00:03:04 ID:hfwceLYg
センシティビリティーリスト・・・・・・・
990774ワット発電中さん:2008/10/01(水) 00:55:05 ID:kQ7qUv8P
どういう回路(not動作)を望んでいるのかだね

例えば

AとBの論理和をとりPとする
Pをインバータ偶数個等で素子+配線遅延させたものをPDLYとする
PとPDLYの排他的論理和をとりPPLSとする
PPLSをDFFのクロックにいれる
DFFの出力をCとする
Cの論理反転をDFFの入力とする
DFFの非同期リセットをnresetとする

って回路を合成ツールに推定してもらうことを期待してる?
991774ワット発電中さん:2008/10/01(水) 02:10:58 ID:0u+uWBO9
うめめ
992774ワット発電中さん:2008/10/01(水) 02:11:38 ID:0u+uWBO9
産めめ
993774ワット発電中さん:2008/10/01(水) 02:13:19 ID:0u+uWBO9
埋めめ
994774ワット発電中さん:2008/10/01(水) 02:13:54 ID:0u+uWBO9
ウメメ
995774ワット発電中さん:2008/10/01(水) 02:14:30 ID:0u+uWBO9
UMEME
996774ワット発電中さん:2008/10/01(水) 02:15:23 ID:0u+uWBO9
後はまかせた!
997774ワット発電中さん:2008/10/01(水) 07:07:08 ID:49+hW3oB
親指を握りこみ、特に人差し指を強く握ってグーを作る。
その形で穴に埋めこむ。
998774ワット発電中さん:2008/10/01(水) 18:56:37 ID:wVDT9Qa+
浮動小数点の式をHDLで書けないよなあ
そこら辺をどーする
999774ワット発電中さん:2008/10/01(水) 19:59:23 ID:VlLfaY4V
Handel-Cで書いてVHDLに落とす
1000774ワット発電中さん:2008/10/01(水) 20:33:41 ID:CyB2ANa2
終了〜〜!!
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。