【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 09

このエントリーをはてなブックマークに追加
1774ワット発電中さん
雑談歓迎です。ハメを外さない範囲でご自由にどうぞ。

前スレ
08 http://science6.2ch.net/test/read.cgi/denki/1206114803/
07 http://science6.2ch.net/test/read.cgi/denki/1187612023/
06 http://science6.2ch.net/test/read.cgi/denki/1154900133/
05 http://science4.2ch.net/test/read.cgi/denki/1139847266/
04 http://science4.2ch.net/test/read.cgi/denki/1129771211/
03 http://science4.2ch.net/test/read.cgi/denki/1119984464/
02 http://science3.2ch.net/test/read.cgi/denki/1104330395/
01 http://science3.2ch.net/test/read.cgi/denki/1081231226/

長くなりすぎなので他のリンクは >>2-10 あたりで

---- 他所の掲示板の話題をここに振ってるひとへ ----
技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。
2774ワット発電中さん:2008/09/21(日) 00:41:32 ID:YVmnStjn
3774ワット発電中さん:2008/09/21(日) 00:48:37 ID:CXhR4V63
>>1

俺もちょうどスレ立ての準備してて、書き込もうと思ったら立てられてたw
>>2の中でリンクが切れてるのがあるから、それを修正・削除したのを
念のため書いとく。


◆関連リンク

ALTERAデータシート
ttp://www.altera.co.jp/literature/lit-ds.jsp
ALTERAナレッジ・データベース
ttp://www.altera.co.jp/support/kdb/kdb-index.jsp

XILINX資料
ttp://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp
XILINXアンサー データベース
ttp://www.xilinx.co.jp/support/searchtd.htm
LE数比較
ttp://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm

Lattice
ttp://www.latticesemi.co.jp/products/
Actel
ttp://www.actel.com/intl/japan/products/devices.html
QuickLogic
ttp://www.quicklogic.co.jp/cssp
ttp://www.quicklogic.com/home.asp?PageID=740&sMenuID=94&p1=94&p2=481

OpenSources
ttp://www.opencores.org/

某社の宣伝
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html
ttp://www.infohobby.jp/
ttp://www.natrium42.com/projects/jtag.php
ttp://fpgapark.com/sp3/sp3stkit.htm
ttp://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
ttp://www.altera.co.jp/products/devkits/kit-dev_platforms_partner.jsp

SDCC / Nios
ttp://sdcc.sourceforge.net/
ttp://japanese.sugawara-systems.com/opencores.htm



それにしても、>>2の「某社の宣伝」を最初に書いたヤツ・・・もしまだこのスレに
いたら、それぞれのURLが元々は何を指してたのか概要をちらっと書いてくれないか。
何を指してたのかが分からんと、リンク切れの修正が面倒なんだよ。
4774ワット発電中さん:2008/09/21(日) 01:26:48 ID:rJJcinZS
だれかMicroBlazeのスレ建てて
5774ワット発電中さん:2008/09/21(日) 02:12:46 ID:3QC23LPR
マザーにLPTポートないからと
玄人のパラレル増設PCIカード買って来たんだ。でも、
自作のXilinx パラIIIもどきJTAGを認識してくれない。orz
これ、オンボードLPT付マザーではちゃんと使えてきたんだが...
増設パラレルじゃダメポなのか?
6774ワット発電中さん:2008/09/21(日) 04:13:04 ID:XCTO69jP
というか、クロシコって時点でだめぽでしょ
7774ワット発電中さん:2008/09/21(日) 07:52:44 ID:yqGjblR3
>>6が何を言っているのか分らないが、どんな高級なLPT増設ポートだとしても
結局LPTポートの制御ってアドレス直叩きみたいなもんだから、まず無理でしょ

ソフト側にアドレス設定する項目があるなら(レジストリにあったりするかも)
それをデバイスマネージャーで確認した増設ポートのアドレス設定すればおk
ソフト側でどうにかする例:
ttp://www.3rd-sri.com/cgi-bin/ita1/sri-ita1.cgi?mode=allread&no=2305&page=0
8774ワット発電中さん:2008/09/21(日) 12:47:53 ID:mavaeBUz
・・・・
9774ワット発電中さん:2008/09/21(日) 17:18:00 ID:bOUO/TkM
>>7
普通はドライバ経由でLPTポート制御するんじゃないのか?
パラレル接続プリンタを使うためにポート増設してもレジストリ操作するのか
10774ワット発電中さん:2008/09/21(日) 19:00:23 ID:OhXd1RQz
Win98 なら大丈夫
11774ワット発電中さん:2008/09/21(日) 21:04:01 ID:jLtBLKN3
なんでパラレルポートがいるんですか?
教えてください。

デバイスに書き込むケーブルがパラレルポート制御ということでしょうか?
USBタイプのものがあるので、それを使えば良いと思うんですが。
どうでしょうか

12774ワット発電中さん:2008/09/21(日) 21:31:00 ID:2c+JhMtO
>>11
値段が全然違うし。
パラレルポートのだと工夫すれば1000円もかからないけれどUSB接続のだと
2万円ぐらいはする。
13774ワット発電中さん:2008/09/21(日) 22:38:31 ID:jLtBLKN3
>>12
わかりました。ありがとうございます。
僕も以前はdownload cable3というパラレルものを使っていましたが、
3.3V電源に対応していなかったので、USBのものを買いました。
なかなか調子がいいです。
download cable3のときは、たまにケーブル認識を忘れたりしていましたが
USBになってからは、大丈夫のようです。
ただ、フライングリード用の変換基板がクラクラで、いつ壊れるかと心配です。
14774ワット発電中さん:2008/09/21(日) 23:16:22 ID:rX10vzTU
藻毎らVerilogなりVHDLなりで書く前にC言語とかでシミュレーションしたりする?
15774ワット発電中さん:2008/09/21(日) 23:20:59 ID:9tBEwiDl
回路内容による。
16774ワット発電中さん:2008/09/21(日) 23:25:34 ID:YhwJhste
>>14
しないよ
ってか、C言語もPerlも使えないorz
17774ワット発電中さん:2008/09/21(日) 23:31:39 ID:aJZyWRIz
逆にCで書いた方が、分かりやすい回路ってどんなんだ?
世代的に古い方に入るからかもしれないが、考えるときは回路イメージが
浮かんで来るんだが。
18774ワット発電中さん:2008/09/21(日) 23:35:01 ID:TzPvUwlg
まずはアルゴリズムが正しいかどうかをCとかで確かめてからやるだろ。
19774ワット発電中さん:2008/09/21(日) 23:35:51 ID:ihZb2+H7
>>16
アルゴリズム検証とかしないのか
20774ワット発電中さん:2008/09/21(日) 23:36:26 ID:rX10vzTU
FFTとかみたいなアルゴリズムが肝なやつはアルゴリズムにバグがないかCで書いて確かめてからやってます。
バグが出たときコーディングでのミスなのかアルゴリズムのバグなのかわからないんだもん…。
2114:2008/09/21(日) 23:40:05 ID:rX10vzTU
>>20=>>14です。
22774ワット発電中さん:2008/09/21(日) 23:50:53 ID:ihZb2+H7
>>20
Matlabを使って見れ、Cより便利だぞ
2316:2008/09/22(月) 01:14:15 ID:K8xir9Vd
>>18-19
開発に、そんな余裕はないよ
下手すりゃ仕様書書きながらRTL書くレベルだよ

まあ、そこまで複雑なアルゴリズムの仕事に出会ってないからかもw
でも隣のLSI屋もテストにしかC言語やPerlは使ってないって言ってたけどね・・・

>>22
最近は、Verilog(VHDL?)の自動生成とかもできるんだっけ?
なんだかソフトウェア屋と、ツールに挟まれてる気がするよ
2414:2008/09/23(火) 02:18:27 ID:1ng0TN7g
>>22
アルゴリズムの設計段階ではMatlab似のソフトScilab使うときもあります。

>>23
>最近は、Verilog(VHDL?)の自動生成とかもできるんだっけ?
VerilogじゃないけどSimulinkからCソース自動生成するデモ見てみたら実用レベルではない気がした。
ターゲットに合わせて自動生成のルールをいろいろ定義しなくてはいけないらしくて。(当然代表的な物に対してはメーカーから提供されるんだろうけど)
そのルールを作ってる間に自分で1から書けてしまいそう。
25774ワット発電中さん:2008/09/23(火) 10:16:36 ID:EDzEtZkY
>>24
そういうツールの利点はとりあえずそこそこ走るコードを吐ける事と
MATLABで実証済みのアルゴリズムですよって事で実装したコードのテストから正しくアルゴリズムが走るかどうかというテストを大まかに省ける部分だな。
もちろん、コード化の途中でバグが、手打ちの方が…ってのはある。(安全性を求めてたとえ効率的でも変則的な方法は入れないってところもあるし。)

ちなみに、金があるならその辺のターゲット定義ファイルもサードパーティ製が買えるよ?
それこそいくらになるかわからんけど。
でもSimulinkが吐くものはあまり実用的じゃない気がするけどね。組込み系の実用コード生成オプション入りなら変わるのかな?
26774ワット発電中さん:2008/09/23(火) 12:41:07 ID:rgwZvkk6
そろそろ、xilinxのISE/EDKを9.2→10.2にアップしようかと思ってるんだけど、
何か問題とかありますか?
8→9のときに痛い目にあったので、心配してるんですが。
27774ワット発電中さん:2008/09/23(火) 13:07:01 ID:agCqEukO
もうISE10.2が出る?
9.2→10.1にした時は、プロジェクトの作り直し等でめんどくさかったです。
28774ワット発電中さん:2008/09/23(火) 13:21:13 ID:rgwZvkk6
ごめんなさい。10.1です。

やはり、そのままではプロジェクトは喰ってくれませんか。
メンドクセ..
29774ワット発電中さん:2008/09/23(火) 16:51:55 ID:pETkmlWo
ISEで合成した回路がどの容量のコンフィグROMに適応するのか
確認方法教えてください
FPGAです。
30774ワット発電中さん:2008/09/23(火) 17:04:50 ID:jm9T//rP
>>29
使うデバイスのデータシート

勘違いしてるかも知れないけど、コンフィグROMはデバイス内の使用率じゃなくて
使うデバイスで決まるよ
31774ワット発電中さん:2008/09/23(火) 18:22:19 ID:+UXHehKf
>>30
サンキュー納得しました
32774ワット発電中さん:2008/09/23(火) 21:38:29 ID:vDykEo8I
>>1 >>3 おつ
33774ワット発電中さん:2008/09/23(火) 21:56:30 ID:agCqEukO
>>28
仕様的にはプロジェクトの自動変換可能という事になっていますが、
実際に9.2のモノを食わせたところフリーズしちゃいました。

SPで修正・・・は、あんまり期待できないなぁ。
34774ワット発電中さん:2008/09/23(火) 22:22:58 ID:Gdrjpwg0
ISE WebpackのLinux用がNotFoundにorz
35774ワット発電中さん:2008/09/24(水) 22:42:33 ID:FMVujy+Y
おまえらの会社は、Altera,Xilinx両方とも使ってるのか?
で、両方使うメリットや使い分けの基準て何?
36774ワット発電中さん:2008/09/24(水) 23:08:15 ID:IpxXJAMt
萌えが必要なときはすぱるたん
37774ワット発電中さん:2008/09/24(水) 23:14:18 ID:YGDL8lxi
技術者の好きな方を使う。
38774ワット発電中さん:2008/09/24(水) 23:15:21 ID:tv22isg1
>>36
すぱるというとこれだな
ttp://www.susutawari.org/news/index.html
39774ワット発電中さん:2008/09/25(木) 00:08:19 ID:zXBQxICD
>>35
容量・ピン数・値段。
これ以外のくだらん理由として、設計担当者のツールを使うスキルが関与してるような・・・
40774ワット発電中さん:2008/09/25(木) 00:10:11 ID:oF041X2a
Altera使ってくれという仕事ならAltera。
Xilinx使ってくれという仕事ならXilinx。
41774ワット発電中さん:2008/09/25(木) 00:20:31 ID:izbX1qwK
VHDL文法についてなのですがPROCESS文中にPROCESSを入れる構造はとれるのでしょうか?
目的はメインで動いているステートマシンを特定の条件下では停止させ別のクロックからなるステートマシンを実行させたいです
一応回避策としてどちらのステートマシンを実行するか区別するためのフラグを作る方法も考えましたがサブのステートマシンが複数になる可能性があるため見易さの観点から避けたい思ってます
42774ワット発電中さん:2008/09/25(木) 02:45:40 ID:W92dg0Kk
>>41
文法はよくわからないですが、
メインのステートマシンにサブ動作中というステートを追加すればよいのでは?
サブが増えてもメインの方のステートを増やすだけで対応できる。
43774ワット発電中さん:2008/09/25(木) 17:09:05 ID:BXFYeTNO
以前トラ技についてきたMAXUで遊んでいるのですが
クロックの立下りと立上り両方のイベントを受けたいのですが
if(CLK'event and (CLK = '0' or CLK = '1')) then
とするとエラーになってしまうのですがどのようにすれば両エッジでイベントを起こせますか?
44774ワット発電中さん:2008/09/25(木) 21:56:39 ID:iAChUkl0
>>43
無理かも
クロック1、0それぞれでPROCCES作って
必要のある信号をこれたま別のprocessで処理するなど工夫が必要(とうぜんパルスは1、0のどちらか一方)

どうしても必要ならザイリンクスのCOOLrunnerを使いなされ


で合ってる?>>えらい人
45774ワット発電中さん:2008/09/25(木) 23:27:20 ID:4dEIBQN8
>>41
俺はその回避策で対応してる
process内にprocessは作れない
一度紙に自分流でいいから遷移図を書いてみなよ
じっくり考えれば自然と答えは出てくる
46774ワット発電中さん:2008/09/26(金) 03:38:52 ID:Hli7rU9o

DIPかSOPパッケージのCPLDってないだろうか。

I/Oはせいぜい10本くらいなのに、QFP64は困り過ぎる。
小さいパッケージでも 128とか256とか入ってて。
そんな都合のいい物はありません....ですかね。
47774ワット発電中さん:2008/09/26(金) 04:58:28 ID:x/8G5lg0
GALでは足りないと
48774ワット発電中さん:2008/09/26(金) 06:33:19 ID:+kqTvXCo
PLCC44で我慢して><
49774ワット発電中さん:2008/09/26(金) 11:56:35 ID:uT8TRqlQ
おれも>>46のようなことを時々思うな。
入力信号を、何種類かの分周比で出力するだけ、とかね。
マイコンじゃとうてい間に合わないし、CPLDの100本とかの配線は不要だし。
50774ワット発電中さん:2008/09/26(金) 12:13:20 ID:yaS0+1mr
俺はたいてい基板起こしちゃうから面実装の方が穴あけしなくていいし半田付けもコテ引くだけですむんで楽でいい
足が多いと思うならQFPなんだから下駄履かせてピンヘッダを2辺だけにつければいいんじゃないか?(電源等の配線は下駄上で)
51774ワット発電中さん:2008/09/26(金) 19:45:49 ID:dqLGblWA
>>43
FFにはクロックが一本しかないんだから、↑用と↓用と両方作らなきゃ。
52774ワット発電中さん:2008/09/26(金) 19:59:36 ID:aHZzLatO
if(CLK'event and CLK = '0') or (CLK'event and CLK = '1') then
53774ワット発電中さん:2008/09/27(土) 19:42:51 ID:wtmU1K5b
ageてネタ振りでつか
54774ワット発電中さん:2008/09/27(土) 20:25:27 ID:Ydq6rv3g
じぶんは両エッジしないけど、こんなん見た。

if(CLK'event and CLK = '0')then
    sageの回路;
elsif(CLK'event and CLK = '1') then
    ageの回路;


Cが吐き出したRTLだったけど、アリなんだーとおもた。使わないけど。
55774ワット発電中さん:2008/09/27(土) 22:25:46 ID:5rlhOK5l
age回路とsage回路を交互に並べて倍速パイプラインとか出来るかな?
56774ワット発電中さん:2008/09/27(土) 22:45:20 ID:vKv1wUnH
 両エッジでのラッチ回路ってザイリンクスのCool Runner以外で実装できるやつある? 
57774ワット発電中さん:2008/09/27(土) 23:18:56 ID:Wit6vyHt
両エッジのうまみは通常考えるクロックの半分の周波数で
同様の速度が得られるのがうまい。
今のところそれだけしか思い浮かばないが。
あとデュアルエッジなRAMやATAコントローラーが作りやすいくらいかな?
58774ワット発電中さん:2008/09/28(日) 04:52:08 ID:IY13n3G1
日仏の宇宙機関、衛星用半導体を共同開発へ…米独占に風穴
ttp://www.yomiuri.co.jp/science/news/20080928-OYT1T00168.htm

まぁ耐宇宙仕様FPGA作るぜって話だけど…上手くいくのかねぇ…
59774ワット発電中さん:2008/09/28(日) 05:23:52 ID:22tstJAZ
衛星にFPGAってそんなに使うもんなのかと疑問に思ったけど、
一度打ち上げたらもう手元に戻しては弄れないもんな。
60774ワット発電中さん:2008/09/28(日) 08:05:38 ID:XSqgC2nx
one-timeを使ってるらしいよ>衛星搭載FPGA
61774ワット発電中さん:2008/09/28(日) 11:44:14 ID:P01CkjUb
xilinxに航空宇宙用グレードがあるぞ?
ttp://japan.xilinx.com/products/silicon_solutions/aero_def/index.htm
62774ワット発電中さん:2008/09/28(日) 15:18:42 ID:fUmctcEP
打ち上げてから回路書き換える機能もあるのかな
63774ワット発電中さん:2008/09/28(日) 16:35:53 ID:C007R6ZH
地上からの制御信号を受け取る部分は難しいと思うけど、
それ以外は書き換え可能なシステム構成に出来るんじゃないかな?
64774ワット発電中さん:2008/09/28(日) 18:41:12 ID:GeIC/nBx
書き換えて修復不可能なったwww
65774ワット発電中さん:2008/09/28(日) 18:59:21 ID:f3RkGfVr
火星ローバーのOpportunity、SpiritにはXilinxのFPGAが載ってて、飛ばした後に再コンフィグかけたりしてたらしい
http://japan.xilinx.com/japan/j_prs_rls/design_win/0412_marsrover_j.htm
66774ワット発電中さん:2008/09/28(日) 21:13:38 ID:dH3lwtqJ
>>60
アクテルだな?
67774ワット発電中さん:2008/09/29(月) 00:47:43 ID:xYu+7G17
>>62
実は一人何役もこなせなければならないんで、
昨日の私と今日の私は、もう別人ですよ
68774ワット発電中さん:2008/09/29(月) 01:00:28 ID:9l9HD4XX
宇宙用は一つの判断するのに3系統以上使って
多数決で決定するっていうのは本当ですか?

69774ワット発電中さん:2008/09/29(月) 01:39:34 ID:7gr2OlzN
潜水艦の航法系や戦闘機のフライト系も3系統以上だって聞くけど
判断するところが壊れちゃ意味無いよね。
どのレイヤーでどうやって多数決をとってるんだろう?
70774ワット発電中さん:2008/09/29(月) 04:03:55 ID:1IJKTw+C
戦闘機など知らなくてすまん…

演算結果の多数決と、もしかしたら流す伝送路の途中での多数決じゃないかな?
それ以外は多数決とる部分を含めた伝送路の多重化で対応してるのでは…
それでもここが壊れたらお終いってポイントはあるけどね
71774ワット発電中さん:2008/09/29(月) 11:07:00 ID:A0+gfEuy
元の判断データを吐き出す回路が同じダイに乗っかってるようだと
効果薄そうだよねー。
72774ワット発電中さん:2008/09/29(月) 11:09:03 ID:syQG/Q+W
ていうか、判断ってどういうものなんだろ。燃料足りないから針路変更するとか?
どんな形で信号にしてるんだろうな。
73774ワット発電中さん:2008/09/29(月) 12:16:51 ID:eUnJD/18
>>68
ものによるんじゃないかな。自分のかかわった衛星だど
自己チェック機能付きのものを2台(1台はサブ)って構成でしたよ。
メイン、サブの切り替えは地上からコマンドで行う。
74774ワット発電中さん:2008/09/30(火) 01:54:17 ID:c4dvwDzc
最近日本車にも積まれているCANなら同一信号を正しく受け取れたかというチェックをすべてのコンピューターからバス上に流して、
その中で(必要の無い相手も含め)正しく受け取れなかったコンピューターが一台でもあれば再度新たに送信するってプロトコル。
30年以上前の規格で古いけど、プロトコルの想定外のエラーは10年同じ車を作って一千万台に一台それも10年に一度だけって計算だったはずw
(無論それ以外のエラーはたくさんw)

潜水艦や飛行機の多重化はこれから車でしようとしているのもと同じで、あのラインが壊れたらこっちのライン、
あのコンピュータはエラーを吐いて怪しいから隣のバックアップのコンピュータをメインに、って感じかな。(エラーが減ると元に戻す)
特に思考的なロジックなんかはしないでもくもくとルールを守らせてる感じ。(エラーが200個でバスから切り離すとか。)
壊れたかどうかはコンピュータの根幹というものをなくして全体で(というか個々でとなり同士を監視して)判断して、切断や電力低下なんかの外的要因にも対応できる。
むろん、いきなり電源が落ちたらX by wireの車も飛行機もコントロール不能は変わりないんだけど。
油圧なり何なりに切り替わる機械的要因はあると思う。市販車ではまだ無いけどね。法整備もまだらしいし。

ってここは仕事で使う人の多いインターネッツデスネw
75774ワット発電中さん:2008/09/30(火) 11:59:09 ID:bT0H4t9T
宇宙は宇宙線の強度が無視出来ないからじゃなくて?
76774ワット発電中さん:2008/10/02(木) 18:17:45 ID:rFhvTG/8
初歩的な質問ですいません
VHDLでクロックイベント等で受けた信号を次のイベントまで保持させるにはどのようにしたらいいですか?
通常の「<=」で変数なりSIGNALに繋いだ場合そのステート中で信号が変化した場合接続先の信号も変化してしまうのでイベント時一回限り信号を読みそのまま保持するようなことをさせたいと思っています
77774ワット発電中さん:2008/10/02(木) 18:51:10 ID:Y6ghfkul
クロックがイベントなら次のクロックで変わってもおかしくない
クロックがイベントでないならクロックで<=するときに
イベントの有無を判断すれば良いだけ
78774ワット発電中さん:2008/10/02(木) 22:05:23 ID:g/+ugCdq
79774ワット発電中さん:2008/10/03(金) 09:57:24 ID:q6G7MQ9v
FPGAのインターフェース規格が詳しく解説してあるHPとか
文献御存知の方教えて頂けませんか。

FPGA⇔DDR2のインターフェースを調べてるんですが
SSTL18-class1とかclass2って何が違うのか具具っても詳しいページに
当たらずでして・・・。

スレチでしたらスルーお願いします。
80774ワット発電中さん:2008/10/03(金) 10:58:52 ID:fzZcR1lf
俺はここから PDF もらってきて勉強した。日本語なのでありがたい。

ttp://www.elpida.com/ja/products/index.html

SDRAM 提供してるメーカーは、シミュレーション用のモデルも配布していたりするので、
それもらってきてシミュレーション。
81774ワット発電中さん:2008/10/03(金) 16:51:25 ID:q6G7MQ9v
>>80
おお、ありがとうございます。
メーカーのサイト回ってみます!
82774ワット発電中さん:2008/10/03(金) 17:03:49 ID:0E4ZMzdo
ISE10.1のISE Simulatorというのは、どういう風にすると立上がる?
ModelsimXEから、ISE Simulatorに切替える方法がわからない?
どうしてもModelsimXEが動いてしまう。
83774ワット発電中さん:2008/10/04(土) 12:10:34 ID:5z8G+6O/
>>82
プロジェクトの設定を変えるところで、
シミュレータをISEシミュレータに指定すればできるんじゃないかな。
84774ワット発電中さん:2008/10/04(土) 14:05:35 ID:vDPGWBy4

話が盛り上がっているところですみません、教えてください。

今、回路を設計しているのですが、100MHz程度の16bitバスとそのclockを
100mmの距離のFPGAと外部デバイス間で接続したいと思っています。
100MHz程度だと100mmくらいは通常のパターンで行けるでしょうか?

FPGAはLVDSも出せるので、それで送れば良いのでしょうが、
相手デバイスがシングルエンド出力なのです。
シングル→LVDS変換ICを相手デバイスの出力に置き、LVDSに変換すればよいのでしょうが、
そこまでしないといけないだろうか? という疑問です。

ご経験者の方がいらっしゃったら、アドバイスください。
宜しくお願いします。
85774ワット発電中さん:2008/10/04(土) 14:09:17 ID:ftwnDjeh
>>84
基板シミュレーションすればいいんじゃない。
86774ワット発電中さん:2008/10/04(土) 22:09:39 ID:yyOfPcNa
>>84
らくしょーです。なんてことは言いませんが、メモリで 400MHz 72bit DDR を
シングルエンドで通信してるからやって出来ないものではありません。
もっともクロックは 8 本に 1 本ですが・・・。
DDR2 か DDR3 のテキストを見てみたら?
FPGA のセミナーなら基板の作り方から測定方法までタダで詳しく解説してくれる。
87774ワット発電中さん:2008/10/05(日) 00:33:30 ID:rBOoQc34
下のステートマシンをQuartusUでコンパイルすると
Error (10313): VHDL Case Statement error at para18bit_reciver.vhd(130): Case Statement choices must cover all possible values of expression
って言われるんだが対応するステートメントは全部あるはずなのになんでかな?

if(CLK'event and CLK = '1') then
case state_f is

when "0000" =>
 if(func = "0000") then
   state_f <= "0000";
 elsif(func = "0001") then
   iambusy <= '1';
   state_f <= "0001";
 end if;

when "0001" =>
 address <= byte_1(7) & "0010000000000000";
 data <= byte_4 & byte_3;
 state_f <= "0010";

when "0010" =>
 D1_Out <= address;
 D2_Out <= data;
 state_f <= "0011";

when "0011" =>
 address <= address + 1;
 if(address = byte_1(7) & "1110000111111111") then
   iambusy <= '0';
   func <= "0000";
   state_f <= "0000";
 else
   state_f <= "0100";
 end if;

when "0100" =>
 state_f <= "0101";

when "0101" =>
 state_f <= "0010";

end case;
end if;
88774ワット発電中さん:2008/10/05(日) 00:43:43 ID:R4LEcQjd
state_fが0110以上の値をとる場合の動作が書いてない。
89774ワット発電中さん:2008/10/05(日) 01:26:01 ID:i1RHeC/U
>>87
>>88
XXXX
とかも、要るんじゃね?

case 文は、others 必須かと。

90774ワット発電中さん:2008/10/05(日) 01:44:28 ID:qU1eiCr7
std_logic_vectorじゃなくてbit_vector使うとか・・・
9187:2008/10/05(日) 01:56:02 ID:0X2n+MHv
レスd
久しぶりに書いたらothersを書き忘れてたのか…
おかげで次のデバッグに進めたありがとう
92774ワット発電中さん:2008/10/05(日) 11:59:19 ID:HpbmiUWH
あざーす
93774ワット発電中さん:2008/10/05(日) 12:09:45 ID:pr/lv1cR
LatticeのHPからispLEVERクラシックをダウンロードしようとしたのですが、
Step1のプライマリ・モジュールのダウンロードで、「File Not Available」
のページが表示されてダウンロードできませんでした。
ダウンロードするには何か特別な事が必要なのでしょうか?
ttp://www.latticesemi.co.jp/products/designsoftware/isplever/ispleverclassic/index.cfm
94774ワット発電中さん:2008/10/05(日) 12:53:06 ID:pr/lv1cR
93です。
済みません。自己解決?しました。何故だか分かりませんが色々やっている内に
「File Not Available」ページではなく「License Agreement」へ行きました。
95困った技術者:2008/10/05(日) 19:29:10 ID:MxtKK2iY
Xilinxに詳しい方にお聞きします。

当方、Alteraが専門なのですが同じプロジェクトのXlinx担当者が深刻な病気に
なり、Xilinxの方もデバッグさせられてしまいました。ターゲットはSpartan3Eです。

質問1:J-TAGでダウンロードした場合、プログラミングファイルは何でしょうか?
SVFファイルは有りません。Bitファイルなら存在します。

質問2:このBitファイルをJ-TAGを使わずパラレル・ケーブルでダウンロード出来ますか?
96困った技術者:2008/10/05(日) 19:30:47 ID:MxtKK2iY
当方、Xilinxに関しては完全な素人です。
97774ワット発電中さん:2008/10/05(日) 19:33:30 ID:LYDCNySK
すごい自信家ですね
98774ワット発電中さん:2008/10/05(日) 19:44:22 ID:VJhXJa9U
>>95
1:SVF
2:出来ません
3:素人は使わないこと
4:なら自分は深刻な精神病になりましょう
99774ワット発電中さん:2008/10/05(日) 21:11:10 ID:XdT6pf2X
罪でSVFなんて有んの?標準で出てくるmcsしか使った事なかったわ
パラレルケーブルだろうが何だろうがダウンロードは出来るんじゃない?
深刻な病気だろうが死んで無いならとりあえず書き込み方ぐらい聞けばいいと思うんだが…
事故とかでいきなり質疑応答できない状態にでもなったなら別だが
100774ワット発電中さん:2008/10/05(日) 21:27:26 ID:HRQaTdUR
2:適当なマイコンに焼いてスレーブシリアルでコンフィグ
101774ワット発電中さん:2008/10/05(日) 21:46:40 ID:9OiDbSBm
>>99
学生(開発のアルバイト?)だからどうしたら良いのか判らないんだよ
質問の仕方も社会人技術者って感じじゃないだろ

普通、JTAG操作(書き込み)ならSVF。当然罪のでも生成できる。
ダウンロードケーブルとダウンロードソフトが何かわからないから
なんともアドバイスできんよな。
使ってるのはパラレルケーブルではあるがパラレルポート接続JTAG
ダウンロードケーブルじゃないみたいだぞ。
102774ワット発電中さん:2008/10/05(日) 21:50:43 ID:9OiDbSBm
>>100
どう、パラレル・ケーブルを使うんだ?
そうか、マイコンの書き込みに使うんだな
103774ワット発電中さん:2008/10/05(日) 21:53:02 ID:XdT6pf2X
質問者じゃないのに全レスが始まったお…
下手な事かけないお…
104774ワット発電中さん:2008/10/06(月) 04:22:39 ID:cZb5Vxs2
千明かわいいよ千明
10582:2008/10/06(月) 15:58:15 ID:o5bERQs1
>83
やっとあったよISE Simlatorの選択
新規にプロジェクト作る時の選択
新規につくるのをやらなかったのでw
わからなかった

ModelsimXEIIIのVHDLのライセンスなので
こちらISE SimlatorでVerilogでを試せるもよう
106774ワット発電中さん:2008/10/06(月) 20:40:19 ID:/t+dAYi4
ダウンロードケーブルのパラレルケーブルは5mでも書き込めますか?パソコンがうるさいので遠くにあるんです
107774ワット発電中さん:2008/10/06(月) 21:19:18 ID:E9HCwgdp
>>106
やってみないと分からんが、厳しそう、さすがに5mはね・・・(汗
バッファ回路まで5mなのか、バッファ回路からFPGAまでが5mなのでは
まるで違ってくるが?
108774ワット発電中さん:2008/10/06(月) 21:23:48 ID:A5Y/SUTb
インピーダンスマッチングをやれば大丈夫




適当なこと言ってみました。
109774ワット発電中さん:2008/10/07(火) 07:15:53 ID:wT9+tsbz
問題は twisted pairs かどうか
簾は遺憾
110774ワット発電中さん:2008/10/07(火) 14:17:22 ID:lHYsff1z
シリアルならできるだろうけどパラレル5mはきつそうだな。
111774ワット発電中さん:2008/10/07(火) 14:35:18 ID:4N+XUm+y
ISE10.1のPlanAhead Liteって、本バージョンとどこが省かれてるだろ?
112774ワット発電中さん:2008/10/07(火) 16:51:06 ID:QuXYHNwE

ISE10って、Windows 64bit対応でしょうか?

113774ワット発電中さん:2008/10/07(火) 19:37:31 ID:lHYsff1z
MAC OS 対応です
114774ワット発電中さん:2008/10/08(水) 14:32:06 ID:wRTHkKBz
MACでISEが動くのでしょうか?
115774ワット発電中さん:2008/10/08(水) 17:56:34 ID:ORweMtna
ISE10って、ITRON対応でしょうか?
116774ワット発電中さん:2008/10/08(水) 19:12:26 ID:XoxG5JrB
すごい先の話だけど250Mhz対応のFPGAのクロックってどうやって与えるの?水晶発振子で売ってるの160Mhzまでしかないんだけど
117774ワット発電中さん:2008/10/08(水) 19:15:51 ID:hQYW04IF
pll
118774ワット発電中さん:2008/10/08(水) 19:44:04 ID:arkar8cA
にバリキャップ。
119774ワット発電中さん:2008/10/08(水) 20:34:38 ID:lGlVZhH5
すごい先の話だけど250MHzの水晶発振子が売られます
120774ワット発電中さん:2008/10/08(水) 20:46:04 ID:3ShniqGb
>>119
Pen4用にすでに3GHzのXtalはあるんだよ
121774ワット発電中さん:2008/10/08(水) 20:57:35 ID:2jSrfsfi
>>117
pllってなんですか?

>>118
バリキャップなんて時代遅れなもの使わないでください
せめてYIGにしてください。
122774ワット発電中さん:2008/10/08(水) 21:03:54 ID:wRTHkKBz
SG使えば26.5GHz OK!
123774ワット発電中さん:2008/10/08(水) 21:11:26 ID:m9x4L5XP
話の言葉尻で、下らないギャグをかます
クズばっかりだな。このスレは。
馬鹿なの?死ぬの?
124774ワット発電中さん:2008/10/08(水) 21:25:22 ID:2jSrfsfi
>>123
あなた、基地外なの?低脳なの?ドカタなの?

125774ワット発電中さん:2008/10/08(水) 21:46:39 ID:5/SHM/vv
シムラーそれコピペー
126774ワット発電中さん:2008/10/08(水) 22:04:00 ID:lGlVZhH5
FPGAって何の略ですか?
127774ワット発電中さん:2008/10/08(水) 23:04:47 ID:gKsSGpR2
>>126
FPGA 軽石 で検索せよ。
128774ワット発電中さん:2008/10/08(水) 23:41:43 ID:22BWNRmL
>>118
それトリマーやん
129774ワット発電中さん:2008/10/09(木) 09:30:53 ID:FM9g+fr6
ALTERA からメールが来ていた。
・Six New Industrial Cyclone III Development Kits
・Cost-Effective Cyclone III Video Processing Platform
・New Applications for Nios II Embedded Evaluation Kit
 ・・・

そういえば、アルテラさんの development tool をダウンロードしたときに、
オイラの連絡先を教えておいたからなー。はい、覚えておきます。
130774ワット発電中さん:2008/10/09(木) 17:00:23 ID:rVD6l+0G
カウンタって最初は1ビットだけど桁が増えていったらどんどん処理が遅くなっていくことってあるの?
100ビットとかになると次の信号がくるまでにシフト終わってるの?
それともどんなに桁数がおおくても1処理でおわるの?
131774ワット発電中さん:2008/10/09(木) 17:25:35 ID:dKA1JV6g
>>130
 組み方と動作クロックによる。
基本は同期設計だから、デバイスが保障している範囲での周波数には対応できる。
それ以上の周波数なら、神に祈ることになる。
132774ワット発電中さん:2008/10/09(木) 19:20:55 ID:rVD6l+0G
ありがとう、データシートの上限周波数は全ゲート直列だとしても次のクロックに間に合う値だということですね
133774ワット発電中さん:2008/10/09(木) 20:09:32 ID:/Rz4krV4
いや、その理屈はおかしい
134774ワット発電中さん:2008/10/09(木) 20:35:33 ID:k+xk/wk9
神に祈っちゃいけない、悪魔と契約するんだ
135774ワット発電中さん:2008/10/09(木) 20:37:49 ID:8xyJtYi9
>>132
>全ゲート直列
って、いくつのことなんだ? おかしいでしょ。
ではなくて、clockが来てからQが変化するまでの遅延時間が最速の動作時間。
本当はこのほかにも時間を加味する必要があるけど、ほとんどはこれで決まる。

clock↑ → Q変化 までが1usなら、1往復に2usかかるので、
500kHzがmax。
これを複数個、同期式でつなげば、頭から尻尾までどのカウンタも
その時間で動作を終えることができる。すなわち、何個つないでも500kHzで動く
ということね。
ただ、2段目以降は、前段のQ=1の時の次のclockで1個だけclockを感じて、
それ以外(前段Q=0)のときはclockを無視するようにしなければならない。
そのための端子がclock enableね。
136774ワット発電中さん:2008/10/09(木) 21:44:54 ID:rw7Wu6CX
>>130
カウンタと言っても色々だから、バイナリカウンタで100bitとかになるとMSBはかなりもっさりじゃないかな?
というかタイミングシミュでMSBの変化みようとしたらえらいことに・・・
137774ワット発電中さん:2008/10/09(木) 22:11:34 ID:rVD6l+0G
同期がよくわからない。01に1を足した時10になるのには1サイクルで可能で2ゲート使うんだよね?
次の桁のゲートに移動するのに2us使うの?
たとえば100Mhz動作1サイクル10nsだと、次のサイクルで前のサイクルの結果を反映させるプログラムが正常に動く限界は、10ns以内に数十万ビットまで電子が移動しないといけないから
上限周波数が決まってくるんだと思ったんだけど。01に1を足すのはゲートが並列になってるの?ここがよくわかってない
1ビット目が1の場合の後にはじめて2ビット目が1になると思って
直列で数十万ビット(ICの全ゲートをビットにした場合)を10ns以内に処理できるのが上限だから100Mhzとなってると思ったんだけど
138774ワット発電中さん:2008/10/09(木) 22:24:11 ID:za3bxLjC
>>130
まず、同期回路と非同期回路を勉強しよう。
そして非同期カウンタと同期カウンタの区別をつけよう。
139774ワット発電中さん:2008/10/09(木) 23:07:54 ID:ddOAeyab
100bit目が1になる条件を考えてみよう。1〜99bit目までが全て1になった次だろ。
つまり99本の値と自分自身が0である事を知る必要があるわけだ。
例えばALTERAの場合、LEの入力は4本(Carry入力を含めて5本だっけ?)しかないわけだが
100本の線をチェックしようとするとLEが何個必要になるか考えてくれ。そんでもってLE1個に
付きxxxpsecという単位で遅延が発生する。この合計値が1サイクルに間に合うかどうかだな。
140774ワット発電中さん:2008/10/09(木) 23:52:41 ID:UrhAdqmj
100bitか、今やPCのレジスタでも64bitあるよな。++だとほとんど最小システムクロックで動くんじゃないか?
141774ワット発電中さん:2008/10/09(木) 23:55:26 ID:UrhAdqmj
>>137
何MHzで動作するかなんて論理合成すりゃすぐわかるがな
142774ワット発電中さん:2008/10/10(金) 00:29:57 ID:qcm46SlO
>>141
論理合成で?
143774ワット発電中さん:2008/10/10(金) 00:30:54 ID:BpNOK5Ur
高速加算用配線とか持ってるのもあるな。デバイス依存だが。
144774ワット発電中さん:2008/10/10(金) 01:13:35 ID:5sOsOkSx
FPGAでキャリーセレクトアダー組んだら、
ツール任せにした加算回路より遅かった。
145774ワット発電中さん:2008/10/10(金) 03:34:05 ID:8ETYFeFt
CPLDでPIC用の発振子を作りたいんですが、低くできるのは理解できますが、高くするのって可能ですか?
14687:2008/10/10(金) 05:01:03 ID:gxu1Ol25
>>145
素直にPLLIC使ったほうがいいと思う
147774ワット発電中さん:2008/10/10(金) 12:08:35 ID:u5rhTZwU
ラティスのispClockTMというのがあって
ttp://www.latticesemi.co.jp/products/ispclock/index.cfm?source=topnav
148774ワット発電中さん:2008/10/10(金) 13:01:22 ID:GfHQeMyI
>>142
知らないの?Max動作周波数xMHzってちゃーんと表示されるだろうが。
どこ見てんの?
149774ワット発電中さん:2008/10/10(金) 13:33:47 ID:vcxzOiUL
>>148
論理合成だけで?配置配線後じゃない?
150774ワット発電中さん:2008/10/10(金) 14:05:49 ID:GL7tQNHR
どんな回路でもスペックシートに書いてある周波数で動くのかい?
151774ワット発電中さん:2008/10/10(金) 20:49:46 ID:ODOGNs9O
152774ワット発電中さん:2008/10/10(金) 21:35:18 ID:GfHQeMyI
>>149
だからおまえどこ見てんのっていってんのに。
フィッタが動作周波数を見積もる前に論理合成した時点でも動作周波数は計算できてる。
もちろんフィッタで見積もった方が精度は高いが、おおよその動作周波数は論理合成しただけでほぼ見積もれるといってるんだよ。
つーかおまえ論理合成ツールのログ見たことないだろ。
153774ワット発電中さん:2008/10/10(金) 21:49:07 ID:GfHQeMyI
>>150
詳細なデバイススペックに基づいて、ツールがはじき出した数値どおりに動かないならEDAそのものの存在意味がないわけだ。
少なくともおまえの山勘より百万倍信頼できるだろうよ。
154774ワット発電中さん:2008/10/10(金) 23:28:52 ID:pqoHQfhp
>>152が必死すぎてワロタ
155774ワット発電中さん:2008/10/10(金) 23:36:14 ID:xk0tWsGl
156774ワット発電中さん:2008/10/11(土) 00:17:24 ID:D+y/o3iH
>>152って、いつも必死な貧乏人丸出しだな
もう半分基地外化してるんじゃないか
ゆとりって大事だなとつくづく思うな
157774ワット発電中さん:2008/10/11(土) 01:05:16 ID:ExnK2XOD
>>152
セル(ゲート)遅延より配線遅延の方が大きいこのご時世に何を言ってるんだか…
158774ワット発電中さん:2008/10/11(土) 01:15:44 ID:3YpK0eY+
なぜこのスレは荒れるのだろうか・・・
159774ワット発電中さん:2008/10/11(土) 05:48:24 ID:pMtYyREv
配線遅延っつーけど定常波なんだから
実際には位相だけ遅れてるんであって
遅延は0ですよ
インピーダンスマッチの方が重要だろ
160774ワット発電中さん:2008/10/11(土) 08:58:51 ID:WIATVbKm
>>159
がんばれ
161774ワット発電中さん:2008/10/11(土) 12:03:13 ID:qHV/Hknx
>>159
おいおい。
162774ワット発電中さん:2008/10/11(土) 14:40:49 ID:ckkByKMj
>>157
で、synplifyを使ったこともログも見たことないお前が苦し紛れに何言いたい。ん?
163774ワット発電中さん:2008/10/11(土) 14:53:03 ID:qk2ChNM2
>>130の質問に回答になってないアホ回答のオンパレード→ >>131,>>132,>>136,>>138,>>139
164774ワット発電中さん:2008/10/11(土) 15:06:31 ID:GZmkvvbY
>>163
 質問に対する回答すら用意できずに他人を批判するしか能の無い典型的なレス。
165774ワット発電中さん:2008/10/11(土) 15:18:47 ID:Ko49cqed
>>163
何がどのようにアホ回答なのか説明してもらえないでしょうか?
技術的に知りたいです。
166774ワット発電中さん:2008/10/11(土) 15:20:25 ID:ckkByKMj
>>163
そりゃ青筋たてて延々書き込んでるおバカにツール出力見ろの一言じゃ、
コケにされたみたいで切れるんだろ。だっておバカなんだから。
167774ワット発電中さん:2008/10/11(土) 15:27:51 ID:LfZstbIy
>>163
挙げられてる回答の内容が理解できない、という事自体が勉強不足って事なのさ…
168774ワット発電中さん:2008/10/11(土) 16:36:26 ID:etZrEJHX
でも>>130の答えって難しいよね。
別に素子の処理が遅くなる訳じゃない、という点では処理速度は変わらないよね。

質問者はおそらく同期回路とかゲート遅延、配線遅延とかを理解できてないのだろうけど、
同期回路で1サイクルで処理しようとすると、最高動作周波数は減るし、
動作周波数を維持したままとなると複数サイクルかけて処理する、ってことになるだろうね。
でも、単なるカウンタなら上位ビットの方が繰り上がるタイミングを何サイクルか前に検知しておけば
桁数が増えても1サイクルで処理できるのかも?

非同期回路だと・・・よくわかりません(><)
169774ワット発電中さん:2008/10/11(土) 16:56:12 ID:GZmkvvbY
>>168
 前後の文脈からある程度は想像するしかないが、そこまで理解できている奴が
ここに書き込んでまでする質問ではないだろう。
 どんな質問でも、ありとあらゆる可能性を考慮すれば答えなんかできないよ。
170774ワット発電中さん:2008/10/11(土) 17:22:13 ID:5eycfsJe
100bitのカウンタと数ビット(4bit程度?)のカウンタで
動作周波数の違いってどれぐらい違うもんなんだ?
171774ワット発電中さん:2008/10/11(土) 18:55:55 ID:y0v6j3K8
>>163
>>130こんにちは。自分が理解できなかったから回答者に切れるなよ。
172774ワット発電中さん:2008/10/11(土) 19:34:22 ID:WE/E3MYt
俺は一切書き込みしてないし、同期カウンタと非同期カウンタの勉強中だぼけ
173774ワット発電中さん:2008/10/11(土) 19:53:16 ID:GtBpzRmr
>>159
意味がわかりません
174774ワット発電中さん:2008/10/11(土) 19:57:33 ID:GtBpzRmr
>>130
ttp://homepage1.nifty.com/rikiya/software/415counter.html
とりあえずここ読んで分からないところをもう一度質問しなおして見れば
やさしいお兄さんたちが答えてくれるよ
175774ワット発電中さん:2008/10/12(日) 04:28:27 ID:1CwwQI1u
ありがとう。すごいわかってきた。1サイクルで処理できる限界bitは最大マクロセル数ってことか。数十万ゲートビットとかいってあほすぎた
CPLDのマクロセルが36しかないから100bitにしようと思って36が全て1だったらカウントアップしていく内部シグナルを64ビット作ったんだけどFitできない。
このCPLDは800ゲートあるんだけどこれはいつ使われるの?レポートにも書いてないしこの800ゲート全部直列でビットとして使えると思ってたんだけど。
176774ワット発電中さん:2008/10/12(日) 06:12:16 ID:U6Hx5Ber
177774ワット発電中さん:2008/10/12(日) 23:13:26 ID:G6d/aj+F
一般的に考えて、AND回路とOR回路の遅延時間は同じですか?
AND回路はOR回路の2倍の遅延時間があるなんてことは無いですよね?
178774ワット発電中さん:2008/10/12(日) 23:23:50 ID:kaTgT0GR
4ビットのアドレスの1ビット出力のSRAMを思い浮かべて欲しい(FPGAの中身はこれがいっぱい有るような物だ)
結果が出力されるまでの時間に差は有るだろうか?
179774ワット発電中さん:2008/10/12(日) 23:31:38 ID:ytdic7nL
>>177
同じとは限らない。というか異なる方が多数でしょう。
だからありえるし、逆もあり得る。
180774ワット発電中さん:2008/10/13(月) 05:20:25 ID:U+cZkFPy
>>177
なぜそう思う?
181774ワット発電中さん:2008/10/13(月) 06:24:36 ID:gz/nuB4w
>>177
一般的なCMOS回路の話ならNANDが一番速い
ANDもORもNANDとNOTと2段要るからNAND単体よりは遅い

でもFPGAならLUT使ってるからANDもORも同じだ
CPLDは種類に寄る
GALみたいに積和だとORよりANDの方が速いかもな
182774ワット発電中さん:2008/10/13(月) 08:16:55 ID:tSRMxvxj
NORゲートつかってるならNORが一番速いよ
183774ワット発電中さん:2008/10/13(月) 22:10:22 ID:tnW8ENVb
カメレオンUSBのUSBコネクタを基板に取り付けるとき
基盤の裏表を逆にして取り付けてしまい、USBでPCとつないでしまいました。
これってEZ-USBやCPLD壊れてしまいますでしょうか?

コネクタを取り外した際、スルーホールやパターンがもげてしまったので
再度、新しく取り付けた際、0.3mmウレタン線で配線しましたが
不明なデバイスとしか認識されません。
184774ワット発電中さん:2008/10/13(月) 22:13:14 ID:XZP2guVW
ワロスw
185774ワット発電中さん:2008/10/13(月) 22:45:24 ID:MCuXNq5Y
>>183
 それって電源を逆指ししたという意味だよね。
普通に故障します。
186183:2008/10/13(月) 22:50:50 ID:tnW8ENVb
やっぱり?
なんか内臓ダイオードか何かで保護されてないかなぁ〜と一抹の期待があったのですが
だめなんですねorz
187774ワット発電中さん:2008/10/13(月) 22:54:21 ID:OMpeQdg8
生きているが、危篤状態
188774ワット発電中さん:2008/10/13(月) 23:09:48 ID:o2uYZV/e
逆差ししてすぐ外したなら余裕
5回、計30分以上挿してて生きてた俺が言うから間違いない
と言うかアレは最初から逆に指した状態で売ってるなら
HPの説明書に注意書きで書いて欲しいと何度か意見出てるはずなんだけどな
189774ワット発電中さん:2008/10/13(月) 23:12:39 ID:o2uYZV/e
ついでに不明なデバイスなのは、EZ-USBの開発キット入った状態じゃないからかと(もう本家にも無かったと思うんだけど・・・)
190183:2008/10/13(月) 23:14:55 ID:tnW8ENVb
ありがとうございます。
それは助かった!
だけど、結構長い時間、いろいろ試してみたから
どうなんだろう・・・

とりあえず、時間がたって電荷が抜けきって
精神が安定したら、もう一度試してみます。
191183:2008/10/13(月) 23:51:59 ID:tnW8ENVb
192774ワット発電中さん:2008/10/13(月) 23:55:37 ID:wtw3NhH2
大丈夫なときもあれば、壊れるときもある。つまりケースバイケース。
193774ワット発電中さん:2008/10/14(火) 00:21:37 ID:Wa0xIyX6
運もある。
194774ワット発電中さん:2008/10/14(火) 20:10:38 ID:/hHV4UPd
俺なんかQFPを90度間違って付けたのを
通電後に発見して涙目になったよ
195774ワット発電中さん:2008/10/14(火) 20:30:50 ID:2jIWymhS
>>194
ワロス (w

それ、結局どうしたの?
196774ワット発電中さん:2008/10/14(火) 21:15:21 ID:mVvbhRFy
>>194
ワラタ
197774ワット発電中さん:2008/10/14(火) 22:03:48 ID:c/BqRAgL
>>195
ホットエヤーガンで気合を入れてひっぺがえし、
泣きながら新しいのつけた
198774ワット発電中さん:2008/10/14(火) 22:49:12 ID:CMvJoJWg
GALは、電源ショート(VCC=0V、短時間)で焼けていた
VCC > VIH で衝天するのだろう
199183:2008/10/14(火) 23:22:21 ID:BawC26GB
皆様、ご回答のほどありがとうございました。
先ほどもう一度試してみたらやはりダメで、もう一枚購入することにしましたorz
200774ワット発電中さん:2008/10/15(水) 08:02:28 ID:wxGPfdVt
>>199
たまに20万とかのFPGAも飛ばしたりするし、がんがれ
201774ワット発電中さん:2008/10/15(水) 09:32:29 ID:Z3K51vyQ
>>199
この手のキットを作る上でのミスはちょっと防ぎようがないんだが、
できあがったキットはいろいろジグとして使い回すことも多いと思うので、
キット基板の空いてる部分に逆接続防止用のダイオードを一発入れておくのがいいよ。
入れといてよかったってことが何度もある。
電源側のヒューズかポリスイッチは切れるのでUSBだとマザボ側がまずいか?
202774ワット発電中さん:2008/10/15(水) 14:21:55 ID:rnp/Cgpr
FPGAのコンフィグレーションソフトを自分で作成することは可能でしょうか?

rbfを書き込もうと思っています。
203774ワット発電中さん:2008/10/15(水) 18:15:15 ID:IMYjadTP
>>202
そんなこと質問してる時点で無理
204774ワット発電中さん:2008/10/15(水) 18:45:06 ID:hSsQ+JHk
>>202
VirexII用のコンフィグレーションプログラム書いた経験がある
ベンダのアプリケーションノートがちゃんと読めるならふつーに作れる

RBF(ザイリンクスで言うBIN)よりも、書き込みデバイスのチェックするための
情報が入ってるPOF(ザイリンクスで言うBIT)の方がいいんじゃないか?
205774ワット発電中さん:2008/10/16(木) 02:10:42 ID:m54Qn0q9
JTAGケーブルを自作しようと考えています.
ELM CHANさんのAVRライタのページに記載されているXilinx JTAGケーブル回路図は
Spartan3EのJTAG端子に接続してオフィシャルなケーブルと同様に使用できるのでしょうか?
(比較的容易に部品が集まりそうなので,これを選びました.)
また14ピンのJTAG端子のメス側端子は,どのような端子を使用するのが適切ですか?

参照:http://elm-chan.org/works/avrx/report.html
206774ワット発電中さん:2008/10/18(土) 15:29:08 ID:CeCPp5mD
XILINXの ISE Simulatorで表示タイプをAnalogにする方法ありませんか?
サイン派をテーブル出力しているのですが、hex表示で臨場感がありません。
207774ワット発電中さん:2008/10/21(火) 16:51:20 ID:dBwgU8ez
CPLDの基盤(CPLDのみ搭載)が欲しいのですが、探し方がわかりません…
検索してもLEDなど余計なものがついているものしか見つかりません。。。
208774ワット発電中さん:2008/10/21(火) 17:08:25 ID:lMmJl+zi
何までが余計なのか分からんが、
変換基板にのっかってるだけのボードなんかほぼ無いんじゃないかな?
209774ワット発電中さん:2008/10/21(火) 17:08:29 ID:kYg4+NkG
手当たり次第に探すしかないと思いますよ。
もしくはCPLDを変換基板などにハンダづけするとか。
210774ワット発電中さん:2008/10/21(火) 19:39:28 ID:kCPCNd2P
CQ付録のFPGA基板マダー?
来年位にはまたFPGA入門とか言って出てくるかな?
211774ワット発電中さん:2008/10/21(火) 20:11:15 ID:XMUq6fAU
>>207
QFPパッケージ買って自分で半田付けが早いかと
212774ワット発電中さん:2008/10/21(火) 21:24:29 ID:fw/z00ak
213774ワット発電中さん:2008/10/21(火) 22:45:50 ID:4NGnm7fQ
PSPのLCDモジュールをFPGAで制御する事例は無いでしょうか。
(公開されているサイトはないでしょうか)
214774ワット発電中さん:2008/10/21(火) 22:52:48 ID:kYg4+NkG
検索すると24bit色入力のLCDのようですね。
CRTCの事例が参考になると思いますよ。
215774ワット発電中さん:2008/10/22(水) 16:33:01 ID:YUG9dZJ3
FPGAって、同期回路を組むのが大前提ですか? グルーロジックのような
非同期の組み合わせ回路はCPLDじゃないと駄目?
あと組み合わせ回路を組んだときの遅延はどんなもんでしょう。
216774ワット発電中さん:2008/10/22(水) 16:39:36 ID:w4dzzDwO
>>215
普通にできるけど、ちょっとしたグルーロジックだけなら高くて面倒な
FPGAを使う理由がないだけ
規模が大きくなると必然的に同期回路になると思う
遅延についてはCPLDもFPGAもあまり変わらん、と思う
217774ワット発電中さん:2008/10/22(水) 17:18:41 ID:YUG9dZJ3
>>216
うわ、早速のレスありがとうございます。
人様の組んだ回路だと、CPUのグルーロジック用のCPLDと特定処理用のFPGAが
1点ずつ載ってる事が多かったので、デバイスの特性として駄目なんだと
思ってました。そういう設計は余程タイミングの制約がきついんですかね。
それともFPGAの起動時間の長さの問題でしょうか。
そもそも質問は、大昔に設計されたロジックICを大量に使った回路の置き換えに
使えないかと思ってしました。当然I/Oが5Vなんですが、5Vの大規模CPLDの
値段が目玉が飛び出るぐらい高かったので、廉価なFPGAとレベルコンバータで
いけるなら助かります。
218774ワット発電中さん:2008/10/22(水) 17:57:05 ID:S12lTNGk
>>217
 CPLDとFPGAで最も得手不得手が分かれるのが、電源投入直後の動作、言い換えると
CPU周辺のリセット回路。
 その構成だと、リセット回路周辺用にCPLD、起動後の周辺機能にFPGAを使っているんじゃないか。
219774ワット発電中さん:2008/10/22(水) 23:55:56 ID:5tsAwc6p
>>217
大昔に設計された回路なら、そのまま使わずに回路から仕様を起こして再設計しましょう。
ロジックICをそのままFPGAに入れるなんてアホのする事です。
220774ワット発電中さん:2008/10/23(木) 14:15:04 ID:GLF+ry+B

みなさんの話を聞いていて、Verilogにしておいて良かったかも、と思ってます。
周りの人はVHDLの人が多いけど、日本中に仲間がいるんだって。
221774ワット発電中さん:2008/10/23(木) 15:21:45 ID:/0zQzj/1
>>219
アドレスデコーダ作るのに、HDLで書くと一行で済んでしまうから、
お金がもらえません。
スケマで書けば、それなりにお金がもらえます。
222774ワット発電中さん:2008/10/23(木) 18:08:12 ID:Hlrde/CA
>>220
VerilogでもVHDLでもいいけど、人のソース読むときはVHDLのほうが多い気がする。

Verilog派だけど個人的にはIntelの中の人はVHDL使ってるんだろうなーと思ってる
223774ワット発電中さん:2008/10/23(木) 19:14:04 ID:2FlW7GBs
>>222
>個人的にはIntelの中の人はVHDL使ってるんだろうなーと思ってる
なんで、そう思うの?
224774ワット発電中さん:2008/10/23(木) 20:02:35 ID:x1pLfDSj
無駄にきっちりしてる辺りとかじゃない?
225774ワット発電中さん:2008/10/23(木) 20:16:34 ID:mhGoQzZr
>>220
あなたのレスを読んでいて、記述言語で論理設計スレの誤爆かも、と思ってます。
226774ワット発電中さん:2008/10/23(木) 21:30:07 ID:GLF+ry+B
>>225
正解です。明らかに誤爆でした。今気づきました。すみません。
227774ワット発電中さん:2008/10/23(木) 21:52:05 ID:usxlr7Hh
>>222
それよくある。みんなVHDLはやりたくないから他人に投げてるなとw
228774ワット発電中さん:2008/10/23(木) 22:04:03 ID:mhGoQzZr
>>226
謝られても困るが・・・
殆どの人は両方みてそうだしな。

別に違う言語のソースを使い回す事になってもv2vとか使えば良いのでは、俺は使った事ないけど。
こういった変換ツールってやはり変換かけたあと、フォーマル検証とかかけるべきだよね?
229774ワット発電中さん:2008/10/24(金) 14:22:14 ID:Kix4M63s
>>222
Intelは今でもスケマ使ってるよ
230774ワット発電中さん:2008/10/24(金) 14:29:58 ID:Z7YksMNv
3年ほど前に、
VHDLはコンパイルオプションもない、かつ、
記述量に問題の多い糞とかいわれて必死になって食い下がってくるVHDL養護派のアホがこのスレにもいたが、
時代は変わったもんだな。そういう奴はうんこにたかってたハエだったんだろな。
231774ワット発電中さん:2008/10/24(金) 20:16:25 ID:fbtt6bNl
どうでもいいけど、なんでこうもムキになって他人を罵倒するんだろう。
もっと楽しいことが、人生にはいろいろあると思うんだけど。
232774ワット発電中さん:2008/10/24(金) 20:44:51 ID:n95SpxDE
どうでもいいことだけど、その人々はそうやって人を罵倒することが楽しみだったり人生だったり、自分の思ってる正しいことを人に教えたがっていたり。
また、人の上からモノを言うことが快感だったりするんだよ。

小さいこといってどーすんのよってかんじで、ほっとくといいと思うよ。
(たいていは)考えを変えない相手に無駄な体力使ってもどうしようもないし。


そして人生でもっと楽しいことも多岐にわたるんじゃね?
それこそ上の人もそのつもりかもしれないんだし、女ったらしも、コスプレイヤーも鉄オタもニートも、もしかしたら騒音おばさんもそういうものかもしれない。
一応われわれは良識を持っているはずだから、上のようなものを不快とおもったら自分はしないように出来ると思うけどね。

ほんと、どうでもいいことだけど自分はこういうのも好きです。
233774ワット発電中さん:2008/10/25(土) 08:39:07 ID:XvXhABkI
このスレ系の英語って、どう勉強すればいいのかなー
FPGAのデータシートは慣れてきたけど、
新しい仕事でプロトコル仕様書とか出てくると
自動翻訳に頼ってしまう

話ずれるけど、
最近、excite先生の中の人(エンジン)が変わったような気がする。
やりづらい。
「read only」を「書き込み禁止」と訳されたときは絶句した。
234774ワット発電中さん:2008/10/25(土) 10:12:14 ID:UixMommC
英会話教室でも行って基本からやれば?
その時間が無いならもっと英語のできる人に任せる
235774ワット発電中さん:2008/10/25(土) 10:38:56 ID:tnkT+cio
>>233
> 「read only」を「書き込み禁止」と訳されたときは絶句した。

あながち間違いじゃないじゃん。

excite じゃなくてもっと昔の翻訳ソフトだけど、execute command を
「死刑執行コマンド」と訳されたことがある。さすがにワロタ。
236774ワット発電中さん:2008/10/25(土) 13:17:08 ID:ifcS2Eoz
いくら英語が苦手でもある程度専門知識があれば
ばダメダメな翻訳ソフトに頼るより自分で辞書を引いた方が速い気がする

特に技術用語はそれ用の辞書がないと意味不明な訳になるし、
そんなのに頼るくらいなら知ってる単語を拾い読みして類推した方がまし
237774ワット発電中さん:2008/10/25(土) 17:09:51 ID:JecrpQuU
技術用語としてわかってるものは、すべて大文字とかその場限りの略語などにして
機械翻訳にかけてるよ
238774ワット発電中さん:2008/10/25(土) 17:33:44 ID:ifcS2Eoz
手段が目的になってないか?
そんな手間かけるくらいなら辞書引いた方がいいだろ

まー、あんたの時間なんだから「そんなことはない!こっちの方が絶対いい!!」って言うなら
好きにすればいいけどさ
239774ワット発電中さん:2008/10/25(土) 18:52:20 ID:NZBNlZL/
一部の単語だけ分かってて助詞だとか文法だとか全然わかんない人なんだよ
察してやろうよ。カタカナ語たくさん使う人みたいなもんだよ
240774ワット発電中さん:2008/10/25(土) 20:11:01 ID:JecrpQuU
>>238
原文がテキスト等で抽出できることと, ぶあつい資料で何度も見直す可能性が
あるものでしかしないけど…
241774ワット発電中さん:2008/10/25(土) 20:46:10 ID:s9Tj+MFU
英語の技術資料の類は別に難しく書いてあるわけではないので、
そのまま読めばいいんじゃないかな?

日本語訳の中には、AMBAの解説書みたいに、
日本語が不自由な人が書いたとしか思えないものもあるし、
原文をそのまま読んだほうが早いと思う。
242774ワット発電中さん:2008/10/25(土) 21:51:16 ID:yRbg778J
マイクロソフトのサイトの日本語自動翻訳を読むと、
英語力がつくと思う。
243774ワット発電中さん:2008/10/25(土) 22:03:02 ID:jUtcpOyE
いやあ、正直な所、嫌味でも皮肉でもなんでもなく
最近の機械翻訳が出した日本語よりよりも原文の方が速く内容を理解できる人は
うらやましいです。私の場合は機械翻訳で意味の分からない文だけ辞書引いて
訳していますが、それでも一旦訳という形で日本語を経由しないと
理解できません。
すんません、馬鹿が通り過ぎました。
244774ワット発電中さん:2008/10/25(土) 23:18:05 ID:L5LXwtcx
英語の技術資料は記名付でいいね
245774ワット発電中さん:2008/10/26(日) 00:00:09 ID:VmU2otGt
皮肉ではなくマジで、機械翻訳の日本語を理解できる能力を持った人間がいることに驚く。
オレは、機械翻訳がダメなのはもちろん、人間が訳した物でも質が低いとまったく理解できない。

質の悪い訳文を何回読み直しても理解できないので、しかたなく英文の資料を読むと
誤解の余地なんかまったくない明快な説明で、なぜあんなに意味不明な日本語訳になるのかと不思議に思うことが多い。
246774ワット発電中さん:2008/10/26(日) 02:40:26 ID:4Kq/IY5k
翻訳は、電気のことがわからないと出来ないよね。
currentを最新の とか訳すし。
247774ワット発電中さん:2008/10/26(日) 03:13:51 ID:VrJuk4vo
分かってる人が訳してもなぜか日本語になると分かりにくくなる
本でもそうだ
248774ワット発電中さん:2008/10/26(日) 03:18:30 ID:6ynEGeqZ
英語に準じて構成された技術だから・・・なのかな

特定の分野に特化した翻訳エンジンとか作れないものかねー
辞書を変えただけで済むとは思えないし,どうなんだろ
249774ワット発電中さん:2008/10/26(日) 03:46:20 ID:Cp91cOYH
日本語があいまいすぎ><
250774ワット発電中さん:2008/10/26(日) 03:53:09 ID:iyGjaivx
>>247
>分かってる人が訳してもなぜか日本語になると分かりにくくなる

それは単に日本語が(ry
251774ワット発電中さん:2008/10/26(日) 04:14:44 ID:UjSOPYm7
こらこら、日本語は曖昧なんかじゃない。日本語は論理的な記述にも耐えうる
言語だ。論理的な思考と論理的な記述のトレーニングをしてない人間の日本語が
曖昧なだけ。翻訳が曖昧な場合も同様。
もっとも、原著が言及する技術についての知識や理解、あるいは外国語を
正しく読む能力がないせいで、原文の意味を把握できてない、日本語以前の
問題であるケースもあるけど(ていうかそっちのほうが多い)。とにかく
翻訳者のレベル低過ぎ。嘆かわしい。
それでいて、技術書の「だらしない翻訳」を読んで疑問が湧かない
「だらしない技術者」もまた多すぎるんだよな。個人的には>>245の後半に
激しく同意。
252774ワット発電中さん:2008/10/26(日) 04:47:22 ID:4c8uc1K/
>>251
りっぱなこと言ってるが、手本となる翻訳物だしてる?
疑問をもったあと、翻訳レベルをあげるために何か行動してる?
253774ワット発電中さん:2008/10/26(日) 05:31:45 ID:UjSOPYm7
>>252
えっと…自分が見当違いなレスを付けてるって自覚ある?
早朝から激しい脱力感に襲われたぞ(w。
254774ワット発電中さん:2008/10/26(日) 06:05:59 ID:6UsGmmdG
どう読んでるか(翻訳してるのか)興味をもったのだが・・・
255774ワット発電中さん:2008/10/26(日) 10:30:52 ID:f8BW66Ir
日本語は助詞の豊かさから状況の記述能力も高いし、造語能力も高い。
もちろん論理式を述べるのに必要な構造も語彙も十分に持っているんだよな。

日本の文化として、物事を分析的に喋ることが日常余り行われていない。
日本人の会話では常に文脈を裏まで読むことが要求されるし、
そのせいで表に出る表現は短く、「空気を読めば理解できる」ものが好まれる。

結果、お互いにバックグラウンドの異なる人同士が速やかに意思疎通を行えるような
論理明晰で、詳細な理由付けを含む文章の作り方に習熟することがなかなかできず、
まさにそのような文章が要求される技術屋の文書の翻訳まどでは悲惨なものが
世に送り出される事になる。

256774ワット発電中さん:2008/10/26(日) 10:54:45 ID:YpKqnOk1
英文読むのに、電子辞書があれば機械翻訳なんてイラネ。
でも英文メール書くときに、機械翻訳けっこう使って参考にしているヘタレな俺 orz
257774ワット発電中さん:2008/10/26(日) 10:59:17 ID:Lfx+B/JU
>>255
> 日本語は助詞の豊かさから状況の記述能力も高いし、造語能力も高い。

おかげで“てにをは”だけあってりゃ文法めちゃくちゃでも通じる(w
258774ワット発電中さん:2008/10/26(日) 11:31:55 ID:n698cE8e
あと2マクロセル節約できればコストが400円も下げられるのだが・・・
試行錯誤の末、ここまで減らせたが、もう限界だ。
たった2マクロセルのために、400円コストアップは痛すぎる・・・
なんとかならないだろうか・・・・ハァ・・・
259774ワット発電中さん:2008/10/26(日) 13:28:27 ID:Kuh6/64v
昔のdesign wave付録のEPM7256Aについてなんですが、JTAGピンがI/Oピンとしても使えると書いてあります。
I/Oピンとしてプログラムした場合、再度書き換えるにはどうするのでしょうか?
他に書き換え制御用のピンがないので書き換えられないということでしょうか?

それからquartus web editionのMAX7000系のサポートがMAX 7000B, MAX 7000S, MAX 7000AEなんですが7000Aはサポート外ということですか?
260774ワット発電中さん:2008/10/26(日) 15:34:08 ID:AJOrrk46
JTAGリセットみたいな端子って無かったっけ?
それに印加されると見たいなじゃないのかな?知らないけど
261774ワット発電中さん:2008/10/26(日) 16:19:40 ID:4Kq/IY5k
>>259
データシートから抜粋な

MAX 7000Sデバイスでは、このピンをJTAGポートまたはユーザI/Oピンの
いずれかとして使用できます。デバイスがISPにJTAGポートを使用するように
コンフィギュレーションされる場合は、このピンをユーザI/Oとして
使用することはできません。
262259:2008/10/26(日) 23:33:59 ID:NDI8aa/Y
お二方ありがとうございます。
データシートは読んだのですが、I/Oとして使用することはできないと2度と書き込みできないが同じか気になってました。
無理なようですね。

263774ワット発電中さん:2008/10/27(月) 18:48:28 ID:8f3kqUWu
PC → FPGA[ 画像入力処理 → 画像処理 → 画像出力処理 ] → PCに戻す

というようなシステムを作って、画像処理のアルゴリズムをいろいろと試したいんですが、良いキットなどはないでしょうか?
画像処理の内容としてはぼかしなどの積和演算や複数枚のフレームを参照しての処理などを考えています。
画像処理の部分に注力したいので、入力処理と出力処理は複雑でなく簡便に組めるようなものが良いです。
画像解像度は大きいに越したことはありませんが、入出力処理が難しくなると困ります。

ご助言、よろしくお願いいたしますm(_ _)m
264774ワット発電中さん:2008/10/27(月) 19:34:25 ID:1o57ALFC
>>263
比較的簡単に組めるPCとの接続手段はRS232Cしか思い浮かばないけど
ハードウェアを外付けにしたいほどの画像処理を行うのならUSB2.0だろうが速度間に合わないような…?よくわからん。
265774ワット発電中さん:2008/10/27(月) 19:54:58 ID:rsb2H6SP
>>264
リアルタイム処理かどうかで変わってくるだろうけど、それだと解像度も気にしないと思うが。よくわからん。
266774ワット発電中さん:2008/10/27(月) 20:08:09 ID:Bzoay/UJ
複数枚のフレーム参照する時点で画像処理用のメモリ積んでないといけないのは確かだな
TEDで半年前ぐらいに発表されたPCI-EのボードはPCとの通信だけなら簡単らしいが、メモリは自由に扱えないのかな?できるならそれがいいと思う
結局の所よくわからん。
267263:2008/10/27(月) 20:10:14 ID:8f3kqUWu
>>264
>>265
RS232Cだったら回路を組んだことがありますので大丈夫です。
画像処理といっても、いつもソフトで組んでいるアルゴリズムをVHDLで作るにはどういうふうに
するのかを学習するためで、ハードウェアロジックの高速性を活かして云々ってことは狙ってないんです。
もちろん、リアルタイムで動画像を処理するなんてことも考えていません。
PC上のWin32apiアプリでビットマップの一枚絵をシリアル送信して、それをFPGAで何か処理、後はそれを
PCにシリアル送信で返してやる(PCのシリアル受信ソフトでログを取ってそれを画像化?)というふうな
処理になるでしょうか。

FPGAとしてはアルテラのを使いたいのですが、Cycloneのスターターキットとかが良いでしょうか??

>>266
PCI-Eスロットが使えるのですか!?
通信のライブラリ(?)を用意してくれてるようであれば、とても簡便に済ませそうですね。
よろしければ参考に教えてください。
268774ワット発電中さん:2008/10/27(月) 21:02:56 ID:dqqg72f2
PC → FPGA[ ID転送処理 → Trip解析処理 → Match出力処理 ] → PCに戻す
というシステムを作ろうと思うのですが
「ID転送処理」の部分と「Match出力処理」の部分は
どのようにPCとインターフェースを作ればよいのでしょうか?
269774ワット発電中さん:2008/10/27(月) 22:27:29 ID:KaLfqIOh
それはFPGAで作らないといけないものなの?
CUDAとかでGPU上でやるのはだめなの?
270774ワット発電中さん:2008/10/27(月) 22:39:43 ID:5k958GeP
自分で手計算じゃだめなの?
271774ワット発電中さん:2008/10/27(月) 22:49:03 ID:EDy4gvk3
俺ならシミュレーションだけで満足してしまう
272774ワット発電中さん:2008/10/28(火) 00:23:32 ID:tYEXpKOQ
ttp://www.nicovideo.jp/watch/sm5042812
こういうの見ると実際にもの作りたくなるね
273774ワット発電中さん:2008/10/28(火) 01:46:34 ID:/FmwOlDw
>>267
アルテラならQuartusのMegaWizardだかSoCBuilderだかにPCIインタフェースだかPCI-EインタフェースだかのIPがあったような気がする?
うろ覚えだし有料だったかも知れんから自分で調べてみて。
274774ワット発電中さん:2008/10/28(火) 03:39:37 ID:pCiCJJif
教えてください。

本を読んでいて疑問に思ったのですが、FPGA内蔵の乗算器について教えてください。
この乗算器、X * Y を、1clockで出来るのでしょうか?
それとも内部clockを逓倍して、その逓倍clockを使って実行するのでしょうか。
例えば、外部からclock50MHzを入れて、内部はDLLで逓倍した200MHzのclockで
FPGA全域が動いているとき、乗算器を使うと、この乗算器の出力は、
1/200MHz = 5nsでかけ算してしまうということでしょうか。

さらに、もし1clockで乗算できるとしたら、そのbit幅は制限があるのでしょうか。
16*16なら5nsで行けるけど、32bit*32bitだと35nsに落ちる、とかです。

本によると、これらの乗算器は、割り算もできるとのことですが、
割り算も32bit/16bitとかでも1clockで処理してしまうのでしょうか。

以前にZ80などで乗除算をやったときは、かけ算も割り算も forでグルグルと
まわして、えらく時間がかかったことを憶えているので、1clockでできたら
それはすごいと思うのです。

宜しくおねがいします。
275774ワット発電中さん:2008/10/28(火) 06:43:41 ID:PPrAiI+m
九九表持ってる
276774ワット発電中さん:2008/10/28(火) 09:08:27 ID:PvjHqgcV
>>274
ビット幅の短い(2ビットとか4ビットとか)乗算器を考えてみなはれ。
理論上は組み合わせ回路で組めることがわかるはず。
実際はLUT(九九表だな)と加算器の組み合わせになるとおもうけど。

除算はどうしてもアキュムレータがないと処理できないので
(すなわち筆算だ。RADIX某とかもだ)
段数は要る。精度が要求されない場合、ニュートン砲で
序数の逆数を求め、最後に乗算。

このへんの入門を、マイコミでアンドゥせんせが書いてるので探せ。
277774ワット発電中さん:2008/10/28(火) 09:27:17 ID:PvjHqgcV
自分で突っ込んどくけど

   ニ ュ ー ト ン 砲 ・・・


カコイイ
278774ワット発電中さん:2008/10/28(火) 11:39:48 ID:PPrAiI+m
10進補正ってHDLで書くのは簡単ですか?

結果が0Bだったら7segLEDに11って表示するやつです
279774ワット発電中さん:2008/10/28(火) 11:50:56 ID:dcM5IDSy
>>275, >>276
ありがとうございました。
ということは、このように考えれば良いでしょうか。
・bit幅に関係なく、1clockで処理できる。
・乗算モジュールには多bit*多bitの九九表が作り込まれている
・除算は、1clockではできない。ただし簡便な方法なら1clock+1clockで行ける。

確かに、2bitや4bitなら、内蔵乗算器を使わなくても、組み合わせ論理回路で可能ですね。
これらは、すでにやってみました。結局全通りのマトリクスが組まれるようです。
ただ、この方法で多bitの乗算までいけるのだろうか? 1clockでできるのだろうか?
そのモジュールにはそれが作り込まれているのだろうか? などが疑問でした。

さらに教えてください。
その乗算モジュールにもbit幅の限界はあると思うので、それを超える多bit計算の場合は
複数のモジュールで分担して実施される、という理解で良いでしょうか?
さらに、その分担は、ツールが考えてくれるので、ユーザーはHDLに記述するだけで良い、
という認識でいいでしょうか?

宜しくお願いします。

ありがとうございました。



280774ワット発電中さん:2008/10/28(火) 12:07:19 ID:DlhmeOcK
>>275-279 ぜんぜんちがう。

>・bit幅に関係なく、1clockで処理できる。
FPGA内蔵の乗算機は、最初からビット幅が固定。
4×4bitの乗算をしたくても18×18ビットの乗算機を使う。(XILINXの場合)

ハードウェア乗算機は18×18ビットの乗算を1クロックで処理できるので、
4bitでも8bitでも17ビットでも当然1クロックで処理できる。

>・乗算モジュールには多bit*多bitの九九表が作り込まれている
ちがう。
18×18bitの乗算を一気に行う組み合わせ回路(ANDとOR、NOTの塊)が入っている。

ブース 乗算 でググレ
http://journal.mycom.co.jp/column/architecture/080/index.html

>・除算は、1clockではできない。ただし簡便な方法なら1clock+1clockで行ける。
概ね正しい。クロック数については要検討。
281774ワット発電中さん:2008/10/28(火) 14:05:22 ID:mUGxEYp8
>>280
分かり易いご回答、どうもありがとうございます。
なるほど、固定bit乗算器で、常時そのbit幅で計算する、ですね。
激しく納得しました。ありがとうございます。

>18×18bitの乗算を一気に行う組み合わせ回路(ANDとOR、NOTの塊)が入っている。
そうなんですか。なるほど、なるほど。スゴイですね、というか、当たり前なのでしょうが。
さらに1clockで実行できるので、18*18bitともなると
内部のAND, ORゲートを何段くぐるのかわかりませんが、ゲートそのものはすごく速く動くんですね。
なるほど、疑問氷解です。
どうもありがとうございました。

先日2bitの掛け算回路をXSTにかけてみたのですが、ゲートを3〜5段くぐっていました。
併せて、割り算もやってみたのですが、組合せ論理回路なので、エラーになりました。
このエラーに関しては、FFなしでは計算できないのだろうと、納得していました。
実際HDLの中に割り算を書いたら、割り算に必要なclock数を待つようなHDLを
書かないといけないのでしょうね。
例えば、
  sin波信号--->A/D--->FPGA内部--->乗算器X--->FPGA外部--->D/A
  VR---------->A/D--->FPGA内部--->乗算器Y
のように、VRでSin波の振幅調整をするような場合は、乗算だけで済むので高速処理。
ところが、乗算器Yに○○/○○の結果を使用となると、一気に?処理速度が落ちてしまう、
という感じですね。
制御物の場合、割り算は結構出てくるので、そのように心得たいと思います。


282774ワット発電中さん:2008/10/28(火) 14:18:39 ID:DlhmeOcK
>さらに1clockで実行できるので、18*18bitともなると
>内部のAND, ORゲートを何段くぐるのかわかりませんが、ゲートそのものはすごく速く動くんですね。

そう。
FPGAってのはゲートをLUTでエミュレートしているようなもの。
ゲートそのものはすごく速いよ。
CPUとエミュレータくらいの差がある。

割り算はFFを通す通さないの問題ではなくて、
CADが除算アルゴリズムを知らない、
あるいは決められないというエラーじゃないかい?
283774ワット発電中さん:2008/10/28(火) 21:26:27 ID:AtVkxOk2
>>278
簡単ではないのでBCDで処理した方がいい。
284774ワット発電中さん:2008/10/29(水) 01:19:38 ID:AMyHJYQy
>>279
>除算は、1clockではできない。ただし簡便な方法なら1clock+1clockで行ける。

愚直に筆算形式でやると、除算は、最低でも商の精度ビットのクロック数は必要
桁毎の大小比較+引き算が必要になるから。
ニュートン法を使えば劇的に改善できるが、テーブル(メモリ)要、テーブル検索要
285774ワット発電中さん:2008/10/29(水) 01:26:39 ID:b8oWQhQ4
xilinxのCPLDで、CCDユニットから読み込んだVGAの水平データを、
CPLD内部のラインバッファ(FIFO)にいれて、
CPUにDMA転送しようと思っています。

SpectronあたりのFPGAでやろうとしてましたが、価格的に却下されてしまいました。
とりあえずテストしてみたいのですが、お勧めのボードとかありますか?
7千円くらいのバッテンみたいな形のやつでは無理でしょうか?

286774ワット発電中さん:2008/10/29(水) 11:06:14 ID:kg6uNRyz
>>285

そんな事を聞いている貴方には無理と思います。

287774ワット発電中さん:2008/10/29(水) 11:14:10 ID:82nlSOhw
>>285
Spectronってなんだろ?バッテンの奴はCoolRunner-II スタータキットか?
VGAの水平データのFIFOということは640x24bit必要なわけ?
FPGAに不慣れなら、適当に同様の評価用のボードを買うのが手っ取り早いと思うが。
288774ワット発電中さん:2008/10/29(水) 11:49:57 ID:0eQmKELS
640×24bitだと、1ライン記憶するにも15360個ものFFが必要になると思う。
違うかな。
289774ワット発電中さん:2008/10/29(水) 11:54:46 ID:m1wNG5On
ラインバッファを内蔵したいならFPGAだろうね。
290774ワット発電中さん:2008/10/29(水) 15:16:05 ID:0eQmKELS
>>272
2〜3回見たら、メロディ覚えちゃったじゃないかぁ!!
291263:2008/10/29(水) 19:52:23 ID:p6p3g4Cj
>>273
ありがとうございます。
PCI-Eの場合はそれを使うことになりそうですね。


アルテラの開発キットを調べてみたのですが、
画像処理をやり易そうなものとして以下の二つをピックアップしました。

・CycloneII FPGA スタータ開発キット
 利点
 ・VGAが付いていて、小さなモニタで出力画像をチェックできる
 ・価格が安い(15000円くらい)
 欠点
 ・VGA出力が4bitしか対応しておらず、諧調が粗い
  (解像度は低くてもかまいませんが、できれば1ピクセル8bitほしいです・・・)

・NiosII エンベデッド評価キット CycloneIII エディション
 利点
 ・液晶ディスプレイがついている
 ・タッチパネルがついているので遊べそう
 欠点
 ・NiosIIのキットと称しているので、普通のロジックだけで画像処理および
  ディスプレイへの出力をするリファレンスなどがないかも??
 ・価格が高い(50000円くらい)

画像の入出力処理がそれほど難しくなく、NiosIIなしの回路でも組み易いのであれば、
後者を購入したいです。
とにかく、処理アルゴリズムに注力したいです。

上記のキットについて、使ったことがあったりしてお詳しい方、
情報お願いしますm(_ _)m
292263:2008/10/29(水) 19:58:12 ID:p6p3g4Cj
あ、でももしNiosIIを画像の入出力処理にだけに使うのであれば問題ないと思います。
NiosIIの実装は経験があるのでいけます。
293774ワット発電中さん:2008/10/29(水) 20:35:14 ID:ZLxtMwtL
ウゼー。
購入相談なら代理店にでもしろよ。
294774ワット発電中さん:2008/10/29(水) 20:44:59 ID:FCiL2zJZ
>>293の代理店教えてくれないか
そこらのFPGA導入したい個人ユーザ(大学の友人)に触れて回るからさ
295774ワット発電中さん:2008/10/29(水) 20:59:12 ID:ZLxtMwtL
個人じゃ代理店からは買えないと思ってるアフォがいるのか…
カワイソス…
296774ワット発電中さん:2008/10/29(水) 21:17:32 ID:+9vUsP5H
>>294
とりあえずストロベリーリナックスあたりを勧めてみる。
297774ワット発電中さん:2008/10/29(水) 21:23:05 ID:82nlSOhw
>>296
そこってXilinxじゃなかったか?
298774ワット発電中さん:2008/10/29(水) 21:43:48 ID:FCiL2zJZ
>>295
少なくとも俺はFPGAで何ができるか分らない人間の購入相談を受ける作業はしたくない
無料の購入相談もお仕事のうちにしている所が有るのならそこに全部投げたい

ついでに自分が相談してる所には投げたくない(関係を悪化させたくない
299774ワット発電中さん:2008/10/29(水) 21:44:19 ID:BmSxMlkq
>>294
とりあえず、メーカーの日本法人に電話で聞いてみては?
学生さん相手だと結構親切ですよ。
300くり:2008/10/29(水) 23:43:46 ID:c43Hp/U8
>>263

>・CycloneII FPGA スタータ開発キット

 今なら

http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=30

か、

http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=226

DE-70なら、大抵のことができる。カメラ出力(NTSC, PAL)を入力可。デジタル・カメラ

http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=281#section

もかなり秀逸。サンプル豊富。PCとの通信はNios+EtherもしくはUSB。最悪RS232C。

>・NiosII エンベデッド評価キット CycloneIII エディション

 基本的にNiosのデモ用。EP3C25F324では小さくて、Niosを入れると、そんなに
ユーザー回路を付加できない。ただし、Niosなしでも画像の入出力は可。ペリフェラルが
豊富すぎてユーザー用の空きピンなし。完成度は高く、タッチ・パネルの性能も
秀逸だが、DDR-SDRAMを使うと、一部のLEDがチカチカ出来ないと言うお間抜けな
バグあり。
 ちなみにこれらの製品は、すべてTerasic(台湾)社製。プッシュ・ボタンの耐久性が
あまり高くない、Sampleソースにや々台湾クオリティ…などが見受けられるが、
下手な日本製を買うよりは、遥かにお勧め。
301774ワット発電中さん:2008/10/29(水) 23:59:21 ID:ZLxtMwtL
実は2ヶ月前にDE2-70買った。今なら円高で安く買える。
302774ワット発電中さん:2008/10/30(木) 00:11:27 ID:gW686YAj
買うだけなら誰でもできる。
303263:2008/10/30(木) 00:44:28 ID:AKwRW0pk
>>300
とても詳しく教えてくださってありがとうございます!
DE2-70およびその関連パーツ、すばらしいです!
これの購入を検討することにします!

たいへん助かりました!
ほんとうにありがとうございました!
304774ワット発電中さん:2008/10/30(木) 00:56:40 ID:7Mbum9BY
テスト
305774ワット発電中さん:2008/10/30(木) 09:47:09 ID:zNzpbMC3
不況は始まったばかりだぞ。これからバブル崩壊後の不況とは
比べ物にならないすごいのが来るよ。外需依存の日本さてどうなることか。
俺、社内ニートから真性ニートまっしぐらって感じだな。
306774ワット発電中さん:2008/10/30(木) 12:34:20 ID:rPWd/Z+6
まあ円安すぎで、内需がどうにもなってなかったからな
この円高で鉄鋼が盛り返してきたらしいし、一次二次産業が頑張って、しかもきちんと労働者に金渡してくれればどうにかなるでしょ

派遣が間にいる限りまず\(^o^)/アリエナイ
307774ワット発電中さん:2008/10/31(金) 04:07:26 ID:jy2IcC6C
教えてください。

ADコンバータを探しています。18bit以上、100MHz以上、差動入力のもの。
現在16bit AD→FPGAという接続で行っているのですが、16bitでは足りなくなってしまいました。

あるいは、ADは16bitのままで、FPGAで計算することで、
18bitとか20bitとかに相当する結果が得られる、そんな手法はあるでしょうか?

308774ワット発電中さん:2008/10/31(金) 04:10:11 ID:jy2IcC6C
連投すみません。

16bit ADを複数使うことで、16bitを超えるbit数のADと同じ効果が得られる、
という手法はあるでしょうか?
309774ワット発電中さん:2008/10/31(金) 09:53:49 ID:C6QH/oek
なぜ16Bitで足らなくなったのか書かないとわかるわけないだろう。
っていうか、16Bitで足らなければ、アナログのほうも問題ありだと思うが。
310774ワット発電中さん:2008/10/31(金) 11:59:41 ID:ff4rO5fH
>>309
信号に対する希望分解能が1/100000なので、1/65536の16bitでは足りないんです。
20bitとかのADなら平均等すれば、LSBの方まで使えないかと思いました。
どうでしょうか?
311774ワット発電中さん:2008/10/31(金) 13:42:12 ID:EI7ICK3W
スレ違いのようなきがするんだけど、オーディオ用などに24bitADCってそれなりにあると思うが、なぜ16bitを複数使う必要があるんだ?
16bitは確かに品揃えは良いと思うけど。
312774ワット発電中さん:2008/10/31(金) 13:49:53 ID:ff4rO5fH
>>311
すみません、>>307
>18bit以上、100MHz以上、差動入力のもの。
と書いたのですが。
313774ワット発電中さん:2008/10/31(金) 14:33:43 ID:7EWgiECi
100MHzで100dBのS/Nって… 近くの人に訊けよ。
ビット拡張の原理原則論を語れる奴は多いだろうけど、
このレンジでの実装を語れる奴はそうそうおらんだろ。

後学までに、今のADCって何?
16bitで100MHzを扱えるもの(200MSPS以上)って知らない。
AD9461が16bit 130MSPSだし。
314774ワット発電中さん:2008/10/31(金) 16:03:39 ID:CJwqbS+k
>>313
俺の予想、16bitの高速ADを並列動作にしてADのサンプリングを下げている
ただS/H付けると精度が保てんだろな

>>308
あまりにもすごすぎる要求(18bit・200MspsのAD実現)で
それを実現するやり方なんて、知っていても会社の重要ノウハウレベルになり
簡単に教えられるものでないと思ふ。
自分でなんらかの方法を開発したほうが良いと思う。
315774ワット発電中さん:2008/10/31(金) 16:22:43 ID:EI7ICK3W
>>312
書いてあったのね、つか100MHz以上とは。すでに突っ込みが入ってるのでこれ以上は言わないが、
もうちょっと色々学んだ方が良いかと、ADCの知識とか以前に。
316774ワット発電中さん:2008/10/31(金) 17:41:56 ID:o44MRjfW
ID:EI7ICK3Wさん、かっこいい。
317774ワット発電中さん:2008/11/01(土) 00:26:27 ID:elXbcLlS
うむ〜最先端の高度な話だな〜。
基板設計や機構設計にもかなりの技術が要求されそうだな。
回路設計以外のノウハウがたんまり必要になるぞ。
318socket774@rc:2008/11/01(土) 22:06:18 ID:cOpU8v46
>>307
○防の仕事で16bit100MHzクラスのA/Dをアナデバ見つけたけど
有効ビット数がたしか12bitくらいだったと思う。
お宅の言ってることを察するに有効ビット数17bit以上必要だから
そんなA/Dはまだ存在しないんじゃないかな?
A/D複数使って有効ビット数上げる技術は知ってるけど
16bit以上というのは現実的に言って無理。
もしA/DがあったとしてもこのクラスのA/Dで
カタログスペック通りの性能を引き出すのは
かなりのノウハウがいるからそう簡単にはできないよ。

すれ違いかw
319774ワット発電中さん:2008/11/02(日) 00:30:48 ID:yFrDnvZP
>>307
入力信号のクセが分からないと何とも言えないが、
入手しやすい情報源として電波天文あたりの測定系に関する論文を読んでみるといいと思う。
分解能は低いがGHzオーダーの受信波を直接記録するようなとんでもないことを
やっているので低精度の部品を組み合わせて高精度のものを作るのには何かヒントに
なる情報が得られるかも知れない。
ただし、ジッタを嫌うので電子回路が恒温層の中とかクロックソースが原子時計とか
とにかく金がかかるが。
320774ワット発電中さん:2008/11/02(日) 01:38:30 ID:ob0qc0et
>アルテラの開発キットを調べてみたのですが、
そういえば、Quartrus8.0ってVistaで動く?
XPでは問題なかったのに、InternalErrorで落ちまくり。
Projectファイルの「開く」でいきなりコアダンプ

*** Fatal Error: Access Violation at 0X08CB2B60
Module: quartus.exe

0x87016B : ()
0x847500 : ()
0x84BE2E : ()
0x84BC27 : ()
0x84BB6F : ()
0x84BAA6 : ()
0x84B956 : ()
0x8B6A24 : ()
0x132E5CD : ()
0x132E7D2 : ()
0x131E62F : ()
0x12FF61A : ()
0x12F1631 : ()
0x12F17ED : ()
0x4B856C : ()
0x4A2AC9 : ()
0x4A4612 : ()
0x4BB3F1 : ()
0x4B856C : ()
0x4B842E : ()
0x4B9390 : ()
0x4C469B : ()
0x5B833E4 : ()
0x4B856C : ()
0x4B842E : ()
0x4B94B1 : ()
0x4B96FF : ()
0x1642668 : ()
0x4A333C : ()
0x4A4961 : ()
0x4A49B6 : ()
0x4A49DA : ()
0x12EEB47 : ()
0x131D7BE : ()
0x1320275 : ()
0x13203BE : ()
0x132041A : ()
0x130D730 : ()
0x130DE89 : ()
0x130DF86 : ()
0x5BCF9ED : ()

End-trace

回避方法知ってる人、plz
321774ワット発電中さん:2008/11/02(日) 11:40:44 ID:hJRJu4jL
>302

サービスパック1を当てていなければ、それを当ててみたらどうでしょうか?ひょっとしたらインターナルエラーが出なくなるかも。


322774ワット発電中さん:2008/11/02(日) 12:10:47 ID:ob0qc0et
>>321
んー。一応、アルテラOfficialから落としたSP1なんですけどねぇ
Vista対応とも明記されてるし
http://www.altera.co.jp/support/software/download/altera_design/quartus_we/dnl-quartus_we.jsp

代理店経由というわけでもないし、どこのサポートへ出して良いものやら
323774ワット発電中さん:2008/11/02(日) 13:31:14 ID:CMHPrr+A
>>321
VistaHomeBasic?
本質的な違いはないんだろうけど一応。
324774ワット発電中さん:2008/11/02(日) 15:44:05 ID:8OTUQOu+
325774ワット発電中さん:2008/11/02(日) 16:04:12 ID:ZlG0tjb/
>>318
あんた、三菱社員だろ
326774ワット発電中さん:2008/11/02(日) 16:06:16 ID:ZlG0tjb/
>>320
とりあえず8.1が10/30にリリースされたからそっちつかってみ
327774ワット発電中さん:2008/11/02(日) 16:41:21 ID:yE7kHN4b
あぶねー、Digikeyで在庫品のCPLD買うところだったよ
あったような気がしたんで根気よく探したらやっぱりあった。
浮いた分、将来使いそうな部品に当てた。
チラ裏
328774ワット発電中さん:2008/11/02(日) 17:51:40 ID:Bzisa8Mb
>>320
仕事か趣味か分からないけど、開発でVista使わないといけないのは辛いな。正直XPに戻せるなら戻した方が幸せなきがする。
329774ワット発電中さん:2008/11/02(日) 18:22:23 ID:rDnIfiBF
>>325
三菱が自ら仕事するわけないじゃないじゃない。
下請けの小さなところにポン投げで上前をはねるだけですよ。
330774ワット発電中さん:2008/11/02(日) 18:32:27 ID:rDnIfiBF
>>307
帯域広くなるとノイズ電力も増えるからある程度以上の分解能は意味がなくなると思うけど。
16bit、100Mspsは影響が見えてくる領域じゃまいか?
331774ワット発電中さん:2008/11/03(月) 00:57:03 ID:Do8msi2s
>>仕事か趣味か分からないけど、開発でVista使わないといけないのは辛いな。正直XPに戻せるなら戻した方が幸せなきがする。
やっぱ、そう思う?
PCが古くなったので新規に購入したんだけどね。
できるだけXP機を探したんだけど、もうほとんどがVistaで。
仕方なくVistaを購入。
なんだろ?
Quartusの底辺で動いてるcygwinがvistaとの相性が最悪って
話を良く聞くけど
332ままサル:2008/11/03(月) 08:48:37 ID:qpTo38vy
>>320
dbフォルダを削除してみる
プロジェクトを作り直してみる
MySupportにデザインをみてもらう
私ならこんな感じです。
333774ワット発電中さん:2008/11/03(月) 08:49:45 ID:un7IzcVP
開発環境をLinuxに移行してしまえば良いのではないと思って
調べてみたら、ISEに比べて、QuartusIIは制約が多いようですね。
334774ワット発電中さん:2008/11/03(月) 11:14:17 ID:m7WftViz
>>331
vista販売促進の為にXPは販売をやめてしまったよ。(古すぎるアーキのためにXPにはvista程のセキュリティも無いというしね。)
すでにOSの単独販売もOEM(パーツとの抱合せ販売)もメーカー提供(Dellなどへのプリインストール提供)もやめてしまっているはず。
たしか、まだ有効期間内のダウングレード権付きvistaかOEMの中古で買うしかないと思う。

しかも、最近まで販売していたのはすでにSP3適応済みだからまだメーカーツールで対応してない奴も多いかも。
もし単独で購入する際にはその辺も確認しないといけないかも。
335774ワット発電中さん:2008/11/03(月) 11:23:46 ID:jwU5LFgc
DSP版(パーツとの抱き合わせ)は2009年1月が販売終了だよ。
336774ワット発電中さん:2008/11/03(月) 11:27:44 ID:m7WftViz
>>335
あれ、まだ続いてたんだっけ?
うちの会社でITチームがひいひい言ってたから勘違いしてた。
多分オレはSP3以外入手不能になるのと間違えたな。申し訳ない。
337774ワット発電中さん:2008/11/03(月) 11:48:42 ID:j7crWkWQ
おはよう
338774ワット発電中さん:2008/11/03(月) 12:09:07 ID:sX9SByX7
>>336
最近期限が延びた
339774ワット発電中さん:2008/11/03(月) 12:55:51 ID:jD94/Vtf
>古すぎるアーキのためにXPにはvista程のセキュリティも無いというしね。

それは不正利用を検出する術がXPでは十分ではないというMSにとってのセキュリティな。
340774ワット発電中さん:2008/11/03(月) 13:02:20 ID:jD94/Vtf
>>335
その期限遵守するのかな?
Vista不人気を知ってWin7を早々発表してるのに。
すくなくともXP Pro. ユーザはWin7が安定するまでOSを変えないのが吉
341774ワット発電中さん:2008/11/03(月) 13:05:55 ID:MiFIfamv
>320

ところで8.1の話はWEBに出ている(↓)けど、実際にはまだダウンロードできない様(8.0SP1のページに飛ばされる)です。

http://www.altera.co.jp/b/quartus-ii-81.html

もうすぐダウンロード出来る様になるのは間違いないと思います。

よって、>326で言及されているように、こっちを使ってみる。

それでも駄目ならば、8.0無印に戻してみる。

それでも駄目ならば、XPに戻すが、Linuxを入れるか、あるいはVistaの設定を見直すとかどうでしょう。

Vistaでも動くようになったら教えてください。

342774ワット発電中さん:2008/11/03(月) 13:23:09 ID:jD94/Vtf

>実際にはまだダウンロードできない様

できるよ。
ここでは言えないけどアルテラからちゃーんとダウンロードできるというか既にした。
詳しいこと知りたければ
http://www.edaboard.com/
あたりで、ユーザ規約に違反しながらでも聞いてみ。
ちなみに製品版
81_quartus_windows.exe
のサイズは2,122,260,040 バイト(エクスプローラでは2,072,520KBと見える)
嘘かガセか君が正規にダウンロードできるようになってから確認してみ。
343774ワット発電中さん:2008/11/03(月) 13:26:08 ID:ZwbvWsjx
日本アルテラのホームページ作成能力の低さは異常
344774ワット発電中さん:2008/11/03(月) 15:16:35 ID:j7crWkWQ
くそー、マクロセル大幅節約できたのに、今度はファンクションブロック不足だよ。
345774ワット発電中さん:2008/11/03(月) 15:17:43 ID:j7crWkWQ
ファンクションブロックというは、配線領域不足っていうのだろうか・・
346774ワット発電中さん:2008/11/03(月) 18:22:20 ID:VW+PnUmo
347774ワット発電中さん:2008/11/03(月) 20:38:47 ID:7yszPIZW
>>345
そして再配置地獄へ
348774ワット発電中さん:2008/11/03(月) 23:22:06 ID:ZOy2gb4s
図らずも Vista で苦労してる人は、VMware を使ってみてはどうかと思ったりする。
俺だったら中古の XP マシンを開発用に買うけどね。
349774ワット発電中さん:2008/11/04(火) 01:30:51 ID:R14/j83d
VMからプリンタポートって普通に使える?
350774ワット発電中さん:2008/11/04(火) 03:25:37 ID:EfGCEVUd
いや、VM-Wareも考えたけど、エミュ・ロス無視できないでしょ。
VM-Wareの完成度がいくら高いとはいえ
351774ワット発電中さん:2008/11/04(火) 04:42:00 ID:CtsqaRse
アスロンの1GHzで普通にVMWare使ってますが。
CPUの速度よりHDの速度でほぼ決まってしまうので、大して遅くはならない。
352774ワット発電中さん:2008/11/04(火) 06:51:47 ID:CBMs+kYQ
RS232CやパラレルポートのないノートPCでも
FPGAで遊ぶ事はできますか?
なんか検索してみてもNGみたいな事書いてあったり、
あるいは高いそれ用のFPGAボードしか使えないように読めたり。
現状がよく分からないです。
353774ワット発電中さん:2008/11/04(火) 08:42:35 ID:ocT4SqXL
>>352
できるできないと聞かれれば問題なくできます。
価格についてはその人の金銭感覚でしょうからなんとも言えません。

たとえばザイリンクスならこのようなコンフィギュレーション用のケーブルがあります。
ttp://japan.xilinx.com/products/devkits/HW-USB-II-G.htm
あとボード上にケーブル相当の回路を実装したものもあります。
354774ワット発電中さん:2008/11/04(火) 08:47:41 ID:R14/j83d
>>351
へー
参考になった
有賀豚楠
355774ワット発電中さん:2008/11/04(火) 09:01:26 ID:eZUAdN/3
RS232CならUSB変換も簡単に手に入るような
356774ワット発電中さん:2008/11/04(火) 09:14:28 ID:R14/j83d
USB変換のRS232Cだとドライブ能力足りなくてだめとかあったような
357774ワット発電中さん:2008/11/04(火) 15:21:21 ID:eZUAdN/3
>>342
なんかちょうど今、アルテラからQuartusII WE 8.1のアナウンスメールが来た。

>>356
そんなに流れるものかね?使ったこと無いから確かなことは言えないけど。
358774ワット発電中さん:2008/11/04(火) 16:59:53 ID:KuSDP/vw
>>351
アスロンの1GHz PCのスペック教えてくれ
HDDのメーカー・型名、メモリサイズ、ホスト・ゲストOS
VMwareのバージョンなどなど
359774ワット発電中さん:2008/11/04(火) 17:14:39 ID:UpMjlSg3
出たよ、うざそうなのが。
360774ワット発電中さん:2008/11/04(火) 17:28:15 ID:jc8/MzLa
うざそう。なんて言ったらまるでうざく無いみたいじゃないか。
361774ワット発電中さん:2008/11/04(火) 17:34:47 ID:CtsqaRse
>>358

 HDD:日立 320G
 メモリ:DDR 1.25G
 VMwareのバージョン:VMware(R) Player 2.03
362774ワット発電中さん:2008/11/04(火) 20:55:25 ID:afMGgbtQ
7200rpm
363774ワット発電中さん:2008/11/04(火) 22:37:35 ID:mS7blZq1
2か月前の記事だけど。
Achronix、1.5GHzの内部クロック周波数を実現したFPGAを製品化
http://journal.mycom.co.jp/news/2008/09/16/038/index.html

QFPのcyclone程度のデバイスがほしくない?
364774ワット発電中さん:2008/11/04(火) 22:41:29 ID:26ls0PoI
>>352
JTAGアダプタが自作できるという点からパラレルポートは貴重ですよね
ノートPC用にパラレルポート拡張カード(SPP-100、SPPXP-100 の2枚)を持ってれば何の心配もない
国内で高いと感じるなら尼の米国サイトで買えばまずまず安いし無問題
365774ワット発電中さん:2008/11/04(火) 23:03:49 ID:EGLxtchA
>>364
SPP-100、SPPXP-100 で自作JTAGアダプタ動作している?

自分が買ったPCIのLPTポート拡張カードではXilinxの自作JTAG認識しなかった
拡張カードならどれでも動くんじゃないと思い知らされたよ
366774ワット発電中さん:2008/11/05(水) 06:49:35 ID:h+hO5NUF
>>365
QUATECHなら大丈夫みたい
byteblaster, DLC3, Actelのパラレル、その他 giveIO とかからも使えるし
cygwin上の jtagtools で自作ルーチンだって動かせてるよ
ちなみにOSは Win2KとXP。vistaは持ってないから判らないけど...
367774ワット発電中さん:2008/11/05(水) 17:48:57 ID:ZgIQhqjT
>>366
ありがとう。と言うことはSPP-100、SPPXP-100 OKってことか。
ExpressCardのノート買ったとき、SPPXP-100を検討するか。
368774ワット発電中さん:2008/11/06(木) 11:59:58 ID:BcC5feBT

上の方で、乗算器の話が出ていたので、教えてください。

現在アナログ乗算器を使って、
  アナログ信号1×アナログ信号2=アナログ信号3として、
  そのアナログ信号3→16bitAD→デジタル信号3(16bit)として、FPGAに取り込んでいます。
これを、
  アナログ信号1→AD1→デジタル信号1(16bit)、
  アナログ信号2→AD2→デジタル信号2(16bit) として、それぞれFPGAに取り込み、
  FPGA内部の乗算器(符号1bit+数値17bit)を使って、
  デジタル信号1×デジタル信号2=デジタル信号3(32bit)にできないかと考えています。

そこで質問です。
・現在のアナログ乗算式では、デジタル3の信号は16bitしか得られないけど、
 後者の方法だと、デジタル3の信号は32bit得られて、前者より解像度が上がる
 のではないかと考えていますが、この考えは間違っているでしょうか?

・FPGA内部の乗算器は、1clockで動作するようですが、
 スパルタン3内蔵の乗算器でも
 100MHzの1clockで16bit×16bitが32bitで得られるものでしょうか?

宜しくお願いします。

369774ワット発電中さん:2008/11/06(木) 12:18:32 ID:6D/4bVxY
見かけ上のビット数が上がって、どれだけ意味があるかを考えたほうがいいな。
乗算器は、200MHzくらいまで動くよ。データシート嫁
370774ワット発電中さん:2008/11/06(木) 21:16:52 ID:lOqVIPV5
Spa3程度でも内蔵乗算器単体なら100MHzで問題なく動くよ
でも周りに加算とかMUXとかのゲート使う回路を組んで
トータルで100MHzがキープできるかは微妙
>>369も書いてるけど、そのアプリでの32bit化に意味があるのかは「?」
371774ワット発電中さん:2008/11/06(木) 21:28:03 ID:Qp42r5Rv
そもそも16ビットの取り込みさえ出来て無いレベルだと思われ
372774ワット発電中さん:2008/11/06(木) 21:50:04 ID:jQ+eUBb+
>368
> 後者の方法だと、デジタル3の信号は32bit得られて、前者より解像度が上がる
> のではないかと考えていますが、この考えは間違っているでしょうか?

誤り。

16bit ADC では必然的に15ppmほどの量子化誤差を含む。実際には桁違いに多いだろうが。
相関しないデータ間のかけ算では誤差は和になって、
16bit × 16bit では 15ppm + 15ppm = 30ppm ほどの誤差を含む。
つまり有効桁は15bitほど。
373774ワット発電中さん:2008/11/06(木) 22:01:52 ID:eaZJM9vN
>>372
つまりはアナログ乗算器のスペックがそれ以下である場合は、32bit云々の話は別としてデジタル乗算に変える意味はあるのかな?
374774ワット発電中さん:2008/11/06(木) 22:20:34 ID:jQ+eUBb+
>373
なんで掛算するか、ってことを無視すればイエスだけど、たぶん

アナログ乗算器以後のADCのコスト << デジタル乗算器の入力に必要なADCのコスト

でもあると思うので、その評価もしておく必要があるんじゃないかと。
加法でいうなら、差動入力をアナログで引き算して同相キャンセルしてから入力するか、
二つ入力しておいてデジタルで引き算するかという違いに近いもんがあるはず。
375774ワット発電中さん:2008/11/07(金) 00:15:08 ID:HlUnxKsz
みなさん、どうもありがとうございました。
解像度上昇は望めない見たいですね。
ただ、アナログ乗算器のoffsetズレとFeedthroughが気になるという問題もあるので
アナログ乗算器をやめて、早めにデジタルにした方が良いのかなと
思ったんです。

16bit ADコンバータの15ppm誤差というのは、1/65536=15.258ppmという
計算で良いでしょうか?
すると、
376774ワット発電中さん:2008/11/07(金) 08:48:01 ID:HaqOowsz
ADCに入力される雑音を計算してミソ。
ある程度以上の分解能は意味がなくならんかい?
377774ワット発電中さん:2008/11/08(土) 18:37:48 ID:e6V0g3te
ビット数上げればダイナミックレンジ広がるがノイズ対策が大変って結論でOK?
378774ワット発電中さん:2008/11/08(土) 20:54:41 ID:YY3KuWQ1
>>376
長時間のデータを取ってソフトでノイズ抑圧とか出来るような用途なら
多ビットが使えることもあるじゃね?

それこそ電波天文とか。
379774ワット発電中さん:2008/11/08(土) 21:20:43 ID:3oyEEVmy
まーとりあえず、ここでは100Mサンプル、16ビット以上ということみたいなので・・
バンド幅も考えないとね。
380774ワット発電中さん:2008/11/09(日) 00:12:11 ID:B9GpSBhb
自前でシリアル系ペリファイ作るとピンの多さが無駄に思える
実装面積ももったいないし、マジで少ピンCPLD出ないかな
20ピンもあれば十分。
381774ワット発電中さん:2008/11/09(日) 15:56:28 ID:B9GpSBhb
>>380自己レスだが
XILINXがCONFIG ROM内蔵出したので
少ピンはALTERAから出ると面白いかな・・
382774ワット発電中さん:2008/11/09(日) 15:59:02 ID:Ft638d8V
シリアル系ペリファイってなんだ?
383774ワット発電中さん:2008/11/09(日) 16:18:39 ID:B9GpSBhb
>>382
ペリフェラルコントローラーのつもりで書いた
RTLでもろもろの処理をやってI2CやSPIで転送するロジックの場合
物によっては10ピンくらいしか使わないで済むものがあるのでチラってみた
深く突っ込まないでくれ
384774ワット発電中さん:2008/11/09(日) 17:02:18 ID:k0QD0i81
シリアルI/Fデバイスをモリモリ載せた評価ボードを作って
キャンペーンをして、メーカーをその気にさせるんだ。
385774ワット発電中さん:2008/11/09(日) 19:33:43 ID:3kZ57PPz
> XILINXがCONFIG ROM内蔵出したので
MAXII対抗ですかね。
となると、CPLDを名乗る?
386774ワット発電中さん:2008/11/09(日) 20:49:10 ID:zbHl5696
>>385
配線プログラム領域がRAMなのがFPGAで、配線スイッチを直接ROM焼きしてるのがCPLDだっけ?
387774ワット発電中さん:2008/11/09(日) 20:52:15 ID:LRghgdYB
>>386
ROM焼きするFPGAというのも、ないわけではない。
少数入力(典型的には4)のLUTを基本素子にしているのがFPGA
多入力(18とか22とか)のAND-ORツリーを基本素子にしているのがCPLD
388774ワット発電中さん:2008/11/09(日) 20:56:35 ID:GD95Ln3Q
アンチフューズFPGAなる一発書きのFPGAもあったしね。
LUT式と積項式の違いってのが妥当な線でしょうか。
389774ワット発電中さん:2008/11/09(日) 21:01:29 ID:8Vpn6XN3
ということはROM内臓FPGA(スタートアップコンフィグ動作有り)で1ジャンルなのかな。やっぱり。
390774ワット発電中さん:2008/11/09(日) 22:30:00 ID:bgZj218l
ワンタイムFPGA? ヒューズ式の奴、セールスに来られた事あったな〜。
その当時としては異様に速度が速かった事とワンタイムの割りにかなり高価だった印象がある。
うちでは用途がねーよって事でお帰り願った。
391774ワット発電中さん:2008/11/09(日) 22:35:37 ID:GD95Ln3Q
結局ツールがねぇ。
392774ワット発電中さん:2008/11/09(日) 22:37:21 ID:GD95Ln3Q
> ROM内臓FPGA(スタートアップコンフィグ動作有り)

じゃ無くて、配線スイッチに電気流してくっつける(アンチヒューズ)だと思った。
Configフェーズは無かったと記憶してます。
39387:2008/11/09(日) 22:38:57 ID:XAGVG2LQ
秋葉原でMAXUやMAX7000が買える店って無いかな?
秋月も出来合いのDVDプレイヤやSDなんて始めないでPLDをやってくれればいいのに…
394774ワット発電中さん:2008/11/09(日) 22:44:24 ID:GD95Ln3Q
>393

デバイス単体なら
若松
http://www.wakamatsu-net.com/cgibin/biz/psearch.cgi?page=0&word=EPM

マルツも千石もあったよな。
395774ワット発電中さん:2008/11/09(日) 23:32:21 ID:KP5AZMmf
千石はXilinxのSpartan-2やCoolRunnerぐらいでALTERAの石はなかった希ガス
396774ワット発電中さん:2008/11/09(日) 23:40:38 ID:GD95Ln3Q
> ALTERAの石はなかった希ガス

そんな気がしてきた。ごめそ。
397774ワット発電中さん:2008/11/10(月) 01:41:49 ID:FCEQl5Ij
ザイリンクス社がFPGAビジネスの新モデル検討、新興の半導体ベンダーに供給(2008/11/07)
http://eetimes.jp/article/22521/
398774ワット発電中さん:2008/11/10(月) 19:46:19 ID:HCXwUoIK
0系新幹線引退だってよ、スレチですまん
399774ワット発電中さん:2008/11/10(月) 21:06:00 ID:QV2CWzzt
>>386
> 配線プログラム領域がRAMなのがFPGAで
>>387
> 少数入力(典型的には4)のLUTを基本素子にしているのがFPGA
>>388
> LUT式と積項式の違いってのが妥当な線でしょうか。
>>389
> ROM内臓FPGA(スタートアップコンフィグ動作有り)

どんな理論武装しても、メーカがCPLDと呼べばCPLDなんです。
400774ワット発電中さん:2008/11/10(月) 21:31:24 ID:HkN4/H9s
>>399
「プログラム可能な論理素子の複雑なもの」だし
401774ワット発電中さん:2008/11/10(月) 22:28:03 ID:lJf893ll
>>397
そこからXMOSのサイト行って、ついついXS-1の評価ボードポッチっとしちゃった。
楽しそうなもの教えてくれてさんきゅう。
402>>397っす:2008/11/11(火) 10:30:42 ID:Jr0YrqdF
うお!
その行動力に感服
そのうちレポよろしゅう〜
403774ワット発電中さん:2008/11/12(水) 04:17:49 ID:Hn1ttofu

今度はじめてTEDの研修(無料のヤツ)に行ってきます。

どんな雰囲気で行われるのか、今からワクワクです。

(お昼にお弁当か何か出るかな)
404774ワット発電中さん:2008/11/12(水) 09:03:55 ID:48cm3G4E
>>403
レポキボンヌ。
人数が少人数過ぎて敷居高くて申し込めてない。
405774ワット発電中さん:2008/11/12(水) 17:35:51 ID:iWejZXJL
>>403
無料のヤツに、弁当は出ないよ。
有料のヤツだと出る。というか含んでいたりする。
406774ワット発電中さん:2008/11/12(水) 21:45:44 ID:8ap4AOJl
ttp://www.hsjp.net/upload/src/up52198.gif
上図のようなコマンドコントローラーシステムを作りたいと思っています。

まずホストPCから全時間分のコマンドリストをFPGAの内蔵メモリにダウンロードします。
供給クロックをゲーム機のコマンド受付間隔である1/60秒に分周し、これをトリガに
順次、メモリからコマンドを読み出し、その数値に応じてゲームを操作します。

ボタンを押すときは
(ボタンの一方に繋がる信号線) <= (ボタンの反対側に繋がる信号線);
ボタンを離すときは
(ボタンの一方に繋がる信号線) <= 'Z';
としようと考えています。

この方針でまずいところがあればご指摘願いますm(_ _)m

407774ワット発電中さん:2008/11/12(水) 21:53:37 ID:f17Ld6dS
>>406
FPGAなんか要らない。
1/60秒単位なんでしょ?
適当なマイクロコントローラを使って、ソフトウェア制御した方が安上がり。
408774ワット発電中さん:2008/11/12(水) 22:25:58 ID:lC8we/NW
>>406
俺の頭が悪いのもあるけど、説明文とブロック図が一致していないのが気になる。
コントローラの仕様も分からんし、
>(ボタンの一方に繋がる信号線) <= (ボタンの反対側に繋がる信号線);
ボタンの反対側に繋がる信号線ってのも分かりません。
409774ワット発電中さん:2008/11/12(水) 22:34:14 ID:C4JgP5zY
>>407のレスは事実では有るけど結局>>406の答えにはなってないよね…


>>406
アナログ入力無しの古いゲームパッドや格ゲー用のコントローラーとかならそれでおk
(ほとんどの場合信号線+GND一本だけ取ってGNDに落すだけでおk)
PSコンは2の時点でボタンはアナログになってるからどうやるかは知らん
箱○コンは格ゲー専コン改造の人たちが改造用に信号取る場所書いた写真公開してたりする

ただ古いSFCコンやらPSコンやらSSコンとかなら、コントローラーの信号そのものの解析結果がどこかしらで公開されてたはずだから、
基本はそのまま信号スルーしつつ同期とっておいて、コマンド出したいときだけ乗っ取るようにして直接送るってのも良いかも

ついでにFPGAとゲームパッドの電圧の違いでアボーンしそうなの注意
410774ワット発電中さん:2008/11/12(水) 22:36:56 ID:C4JgP5zY
>>408
つ リレー(反対側に繋がる信号線と接続してくれる部品)
411407:2008/11/12(水) 22:45:15 ID:f17Ld6dS
じゃあ、ちゃんとアドバイスしとこう。
> ボタンを押すときは
> (ボタンの一方に繋がる信号線) <= (ボタンの反対側に繋がる信号線);
> ボタンを離すときは
> (ボタンの一方に繋がる信号線) <= 'Z';

ボタンの一方が常にコモンとは限らないし、信号レベルも合わないから、それじゃダメ。
きちんと解析するか、それとも>>410のいうようにリレーを使うか。
あるいはプランジャを使って実際にボタンを押すという、絶対確実な力技もある。(笑いをとるなら、これがお奨め)

412774ワット発電中さん:2008/11/12(水) 23:19:19 ID:Jqz4OVWd
>>406
トリガはゲーム機のビデオ映像出力から垂直同期を抽出して作るのが良いんじゃないかな。
413406:2008/11/13(木) 00:39:18 ID:ovpLEpaS
みなさん、ご助言ありがとうございますm(_ _)m

>>407
検討してみます。
>>408
ボタンを押すと二つの端子が繋がることになりますが、そのそれぞれの端子から線を引張って
FPGAに繋いだとき、各線のことを(ボタンの一方に繋がる信号線)、(ボタンの反対側に繋がる信号線)
としています。
>>409
対象となるゲーム機はPS3かXBOX360を考えています。
>PSコンは2の時点でボタンはアナログになってるからどうやるかは知らん
そういえばボタンもアナログになっていましたね・・・
格ゲーのスティックなら'0'、'1'でしょうからそちらを乗っ取ることにします。
>ついでにFPGAとゲームパッドの電圧の違いでアボーンしそうなの注意
ゲームコントローラーはたいがい3.3Vでしたし、AlteraのCycloneもそれくらいなら対応していたような・・・
でも電圧とかインピーダンスとかよく分からないので心配です。
よく調べてから行います。
>>410
>>411
精度や音の点で機械駆動部分は避けたいのです・・・
電気のほうが何かクールですし・・・
>あるいはプランジャを使って実際にボタンを押すという、絶対確実な力技もある。(笑いをとるなら、これがお奨め)
でもおもしろそうですねw
>>412
それが理想だとは思うんですが、うまく引き出せるか不安で・・・
できるだけその方向で進めます。
414774ワット発電中さん:2008/11/13(木) 01:52:59 ID:24+DSfxC
>精度や音の点で機械駆動部分は避けたいのです・・・
俺なら横着して74xx4053だな。
415774ワット発電中さん:2008/11/13(木) 21:51:29 ID:nTP87PZg
>>405
それはおまえんとこが、糞だから。
金になると思った大手のエンジニアを招くセミナーは無料にして、
数千円の弁当+セミナー修了後は接待があるのが普通
416774ワット発電中さん:2008/11/13(木) 22:54:30 ID:yBZlqI6F
>>415
 お前、ここが自営業者のスレを理解しているか?
417774ワット発電中さん:2008/11/13(木) 23:23:52 ID:ndPdBF5r
> お前、ここが自営業者のスレを理解しているか?

おめえ、日本語の文法理解しているか?
418774ワット発電中さん:2008/11/14(金) 00:30:24 ID:HfSBU0So
セミナー終わった後、ホテルのレストランに連れて行かれてフルコースってのあったな〜。
大手の開発部門にもぐり込んでたおかげで無料で参加できたわけだが。

まっ、俺の会社の看板じゃセミナーっつても、弁当無しとか、あっても500円程度の弁当だけどな。
零細でもソリューションセミナーとかだったら立食パーティーくらいあり付けるよ。
419774ワット発電中さん:2008/11/14(金) 00:33:24 ID:8jpwyS2S
むかし、パルテック、アルティマとも、一日セミナーで弁当出たんだけどね。
420774ワット発電中さん:2008/11/14(金) 00:41:19 ID:PhXJSKxw
>>419
現場のエンジニア接待しても、導入を決めるのは上だってことに気が付いたじゃね?
421774ワット発電中さん:2008/11/14(金) 00:44:49 ID:lvg9WbEi
>>420
その上の人間も現場からレポートがあがってこないと採用できないわけだが。
422774ワット発電中さん:2008/11/14(金) 03:55:27 ID:0HAIB9k5
アルテラの無料セミナーでは弁当が出たな
つーか、弁当が出るかどうかよりもセミナーの中身の方が重要だろw
423774ワット発電中さん:2008/11/14(金) 08:49:15 ID:Z9MFbCBH
>>421
そんなレポート意味ない場合も多いわけだが。
424774ワット発電中さん:2008/11/14(金) 09:20:05 ID:lvg9WbEi
>>423
内容には意味がない。しかし製品名が知らされなければ選定できない。
425774ワット発電中さん:2008/11/14(金) 18:54:09 ID:oV1rfhle
宝くじも買わなきゃ当たらない。
426774ワット発電中さん:2008/11/14(金) 19:55:33 ID:7b4E6ZIx
>>422
いいや、弁当の方が大事だ。どうせセミナー後ろの席でグーグーだろ。
427774ワット発電中さん:2008/11/14(金) 20:02:35 ID:jdrCR+n3
コーヒー2本ぐらい飲んでから弁当食って、15分昼寝すればセミナーばっちり
428774ワット発電中さん:2008/11/14(金) 20:27:22 ID:lvg9WbEi
せいぜい15人くらいのセミナーで寝るとはなんという強者・・・
429774ワット発電中さん:2008/11/14(金) 20:52:36 ID:kb8UGC/A
半数は寝てるだろJK
430774ワット発電中さん:2008/11/14(金) 21:14:22 ID:DFREw5tG
マンツーマンのセミナーで爆睡したことあるぜ?!
昼休憩で5分ほど昼寝して・・・と、気が付いたら13:30
431774ワット発電中さん:2008/11/14(金) 21:19:38 ID:lvg9WbEi
>>430
講師の人は何してたの?シャドー講義?
少人数のって翌日から営業コールがわんさか入って買うの義務!みたいな
印象あるけど、そんなゆるい雰囲気なら次回申し込んでみるかね。
432774ワット発電中さん:2008/11/14(金) 22:42:55 ID:GOJUwgqe
>>431
すでに顧客なら営業コールなんてないよ。
433774ワット発電中さん:2008/11/14(金) 23:18:24 ID:HfSBU0So
会社に出張セミナーに来てもらって、講師の人に俺が弁当出してやった事もあるぞ。
800円程度の弁当だけどな。 800円で仲良くなれりゃ安いもんだ。
434774ワット発電中さん:2008/11/15(土) 05:40:53 ID:MWWC638n
秋月の300円液晶を2006年4月号のトラ技の付録で駆動させたいなと考えているんですが
ロジックエレメント数なんかはどのくらいの算段すればいいんでしょうか?
435774ワット発電中さん:2008/11/15(土) 12:44:12 ID:PCkCcYFb
>>434
ちょっとググって見るとその液晶用のコントローラ作ってる人も見かけるから試しに落としてみると良い。
CPLDでできてたぐらいだから何とかなると思うけどな。
436774ワット発電中さん:2008/11/15(土) 13:48:37 ID:xRUns1WD
>>431あまりにも気持ちよさそうに熟睡してたから
起こすのが気の毒になって、そっとして置かれてた。
講師のヒトはその間ノートPC拡げて自分の仕事してた。
437774ワット発電中さん:2008/11/15(土) 17:00:57 ID:EIweLfL+
アルテラの営業さんがんばってたな。
もし営業に転職することがあれば、お手本にしたいくらい。
438774ワット発電中さん:2008/11/15(土) 18:39:19 ID:2s0Rv2tX
アルテラの営業さん、イイ人だ。
439774ワット発電中さん:2008/11/15(土) 23:13:24 ID:1IrTdQnI
>>437-438
アルテラと直取引できるなんて凄いな
440774ワット発電中さん:2008/11/16(日) 00:00:01 ID:SFHsYVyI
>>439直ではないのだが、書くとまずいだろうから曖昧にしてみた
441774ワット発電中さん:2008/11/16(日) 10:27:11 ID:Fllt4O7/
>>435
レスありがとうございます.
探して試してみます.
442774ワット発電中さん:2008/11/19(水) 01:50:12 ID:Sii2zWvF
うちはアルテラは丸文から買ってるけど、日本アルテラは直販して無いだろう。
と現購買担当が言ってみる。

ところでverilogのいい参考書はないかねぇ?回路図入力では設計してたんだけどね。
現役に復帰したいわ。
443774ワット発電中さん:2008/11/19(水) 22:41:53 ID:+l5kNz4g
・参考書
VHDL:グーグル
Verilog:グーグル
SystemVerilog:グーグル
SystemC:グーグル

まあ、とりあえずCQ出版のHP見に行けばいいと思うよ
そろそろ「FPGA/PLD設計スタートアップ2009/2010年版」もでるかな?4月だったか。
444774ワット発電中さん:2008/11/19(水) 23:21:49 ID:Ccb2IFn1
DesignWave季刊化はこっちでは話題になってないね。
さすが、そんなもんイラネなハイレベルのひとばっかなんだな。
445774ワット発電中さん:2008/11/20(木) 00:07:09 ID:bL3hDfpU
>>444
ってか、季刊に戻るだけだからなぁ…。
446774ワット発電中さん:2008/11/20(木) 06:41:37 ID:FNhboSF7
>>444
そうなんだ、Interfaceは?
447774ワット発電中さん:2008/11/20(木) 10:27:29 ID:KWGn1TNm
質問です。
XilinxのXC9500XLシリーズは出力機能をもち、2.5V or 3.3V を出力できるのですが、この電圧は直流ですか?それとも交流ですか?
交流の場合は、周波数はCPLDの基本クロックと同じですか?データシートを見ましたがいまいちわかりません。
CPLDの基本クロックをディバイダで分周して38kHzまで下げて、赤外線リモコンを作ろうと思っているのですが・・・
想定している回路は下のようなものです。

http://www2.uploda.org/uporg1796749.png.html

3.3Vの出力でLEDを駆動し、38kHzで点滅させようとしています。。。
448774ワット発電中さん:2008/11/20(木) 12:38:40 ID:NsxlTx/q
PLD の出力から交流が出るなんて発想初めてだ。
マイコンや PLD なんかの制御 IC の出力で LED を直接ドライブするのは
基本的にご法度だと思っていたんだが、俺が最近のトレンドを知らないだけか?
449774ワット発電中さん:2008/11/20(木) 12:54:24 ID:FNhboSF7
>>448
まったくの同意見、ドライブ能力があったとしても普通はやらないと思う。
450774ワット発電中さん:2008/11/20(木) 13:16:31 ID:DxvVNkQc
VCCをAC100Vにつなげればもしくは
451774ワット発電中さん:2008/11/20(木) 13:44:02 ID:Zki1Tv6m
>>448
>基本的にご法度だと思っていたんだが、俺が最近のトレンドを知らないだけか?

最近のトレンドを知らないのではなくて、理屈で設計せずに思い込みで設計してるだけだと思う。
452774ワット発電中さん:2008/11/20(木) 14:00:45 ID:FNhboSF7
>>448
どうやら簡単に調べてみると最近?は直接ドライブするのもありらしい。ちゃんと定格を守っての話だけど。
453774ワット発電中さん:2008/11/20(木) 14:07:52 ID:Zki1Tv6m
手元にある、財が世界で最初に出したFPGAの評価基板でさえLEDドライブしてる。
454774ワット発電中さん:2008/11/20(木) 14:26:38 ID:ujjYbI3k
赤色なら数mAで十分
455774ワット発電中さん:2008/11/20(木) 18:36:08 ID:Ax2I+gIt
元が明るいからね。
2〜3mAながせば緑でも赤でも見えるよ。
基板上のモニタLEDとかなら十分かと。
456774ワット発電中さん:2008/11/20(木) 19:14:36 ID:Ld49YpMe
>>444
値段高すぎだったからな。
俺、DWM個人で買うときはおまけのあるときだけだったからな。
457774ワット発電中さん:2008/11/20(木) 22:16:46 ID:mIL14hWS
制御線にぶら下げるならともかく、
ピンを専用に割り当てるなら気にしない。
UserGuideには回路例も書いてある。

むしろデフォルト設定は
ドライブがちょっと強い気がするので下げてる。
458774ワット発電中さん:2008/11/22(土) 15:09:16 ID:TchiWH/j
>>456
きっと、これからは毎号おまけ付きだな
459774ワット発電中さん:2008/11/23(日) 21:23:15 ID:Oe4LauBz
MAX II マイクロキット
Terasic Technologies Inc.のホームページでは$69なんだけど、
ソリトンウェーブでもCQ出版でも10,290円か。
もう少し安くならないかな。
460774ワット発電中さん:2008/11/23(日) 22:22:24 ID:VcKxO9G9
terasicからの送料考えたら、ソリトンやCQの値段はそんなに悪くないよ。
送料3000円以上するんじゃないかな?
461774ワット発電中さん:2008/11/27(木) 13:24:30 ID:16wdb+2j
$12
462774ワット発電中さん:2008/11/27(木) 20:07:36 ID:BtiLzd6D
あはは..
463774ワット発電中さん:2008/11/27(木) 22:51:00 ID:AsTgZEA1
来年から1$=\50だから600円だな。
宅配より安い
464774ワット発電中さん:2008/11/27(木) 23:15:23 ID:MVOzNx8V
$36だよ。
465774ワット発電中さん:2008/11/29(土) 05:13:53 ID:MqK8Oagk
あはは..
466774ワット発電中さん:2008/11/30(日) 15:13:30 ID:sZDKIY+F

FPGAをやってる人には 変わり者が多いと聞きました。

オタクだったり、仲間とまともな話ができないとか、自己中心的だったり。

本当でしょうか?
467774ワット発電中さん:2008/11/30(日) 15:18:49 ID:7kdn3w5w
うちの上司がそんなかんじだな。
468774ワット発電中さん:2008/11/30(日) 15:26:11 ID:7NDNG8U4
ちなみに俺の上司は>>467
469467:2008/11/30(日) 16:21:59 ID:7kdn3w5w
>>468
おいらまだ2年目だよw
470774ワット発電中さん:2008/11/30(日) 16:55:13 ID:51t3OUQS
>>469
まだ2年目だけど、オタクで、まともな話ができない自己チューだというカミングアウトですね
471774ワット発電中さん:2008/11/30(日) 18:58:41 ID:7NDNG8U4
上司は>>467なんだが部下に>>470がついてる
中間管理職な俺オタク\(^o^)/
472774ワット発電中さん:2008/11/30(日) 20:20:11 ID:Y0/ipjO+
>>466
本当です。早く立ち去りなさい。
二度とあちらには戻れなくなりますよ。
このスレに来た時点でもう半分は侵されてます。
レスなんかしてたら終わり。
あ、ここまで読んじゃった?
もう7割逝っちゃってますよ。
だめ、逝って。
はやく。


つづく
 ↓
473467:2008/11/30(日) 20:31:55 ID:7kdn3w5w
>>471
おい、テストベンチ書けたのか。
474774ワット発電中さん:2008/11/30(日) 21:40:21 ID:5LJKgRj6
このスレ同僚がいっぱい
475774ワット発電中さん:2008/11/30(日) 22:10:30 ID:RPILfOVP
PC104の拡張ボードを作ることになって、CPLD勉強中なんだけど
テストベンチってどういう風に網羅すればいいんだろ

社内には詳しい人誰もいなくて独学で回路書くとこまでは
こぎつけたんだけどテスト方法がよく分からんのですよ

論理的なミスを見つけるのはいいとして、タイミング問題をあぶりだす効率的な方法があれば
教えてもらえないだろうか

PC104なんてヌルヌルだからそんなのいらんよと思われるかもしれないけど
初めてづくめで怖いし、将来もっとシビアな回路をやることになったときのために
勉強しておきたいって思いもあるんだ
476774ワット発電中さん:2008/11/30(日) 22:20:21 ID:EraywUoi
俺も「この人、一生童貞」というタグがどこかに貼られている気がする。そうじゃ無ければ説明が付かない(´・ω・`)
477774ワット発電中さん:2008/11/30(日) 22:22:40 ID:t5wgQJve
CPLD内部であれば、コンパイル後のタイミングレポートを読む。
外部とのインタフェースであれば、何種類かのワーストケースでの
遅延条件を入れたスティミュラスを作ってシミュレーションを流す。

でどうでしょうか?
478774ワット発電中さん:2008/11/30(日) 23:05:28 ID:KvPR8O78
スティミュラスって何ですか?
479774ワット発電中さん:2008/12/01(月) 02:18:56 ID:6be+F5wg
ミュレーション
480774ワット発電中さん:2008/12/01(月) 06:29:41 ID:Tg8/gJJ8
茂樹さんでつ
481774ワット発電中さん:2008/12/01(月) 15:37:02 ID:7y9EWzo1

ActrlのFUSIONについて語るスレッドって、どこかにありますか?
それとも、ココで良いでしょうか?
482774ワット発電中さん:2008/12/01(月) 21:36:37 ID:T9QU+vDu
ザイリンクスってマウントパッド寸法って公開してる?
探しきれなんだ
483774ワット発電中さん:2008/12/02(火) 01:49:16 ID:ylcFOAzs
趣味で始めたんだが
アルテラのオンラインセミナーの無料の奴
個人で登録して見ることできるの?
484774ワット発電中さん:2008/12/02(火) 17:55:19 ID:SDXqBlq8
オンラインのやつなんざろくなチェックしてないから
余裕で見れるよ
485774ワット発電中さん:2008/12/05(金) 13:37:28 ID:sG249M0I

ザイリンクス 万歳\(^o^)/
486774ワット発電中さん:2008/12/05(金) 21:53:55 ID:buKzpBAC
アルテラ 万歳\(^o^)/
487774ワット発電中さん:2008/12/05(金) 23:48:17 ID:QXfeIh8c
ルーセント万歳\(^o^)/
488774ワット発電中さん:2008/12/06(土) 13:19:37 ID:sHdD9e1A
ルーセントって 何?

百分率はパーセント
電気取るのはコンセント
はイノセント
中央移動は首都遷都

うーん
489774ワット発電中さん:2008/12/06(土) 13:26:17 ID:L1MssQ6E
あーヤンキーの髪型。
490774ワット発電中さん:2008/12/06(土) 13:37:15 ID:kZmeRHw3
横浜銀蠅4649!
491774ワット発電中さん:2008/12/06(土) 16:43:21 ID:wYqKt04x
それはリーゼント
492774ワット発電中さん:2008/12/06(土) 22:07:26 ID:VkWQtw/t
TMDSレシーバを自前で組んでみたいと考え,
CPLDとかFPGAとか初めて触り始めるんですが
プログラム言語やなんかと違って,どっから勉強したら良いのかわかりません><

入力,出力にどのようなものが必要かを考えた上で,
設計に関してはどのようなことから考えればいいんでしょうか?
たとえば入出力のタイミングチャートとかから考えるんでしょうか?
もしくは中身に配置する加算器やレジスタなどの構成を目的のチャートに合わせるんでしょうか?
493774ワット発電中さん:2008/12/06(土) 22:13:17 ID:/absRPkt
まずはTMDSのデコード仕様を調べることだと思います。
494774ワット発電中さん:2008/12/06(土) 22:44:43 ID:VkWQtw/t
ttp://it.jeita.or.jp/document/publica/standard/summary/Dism-v1j.pdf

こんな感じの資料を見つけたので読んでみました.
デコードした後は小型液晶に表示したいので,
ひとまずRGB6ビットずつに分解してやりたいと考えてるんですが・・・・
495774ワット発電中さん:2008/12/06(土) 23:00:46 ID:/absRPkt
TMDSって単純にパラレルシリアル変換しているわけじゃなくて
たしかエンコードしているんじゃなかったかな。
その仕様がわからないとTMDSレシーバは作れないと思いますよ。

>>494の資料にはざーっと見た感じそのような仕様は記載されていないようです。
TMDSレシーバなどのICの仕様書にもそういう詳細は記載されていなかったと思ったので
どうにかしらべる必要があると思います。
496774ワット発電中さん:2008/12/06(土) 23:14:11 ID:VkWQtw/t
>>495
本当ですか・・・
てっきり前者かと思っていました・・・
レシーバを素直に買ったほうが楽なのかもしれませんね.
497774ワット発電中さん:2008/12/06(土) 23:25:09 ID:/absRPkt
デコードの概略なら14頁あたりに記載があります。
それでも「遷移最少化(Transition Minimized)データに変換され」の部分が
不明なのでやはりそこは調べる必要がありますね。
498774ワット発電中さん:2008/12/06(土) 23:27:33 ID:hV3T3wxx
>492

これって役に立つ?

http://www.ddwg.org/lib/dvi_10.pdf

499774ワット発電中さん:2008/12/07(日) 15:53:35 ID:20OoOTwm
XC9536XLの入出力端子の最大電流が知りたいんだが、ググっても規格書読んでも見つからない。
XLじゃないやつは24mAってわかったけど全端子合計の最大電流も書いてないし。
どこいけば書いてあるか誰か教えてくれ。情報収集ばっかで全然進まねえ・・・
500774ワット発電中さん:2008/12/07(日) 16:13:51 ID:pCcv437g
ポーニョ ポーニョ ポニョ さかなの子 青い海からやってきた
501774ワット発電中さん:2008/12/07(日) 18:58:44 ID:d8PMuyJv
>>499
データシートにIccの計算式があるからそれ使えばok。
さらにテスト条件の電流値が書かれているから、そこから大体の検討ができるっしょ。
ピンの先に何をつけたいのか判らないけど、簡単な実験を行えばバッファが必要かすぐわかる。
モニタ眺めてモタモタするよりも、実験室でハンダコテ使ってテスト回路作ってオシロで確認する方が早い時もある。
502774ワット発電中さん:2008/12/07(日) 21:16:00 ID:20OoOTwm
それって主にIC内部の消費電流を推測・概算するための式だから違う気がする
負荷に7セグLEDを2桁(LED18個分)繋ぎたいから、DC特性としての絶対最大電流
(各IO端子ごとと、全IO端子合計の)を知りたいんだ。
Iccも最大がなくて1MHzでの標準電流って意味がわからん、あらゆる状態での
最大定格値かグラフじゃなきゃ意味ないと思うんだけど。
つかIcc=標準10mAって随分少ないな。AVRなんか全ポート合計が
数百mAとか普通で・・・ってLED駆動すんのに全然足r

そうか、高速ロジック回路に使うような素子から大量に電流
取り出そうとする時点で間違ってるのか。吊ってくる
503774ワット発電中さん:2008/12/07(日) 21:38:30 ID:4itFzQOw
>>502
AVRと比べるなよ・・・と思ったところで自分で分かったようだな。
がんばれ。
504774ワット発電中さん:2008/12/07(日) 23:36:46 ID:R1ACgUKs
>502
まあLVDSやPECLを直結したくなることもありそうだから電流値が
載ってないというのは意外だったけど、
とりあえず、ISEはCPLDについてはIcc電流を計算してくれないんかね。
505774ワット発電中さん:2008/12/11(木) 16:25:45 ID:ejqJzu5V

FPGAのブロックRAMを始めて使うので、質問させてください。

18k×1というBlockRAM(BRAM)が、16個入っているのですが、
4096アドレスの16bitのRAMとして使うことは出来るでしょうか?

予想するに、
HDLで配列変数を取れば、ISEが自動的に18k×1を4k×4にしたBRAMを、
4個パラにして4k×16bitを配線してくれるので、HDL上では何も意識せずに
使えるのではないかと思っています。

それとも、RAMB16というIPを組み込んでやらないといけないでしょうか?
506774ワット発電中さん:2008/12/11(木) 16:44:34 ID:EcTWYgWk
まず、デバイス名くらい書こうな…
ISEだからXilinxだね。
普通に配列取ればRAMは作ってくれる。どんな構造になるかはやってみないと何とも…
ってか、やってみれば?
507774ワット発電中さん:2008/12/11(木) 17:00:35 ID:ejqJzu5V
>>506
すみませんでした。デバイス名は、スパルタン3の200です。
大きな勘違いはないみたいで、よかったです。
ありがとうございました。

Block RAMになるか、分散RAMになるかは、ISEが自動的に決めてくれるのと、
HDLの書き方で推論を変えさせる方法があるように聞いています。
Core Genで、IPを引っ張ってきて作る方法もあると聞きました。

どうもありがとうございました。
508774ワット発電中さん:2008/12/13(土) 02:47:30 ID:YC62EiW1
実装にまわそうとおもったら、なんかAltera Stratix III回収、交換って話が来たんだけど、本当なんだろか?
シリーズ全部か対象だとか。nstatusがLに張り付いたままになって、configできなんだと。
デヅキーとかの在庫もそうなんだろうか。

StratixIII使ってる人います?
509774ワット発電中さん:2008/12/13(土) 03:06:41 ID:olNHy+6Z
>>507
Cyclone2だが、Case文でベタ書きしたら、ROM(RAM)扱いにしてくれず…

非同期リセットでのアドレス初期化(オール0)を止めたら、BlockRAMにしてくれた。
確実にBlockRAMに振りたいならば、IP(MegaCore)を使った方が楽だと思う。
510774ワット発電中さん:2008/12/13(土) 13:43:36 ID:Y0RlrUM/

フルスクラッチで書く というのは、どういうことを言うのでしょうか?
511774ワット発電中さん:2008/12/13(土) 18:16:28 ID:fY0w2XRh
>>508

特定のロットだけでしょ。
なんでも違うテストパターンで出荷検査したとかで動かない物が紛れてます。
4個実装して1個動きませんでした。
「3個は動いてるんだから1個だけ交換します」との事。
対象ロットで実装前なら全部交換かもね。
512774ワット発電中さん:2008/12/13(土) 18:39:34 ID:734qdPBC
>>510
IPを買わない・使わない
513774ワット発電中さん:2008/12/13(土) 18:50:23 ID:f9TFKJX4
>>512
ありがとうございました。なるほどなっとく。
0から全部自分で作るということですね。
514774ワット発電中さん:2008/12/13(土) 22:27:35 ID:UQ2t8CRQ
>511

ありがとうございます。
あー≒ノーチェックで出荷しましたなんですね。
極最近のデートコードじゃないとちゃんとチェックされてないような話でした。
1/4の確率ですか.....相当ですね。
515774ワット発電中さん:2008/12/14(日) 02:42:22 ID:zrZSsCkL
>>513
FPGAも自分で作りなさい
ウエハも自分で作りなさい
Siも自分で採取してください
516774ワット発電中さん:2008/12/14(日) 03:02:08 ID:MD31jZl8
地球とか宇宙は作らなくていいんですか?
517774ワット発電中さん:2008/12/14(日) 03:47:12 ID:zrZSsCkL
宇宙の元はなんでしょうね
518774ワット発電中さん:2008/12/14(日) 07:12:37 ID:Of0AmwPh
「最近すげー 歩留り いいなぁ」とホクホク調子に乗って出荷してたら
「すんません テストベクタ腐ってました 既出荷分の1/4は不良です」
となってガビーン
519774ワット発電中さん:2008/12/14(日) 07:24:23 ID:g18LQ8eb
先ずは神をconfigしてください
520774ワット発電中さん:2008/12/15(月) 18:33:42 ID:+diM+N0X
次は光と闇を実装してください
一日目はそれだけでよいです
521774ワット発電中さん:2008/12/15(月) 21:55:20 ID:aax3DnT0
2日目にやる事…忘れた。

そうそう、とりあず行動予定表には7日目に休み入れとかないと…
522774ワット発電中さん:2008/12/15(月) 22:32:25 ID:tiS1Y3Fg
>>519-520 なんかワクワクする!
523774ワット発電中さん:2008/12/15(月) 23:10:25 ID:rbenw2W+
とりあえず地球が出来たら教えて、基準電圧に使うから。
524774ワット発電中さん:2008/12/16(火) 00:23:26 ID:LlUkTTVS
     ____
   /__.))ノヽ
   .|ミ.l _  ._ i.)
  (^'ミ/.´・ .〈・ リ
  .しi   r、_) |  地球はわしが作った
    |  `ニニ' /
   ノ `ー―i´
525774ワット発電中さん:2008/12/16(火) 07:20:57 ID:2f29v3vR
ワロタw
526774ワット発電中さん:2008/12/16(火) 17:55:25 ID:Hy0tALfD
検証項目の書き方のサンプルみたいなのあったら教えてもらえませんか?
どう書けばいいか全然わかりません
527774ワット発電中さん:2008/12/16(火) 20:34:53 ID:3IpFVYaQ
○○モジュール検査項目表
1.○○が正常であること
2.××エラーのとき、▽ステータスを返答すること
3.○○の時XX条件で☆状態へ遷移すること
こんなんダメか?
528774ワット発電中さん:2008/12/17(水) 13:06:06 ID:3b7zxeIB
キタコレ

出荷開始!世界初 40nm FPGA、Stratix IV
http://www.altera.co.jp/b/stratix-iv-fpgas-silicon.html?f=hp&k=t0
529774ワット発電中さん:2008/12/17(水) 13:16:30 ID:fZKUTyEe
それよりもIIIを何とかしてくれー。
もう半年待ちだよ。まともな製品。
530774ワット発電中さん:2008/12/17(水) 22:50:11 ID:QdbGEXXL
quartus2ver8.0をダウンロードしようとしたら、1Gの容量に萎えたので、DVDを要求しますた。
要求した人、どのくらいで来たか教えてくれると助かる。
531774ワット発電中さん:2008/12/18(木) 00:34:06 ID:90kd5pug
>>530
今8.1だけど、8.0でいいの?
営業が持ってきた日だから、営業の暇次第だよ
年末の挨拶で来る予定とか有れば、その日かもw
532774ワット発電中さん:2008/12/18(木) 07:11:05 ID:05ghF219
しゅはきませりしゅはきませり
しゅはきませりしゅはきませり
しゅはしゅはきませり
533774ワット発電中さん:2008/12/18(木) 10:19:15 ID:Wo0N7t6P
>>530
BDでもP2Pで落とす時代に、


1GBぐらい余裕だろ。なんでそんな間抜けなことしてんの。
534774ワット発電中さん:2008/12/18(木) 10:35:03 ID:HutA3J+M
メーカーへの嫌がらせw
535774ワット発電中さん:2008/12/18(木) 18:26:08 ID:c23e9KCA
>>530
1Gとか普通でないかと。
いつも新しいの出たらDLして、半月後くらいにDVDが送られてくる。

536774ワット発電中さん:2008/12/18(木) 19:13:01 ID:f6ywDvjN
>530はまさかいまだにISDNなんじゃね? 
537774ワット発電中さん:2008/12/18(木) 23:51:42 ID:iCF8bQvX
でも秋月スレの荒らしってISDNだったよ?
538774ワット発電中さん:2008/12/19(金) 00:53:17 ID:GEalnoLq
そういやISEはもっと大きかったよな

DVDはQuartusだけ?シミュレーションは、どうするの?
こないだ貰ったDVDには、modelsimも入ってたけど・・・
539530:2008/12/20(土) 01:20:42 ID:sKKq9rWP
>>531
トン

>>533
会社で落とすとめんどい+過去の資産用にデータを持っておく。
使い方もきかんで何言うてる。

>>535
トン

>>536
はいはい。
540774ワット発電中さん:2008/12/20(土) 01:21:12 ID:sKKq9rWP
すまぬあげてしまった。
541774ワット発電中さん:2008/12/20(土) 02:55:37 ID:Q1Pyxsm+
yahohho- yahhohho-
no-bura yahho-

542774ワット発電中さん:2008/12/22(月) 11:28:48 ID:EbP5vFTn
現行のXILINX製FPGAまたはCPLDで、最小サイズのものは何でしょうか?
このスレのテンプレにあるリンクやGoogle検索してもデバイスの概要一覧
みたいなものが見つからず、困っています。

よろしく願います。
543774ワット発電中さん:2008/12/22(月) 11:35:34 ID:EoTgHBxq
>>542
各デバイスのデバイスファミリーデータシートを見ればわかると思いますよ。
544774ワット発電中さん:2008/12/22(月) 11:46:52 ID:5e3+fIMs
545774ワット発電中さん:2008/12/22(月) 14:46:14 ID:hIayinB+
最小サイズってのは、回路規模なのか、パッケージなのか、はたまたダイサイズなのかハッキリ汁!
546542:2008/12/22(月) 15:25:04 ID:EbP5vFTn
>>543-545
さんきゅうです。
実はパッケージサイズとダイサイズの違いがまだ分かっていないので、
単に「大きさ(寸法)」という意味でサイズという表現になりました。

CPLDのパッケージサイズだと CoolRunner-II が最小というHPがありました。
ttp://www.paltek.co.jp/xilinx/products/coolrunnerII/index.htm
ちなみに、CoolRunner-II はウィルコムの「W-ZERO3 シリーズ」に採用されているそうです。
ttp://japan.xilinx.com/japan/j_prs_rls/2006/design_win/0669sharp_j.htm
547774ワット発電中さん:2008/12/22(月) 15:44:05 ID:zAmWm6CE
最小パッケージだと5mm角のQFNとかだけど、個人では半田付けできないよ。
ちなみに、ダイサイズっていうのは中身のチップの大きさのこと。
548774ワット発電中さん:2008/12/22(月) 15:54:45 ID:JnBGBqSw
そんな小さいとカウンタ幾つか入れたらおわりかな?
549774ワット発電中さん:2008/12/22(月) 18:44:45 ID:5e3+fIMs
>>546
ま…負け惜しみというわけじゃないが、
CoolRunner は電源が2系統(以上?)必要だったりして
外付け部品が多くならないか…?
550774ワット発電中さん:2008/12/22(月) 21:15:53 ID:Vd4dlZEN
みなさんBGAとかはどうしてますか
551774ワット発電中さん:2008/12/22(月) 22:08:52 ID:FS/Bo4gk
>BGA

(1)古式田植え流
  ひっくりかえして、一本一本丹精込めて
(2)テキトー式リフロー炉
  オーブントースターでテキトー時間炙る

552774ワット発電中さん:2008/12/22(月) 22:54:59 ID:JnBGBqSw
>(1)古式田植え流
>  ひっくりかえして、一本一本丹精込めて
精々数MHzがいいところだな;;
553774ワット発電中さん:2008/12/23(火) 00:04:58 ID:G/avq+wR
>(2)テキトー式リフロー炉
>  オーブントースターでテキトー時間炙る

ホットプレートを使えとあれほど(ry
554774ワット発電中さん:2008/12/23(火) 00:57:05 ID:yFAyZR1x
どうせ脳内
555542:2008/12/23(火) 02:37:11 ID:WEqTuzqC
>>545
サイズというのはチップの厚みのことです。
556542:2008/12/23(火) 02:39:21 ID:WEqTuzqC
>>545
データシートみるのメンドイからさっさと教えてクレクレ
557774ワット発電中さん:2008/12/23(火) 04:01:47 ID:2Rsy19Hb
>>555-556
お前のチンコが最小( ´,_ゝ`)
558774ワット発電中さん:2008/12/23(火) 11:54:31 ID:sKT+hZrH
>>551

>(2)テキトー式リフロー炉
>  オーブントースターでテキトー時間炙る

ちょw
そんなでハンダ付けできるの初めて知ったw
559774ワット発電中さん:2008/12/23(火) 12:04:53 ID:M0Gy4M1p
日本人は、七輪でやってるって広めようぜ
560774ワット発電中さん:2008/12/23(火) 13:03:22 ID:pJndoNiT
よくさぁ、BGAの話題になると逆さまに付けて配線したぜ、みたいな武勇伝を
語っているのがいるけど、単にアフォ
561774ワット発電中さん:2008/12/23(火) 13:28:12 ID:U7ytOfVv
>560
ではオヌシの武勇伝を訊きたいな
562774ワット発電中さん:2008/12/23(火) 13:35:12 ID:78c+Csjj
>>560
なんでアホなの? 教えてください
563774ワット発電中さん:2008/12/23(火) 13:40:52 ID:q6UQ/NlS
ほんと、ちゃんと説明求む。
564774ワット発電中さん:2008/12/23(火) 14:12:16 ID:TUGSx/pf
>>551
(3)熱風あぶり仕上げ
ホットガンでテキトー時間炙る 。
気を抜くとふっ飛んでいく諸刃の剣

ぶっちゃけはんだ付けは適当でもなんとか…だけど
失敗したとき用のリボールキットが入手難(1.27mmとか1mmピッチで穴があいてるあれ)で困る。
565774ワット発電中さん:2008/12/23(火) 14:30:10 ID:kQKW6K5+
バネ圧着式とかできないかな>BGA

薄い板に丸頭な釘を打ち込んでベースにして、それにチップを
上からバネで押し付けるの。突き抜けた釘はそのまま足として使う。
566774ワット発電中さん:2008/12/23(火) 14:43:04 ID:GE45prO8
BGAのソケット使えば、足はピンになるよ。ソケットスゲー高いけど。
567551:2008/12/23(火) 15:23:17 ID:6eVXUZg1
あー棺桶みたいなソケットか。
聞いた話だと20マソくらいか。
(IC評価用途のちゃんとしたやつ)

あと、
その昔、FPGAでBGAが出始めた頃なんだが、
同僚氏がボード設計失敗したらしく、ジャンパしようとしたら、
Pin配線が完全内層クローズドで終了みたいなw 

その後様子見にいったら、
未実装ボードに熱硬化ボンドで極細ジャンパはわせて、
(外周から4列目くらいの深さまで)
その後(3)方式でやってたな。
今思い出した。

つーか、
かかわりたくねぇw とか思ったよ。
(気持ちではガムバレとは思うが、かかわりたくねー正直)
568774ワット発電中さん:2008/12/23(火) 18:43:27 ID:clQOXCfy
>>550
サンハヤトのBGA ft256=>2.54の変換基板は、買うなよ
載せるのに、基板業者に頼まないと半田できないし
アルファベット:番号の対応がXILINXと逆だし
ABCの抜けている文字も、サンハヤト:XILINX別で
2次変換図表がないとでてくるピンは、?
569774ワット発電中さん:2008/12/23(火) 19:30:52 ID:qpP7YOhj
BGAなんかは素直にソケット買うのも手だと思うが、高いけど。
ちなみに>>547で5mm角QFNが半田付けできないってあるが、普通に出来るよな?
570774ワット発電中さん:2008/12/23(火) 19:55:38 ID:gAcBeLxK
QFNの手ハンダ程度で困ることはなかろうが、CoolrunnerIIの最小パッケージCSPは
サイドにピンが出ず、しかも内周のあるBGAもどき。
571774ワット発電中さん:2008/12/23(火) 23:27:58 ID:1xaDD32f
Phenom X4 とCore2 Quadでは、どちらがコンパイルや、シミュレーション速度が速いでしょうか?
コアが増えてもあまり、速度には影響がないように思えるのですが・・・
572774ワット発電中さん:2008/12/24(水) 00:12:14 ID:h41m6EJ8
シミュレーションだと、大規模になってくるとメモリバンドも重要だよね。
Intelの新しいやつが良さげじゃね?
たしか3chDDRだっけ。
573774ワット発電中さん:2008/12/24(水) 00:22:06 ID:JuEPTqNB
ソフトが対応しないとどうにもならないらしい。
商社に聞いてみたほうがよいかと。
574774ワット発電中さん:2008/12/24(水) 00:35:39 ID:T2oRhWK8
やっぱ、SPARC じゃね?
575774ワット発電中さん:2008/12/24(水) 03:48:24 ID:0p2C3L6H

XilinxのVirtexに、クロックをつなぎたいのですが、どのようにするのが良いでしょうか?

クロック源は、SMA(つまり50Ωのシングルエンド)で 基板にやって来ます。
基板ではSMAメスで受けて終端し、10cm程度先にあるVirtexにclockとして入れたいです。
周波数は、100MHz程度です。

SMAのところで、ICを使ってLVDSなどの差動に変えてFPGAまで持って行って、
FPGAもLVDSの差動入力にして取り込むのが良いのか、それとも
妙な変換はせずに、そのままシングルエンドで持って行った方が良いでしょうか?

ご指導を宜しく御願いします。


576774ワット発電中さん:2008/12/24(水) 07:49:33 ID:DF/djs/O
>>571
ISE10.1にP4だと起動に1分ちかくかかるので
つらいので
Core2Dで16秒くらいだった
さらにi7にしても14秒くらい
シングルコアは、つらいが2以上で
クロックの高い方がよいのかな?
577774ワット発電中さん:2008/12/24(水) 08:41:33 ID:vE2+upjV
>>BGAのソケットって
下のパターンがBGAと同じでないと載らない
>>QFN
良質なフラックスを石と基板に塗ってトライ
578774ワット発電中さん:2008/12/24(水) 09:04:22 ID:N585HOqE
>>576
誰か訳してくれ。
579774ワット発電中さん:2008/12/24(水) 10:39:41 ID:M6aXIfN+
>578
i7を炭酸ガス冷却し、オーバークロックしろと イタコが申しております。
580774ワット発電中さん:2008/12/24(水) 11:26:56 ID:dpCbpzff
電源いれっぱで無問題
581774ワット発電中さん:2008/12/24(水) 12:04:00 ID:VuKcwKCt
i7って何ですか?
582774ワット発電中さん:2008/12/24(水) 23:11:52 ID:55Pku2rF
あたらしいインテルのFPGAですよ。
Windowsってソフトを実装して使う事で動的にゲートを変化させる事が出来るんです。
その計算結果で色々出来るんです、他社の製品とは違うんです。

……ごめん言ってみたかっただけ。
色々おかしくても気にしないでください。
583774ワット発電中さん:2008/12/24(水) 23:33:13 ID:c+mJHNA6
>>571
そりゃシミュレータの作り次第。
584774ワット発電中さん:2008/12/24(水) 23:38:14 ID:3oIrYLzq
>>582
>インテルのFPGA
見てみたい気がしないでもないw
585774ワット発電中さん:2008/12/24(水) 23:42:22 ID:DmW33/nQ
>>582
x86コア内蔵のFPGAなら使ってみたいかもw
586774ワット発電中さん:2008/12/24(水) 23:44:00 ID:JuEPTqNB
x86コア、ROM、RAM内蔵、I/O 1ピン搭載 高速にLEDがピコピコできます。
587774ワット発電中さん:2008/12/25(木) 01:05:28 ID:qpTR83jk
>インテルのFPGA
漏れもグッっときたw

そういやHPはまだか、
588774ワット発電中さん:2008/12/25(木) 09:38:51 ID:UEbHqmr5
うんこ
589774ワット発電中さん:2008/12/25(木) 14:05:04 ID:shyWTUPr
で、結局 i7というのは、何ですか?

インテル ソケット7 などのキーワードは聞いたことがあります。
 
590774ワット発電中さん:2008/12/25(木) 14:42:58 ID:x354dUcK
ぐぐればすぐわかる
591774ワット発電中さん:2008/12/25(木) 16:41:46 ID:mrr9UzmP
>590

軽石氏ね
592774ワット発電中さん:2008/12/25(木) 18:41:17 ID:xL/quFMs
そのクレクレ度、ビッグバン

こうですか?わかりません><
593774ワット発電中さん:2008/12/25(木) 19:01:53 ID:h2rC08Nd
>>575
バッファ入れるか、クロックのレベルを変化させないよう注意して使うか
君の方法で良いんじゃない?
594774ワット発電中さん:2008/12/25(木) 19:12:11 ID:mrr9UzmP
>575

10cmだったら、いじらないが吉。
ただししょっちゅう抜き差しするなら(ESDにさらされるなら)、保険の意味でバッファはありかも。
595774ワット発電中さん:2008/12/26(金) 22:16:52 ID:8Jh8wD7p
>>578
自作板住人の俺には分かった!

>>576
ISE10.1をPentium4の環境で起動すると1分ほどかかって辛かった。
Core2Duoの環境で測ったら16秒、クアッドコアのCore i7の環境でも14秒でマルチコア化による高速化は見込めない
だからといってシングルコアにするのはアレなので
デュアルコアCPUでクロックがとても高いもの(E8500やAthlon64X2 6000+など)を使うと快適なんじゃないか?

らしい。ひでえ文章だw

そういやQuartusはAthlon環境だと高速って聞いたけどマジ?
ちなみにISEは1コアしか使ってくれない。
596774ワット発電中さん:2008/12/27(土) 00:29:25 ID:JBPJ3B12
>>575
これから基板を作成するならばバッファを入れておく事をお勧めする。
理由はESDよりも、なにか問題が起きてからバッファを追加するより先に仕込んでおけば、スルーするか使用するか自分確認できる。

受け側だけで、出力側の条件がないのではっきりと判らんが、100MHz程度のクロックだと普通は大丈夫なはず。
597774ワット発電中さん:2008/12/27(土) 02:39:22 ID:3YNTrKLB
>ISE10.1をPentium4の環境で起動すると1分ほどかかって辛かった。

一回目プログラムをロードするときの時間

>Core2Duoの環境で測ったら16秒、クアッドコアのCore i7の環境でも14秒

二回目以降、キャッシュヒットしたときの時間

プログラム起動はほとんどがHDDのヘッドシーク時間ってことぐらい理解しとけや
598774ワット発電中さん:2008/12/27(土) 04:18:17 ID:ENRsENg2
>>597
ヘッドシーク時間じゃないよ。
ヘッドシーク時間1ms以下のSSDで起動しても倍速程度にしかならなかった。

ていうか、ISEほどでかいプログラムがCore2Duoの6MBそこらのキャッシュにヒットするわけなかろうがwww
OSとCPUの仕組みぐらい理解しとけよ
599774ワット発電中さん:2008/12/27(土) 04:24:12 ID:1t/HpsHR
起動じゃなくコンパイルの時間で比較しろよw
600774ワット発電中さん:2008/12/27(土) 05:02:14 ID:CxtzRXzJ
起動に一番時間が掛かってるんだろw
601774ワット発電中さん:2008/12/27(土) 05:03:46 ID:ENRsENg2
>>600
どんだけ小規模な回路しか組んでないんだそれw
602774ワット発電中さん:2008/12/27(土) 07:55:28 ID:v7uzj/jk
デカい回路の方が偉いんですよね
603774ワット発電中さん:2008/12/27(土) 11:14:04 ID:3R5zcuAF
>>ID:ENRsENg2
>ヘッドシーク時間1ms以下のSSD

なるほど、お前のSSDにはヘッドがあるんだぁ。めずらしーのー。是非ゆずってくれねーかい糞野郎
604774ワット発電中さん:2008/12/27(土) 11:30:10 ID:3R5zcuAF
>>ID:ENRsENg2
>ISEほどでかいプログラムがCore2Duoの6MBそこらのキャッシュにヒットするわけなかろうが

お前はXP以降のアプリケーション起動のプリフェッチ機能も知らんのかバカたれ
直近のアプリケーション2回目以降の起動は早くなることぐらい覚えとけ
OSとメモリの使い方ぐらい理解しとけよ。といってもお前じゃ無理か。
605774ワット発電中さん:2008/12/27(土) 11:38:58 ID:pFF0Nklm
起動時間気にする人って何者?
コンパイル時間に比べら・・・・
606774ワット発電中さん:2008/12/27(土) 12:25:28 ID:3R5zcuAF
>>605
多分 256MBしかメモリが載ってないので、
アプリの起動終了を頻繁に繰り替えずバカだと思う
しかも、誰でもふつーに体感できる2回目以降の起動時間の短さすら気づいてない鈍感な奴
607774ワット発電中さん:2008/12/27(土) 12:31:10 ID:3R5zcuAF
http://pc.watch.impress.co.jp/docs/2008/1226/kaigai483.htm

これが実現されたら、チップ内DRAMサイズにもよるけど、
バリューPCなんて外部RAM不要になるんだろな。
エルピーダまじでやばいな。
608774ワット発電中さん:2008/12/27(土) 12:49:19 ID:1t/HpsHR
ビジネスニュースからの誤爆乙
スタックするDRAMダイは余所からの調達だろうから、やばいってほどでもないんじゃね。
609774ワット発電中さん:2008/12/27(土) 13:13:48 ID:3R5zcuAF
DRAM層が1枚だけならたいしたことないだろうけど、複数スタック出来るとなると廃熱が許す限りスタックできるんじゃないの?
そうなると相当容量も稼げそうな気がするけど?
610774ワット発電中さん:2008/12/27(土) 13:14:51 ID:19EbEXtm
マザーボード入れ替えても保持してるキャッシュってどんなんだよw
611774ワット発電中さん:2008/12/27(土) 13:33:46 ID:q+Hxgm2D
「OSとメモリの使いかた」ってのが臭うな。
「ページフォルトやクラスタチェーン」くらい言って欲しいものである。
612774ワット発電中さん:2008/12/27(土) 13:47:29 ID:19EbEXtm
クラスタチェーンもちょっと古くねーか?
613774ワット発電中さん:2008/12/27(土) 15:03:59 ID:5dzBtd6h

結局のところ、ISEを高速に処理させるためにPCを買い換えようとしているオレは、
何を買ったらよいのでしょうか?
  ・IBMのノートで
  ・Core 2 Duoで
  ・SSD
ならOKですか?
614774ワット発電中さん:2008/12/27(土) 16:23:43 ID:ENRsENg2
>>603
釣れる?
>>604
キャッシュ≠プリフェッチ。お前本当に頭大丈夫か?
なんか先に先に罵倒語を出してくるあたり本当に心配になる。
>>607
読む限り、中容量で別レイヤーの内蔵DRAMをつけるだけの話で
エルピーダなどのメモリは汎用大容量安価で取替え可という意味で問題ないと思う。

>>611
ページフォルトは分かるけどクラスタチェーンは知らないw
でもプリフェッチ如きを"メモリの使い方"扱いとはな…

>>613
・自作で
・E8600のOCで
・IntelのSSD
これがFA。詳しい構成は自作板で。
615774ワット発電中さん:2008/12/27(土) 16:52:40 ID:3R5zcuAF
>>614
>キャッシュ≠プリフェッチ

はぁ?CPUのキャッシュなどとわけのわからん戯言言ってる奴もいると思えば、
何を当たり前のことをレスしてるんだお前。

>ページフォルトは分かるけどクラスタチェーンは知らないw

ふーんお前XPから搭載されたアプリケーション起動のプリフェッチ機能をしらねぇだろ。
それ以前にもっとも基本のクラスタチェーンぐらいおべんちょーしまちょーね。
そもそも業務に使うようなアプリケーションをOCさせようってこと自体アホ学生だろうけどな。

616774ワット発電中さん:2008/12/27(土) 17:08:29 ID:ENRsENg2
>>615
>CPUのキャッシュ
キャッシュはキャッシュ。お前さっきから誰と戦ってるの?
>もっとも基本のクラスタチェーン
ダウト。

俺趣味でISE使ってるんだけど、なんでお前の脳内だけ業務用途なの?
613は業務だなんて一言も言ってないんだけど。本当に誰と戦ってるの?
617774ワット発電中さん:2008/12/27(土) 17:16:07 ID:3R5zcuAF
>>614
>CPUのキャッシュなどとわけのわからん戯言言ってる奴もいると思えば、

>>614 = >>598 = >>ID:ENRsENg2

チッ!なんだよ。本人かよ。 ( ゚д゚)、ペッ

>エルピーダなどのメモリは汎用大容量安価で取替え可という意味で問題ないと思う。

ま、問題ないと思ってる時点でおめでたいことこの上ないな。クラスタチェーンすらしらないいかにもおまえらしいわ。
搭載されるオンチップDRAMのサイズ如何では、
バリューPCあたりからSSDのキャッシュ用のごくわずかのDRAMしか使われなくなるってことも十分考えられるのに。

618774ワット発電中さん:2008/12/27(土) 17:18:45 ID:3R5zcuAF
>>616
>>もっとも基本のクラスタチェーン
>ダウト。

アウト。

恥さらして楽しいか?
619774ワット発電中さん:2008/12/27(土) 17:29:18 ID:D8VI8UOl
最近この板で罵詈雑言で相手をけなしたがってる人とよく会うな。

人を貶したいんだがそれとも人に知識をひけらかしたいだけなんでしょうけど、バカ丸出しですよ。
知性的な書き込みとも、建設的な意見とも見えないし。
620774ワット発電中さん:2008/12/27(土) 17:37:45 ID:ENRsENg2
>>617
現行だとチップ16枚搭載で4GBのモジュールが知ってる中じゃ最大だけど、あれがチップ1枚で256MBでしょ?
FPGAつついてるなら面積的な問題も分かるだろうし、メモリコントローラにメモリがNCになる構成にもどうかと思ったりしないかな。
記事の限りではメモリ業界との大人の事情でこうなってるわけだから、エルピーダが心配になったりはしないな。
621774ワット発電中さん:2008/12/27(土) 18:41:43 ID:xel3ViA4
おまいら、詳しいな。
622774ワット発電中さん:2008/12/27(土) 18:54:03 ID:CxtzRXzJ
今のPCじゃコアメモリなんて使われて無いだろ。
何か問題が?
623774ワット発電中さん:2008/12/27(土) 19:10:15 ID:ENRsENg2
現状でもなんかメモリだけ死んだりとかよくあるし
耐久性で言うなら電源<メモリ≒MB<<CPUぐらいの物だったと思うんだけど

同一のパーツになっちゃうと耐久性大丈夫なのかなー、技術的課題が多そうだ。
624774ワット発電中さん:2008/12/27(土) 19:34:28 ID:9PVJ7uKF
ソフト屋さんはどっか別に行ってはくれまいか?

オレなんか、コアメモリって聞いただけで(ry
625774ワット発電中さん:2008/12/27(土) 19:56:57 ID:FZVQsyrG
1個ずつコアを並べていた悪夢を思い出すのですね。
626774ワット発電中さん:2008/12/27(土) 19:59:22 ID:19EbEXtm
コアメモリは職人さんが1つづつ丁寧に編み上げるのですよ。
627774ワット発電中さん:2008/12/27(土) 21:40:57 ID:JBPJ3B12
>>613
・ディスクトップ
・メモリ4GB以上。
・Core 2 Duoでできるだけ早いやつ。
・HDDは7200rpm以上

スピードを求めるならディスクトップ。ノートだと値段は高いし2−3割位はダウンする
628774ワット発電中さん:2008/12/27(土) 23:43:54 ID:v7uzj/jk
ディスクトッ( ´,_ゝ`)プッ
629774ワット発電中さん:2008/12/27(土) 23:54:22 ID:5dzBtd6h
>>627
ありがとう。
デスクトップは、だめなんです。
客先で変更など、出先で使いたいので、ノート必須。
いろいろ調べたら、Think PADのW700がいいんだけど、70万もする。
金額的にダメだ。苦しい。
薄型デスクトップに液晶モニタを蝶番で固定して、ノートパソコンだと言い切るか。
630774ワット発電中さん:2008/12/27(土) 23:57:00 ID:flq79c6B
言い切るより、やりきれるか  だ。
631774ワット発電中さん:2008/12/28(日) 00:15:46 ID:u1sCQ6zy
http://www.necdirect.jp/navigate/direct/tmd/083q/09/valuestar/vgn16/strongpoint/index.html
取っ手が付いてて便利だよ
ぃゃ 知らんけど
632774ワット発電中さん:2008/12/28(日) 05:04:41 ID:Y1TmmBPA
>>629
合成している間くらいゆっくり休もうぜ
633774ワット発電中さん:2008/12/28(日) 10:09:39 ID:2uLwKW1X
100円PCでやってこそネ申
634774ワット発電中さん:2008/12/28(日) 10:32:03 ID:uI/r+963
合成に3〜4時間・・・・休んでたら怒られました。
635774ワット発電中さん:2008/12/28(日) 10:48:51 ID:lsiQSTdQ
>>619

x 最近

o 昔から
636774ワット発電中さん:2008/12/28(日) 15:53:30 ID:80I//YiK

64bit CPUのパソコンを用意したとしよう。
メモリも4GB積んだとしよう、HDDも7200にしたとしよう。

だも、そもそもISEが64bit CPUに対応してるのか?

もし対応してるなら・・・・・合成が早くなって、うらやましいぞ
637774ワット発電中さん:2008/12/28(日) 16:09:12 ID:rbJ1e/4F
だが、Windowsが…
638774ワット発電中さん:2008/12/28(日) 16:15:40 ID:b5/2Q+3B
ぃぬxにすれば問題ない。
639774ワット発電中さん:2008/12/28(日) 17:04:47 ID:80I//YiK

>ぃぬxにすれば
INAXですか? トイレ用品? うーーん、わかりません。
640774ワット発電中さん:2008/12/28(日) 17:35:35 ID:Pcr8pvB3
ぬこxのほうが好きです。
641774ワット発電中さん:2008/12/28(日) 17:47:29 ID:6ypdqsUv
>>636

64bit版のWindows-XP対応のISEならある。
642774ワット発電中さん:2008/12/28(日) 20:08:07 ID:80I//YiK
>>641
それって、WebPackではないんでしょうね? 
正規に30万以上出すやつでしょうね、きっと。
643774ワット発電中さん:2008/12/29(月) 01:47:36 ID:wIrnABRp
>>634
シミュしてる間も惜しんで特許書いてる俺はエンジニアの鏡
644774ワット発電中さん:2008/12/29(月) 01:51:12 ID:/y8pM46C
>>643
ふざけたこと言ってないで、さっさとノルマ件数書き終えろよ!
おまえだけだぞ、終わってないのは!
645774ワット発電中さん:2008/12/29(月) 01:53:19 ID:XdxilFUP
スクワットだろ
1ヶ月で筋肉ムキムキになるよ
646774ワット発電中さん:2008/12/29(月) 10:56:53 ID:vuQtlmp3
報奨金稼ぎ。
出願だけで出るってなんか違う気が。
647774ワット発電中さん:2008/12/29(月) 12:59:38 ID:qUIHXsfh
>>629

どれだけでかいプロジェクトをコンパイルするのかわからんけど、T8500程度のPCでそこそこ使える。
Quad にしても思ったよりスピードアップはなかった。Core2 Duoで一番早いのがよさそう。
ネットワーク接続が許されるなら、自社のディスクトップPCにリモートディスクトップで接続する手もあるぞ。

おいらだったらDellの Precision M6400にするね。これだと30万円でおつりが来る。
648774ワット発電中さん:2008/12/29(月) 15:31:01 ID:C7XE78S+
今ならi7とかの方が良いのでは?
実際の仕事でやるときはコンパイル中にも他の仕事なんぞ山ほどあるから気にならないけど。
649774ワット発電中さん:2008/12/29(月) 16:05:09 ID:KiESolAH
仕事の進め方、場面にもよるけども、
ソフト屋さんや顧客向けに「ここいらでいいかな?」ってTOPレベルRTLSIMで見切って、
ROM作成コンパイルしつつ、重箱の隅つつくSIM並行しつつ、SIM波形スクリンコピーしてレポDOC編集しつつ。。。

こんな感じだお→自分
こういうやり方だと、Dualコア以上でCLK早いCPUは有難い
650774ワット発電中さん:2009/01/01(木) 21:15:26 ID:skRKwHWE
あけおめ

不況の影響でてますか?
派遣切られてますか?
仕事切られてますか?
651774ワット発電中さん:2009/01/01(木) 22:18:30 ID:Y4KjXX8E
あけおめ

今年正月明けの仕事ありません。
652 【豚】 【753円】 :2009/01/01(木) 23:07:24 ID:NiDP8wnG
仕事切られてます
もうすぐ干上がります
653774ワット発電中さん:2009/01/03(土) 19:36:01 ID:4Tk4a2MU
あけおめ

昨年11月から干上がってますが・・・
「今年は美味しい仕事にありつけますように。」としっかりお祈りしてきました。
654は@cr4-172-178.seaple.icc.ne.jp ◆cplnFO9T0I :2009/01/03(土) 22:45:06 ID:4jm+WjXB BE:201744858-2BP(1003)
チップに特定の操作を加えてから動作をし始めるバスブリッジのようなものを作りたいのですが、
全く経験、知識がありません。
どこから学んでいけばよいでしょうか?
655774ワット発電中さん:2009/01/03(土) 23:48:14 ID:5IwmWwtE
まず日本語から....
656774ワット発電中さん:2009/01/04(日) 00:11:19 ID:AGgqbsbh
>654
バスブリッジ&ブリッジの先に繋がるデバイスを初期化したい、てことかな?
657は@cr4-172-178.seaple.icc.ne.jp ◆cplnFO9T0I :2009/01/05(月) 01:00:25 ID:PZsd2WAR BE:211831867-2BP(1003)
>>656
所定の初期化作業を行わないとバスブリッジとして動かないCPUからはSRAMっぽく見えるものを作り、
バスブリッジを初期化した後にそれに繋がったデバイスを初期化したいと言うことです。

1.(パワーオン)リセット後
バスにとっては単なる抵抗
2.所定のアドレスに所定の順番で所定のデータを書き込んだとき
バスブリッジとして動作
3.上記書き込みが終了後に所定のアドレスをリードしたとき
所定の値を返す
658774ワット発電中さん:2009/01/05(月) 01:03:56 ID:jAuNveto
ま○こ







国際的にも京都で有名です
659774ワット発電中さん:2009/01/05(月) 01:04:49 ID:dGWCrXWR
> 動かないCPUからはSRAMっぽく見えるもの

難しすぎる....

660は@cr4-172-178.seaple.icc.ne.jp ◆cplnFO9T0I :2009/01/05(月) 03:13:23 ID:PZsd2WAR BE:30262223-2BP(1003)
>>659
「動かなく、CPUからはSRAMっぽく見えるもの」
ですね。確認しきれてませんでした。
661774ワット発電中さん:2009/01/05(月) 08:04:37 ID:OQbeAx84
つーか、どんな仕様のバス? そこがわからないと話にならない
662774ワット発電中さん:2009/01/05(月) 08:18:47 ID:J3ukFoLi
CPLDでレジスタいくつかと245あたりでできるものと予想
663774ワット発電中さん:2009/01/05(月) 09:43:08 ID:0ZODnSwc
CPUからJTAG書き込み…
664774ワット発電中さん:2009/01/05(月) 13:40:46 ID:iXJ0Hv4P

教えてください。
FPGAの名前の「フィールド」の由来についてです。

CPLDは、Complex Programmable Logic Device
FPGAは、Field Programmable Gate Array   の略です。

CPLDの和訳は、複雑な、プログラム可能な、論理素子、
FPGAのそれは、フィールドで、プログラム可能な、論理素子の束(たば)です。

CPLDの意味は理解できるのですが、
FPGAにはなぜ「フィールドで」が付くのでしょうか?
  それまでのプログラマブルな素子は、
  フィールドでプログラム書き換えが出来なかったとも推察できます。

以前から疑問で疑問で困っていました。
どうか 教えてください。

665774ワット発電中さん:2009/01/05(月) 15:23:02 ID:OQbeAx84
ぉぃぉぃ 変な所で切るんじゃない。FPGAは
Field + Progarammable Gate Array ではなくて
Field Progarammable + Gate Array だ

あらかじめ基本ゲートやマクロセルを大量に並べたベースバルクを量産して
配線層だけカスタム設計して顧客の要求する機能を実現するデバイスを
いわゆるGate Arrayと呼ぶ。Gate Arrayは新規設計分が配線だけなので
従来のフルカスタムのLSIに比べて安価かつ短納期が魅力だった。

そのGate Arrayの思想を更に進めて、現場で機能を変更できるようにした
デバイスがField Progarammable Gate Arrayだ。
つまり、フィールドでプログラム可能なゲートアレイ、略してFPGAというわけ。
666774ワット発電中さん:2009/01/05(月) 15:48:27 ID:iXJ0Hv4P
>>665
さっそくありがとうございます。詳しいですね。

>そのGate Arrayの思想を更に進めて、現場で機能を変更できるようにした
このgate arrayは、gate arrayメーカーで配線層をつなぎ、
(つまりPLDのように配線内容を保持し)、
ユーザーが配線変更できないものだった、ということのでしょうか。

>つまり、フィールドでプログラム可能なゲートアレイ、略してFPGAというわけ。
フィールドというのは、どのような意味でしょうか。
メーカー側に対してユーザー側という意味で「フィールド」と言うのでしょうか。

FPGAの歴史、みたいな本はあるのでしょうか?
ご存じでしたら、教えてください。
一度ぜひ読んでみたいです。
667774ワット発電中さん:2009/01/05(月) 16:57:55 ID:OQbeAx84
> このgate arrayは、gate arrayメーカーで配線層をつなぎ、
> (つまりPLDのように配線内容を保持し)、
> ユーザーが配線変更できないものだった、ということのでしょうか。
だいたいあってる。正確には配線層をつなぐのではなくて配線層だけ別設計。
つまり、配線メタルのパターンマスクだけ特定ユーザ向けに新規に起こすだけで
製造プロセスは一般のLSIと同様のものを使う。

ゲートアレイは今でも製造されているデバイスなので
詳しくは各メーカのウェブサイトをみるといい
http://www.necel.com/gatearray/ja/outline/outline.html#pageLink02
http://www.epson.jp/device/semicon/product/asic/gatearray/
http://jp.fujitsu.com/microelectronics/products/asic/lineup/index.html#l3
http://www.semiconductor-sanyo.jp/asic/index.asp
http://www.semicon.toshiba.co.jp/product/asic/selection/

> フィールドというのは、どのような意味でしょうか。
英語のfiledの意味そのまんまなんだが…
http://dictionary.goo.ne.jp/search.php?MT=field&kind=ej&mode=1&base=1&row=1
フィールドワークとかフィールドエンジニアのフィールドだ
「現場」はfiledの直訳語
668774ワット発電中さん:2009/01/05(月) 16:59:14 ID:OQbeAx84
typoした
s/filed/field/g
669774ワット発電中さん:2009/01/05(月) 17:16:58 ID:Um4NB+Ac
GALとかPALとか
670774ワット発電中さん:2009/01/05(月) 17:20:21 ID:Um4NB+Ac
今年の書初めはまだです
671774ワット発電中さん:2009/01/05(月) 17:25:36 ID:7Yq8ZoqU
PAL/GALなんかは、ソケット使ってたよね。
672774ワット発電中さん:2009/01/05(月) 17:28:48 ID:ZglgRgEH
FPGAもソケット使ってた。
673774ワット発電中さん:2009/01/05(月) 17:48:39 ID:aU8J+hti
PLCCっすか
674正月から昔話:2009/01/05(月) 17:55:33 ID:OQbeAx84
90年代初頭 GAL16V8Aが秋月で500円だった記憶がある

苦労して1chip エレキー作ったが(なんたってFFが8個しかない)
電源5V 40mAも食うしデバイス高いし全然うれしくなかった
675774ワット発電中さん:2009/01/05(月) 18:14:21 ID:iXJ0Hv4P
>>667
ありがとうございました。よくわかりました。
gate arrayメーカー内部で、事前に配線層以外は作っておいて、
0仕様をもとに配線層だけを設計、ASICを作り、ユーザーに納品していた、
ということですね。よくわかりました。
それを考えると、フィールドの意味も納得です。
大変ありがとうございました。

GAL/PALのたぐいは、
MacintoshのSE/30の基板にたくさん載っていたように記憶しています。
PLCCをソケット無しで直接ハンダ付けしてありました。

>電源5V 40mAも食うし
40mAとは大食らいですね。
XC9500なんか、0Hzでも100mAとか流れるので、
最初見たときはびっくりしました。なんで0Hzでこんなに喰うのか、
今でもわかりません。なので今はCoolRunnerばっかりです。
ROM内蔵、単一電源、低消費と淡さのFusionも一度やってみたいです。


676774ワット発電中さん:2009/01/05(月) 18:16:03 ID:ZglgRgEH
>>673
そう。2064と2018って言う世界初のFPGA。
配置配線を手動で詰め込んでたなぁ。
677774ワット発電中さん:2009/01/05(月) 19:05:55 ID:aU8J+hti
手配師っすか
678774ワット発電中さん:2009/01/05(月) 21:12:04 ID:21HboKp2
一番安いFPGAはいくらですか?
679774ワット発電中さん:2009/01/05(月) 21:53:26 ID:Cbq2Z7l4
680774ワット発電中さん:2009/01/06(火) 02:17:51 ID:j7+Bnm3B
>>661
8ビットのアドレスバス
8ビットのデータバス
リードイネーブル
ライトイネーブル
リセット出力
割り込み入力
が用意されています。
681774ワット発電中さん:2009/01/06(火) 09:14:52 ID:sd8h4VgF
>>679
100Kならゲートアレイといい勝負だ。
682774ワット発電中さん:2009/01/06(火) 10:05:45 ID:hsHPvX+6
>>680
割込もバス接続(O/D)なの?
双方向なのはデータバスだけ?

もしそうなら>>662の予想通りだな
683774ワット発電中さん:2009/01/07(水) 03:41:30 ID:quFhROWE
>>682
O/Dの意味がわかりませんが、割り込みはISAバスのように割り込みデバイスごとに用意されています。
684774ワット発電中さん:2009/01/07(水) 08:17:01 ID:f51cjIzQ
O/D = open drain
685774ワット発電中さん:2009/01/07(水) 10:01:15 ID:ySIhp8PX
open drainってなんですか?
風呂の栓を抜く?
686774ワット発電中さん:2009/01/07(水) 10:41:50 ID:YzbifTsF
>>685
そうそう 正解です
687774ワット発電中さん:2009/01/07(水) 12:00:56 ID:hGgRQMiy
Over Dose
688774ワット発電中さん:2009/01/07(水) 12:54:31 ID:7Pce05iU
クルマのチェンジレバーにボタンがあるでしょ。
O/Dって書いてないか?
ごめん最新型の高級車にしかついて無いかも。

689774ワット発電中さん:2009/01/07(水) 13:28:26 ID:QyGYzXsl
そんなもんいまどきキューブでも付いとるわ。
690774ワット発電中さん:2009/01/07(水) 17:08:28 ID:274qrVpl
( ゚∀゚)o彡゜おっぱい!大好き!
691774ワット発電中さん:2009/01/07(水) 18:31:31 ID:bNIxCVTT
おれもおれも!
692774ワット発電中さん:2009/01/07(水) 19:12:01 ID:FoaMC5Ox
FPGAスレでもオープンドレインしらない人いるんだな。
693774ワット発電中さん:2009/01/07(水) 21:03:10 ID:iPGdUaoq
オープンソースなら知っている。
694774ワット発電中さん:2009/01/07(水) 21:10:03 ID:RTaCQsLO
linuxには世話になってる。
695774ワット発電中さん:2009/01/07(水) 21:37:40 ID:7Pce05iU
オープンコレクタ
オープンエミッタ
オープンソース  くらいなら知ってる。

696774ワット発電中さん:2009/01/07(水) 23:52:37 ID:quFhROWE
>>682
レベルトリガではなく負論理のエッジトリガです。
697774ワット発電中さん:2009/01/07(水) 23:58:01 ID:OPBc9kjB
>>696
なにを言ってるか、わかってるのか?
698774ワット発電中さん:2009/01/08(木) 00:24:41 ID:k8Py72Yn
>>696-697
すまん。ワラタ

こういう場合、どう説明すればいいんだろうなw
699774ワット発電中さん:2009/01/08(木) 06:15:35 ID:3xc7rjIW
ググレカス
700774ワット発電中さん:2009/01/08(木) 06:55:25 ID:rBZfRegQ
あきらめろ
701774ワット発電中さん:2009/01/08(木) 09:15:03 ID:cjzDYiW9
エッジトリガは、立ち上がりか立ち下がりか、どちらかじゃないか?
702774ワット発電中さん:2009/01/08(木) 17:41:03 ID:Sy0SC2uk
質問があります。
CPLDを使ってみたいと思っているのですが、使用しているパソコンにプリンタポートが無いため、
開発ができません。

USBでも使える、いいライタ知りませんか?
703774ワット発電中さん:2009/01/08(木) 17:45:37 ID:AQd4eWDn
CPLDのメーカはどこでしょうか?
純正品のものでUSB対応のものはほとんどそろっているとは思います。
704774ワット発電中さん:2009/01/08(木) 18:34:17 ID:3xc7rjIW
>>702
プリンタポートのカードを入れられれば使えるよ。
705774ワット発電中さん:2009/01/08(木) 18:50:21 ID:6BkH+l+W
>>702
プリンターポートの書込ケーブルはうまく書き込めないことが多いです。
また3.3Vには対応していないとか、問題も多いです。

メーカー純正のUSBによる書込ケーブルを買いましょう。
ちょっと高いけど、信頼性抜群で 一生使えます(たぶん)

706774ワット発電中さん:2009/01/08(木) 18:59:43 ID:3xc7rjIW
>>705
具他的にはどのCPLDがNG?
財だと特に問題なく書き込めてるけど。
3.3VでもACタイプのバッファ使えば問題なく書き込めてる。
707774ワット発電中さん:2009/01/08(木) 20:44:36 ID:6BkH+l+W
>>706
ごめん、CPLDだったね。だったらわからない。
昨年、とある講習で、Virtex4の載った基板をコンフィグレーションしたんだけど、
プリンタポート+PS2ポートから電源 の書込ケーブル(Xilinx純正)で、
何度かiMpactたたかないと書き込めなかった。先生の話では「相性」だそうだ。

708774ワット発電中さん:2009/01/08(木) 23:10:45 ID:zlnIyG7x
>>702
USB-Blaster互換のこれ買った
ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=53&No=46

送料込みで81ドルだったかな
709774ワット発電中さん:2009/01/08(木) 23:15:40 ID:JnLQlnlv
alteraは>>708の安いのでOKだけど、xilinxは純正の高いの買うしかないんだよな…
710702:2009/01/08(木) 23:34:10 ID:Sy0SC2uk
CPLDについては、どこのメーカーにするかは、決めていません。

やはり、少し高いように思えます。
プリンタポートを増設して自作ライタで、開発に挑戦します。
711774ワット発電中さん:2009/01/09(金) 00:39:07 ID:luD3xiqz
PIC や AVR もそうだけど、ライターの自作がメインになっちゃうのは
どうかと思うよ。
712774ワット発電中さん:2009/01/09(金) 01:03:12 ID:sdUJpql2
713774ワット発電中さん:2009/01/09(金) 10:07:13 ID:3iXcSlsi
http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=122-1572-ND
Xilinxのやつは2万5千円だね。(マルツとかで買うと3万円かな)

非純正品のUSBケーブルもあることはあるけどISE(iMPACT)から直に操作できないとか
直に操作したい場合はリモートケーブル用のプログラムを実行させておく必要があるとかあるので
そういうので悩みたくない場合は純正品を買った方が良いかも。
714774ワット発電中さん:2009/01/09(金) 10:43:50 ID:SGVNITzp
本来悩むべきところ、つまりソース本体で悩みたいね。

書き込みできるできないで悩むのは困る。

高々 3万なら 買おうよ。
715774ワット発電中さん:2009/01/09(金) 11:12:58 ID:KA3S/8av
仕事なら、高々3万だけど、個人で買うにはちょっとって感じだよなあ。
5000円くらいなら買うけど。

まあ、オレは会社で余分に買って(ry
716774ワット発電中さん:2009/01/09(金) 11:28:20 ID:8oN2jkTl
仕事で使うには、万が一ミスって壊した時の事も考えなくてはいけない。
2本買えばいいのだろうけど、メーカーが公表してるパラレルポートライタで問題無いし
部品も標準的な物なので安心感がある。
717774ワット発電中さん:2009/01/09(金) 17:30:53 ID:5lMfAlz8
>>715
おまえか
勝手に数が減ってると(ry
718774ワット発電中さん:2009/01/09(金) 19:07:33 ID:xyMUbRjg
だれかUSBブラスタ解析してよ。
719774ワット発電中さん:2009/01/09(金) 20:55:24 ID:AYLuFPp5
つ ヒューマンデータ
720774ワット発電中さん:2009/01/09(金) 20:56:56 ID:YnJbG4WQ
>>718
解析するからUSBブラスタ、よこせ
721774ワット発電中さん:2009/01/09(金) 21:24:22 ID:5lMfAlz8
722774ワット発電中さん:2009/01/09(金) 21:58:25 ID:PeVf3+rD
723774ワット発電中さん:2009/01/09(金) 22:05:33 ID:+eN6pKgi
MAX II Micro は、USBブラスター代わりになるけど、ちょっとカッコ悪い。
ある意味、カッコいいんだけどね。
724774ワット発電中さん:2009/01/10(土) 09:04:23 ID:nxdfRuyb
そろそろ次の評価ボードが出てくるってことか?
DE3は駄作だったよな?(Max2用と言う意味ではそれなりだったけど)
725電野牛:2009/01/10(土) 12:20:59 ID:AJtVhh5X
おまいらは評価ボード収集家でつか?
726774ワット発電中さん:2009/01/10(土) 15:44:38 ID:AL9TcHFt
評価ボードって本買うとついてくるオマケというかそういうものでは?
727774ワット発電中さん:2009/01/10(土) 16:32:20 ID:Pi6PMzp5
>>725
雑誌に付いてくるのは、収集してる

>>726
>722

そういえば最近、評価ボード作ろうとか、エロイ人が言ってるのを聞いたな。
いったい何に使うんだろう・・・何をやらされるんだろう・・・売ってるのじゃダメなのかな・・・
728774ワット発電中さん:2009/01/10(土) 16:40:12 ID:AfKnMHXH
設計者を評価するんだよ。きっと。
設計工数とか部品コストとか。
729774ワット発電中さん:2009/01/10(土) 17:23:41 ID:VpvRgrEX
それ、信越厨な
730774ワット発電中さん:2009/01/10(土) 17:44:49 ID:AfKnMHXH
どれ?
731774ワット発電中さん:2009/01/10(土) 18:58:41 ID:uWL/l99S
おれ
732774ワット発電中さん:2009/01/10(土) 19:15:17 ID:agyWu0VS
お前は甲越厨だろ
733774ワット発電中さん:2009/01/10(土) 21:52:42 ID:7Z27j+/Y
だれ?
734774ワット発電中さん:2009/01/10(土) 22:26:49 ID:9W/5TSxe
おまえ
735774ワット発電中さん:2009/01/10(土) 22:27:26 ID:h52vbrcc
>>697
ええ、立ち下がりで割り込み処理に入るということでこう書いたのですが....
736774ワット発電中さん:2009/01/11(日) 17:58:21 ID:Esq/26KG
>>735

>>696>>682の質問の回答にはならない。
だから>>697と言われたんだ。
737774ワット発電中さん:2009/01/12(月) 02:22:55 ID:m47mrMLr
>>736
双方向になっているのはデータバスのみであるという意図で>>683を書きました。
738774ワット発電中さん:2009/01/12(月) 05:48:02 ID:p0x18xrc
>>737
どういう意図があろうとそれが相手に伝わらないのでは意味がない
意図を伝えたい人は相手のレベルを慮ってちゃんと伝わるように意思表示しなければいけない
意図が伝わらなかったのは相手が脳無しだからと思うのではなく、
自分の能力が及ばなかったと反省すべきだ
739774ワット発電中さん:2009/01/12(月) 08:09:57 ID:KKG7QXDg
ハナから意図を受け取る気の無い人には何言っても無駄ですが
740774ワット発電中さん:2009/01/12(月) 08:22:55 ID:+9J3QEir
>>738
 正論だが、ここ2chには>>739のいうような連中がタンマリといる上に、
相手のレベルを推し量る材料は、わずか2〜3行の文書だけでほとんど無い。
741774ワット発電中さん:2009/01/12(月) 08:53:41 ID:wabrQQK+
意図を伝えようという気のないやつが何を言ってもむだ。ましてその能力がないやつは論外
742774ワット発電中さん:2009/01/12(月) 13:54:00 ID:QKMZHUkp
>>738
>意図が伝わらなかったのは相手が脳無しだからと思うのではなく、
>自分の能力が及ばなかったと反省すべきだ
いいこと言うね。激しく同感だ。オレも、いつもそう思ってる。
たまに「伝わってるんだから、いいじゃん」と、結果オーライのヤツがいる。
そんなヤツと話してるときは、ワザと逆手に理解した反応をしてやる。
すると「そういう意味じゃなくて...」と言ってくるので、
「さっきそう言ったじゃん。それならこう言うべきだろ」と教えてあげる。

聞き手がエスパーしなきゃいけないような話は、話し手として落第ね。

743774ワット発電中さん:2009/01/12(月) 13:59:24 ID:eVlMSeq6
>>742
お前の言いたいことさっぱり分からないんだけど。
744774ワット発電中さん:2009/01/12(月) 14:15:37 ID:JgAI/xEs
オレもオレも!
745774ワット発電中さん:2009/01/12(月) 16:08:18 ID:IQJzF7ni
>>742の意図は
FPGAな話題に飽きたんで閑話休題。
 ↓
スレチにつき失せろ
 ↓
ぃゃそういう意味じゃなくて・・・
 ↓
ツンデレ萌えぇ
 ↓
俺漏れも
746774ワット発電中さん:2009/01/12(月) 16:22:16 ID:QKMZHUkp
ツンデレ、いいよなぁ
747774ワット発電中さん:2009/01/12(月) 16:34:15 ID:1Il7CSFB
ツンデレなツール
 「なぜあんたの書いたコードを私が合成しなきゃいけないのよ。私のわかる言語にしなさいよ。」
 「そんなデバイスにフィッテイングなんて絶対嫌だわ。あんたなんかPALで十分よ!」
 「10分以上も私を働かせないで。TimeOutで打ち切ってやるんだから。」
748774ワット発電中さん:2009/01/12(月) 17:09:27 ID:KKG7QXDg
この前、アホアホに彼女と行きましたそうしたら、奥の座敷に、芸能人が10人ぐらいいました。
その中でアホアホ鍋食べていたら、芸能人の人が一緒に飲もうよと、誘ってくれました。
凄くうれしいかたよ。
それから、毎週アホアホに言っています。
749774ワット発電中さん:2009/01/12(月) 21:07:48 ID:QKMZHUkp
>>747
エラーメッセージが「別に・・・」とか「特にないです」だったらイヤだな。
750774ワット発電中さん:2009/01/12(月) 22:21:54 ID:S8N6hwWy
ところでおまいら、FPGAとCPLDって用語、普段使い分けてる?
ウチは何でもFPGAなんだが。
751774ワット発電中さん:2009/01/12(月) 22:23:49 ID:C5abbSeC
メーカのカテゴリ分け通りに使い分けてる。
752774ワット発電中さん:2009/01/12(月) 22:38:36 ID:GXm7KpxZ
まとめてPLD
753774ワット発電中さん:2009/01/12(月) 22:47:36 ID:nK14OBlZ
>>749
ワロタw
754774ワット発電中さん:2009/01/12(月) 23:03:33 ID:H1zwGdpx
>>750
うちも全部FPGAだよ。
PLD=FPGAみたいに使っている。

ついでに職種はFPGAプログラマーだと思ってる。
FPGAから入った人間としては、ハード屋って気分には慣れない。
どう考えてもソフト屋だよな。
755774ワット発電中さん:2009/01/13(火) 03:05:29 ID:U1nTLZLe
趣味レベルでいじって見ようと思ってるんだが
VHDLで記述する上でハードの知識必要なの?
上の方でインピーダンスとか出てきてるけど
756774ワット発電中さん:2009/01/13(火) 03:08:47 ID:PxUddTgc
無いよりはあった方がいい
無くても書けるが
ソフト頭だけでやってると
変な勘違いとか起こしやすい
757774ワット発電中さん:2009/01/13(火) 03:14:43 ID:qrIhtFdj
無いよりはあった方がいいなんてw
HDLがなんの略かよく考えろw
758774ワット発電中さん:2009/01/13(火) 03:43:53 ID:9TZAJL73
>>755
インピーダンスとか考えるのは自分で基盤起こすほどになってからだと思う
評価ボードいじくるうちは特に気にしなくていい。

でも極めようとか切り詰めようとかすると知識が要求されるね。
759774ワット発電中さん:2009/01/13(火) 04:22:06 ID:z5OtCz18
>>750
>ウチは何でもFPGAなんだが。

ウチは何でもGALだな。
760774ワット発電中さん:2009/01/13(火) 07:42:35 ID:KTs1PklV
>>755
インピーダンスなどはまだ良いが、HDLが何なのかは理解しておかないとだめだろうな。
HDLスレなんか見ると、ソフト頭でハードを作ろうとしてる奴が多すぎる気もする。
761774ワット発電中さん:2009/01/13(火) 13:39:07 ID:45jkdUvJ
>>755
電気回路の知識はいらない。
論理回路の知識は必要。
762774ワット発電中さん:2009/01/13(火) 23:46:18 ID:/6GnjYcA
それで?
763774ワット発電中さん:2009/01/13(火) 23:46:50 ID:/6GnjYcA
別に?
764774ワット発電中さん:2009/01/13(火) 23:47:31 ID:/6GnjYcA
なんでもない。
765774ワット発電中さん:2009/01/14(水) 00:36:54 ID:TirQrWmy
>>755
今から始めるのなら、Verilogの方がいいよ
ハードの知識はいらないと思っていいと思うよ
766774ワット発電中さん:2009/01/14(水) 00:57:06 ID:ToGz5Twk
いや、VHDLだよ。

厳格な方が、記述ミスが見つけやすいよ。
767774ワット発電中さん:2009/01/14(水) 01:42:29 ID:2WseqUnU
そんなあなたにVerilog2000・・・だっけ?
768774ワット発電中さん:2009/01/14(水) 02:18:30 ID:83/uqt/z
769774ワット発電中さん:2009/01/14(水) 06:05:01 ID:WvVwiXOV
今は、Cで書くとハードに変換できるんだって。
もうソフト屋さんだけで十分で、ハード屋はいらないんだって。




部長がそう言ってた。
770774ワット発電中さん:2009/01/14(水) 06:09:01 ID:6Hai2+LL
部長の寝言を華麗にスルーするのも部下の仕事
771774ワット発電中さん:2009/01/14(水) 07:53:38 ID:b/db2AVZ
そんな無知な部長を追い落として会社の損害を未然に防ぐのも部下の仕事?
772774ワット発電中さん:2009/01/14(水) 09:13:27 ID:ymIrd4aG
今も昔も、日本語で書くとハードに変換できるんだって。
もう仕様書書けば、あとはアウトソーシングで十分だって。



社長がそう言ってた。
773774ワット発電中さん:2009/01/14(水) 11:30:12 ID:Yv6i4Fgl

HDL書きの人は「俺はソフト屋じゃない、ハード設計者だ」なんて言ってるけど、

FPGAのピンから「HかL」を読み込んで、仕様書通りに「HかL」が出力されれば
成果物としてOKで、
・ある出力がLになった瞬間に隣の線に影響して、つられて隣の線がLになるとか、
・LVDS出力のインピーダンスが云々・・・
など、「チップ外の話」が全く関係ないという点で、ソフト屋さんだと思うなぁ。

ある出力を出すと、動作がおかしい時、
ソフト屋「チップスコープで確認したから自信ある。原因は俺じゃない」
ってね。


774774ワット発電中さん:2009/01/14(水) 12:15:21 ID:gEEubMSm
日本語でおk
775774ワット発電中さん:2009/01/14(水) 15:29:10 ID:69+ngMuf
どうしてXilinxのFAQに「クロックには水晶やRC発振器は推奨しない」と書いてあるのに、水晶発振器を使っている人が多いのはなぜなんだぜ?
776774ワット発電中さん:2009/01/14(水) 15:36:01 ID:r1uuM/AD
日本語でおk
777774ワット発電中さん:2009/01/14(水) 16:07:40 ID:mDMgKusn
>>775
半エスパーいってみようか。
「水晶」ってのは、発振子のことじゃあるまいか。
778774ワット発電中さん:2009/01/14(水) 17:27:51 ID:SJpqLgCQ
>>775
そういうあなたは、いったい何を使ってるの?
779774ワット発電中さん:2009/01/14(水) 17:51:19 ID:WI9yG+2E
ルビジウムだよ常考
780774ワット発電中さん:2009/01/14(水) 17:57:38 ID:Yv6i4Fgl
FPGA CLockには、GPAからの10MHzを使っていますが、何か?
みなさんも そうでしょ?
781774ワット発電中さん:2009/01/14(水) 19:48:29 ID:Yv6i4Fgl
誤 GPA
正 GPS
782774ワット発電中さん:2009/01/14(水) 19:56:17 ID:M84hHRcf
なんのクイズかとオモータよw
783774ワット発電中さん:2009/01/14(水) 23:53:01 ID:KRw2ZyCL
せめてオシロスコープかロジアナが使えないと
苦しいかも、最近はJTAGデバッグがあるみたいだが・・
784774ワット発電中さん:2009/01/15(木) 00:27:12 ID:mbspOqKL
閏秒で狂うんですね
785774ワット発電中さん:2009/01/16(金) 12:02:18 ID:cNZ7qfAz
>>775
いわゆる正弦発振の発振子なんてFPGAに直結できないだろ?発振回路って持ってたっけ?
マイコンはできるけどさ。
786774ワット発電中さん:2009/01/16(金) 12:17:02 ID:WW5Q/fxJ
ピン間にインバータ配置して、外に水晶とコンデンサで発振するお。VCOもおk。
787774ワット発電中さん:2009/01/16(金) 12:30:16 ID:SVsWfY8e
>>786
参考までにソース晒して頂けませんか?
788774ワット発電中さん:2009/01/16(金) 13:45:12 ID:WW5Q/fxJ
789774ワット発電中さん:2009/01/16(金) 20:21:51 ID:XYyrC39s
発振器買え
790774ワット発電中さん:2009/01/16(金) 22:29:59 ID:fj49QbHJ
ハード屋とソフト屋で喧嘩するのはやめて。
791774ワット発電中さん:2009/01/16(金) 23:09:26 ID:23FrAOcL
カワイイ私の魅力のせいで喧嘩するのはヤメテよぉ
とfarm屋が申してます。
792774ワット発電中さん:2009/01/16(金) 23:57:20 ID:OUi45XBy
farm!?
793774ワット発電中さん:2009/01/16(金) 23:59:23 ID:EEoTEAvV
>>791
農民乙
794774ワット発電中さん:2009/01/17(土) 00:53:41 ID:OTR0HMgA
>>786
> "直 結" できないだろ

それに対して、

>ピン間にインバータ配置して、

大体、水晶実装するのにcは必須として, 04つないで発振しましたって,?
お前はそんなあり合わせ回路作って客に押し売りしてんのか?
795774ワット発電中さん:2009/01/17(土) 00:58:00 ID:4E8SdNxY
> お前はそんなあり合わせ回路作って客に押し売りしてんのか?

ディスクリートで闘えないクズ乙。
オシレータの中身も04だよww。(ほんとに)
796774ワット発電中さん:2009/01/17(土) 02:36:33 ID:OTR0HMgA
>>795
04使っててディスクリ? お前真性バカかい。
そんなにディスクリが好きなら、論理反転なんて、トランジスタで簡単に組めるのになぜそれをしないんだい?
ええ?オイ致傷。
FPGAに素直に水晶モジュール使えばすむものを、04使って糞回路組んだあげく、
それがディスクリと思ってる痛いだけのゴミ野郎よ。
797774ワット発電中さん:2009/01/17(土) 03:26:10 ID:4E8SdNxY
> 水晶モジュール

その中身が04だって言ってんだよ。ばーか!よく読め。
だいたい、FPGAの中に入ってるのに何でトランジスタ使うんだアホ。
だいたい、現業の奴が水晶モジュールなんて言うかね。

水晶モジュール の検索結果 約 59,500 件中 1 - 10 件目 (0.18 秒)

水晶発振器 の検索結果   約 104,000 件中 1 - 10 件目 (0.29 秒)
クリスタルオシレータ の検索結果 約 13,200 件中 1 - 10 件目 (0.21 秒)
798774ワット発電中さん:2009/01/17(土) 04:04:04 ID:Ff9doGfm
自分の意見の正当性を示すのにググッた結果のヒット件数を示すのはなぜなんだろう
ググって出てきた件数はそのフレーズがどれくらい広く使用されているかであって、
そのフレーズが合ってるか間違ってるかを示すものではないんだけどなぁ

・・・・なんてことを書き込むと>>797から>>796と認定されるんだろうね

とりあえず俺の場合、
・電源突っ込むだけでクロックが出てくるアレは「オシレーター」
・発振するための回路を外付けしないといけないアレのうち振動子が水晶でできているものは「水晶発振子」
・上記のアレのうち振動子がセラミックでできているものはメーカー問わず「セラロック」
と呼んでいるかな
799774ワット発電中さん:2009/01/17(土) 04:12:53 ID:pc7CzM8X
中に入っている04っていうのは、ちょっとすごいな。
4回路余らせてあるのかなw
800774ワット発電中さん:2009/01/17(土) 05:23:14 ID:/P1wKh/g
>>798
「セラロック」はムラタのTMです。
801774ワット発電中さん:2009/01/17(土) 06:12:38 ID:Ff9doGfm
>>800
知ってるよ
だからあえて、『メーカー問わず』って書いたんだけど
汲んでもらえなかったのは残念
802774ワット発電中さん:2009/01/17(土) 06:13:20 ID:PVyL4ICV
ここまでくると自演にしか見えない
803774ワット発電中さん:2009/01/17(土) 06:21:40 ID:Ff9doGfm
         よく分かったな                  ∧_∧
           ∧_∧                   (´<_` ;) <ここまでくると自演にしか見えない
           ( ´_ゝ`)                  /   ⌒i
           /   \                 / ィ   |  ←ID:PVyL4ICV
     / ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄/
__ _/ Ff9doGfm // P1wKh/g // pc7CzM8X // 4E8SdNxY //OTR0HMgA/____
   \/_/ ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄/
   _ / EEoTEAvV// OUi45XBy // 23FrAOcL // fj49QbHJ // XYyrC39s /
   \/_/ ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄// ̄ ̄ ̄ ̄ ̄/
   _ / WW5Q/fxJ// SVsWfY8e //cNZ7qfAz  //mbspOqKL // KRw2ZyCL/
   \/_____//_____//_____//_____//_____/
         │         │          │         │          │            ┌─┐
         └─────┴─────┴─────┴─────┴────────コ.│
804774ワット発電中さん:2009/01/17(土) 06:49:30 ID:Fc7jlgcw
いや、だからそれじゃ自演できないから。

全部グローバルアドレス振ってんのか?
805774ワット発電中さん:2009/01/17(土) 07:01:44 ID:jPDleSDB
いつもながら技術屋の了見の無さには辟易するなぁ
自分も気をつけよう
806774ワット発電中さん:2009/01/17(土) 08:56:14 ID:/P1wKh/g
CPUみたいな制御を行うときどんなふうに書いてますか?
807774ワット発電中さん:2009/01/17(土) 09:23:48 ID:nmL2CetZ
>>798
基本的には発振器と発振子で使い分けだが、分かりにくいのも確か。
けどこんな論争はくだらない

>>806
case文だとかそんなレベルの話?
808774ワット発電中さん:2009/01/17(土) 11:47:44 ID:/P1wKh/g
>>807
CPU書いてないの?
809774ワット発電中さん:2009/01/17(土) 12:51:04 ID:IX64AfpG
CPUみたいな制御って言うのが漠然過ぎてよくわからない
810774ワット発電中さん:2009/01/17(土) 13:17:53 ID:PVyL4ICV
DRAMのリフレッシュとか
811774ワット発電中さん:2009/01/17(土) 16:20:46 ID:2BXOzizw
>>810
Z80をリフレッシュコントローラとして使うんですね。
812774ワット発電中さん:2009/01/17(土) 20:31:19 ID:LSuSOITe
>798

だからさ、

"水晶モジュール"

ってなんだよ。
813774ワット発電中さん:2009/01/17(土) 20:42:50 ID:9A2RMZA5
>>812
アンカー違ってないか?
814774ワット発電中さん:2009/01/17(土) 21:00:36 ID:LSuSOITe
セラロックはセラミックレゾネータが一般名称かな。

ま、キャタピラのことを無限軌道と言わないように(ry
815774ワット発電中さん:2009/01/18(日) 05:18:31 ID:vtcbV5ue
ステープラーとホッチキスはどっちがどっちだったか分からん
816774ワット発電中さん:2009/01/18(日) 09:04:01 ID:19E6u9q8
模型方面では「履帯」って言う人が多いな。
そうそう、「プラモデル」は日本プラモデル工業協同組合が所有する登録商標で・・・
817774ワット発電中さん:2009/01/18(日) 11:14:15 ID:jh4ogbta
オレなんか、嫁に“寒いから襟巻きしてきなよ”って言われるんだぜ
818774ワット発電中さん:2009/01/18(日) 12:39:41 ID:iw3BUP4C
>>815
ステープラーが一般名称でホッチキスが商標だと思ってwikipedia先生に確認したところ
現在はホッチキスも一般名詞化しているらしい
JIS規格ではステープラとなるらしい
819774ワット発電中さん:2009/01/18(日) 12:49:40 ID:aI0sojWl
そういや新聞でホッチキスが一般名詞化されてきちゃったおかげで、登録商標消されそうでホッチキスの会社涙目って記事見た覚えがあるな
820774ワット発電中さん:2009/01/18(日) 15:34:39 ID:zjEv/pB3
ホッチキス?
機関銃のことか?
821774ワット発電中さん:2009/01/18(日) 17:42:11 ID:Z1vXCw9f
ホッチキス社か・・・
822774ワット発電中さん:2009/01/18(日) 23:28:08 ID:aV+xvHSm
>>811
CPLD買うより安く済むかもね
823774ワット発電中さん:2009/01/20(火) 21:56:29 ID:g8hwwZoe
XilinxのCPLD、XC9572XL(3.3Vデバイス)で5Vのロジックを駆動したいのですが・・・
Xilinxのアンサー「CPLD 9500XL/XV/XPLA3 - 3.3V または 2.5V デバイス (オープン ドレイン) から 5 V を駆動する方法」には、

メモ : 各ファンクション ブロックには 5 つのトライステート イネーブルがあります。5V を駆動できる出力数はこれで制限されます。
出力信号を OBUFT のイネーブルに接続します。OBUFT のロジック入力はグランド接続されています。信号が Low の場合、出力はグランドされます。
信号が High のとき出力はトライステートになり、外部プルアップは出力を 5V に引き上げます。

とあります。しかし、具体的に何をすればいいのかよくわかりません。
ISEのアプリケーションでOBUFTを設定しろということですか?それとも、OBUFTをVerilogで記述しろということでしょうか?
824774ワット発電中さん:2009/01/20(火) 22:22:46 ID:0KxKWXlD
>>823

1の出力は、1の代わりに'Z'を出力
0の出力はそのまま'0'を出力

それで、その出力端子に、1k程度の抵抗をいれてVCC(5V)へ繋ぐ

これはオープンコレクタと呼ばれる回路で、難しいことは略して
つまり、5V系への変換ができる

理屈は簡単だが、きちんと理解したいならトランジスタ回路の勉強が必要になる

(正しくはオープンドレインだったり、Vddになるかもしれないが、言葉が違うだけで似たようなもんだ、まあ許してくれ)
825774ワット発電中さん:2009/01/20(火) 23:11:51 ID:G0kjmnLD
>>823
FPGAとかVerologの前に、
ほんの少しでいいからデジタル回路の勉強した方がいいよ。
826774ワット発電中さん:2009/01/20(火) 23:13:44 ID:G0kjmnLD
VerilogというよりHDLだな。
827774ワット発電中さん:2009/01/21(水) 01:06:25 ID:DnFN2NYI
>>823
素直にHCTなりVHCTなり使えば良いじゃない。
828774ワット発電中さん:2009/01/21(水) 02:04:53 ID:JzO9RZJY
>>823
・CPLD外部のハード作業
   CPLDの出力ピンを、相手の5V電源を用いて、抵抗でプルアップする。

・CPLD内部のプログラム作業
   ・CPLDの出力を、OBUFからOBUFTに変更する。
   ・変更したOBUFTの、データ入力線はGNDに常時落とす。(Lowしか出力できない)
   ・これまでの出力信号線を、変更したOBUFTのイネーブルピンに接続する。
  上記をHDLで組む。
    out_port <= ( Q == H )? Z : 1'b0 ; だったかな? 自信なし。

  動作の説明
    Q(出力信号線)=Lowのときは、
      OBUFTがイネーボーとなり、OBUFTの出力には入力(常時GND)が出力される。
       →つまりピンにはLow(0V)が出力されることになる。
    Q(出力信号線)=Highのときは、
      OBUFTがディスエーボーとなり、OBUFTの出力は、絶縁される。
       →ピンにはHもLも出ない。3.3V電源ともGNDとも絶縁され、フラフラ状態になる。
       →はい、ここで先ほどのプルアップ抵抗の登場。
        抵抗のおかげで、5V電圧が相手ロジックに入力されることになる。

  めでたし、めでたし。



   ・そのOBUFTの出力は、先ほどプルアップしたピンに接続する。
829774ワット発電中さん:2009/01/21(水) 09:18:51 ID:RZFLHDO/
3.3Vからなら5V系を直でドライブしても大丈夫じゃね?
830774ワット発電中さん:2009/01/21(水) 11:13:53 ID:JzO9RZJY
>>829
相手の5V系がTTLなら、そのままでOK。
相手の5V系がC-MOSだと、VIHを下回る可能性が大で、ダメ。
手っ取り早いのは、74HCTの使用だと思うけどね。
831774ワット発電中さん:2009/01/21(水) 12:19:51 ID:hzWb5iVu
抵抗つけて電流絞れば OK とかみたことあるけど、あれって FPGA だけ?
832774ワット発電中さん:2009/01/21(水) 12:33:21 ID:Rcmd9Eqg
なんでもそうだけど、保護ダイオードの電流値が示されているときに限る。
あとApp Noteでそれとなく100Ω使ってたりとかであたりをつけたり。
でもDRで突っ込み食らうのが落ちなのでちゃんと確認。
833774ワット発電中さん:2009/01/21(水) 19:56:24 ID:kxaH2+Vx
> 相手の5V系がC-MOSだと、VIHを下回る可能性が大で、ダメ。

話のレベルからすれば、「PLDをさわってみよう」程度の話でしょ。
「ホントはダメだけど」って頭の片隅においておきながら、とりあえず
動けばいいやってことでやるのはOK。
ちゃんと動くから。
834774ワット発電中さん:2009/01/21(水) 20:13:09 ID:JzO9RZJY
>>833
まあ、2.5Vを境に動いてくれるから、動くんだけどね。
俺が良くやるのは、

  3.3VのICの出力 → (A) D (K) → 5VのICの入力(プルダウン付)

Dのおかげで、L=0.6V、H=4Vになってくれるから、
0.7×VDD=3.5Vを超えてくれるので、ちゃんと動く。
835774ワット発電中さん:2009/01/22(木) 07:38:15 ID:xL5pUe9i
単純なオープンドレインだとL→Hが遅くなります。
それの解決策として、
出力バッファのイネーブルを、
出力バッファの入力='0' or 出力バッファの出力='0'
で制御するテクニックを何かで見たような気がするのですが。

836774ワット発電中さん:2009/01/22(木) 07:49:36 ID:d3ooZm2+
サステンドトライステートではなくて?
837774ワット発電中さん:2009/01/22(木) 12:08:43 ID:nh7WnJe7
>>836
サステンドトライステートって、何?

持続されるトライステート?


838774ワット発電中さん:2009/01/22(木) 12:14:24 ID:om5qcFgm
HにドライブしてからHi-Zにするやり方。
プルアップより、早くHになる。
839774ワット発電中さん:2009/01/22(木) 12:16:35 ID:om5qcFgm
840774ワット発電中さん:2009/01/22(木) 13:56:02 ID:nh7WnJe7

>>838-839
ありがとう。なるほど、頭いいなぁ。
でもHDLでどうやって記述するの?

まずHに駆動し、出力ピン=Hにする。
次のclockで、Hi-Zにする。
となると1clock遅れてしまうけど、どう?
841774ワット発電中さん:2009/01/22(木) 14:52:16 ID:cIaOYa03
そういうものだ
842774ワット発電中さん:2009/01/22(木) 18:57:53 ID:RSrLBz3X
>>834
なんか妙な回路描いてないか?
843774ワット発電中さん:2009/01/22(木) 19:15:21 ID:nh7WnJe7

>>842
間違えました。失礼しました。

誤) 3.3VのICの出力 → (A) D (K) → 5VのICの入力(プルダウン付)
正) 3.3VのICの出力 → (A) D (K) → 5VのICの入力(プルアップ付)
844774ワット発電中さん:2009/01/22(木) 23:18:48 ID:6cMT/H8P
>>840
こういう時にこそ非同期回路。セル遅延でね。
845774ワット発電中さん:2009/01/22(木) 23:38:07 ID:xL5pUe9i
>>835
自己レス。

見つかった。
http://japan.xilinx.com/support/answers/6717.htm
846774ワット発電中さん:2009/01/23(金) 00:32:43 ID:lTUJG5K0
>>843
それでも間違ってる気がする。
AとK逆じゃない?
847774ワット発電中さん:2009/01/23(金) 01:57:34 ID:FA5sMLAR
>>846
ホントだ。ごめん。
ダイオードの向き、反〜〜対です。すみません。
848774ワット発電中さん:2009/01/23(金) 20:19:28 ID:Okls3dL+
>>847
ダイオード無しでもいけるんじゃね?
849774ワット発電中さん:2009/01/23(金) 20:50:59 ID:FA5sMLAR
>>848
Dがないと、VIH=0.7×VDD=3.5Vを超えてくれない。
850774ワット発電中さん:2009/01/24(土) 19:05:12 ID:evWHjZjr
3.3V出力時に電流が流れ込むって拙いのでは?
851774ワット発電中さん:2009/01/26(月) 10:39:43 ID:SW6aO7jc
@IT MONOist組み込み開発
http://monoist.atmarkit.co.jp/fembedded/

いまさら聞けないFPGA入門

って記事の内容はどう?
852774ワット発電中さん:2009/01/26(月) 14:43:39 ID:6+ikwKYw
前にも話題になってたことがあったようななかったような
853774ワット発電中さん:2009/01/28(水) 00:30:50 ID:mJ33wQ//
>>851
メカトロ二クスがスカトロニクスにみえた・・・orz
854774ワット発電中さん:2009/01/29(木) 00:23:29 ID:YbDJdX3p
AlteraのQuatusUを使って回路合成を行っているんですがクリティカルパスの調べ方がわからないんですがおしえてもらえないでしょうか?
855774ワット発電中さん:2009/01/29(木) 10:15:46 ID:BG9dFSmC
>>854
タイミング解析のレポートを読む
856774ワット発電中さん:2009/01/29(木) 10:40:54 ID:tI0lQhQ8
classic timing analysis で全体の速度は分かるし、個別に知りたかったら、timequest 使えばいいよ。
857774ワット発電中さん:2009/01/29(木) 11:50:55 ID:CmLOqgaR

教えてください。

NEXYS FPGA というのがあったのですが、NEXYSというのは 何のことでしょうか?
・FPGAメーカの名前で、Xilinx, ALTERA, Actel,...のようなメーカーの1つ?
・FPGAの名前で、SpartanとかCyclonとかのような、デバイスの商品名?
・その他
宜しくお願いします。
858774ワット発電中さん:2009/01/29(木) 12:09:27 ID:yxwNvCgZ
859774ワット発電中さん:2009/01/29(木) 12:13:29 ID:dGtp+paN
>>857
ググレカス!

digilent nexys
860774ワット発電中さん:2009/01/29(木) 12:33:17 ID:BG9dFSmC
>>857は文盲なんだろ 特に英文について
861774ワット発電中さん:2009/01/29(木) 12:43:12 ID:eS51qxtb
ぶ…文盲
862774ワット発電中さん:2009/01/29(木) 13:00:37 ID:yxwNvCgZ
も・・・文盲
863774ワット発電中さん:2009/01/29(木) 13:03:02 ID:Ka3KPiyM
>>861
あんた麻生?(w
864774ワット発電中さん:2009/01/29(木) 13:10:10 ID:BAF4ICbB
>>863
ネタでしょ?
865774ワット発電中さん:2009/01/29(木) 14:29:29 ID:CmLOqgaR
857です。
みなさん、あたたかいご指導ありがとうございました。
866774ワット発電中さん:2009/01/29(木) 23:36:55 ID:WeZhjP2Z
>>865

× あたたかいご指導
○ 生暖かいご指導
867774ワット発電中さん:2009/01/29(木) 23:53:55 ID:mBa580qx
>>851
いまは退職したがザイリンクスの荒井さんの記事はガチで信頼できる。

アルティマのタイミングクロージャ記事?笑わせてくれるレベル。
元ある寺の代理店だったPALTEKがもっていたデータベースのほうが
数値的にもオペレーション内容も、より信頼ができた。

その下のアルテラ社員が書いた内容も荒井さん同様、良くできている。
868774ワット発電中さん:2009/01/30(金) 00:09:53 ID:5+4isUxa
>>845
その回路って自分以外のODがLOWにしてもHIに維持しようとするから意味ないんじゃね?
869774ワット発電中さん:2009/01/30(金) 00:18:00 ID:xRw2QqnK
>>845の回路の目的、ずれた認識してね?
870技術奴隷:2009/01/30(金) 00:30:52 ID:5+4isUxa
>>869
複数の出力を一筆書きする為にODを使うんだろ?
そうでなきゃコンプリ出力で問題は無い。
PCIで使うODはクロックに同期してHI時間を決めてるから問題ないが
>>845の回路じゃ出力を直接帰還してしまってるから、何時でもHI側のトランジスタがONしてしまう。
どの辺がずれてるんだ?
871774ワット発電中さん:2009/01/30(金) 00:41:57 ID:dmpNd1FK
> 一筆書きする為

一筆書きの用法を間違ってる希ガス。
872774ワット発電中さん:2009/01/30(金) 00:57:21 ID:xRw2QqnK
レベル変換するためにオープンドレインにしましたってことだと思うんだが
873技術奴隷:2009/01/30(金) 02:20:48 ID:5+4isUxa
>>872
なるほど。そういう事か、納得。しかし、3.3Vから5Vなら直結じゃ駄目なの?
もともと3.3Vの電源電圧と言うのは、5V電源ICとのIFが出来る様に
2.5Vやそれ以下の電源へ移行する前段階として考えられた電源電圧なんだけど。
874774ワット発電中さん:2009/01/30(金) 02:44:23 ID:xRw2QqnK
問題の詳細に「デザインによっては…0V から 5V の出力をすべて駆動可能にする必要があります」とあるのに
俺に3.3Vはとか直結できないのとか言われてもな…
875技術奴隷:2009/01/30(金) 02:53:24 ID:5+4isUxa
>>874
そりゃそうだ。失礼した。
876774ワット発電中さん:2009/01/31(土) 07:28:08 ID:9BVr90rC
>>873
>3.3Vの電源電圧と言うのは、5V電源ICとのIFが出来る様に
確かにそうなのですが、この場合の「5V電源IC」はTTL入力の話ですし。

ちょっと話は違うけど無印XC9500は5Vで使っても、5VのC-MOSは駆動しきれないことになってるんですね。
あくまでデータシートの上での保証値の話で、実際には駆動できるけど。
877774ワット発電中さん:2009/01/31(土) 17:58:26 ID:1FTqL6cT
FPGA入門したいんだけど何か安いボードない?
DesignWave2007年7月号の存在をもっとはやく知っていれば…
Max II Micro kitが割と安いけど、学生が趣味で触るにはちょっと手が出ない。
878774ワット発電中さん:2009/01/31(土) 18:06:41 ID:EQM6ep0T
>>877
私も同じく手軽に始められるボード探しています
(オプティマイズのカメレオンFXあたりにしようかと思ってる所です)
879774ワット発電中さん:2009/01/31(土) 18:10:45 ID:h+sKSGo8
FPGAが乗っていれば周辺チップはとくに気にしないですか?
あと値段もどのくらいならOKなのか具体的に記述したほうが
より良い情報が書き込まれるかもね。
けっこうこういうのって後出しじゃんけんが多いからw

Max II Micro kitも十分安いと思うけど自分が知っている安そうな
ボードってこのくらいかな。
ttp://avnet.co.jp/products/kits/AES-SP3A-EVAL400-G.asp \5,980
880774ワット発電中さん:2009/01/31(土) 18:29:17 ID:Ch2tYcnr
でもUSB-JTAGケーブルの方が高くつきそう
881774ワット発電中さん:2009/01/31(土) 19:21:32 ID:PwQL77nu
USB Blaster 互換ケーブルが 3,750円
MAX IIボードが 2800円
MAX IIボードに2段重ねにするI/Oボードが3,300円ってのがある。
I/Oボードには、8セグメントのLEDx8と、LEDx8、SWx8,DIP SWが
搭載されている。

最近買ったんだけど、他の人には勧められんな。
国内の業者だけど、詰め物なしで箱に入れてあるし、
I/Oボードは、表面実装のSWが2個壊れていたし、
拡張用の2X8のPINは曲がってたしな。

一応書き込みできて、動作はしたんで、購入元には何も言ってないけど、
指摘して相手にしてもらえるかしら。
882877:2009/01/31(土) 19:26:49 ID:1FTqL6cT
今提示できる条件としては、
・USBでコンフィグできる(USBtoシリアルが使えればシリアルでも可)
・5000円以下で安ければ安いほどいい

FPGAがまだどんな感じかイメージできないので周辺パーツとか、
規模がどのくらいあればいいのかまったくわからんのです。
カメレオンFX2も良さそうですが。
純正であること、USBでコンフィグできること、高機能なFPGAが
載っている割には安価ということでMax II Micro kit買っちゃっても
いいかなと思ってたり。
883774ワット発電中さん:2009/01/31(土) 20:26:01 ID:Ch2tYcnr
>>881
会社から連絡すれば・・・SW破損なら個人でも対応してくれるんじゃないかな

>>882
とりあえず、シュミレーションだけじゃダメなの?
動く必要があるのなら、何か使い道があるなら、それに応じて買ったらいいよ

DesignWaveも休刊だし、次はないし(他CQ雑誌であるかな?)
秋月あたりで安いCPLDボードでも売ってくれればいいんだけどね
確か昔はCQの付録ボード売ってなかったっけ?売れなかったのかな・・・
884774ワット発電中さん:2009/01/31(土) 21:06:28 ID:L+9icd7G
>>883
シミュはお勧めしない。
原理的にはそれでいいのだけど、感情的に餅がどうも・・・
885774ワット発電中さん:2009/01/31(土) 21:07:35 ID:EQM6ep0T
ほんとにDesignWave休刊なの?
886877:2009/01/31(土) 21:33:23 ID:1FTqL6cT
FPGAを使うのが目的ではなく、電子工作の手段としてFPGAを使ってみたいのでシミュだけというのは…
まずは秋月300円液晶を使ってみたいです。
あと、アルテラとザイリンクスで使い勝手はどんな感じか知りたいです。
887774ワット発電中さん:2009/01/31(土) 22:28:57 ID:EQM6ep0T
バイナリカウンタ辺りから始めようと思ってた俺とは今までの積み上げてきた知識が違うのか...
888774ワット発電中さん:2009/01/31(土) 22:49:56 ID:bad4/foD
>>885
とりあえず
月刊→季刊になる
その先は知らん
889774ワット発電中さん:2009/01/31(土) 22:51:35 ID:1ZZHiQBZ
>>887
Youのやり方は正攻法でただしいYo!
LCDC級が簡単に作れる程なら評価基板選定で悩まないYo!!
890877:2009/02/01(日) 00:23:12 ID:aXMp6wQT
>>889
いきなりLCDコントローラ作れるなんて思ってないです。
LEDチカチカくらいから始めて、最初の成果物として300円液晶を使えたら…。
891774ワット発電中さん:2009/02/01(日) 00:52:15 ID:PDb09BUP
300円液晶って要は
ttp://www.smilevideo.jp/view/2999516/1759952
をやりたいってことだろ?
892774ワット発電中さん:2009/02/01(日) 01:03:23 ID:ZvhBkFSC
>>886
両方ともフリー版あるから、試してみたらいいよ
そんなに変わらないと思うけど・・・
(ISEあまりさわったこと無いからアレなんだけど、Quartusの方が分かりやすい気がする)

JTAGケーブルは、長く使えるしUSBのが欲しい
Spartan3 Starter Kitとか有名で学習にはいいだろうけど、電子工作とは違う気もするし・・・

なんか趣味向けの電子工作用CPLDって、あまり流行らないね
893!omikuji:2009/02/01(日) 02:08:05 ID:tmlDWEjO
!omikuji
894774ワット発電中さん:2009/02/01(日) 10:48:53 ID:5hlmS5vh
>>892
電子工作用CPLDってどんなんでしょ?
895774ワット発電中さん:2009/02/01(日) 12:32:58 ID:RFAapB07
>>894
たぶん手ごろな価格で、AKI-H8みたいなのがあればいいんでしょ
FPGAやCPLDの乗った汎用ボード高いからあまり気軽に遊べないから
896774ワット発電中さん:2009/02/01(日) 13:25:49 ID:aMIQJNO+
とりあえず自力で実装出来るパッケージを希望。
あんまり多ピン狭ピッチなやつとかBGAとかだとイヤじゃん?
897774ワット発電中さん:2009/02/01(日) 13:29:33 ID:xhodgjhB
DIP原理主義者はほっとくとして、208pinQFPまでなら問題なかろ。

898774ワット発電中さん:2009/02/01(日) 13:32:43 ID:5hlmS5vh
XC95で良いならPLCCがあったよね。もう売ってないかな?
899774ワット発電中さん:2009/02/01(日) 14:46:27 ID:GG+KXYhU
よし、共同購入ならぬ、共同制作しないか?
900774ワット発電中さん:2009/02/01(日) 16:22:25 ID:RFAapB07
>>899
何か目的がないと難しいよな
CQ雑誌の付録のCPLD基盤とかを、再版して欲しい
電源と部品含めて売ってたから微妙だと思ったけど、少し買っておけば良かったかもw
901774ワット発電中さん:2009/02/01(日) 17:37:27 ID:5hlmS5vh
FPGA, JTAGコネクタ, コア電圧用レギュレータ, 発振器の空きパターン(周波数はお好みで手付け)
で各種信号を2.54mmピッチで出していると便利かな。ってままCQの付録基板だけどw
902774ワット発電中さん:2009/02/01(日) 18:22:39 ID:M+DcD+0Z
PC画面にFPGAボードの絵が出るでOK?
903774ワット発電中さん:2009/02/01(日) 22:15:05 ID:tmlDWEjO
BGA打ってたらわろす
904774ワット発電中さん:2009/02/02(月) 00:49:02 ID:/283ZLLZ
>>901
変換基板買ってきて自分で作ればよくね?
905774ワット発電中さん:2009/02/02(月) 01:05:26 ID:8T3H7pt4
CQの付録基板にもう少し大きなCPLDのせたようなのがいいな
十分3000円くらいでなんとかなるだろうし・・・
906774ワット発電中さん:2009/02/02(月) 06:18:44 ID:k4/AU0Uv
エコ的に良い企画なら通るかもね
907774ワット発電中さん:2009/02/02(月) 10:35:50 ID:JxBgPaJW
>>904
>>901はなんとなく思っただけ
実際は周辺チップ(SDRAMとか)込みで基板作っちゃうからね。
908774ワット発電中さん:2009/02/02(月) 10:56:54 ID:dQsWvEpv
確かに周辺込みで基板おこすよな
というかPLDやFPGA基板あっても手配線する暇と気力がないw
909774ワット発電中さん:2009/02/02(月) 13:58:49 ID:O8JA+H7U

教えてください

XilinxのSpartan3のスタータキットを手に入れました。
今までは、基板上にIOの全くない(=FPGAのIOは全ピン開放の)ヒューマンデータの
ボードを使っていたので特に気にしなかったのですが、
今回のスタータキットには、LEDやスイッチやUSBなどのIO機器が付いています。

例えばLEDなどはFPGAから見ると入力機器なので問題無いのですが、
スイッチは、電圧がFPGAに向かってやって来ます。FPGAがIO方向が出力で
かつHになっているとき、そのスイッチをL(=GND)にしてしまうと
ショートしてマズイのではないかと思うのです。どうすればよいのでしょうか?

基板上にあるIO機器のIOに合わせてFPGAの入出力方向を処理して
おかなければならないのでしょうか?

あるいは、使わないピン(自分の使用ピンとは関係の無いピン)は
放ったらかし、で良いのでしょうか?
(放ったらかしとは、PACE(あるいはucf)で何も定義しないということです。
そうすると、IO方向はHi-Zになるので)

910774ワット発電中さん:2009/02/02(月) 14:08:27 ID:WveSf9yy
>>909
> 例えばLEDなどはFPGAから見ると入力機器
出力だよ。

> 基板上にあるIO機器のIOに合わせてFPGAの入出力方向を処理して
> おかなければならないのでしょうか?
yes

> あるいは、使わないピン(自分の使用ピンとは関係の無いピン)は
> 放ったらかし、で良いのでしょうか?
> (放ったらかしとは、PACE(あるいはucf)で何も定義しないということです。
> そうすると、IO方向はHi-Zになるので)
FPGAくくりでいえば、Hi-Zになるというわけではないので、ng。
911774ワット発電中さん:2009/02/02(月) 19:14:06 ID:O8JA+H7U
>>910
ありがとうございます。

ということは、668ピンのBGAのデバイスの乗ったスターターキットなら、
668ピン全部のIO方向を決めなければいけないのでしょうか?

YouTubeとかを見ると、この手の評価ボードでいろいろやっているみたいですが、
あの人たちは皆、全ピンのucfを書き込んでいるということでしょうか?

「オシロを使って、ちょっとDCMの出力波形だけが見たいな」というとき、
使うのは1本だけですが、残りの150本のIOのucfを書いて使っている、ということでしょうか?

同じ質問ですみません。
ucfに記述しなければ、そのpinは、Hi-Zとなり、外部から電圧がかかっても
大丈夫なのではないか、と思っていましたが、違いますでしょうか?
912774ワット発電中さん:2009/02/02(月) 19:46:19 ID:hhyL+FXM
キット持ってるなら自分でやってみればいいのにね。
913774ワット発電中さん:2009/02/02(月) 19:50:17 ID:JxBgPaJW
オプション設定なので未使用ピンが内部のGNDなどに接続される場合があると思いましたよ。
その設定がデフォルトなのかどうかはメーカや使用チップ、ツールで変わると思いますので
使用するメーカのドキュメントを読んだ方が良いかもしれません。
914774ワット発電中さん:2009/02/02(月) 20:02:43 ID:NIBiBxBY
>>912
一発で壊したら泣きが入るだろ(w
915774ワット発電中さん:2009/02/02(月) 20:06:25 ID:YFBlwmtb
マニュアル熟読すべしだな。
以前にバージョンの違いでピン処理(未処理か入力だか出力だか忘れた・・・)の方法が変わったことがあったような気がする。
916774ワット発電中さん:2009/02/02(月) 20:11:40 ID:KFn4u80m
>914
そういう観点でいうなら、たかだか初回だけの手間を惜しんで
それでいいのか悪いのか分からない処で手を抜くのもどうかと。

試して壊すのが嫌ならさっさと全ピン書けと。

どうせどのピンが専用ピンなのか汎用ピンなのか
後で調べるはめになるっつーに。
917774ワット発電中さん:2009/02/02(月) 20:15:56 ID:2Yn/sn/H
どっちに転んでも大丈夫なようにボード設計しておくべきだけどな、評価ボードなんだし。
918774ワット発電中さん:2009/02/02(月) 20:32:28 ID:VVZ+ODps
>>898 XC95で良いならPLCCがあったよね。もう売ってないかな?

まだ売っているみたいだよ。
http://strawberry-linux.com/catalog/?c=pld

入荷待ちの物が多いのは気になるけど。
919774ワット発電中さん:2009/02/02(月) 21:50:35 ID:k4/AU0Uv
config失敗して入力か出力かどっちに転ぶか分からん状態になる可能性はある?
920774ワット発電中さん:2009/02/02(月) 21:53:47 ID:l6At4M/f
確率ゼロではない
921774ワット発電中さん:2009/02/02(月) 22:11:18 ID:/kIw6xDi
>>918
去年末からストロベリーリナックスの入荷予定を待ってるけど、
いまだに入荷したものは一切ない。
半年ぐらいたたなきゃ再入荷しないとか・・?
922774ワット発電中さん:2009/02/02(月) 22:15:04 ID:l6At4M/f
注文がないから入荷しないのでは
923774ワット発電中さん:2009/02/03(火) 10:22:13 ID:IY2hM8K9
>>919
ふつーはCRCチェックでエラーだったらconfig中断するから大丈夫
924774ワット発電中さん:2009/02/03(火) 13:28:09 ID:IY2hM8K9
アルテラからAriaIIGX、ザイリンクスからSpartan6が発表になった
使えそう?
925774ワット発電中さん:2009/02/03(火) 14:51:51 ID:Y4T06pOV
リリースされればなぁ。
StratixIII もう一年待ち。
926774ワット発電中さん:2009/02/03(火) 22:38:43 ID:WKdoxBNg
927774ワット発電中さん:2009/02/03(火) 23:17:04 ID:2MK1XUau
次のVertexが6は、わかるが
Spartanが6というのは、4,5、が抜けてないか?
E,ANとか分岐が多すぎた?
928774ワット発電中さん:2009/02/04(水) 03:37:59 ID:p0lr6zJR
Spartan6は、
・EEPROM内蔵かなぁ?
・3.3V単一電源かなぁ?
・QFPはあるかなぁ?
929774ワット発電中さん:2009/02/04(水) 22:06:37 ID:ZsKUavum
Virtex6 って、I/O の電圧が 2.5V までになったんだね。これは
困る人も多かろう。
930774ワット発電中さん:2009/02/05(木) 01:45:22 ID:GvoT5iNM
【経済】Xilinx社,45nm/40nm世代のFPGAをSamsung社とUMCに生産委託,東芝は外れる[02/04]
http://gimpo.2ch.net/test/read.cgi/news4plus/1233751886/
931774ワット発電中さん:2009/02/07(土) 11:28:37 ID:kLkkqix1
スパ6とかバテ6とかどうでもいいから
早く少ピンCPLDを出してくれ!
932774ワット発電中さん:2009/02/07(土) 11:37:45 ID:FomS8gpz
28ピンDIP(300MIL)フラッシュ内蔵FPGAが欲しい^^;
933774ワット発電中さん:2009/02/07(土) 11:47:25 ID:kLkkqix1
だってよ100ピンもあるうち、10ピンしか使ってねーし
余りを使えるだけのマクロセルは余ってない
実装面積の無駄
934774ワット発電中さん:2009/02/07(土) 12:19:01 ID:zDM2eVMN
935774ワット発電中さん:2009/02/07(土) 14:17:42 ID:jO4avBrU
ウチは逆だよぉ。
いつも中身は余りまくってるんだがピンが足りねぇ。
新しいデバイスが出る度に使えるピン数が減っていって困る。
936774ワット発電中さん:2009/02/07(土) 16:56:22 ID:Wg0gf4//
>>930
もうAlteraしか使わない!

DIP・・・BGA・・・どう考えてもQFPがいいだろう
全然ピンが足りないからBGAになる訳だけど・・・
937774ワット発電中さん:2009/02/07(土) 20:56:35 ID:NldtBfWb
Spartan6 だって、TQFP あるじゃない
938774ワット発電中さん:2009/02/07(土) 21:02:27 ID:2qndy4Ij
QFPとPGAが一緒になったパッケージ、どっかだしてみないかなw (・∀・)
939774ワット発電中さん:2009/02/07(土) 23:05:35 ID:/q7BnNn7
FPGAとCPLDの違いって何?
940774ワット発電中さん:2009/02/07(土) 23:21:26 ID:hDur9FzL
LUTかAND-ORアレイか。
941774ワット発電中さん:2009/02/07(土) 23:40:53 ID:Q36JaMyT
>>935
うちは中身もピン数も足りなくなる事が多い。→予算が足りね〜って事だが・・・
ピン数足りないってのは厳しいよな。
最後は一つのピンに時分割で複数の意味持たせたり、タイミング的に余裕があればシリアル化
したりと面倒な事をしている。CPU内臓させるだけでもピン数を節約できるんだけど、なかなか
そうもいかずシリアルバス接続して節約とかよくやってる。
942774ワット発電中さん:2009/02/08(日) 00:46:54 ID:tkMoy1Ax
CPLD : 小容量。単独で動作可能。
FPGA : 中大容量。外付けFlashROMが必要なものがほとんど。
943774ワット発電中さん:2009/02/08(日) 10:33:17 ID:jTj762mC
なるほど
ありがとうございました
944774ワット発電中さん:2009/02/08(日) 18:08:36 ID:UUij2+CQ
> 939

基本構成がLUTかAND-ORアレイかだってば。。
945774ワット発電中さん:2009/02/08(日) 18:17:29 ID:ImT+qej7
たまにはアルテラ使ってみな
946774ワット発電中さん:2009/02/08(日) 18:35:05 ID:w2fhZ+jk
>>944
MaxII は基本素子がLUTだけど、CPLDを称している。
実際、CPLD的な用途に使われることが多い。
小容量でもいいからBlock RAMがあれば面白かっただろうに。
947774ワット発電中さん:2009/02/08(日) 19:50:19 ID:sI/3pJlA
>>939
> FPGAとCPLDの違い
メーカによる分類
948774ワット発電中さん:2009/02/09(月) 23:27:43 ID:ZtRXMvg9
定期購読で届いたんですけど、DWマガジンも今回の合併号でとりあえずの終了か・・・
949774ワット発電中さん:2009/02/10(火) 03:32:11 ID:JRxuEqJb
定期購読の解約が相次いだんだろな
950774ワット発電中さん:2009/02/10(火) 03:38:29 ID:CfH2v/rE
最近ネタが繰り返してたもんなー
スレ的には関係ないが、今回のCell記事はちょっと気になる
もし読んだ人がいたらあらすじ頼む
951774ワット発電中さん:2009/02/10(火) 04:51:17 ID:uXp9eMor
気になるなら買って読めよ。
952774ワット発電中さん:2009/02/10(火) 06:30:41 ID:JRxuEqJb
ネットの普及と不況の影響で出版業界軒並みダウンです
編集部まるごとリストラだと思うので他人事ながら心配です
953774ワット発電中さん:2009/02/10(火) 07:58:42 ID:wZ0CQDYr
宿命というやつか・・・
954774ワット発電中さん:2009/02/10(火) 09:34:00 ID:Bxnr7nQG
いちおうリニューアルでDDTって雑誌が発刊するみたいですけどね。
955774ワット発電中さん:2009/02/10(火) 10:41:58 ID:sn1ec7w0
殺虫剤->bug退散。
やるな、CQ.
956774ワット発電中さん:2009/02/10(火) 10:48:37 ID:daXQUw64
CP/Mに入ってた8080用デバガもDDT.COMだったな
Dynamic Debugging Toolの略だとこじつけてたが
もちろん殺虫剤のDDTにかけたシャレ
957774ワット発電中さん:2009/02/10(火) 11:16:37 ID:Bxnr7nQG
>>955
FPGA開発の基礎を解説 -FPGA基板付属- 2009/04/10発売予定
虫(バグ)がつかないと良いですねw
958774ワット発電中さん:2009/02/10(火) 11:40:36 ID:wVnDgjQ7
記事ネタがなくなってきたので、以前と同じネタが載せたい。
しかし、それもできないので 雑誌名を変えようと言う話じゃないの?
959774ワット発電中さん:2009/02/10(火) 21:59:32 ID:jdh3iE5x
>新装第1号はFPGA基板を付属し、FPGA解説の基礎を解説します。
>2009年4月10日発売予定です。(予価2,980円)

ってなっているけど。
値段的に何がつくのかなあ。ちょっと期待。
960774ワット発電中さん:2009/02/10(火) 22:33:09 ID:dcfH6jyv
次はアルテラかな?
961774ワット発電中さん:2009/02/11(水) 00:04:41 ID:ekDYwZED
継続的に秋月あたりで2000円位の遊べるボード売ってくれないものかな
ついでに3000円位のUSB-JTAGがあれば少しは売れないかな・・・売れないんだろうなぁ・・・

>>960
Altera MAXIIはCPLDと言うよりはFPGAに近いし、その点は嬉しいかな
962774ワット発電中さん:2009/02/11(水) 00:31:49 ID:xjRm9xFA
DDTの第一号を読めば分かるのだろうけど、
>>959の「FPGA解説の基礎を解説します」って何のことか気になるな。
963774ワット発電中さん:2009/02/11(水) 00:53:20 ID:ti3bSNGk
解説の基礎....新人の季節だし、インストラクターの養成?
964959:2009/02/11(水) 01:46:06 ID:qsVofhvF
以下がDWMに関するメールマガジン掲載文章全体。
たしかに、解説の基礎を解説だ。
"DDT"に衝撃を受けて気が付かなかった。w

-----
■Design Wave Magazine 3.4月号(2月10日発売)
特集「Cベース設計の時代がやってきた!」
定価1,320円(税込)
http://shop.cqpub.co.jp/hanbai/books/MDW/MDW200904.html
*「Design Wave Magazine」は、これからエレクトロニクス業界で活躍しようとする
若いエンジニアに向けた季刊誌「ディジタル・デザイン・テクノロジ」として生まれ
変わります。新装第1号はFPGA基板を付属し、FPGA解説の基礎を解説します。
2009年4月10日発売予定です。(予価2,980円)
965774ワット発電中さん:2009/02/11(水) 07:17:49 ID:4lyxS7pj
>>961
秋月じゃないけど、1600円のMAX2ボードがあるよ。
http://optimize.ath.cx/shopv2_2/chuumon.html#max2
966774ワット発電中さん:2009/02/11(水) 12:20:37 ID:KLd93blK
>>964
乙。
丁度、新年度で新人教育にはいいのかな・・・
FPGAスタートアップ2009/2010の代わりになるのかな

>>965
それいいな。カメレオンしか知らなかった
今度ライターと合わせて買ってくるよ
967774ワット発電中さん:2009/02/11(水) 15:10:57 ID:6rMQr65Z
>>962
新人編集者募集のお知らせなんだろきっと
968774ワット発電中さん:2009/02/11(水) 21:08:29 ID:+BztifoX
でもよ、DWMでかなり勉強させてもらったから廃刊になるのは寂しいな
969774ワット発電中さん:2009/02/12(木) 05:31:06 ID:ryQOFEr+
寂しい
970774ワット発電中さん:2009/02/12(木) 06:17:58 ID:y7ipibl+
もえをいれて欲しい。
971774ワット発電中さん:2009/02/12(木) 07:47:11 ID:hbsfqzJZ
美人な先輩技術者のおねぇさんがやさしく教えてくれるマンガがあれば一生懸命覚えそうだ。 
(いいのかそれは?w)
972774ワット発電中さん:2009/02/12(木) 09:16:03 ID:PhCslixk
俺はそういうシチュエーションすっげー嫌い。
973774ワット発電中さん:2009/02/12(木) 11:31:10 ID:Tees+3sS
>>972
そうだよな、妹にやさしく教えるマンガの方がいいよな
974774ワット発電中さん:2009/02/12(木) 18:58:19 ID:1gehAP0T
>>971
マンガじゃだめ。本物じゃないとw
975774ワット発電中さん:2009/02/12(木) 19:02:10 ID:CViG4BJk
>>970
おまいの買う付録基板には"燃え"があること祈ってるよw
976774ワット発電中さん:2009/02/12(木) 20:18:00 ID:Jpkr9xc7
977971:2009/02/12(木) 20:33:30 ID:hbsfqzJZ
>>972
>>973
だははwそうかーw
>>974
だよなー。
うちの職場は女性陣ステキでいつもドキドキだぜ・・・。
仕事はぜんぜん関わりないけどなorz
978774ワット発電中さん:2009/02/14(土) 11:55:04 ID:5FGOsmUY
>965に関連してだけど、安いUSB Blaster互換ケーブルはない?
もうパラレルポートなんて付いてないよ
USB変換を買った方が安くなりそうだけど・・・
979774ワット発電中さん:2009/02/14(土) 12:31:33 ID:P4XhBf50
Terasic Blasterなら一万前後。

USB変換はプリンタ専用で、Configには使えないよ。
980774ワット発電中さん:2009/02/14(土) 12:33:31 ID:M5C4kvcy
TerasticBlasterは輸送料込で約80米ドル
981774ワット発電中さん:2009/02/14(土) 15:10:36 ID:5FGOsmUY
>>979-980
やっぱり数千円くらいのは無いみたいだし、諦めてUSB変換買った方がいいみたいですね
1万も出すんだったらUSB Blasterが欲しいですし。(個人で買ったら高いのかな?

あと>881の↓ってどこで買ったのでしょ?
> USB Blaster 互換ケーブルが 3,750円
ひどそうな業者だから、業者名書いてないんだろうけど・・・
982774ワット発電中さん:2009/02/14(土) 15:44:14 ID:M5C4kvcy
>>981
あんた人の話をよく聞かないって言われないか?

>やっぱり数千円くらいのは無いみたいだし
現在、1米ドル=91.9円なので、80米ドル=7352円で、これは数千円だぞ

>諦めてUSB変換買った方がいいみたいですね
USB変換器では動かないと>>979が書き込んでるぞ

>> USB Blaster 互換ケーブルが 3,750円
値段からしてどう見てもByteBlaster互換ケーブルだろ
983774ワット発電中さん:2009/02/14(土) 16:03:42 ID:xekWtIZt
いや、それ言ったら9k近くまでが数千の域に入らんか…?
984774ワット発電中さん:2009/02/14(土) 16:10:30 ID:pUqC4dJC
>>982
USB Blaster互換だよ。 AlteraのデバイスドライバでUSB Blasterと
認識するし、1chipMSXの書き換えもできたぞー。

マイパソコンは、プリンタポートがないんで、結構ありがたい。

ちなみに、中国製。中国のホームページだと100元だから。そんなもんかな。
985774ワット発電中さん:2009/02/14(土) 16:37:07 ID:g4l+zVqA
4万円出してUSB Blaster 買いなよ→ >>981
http://on-line.altima.jp/shopdetail/026001000002/brandname/
986774ワット発電中さん:2009/02/14(土) 16:41:43 ID:M5C4kvcy
>>983
9000円まで来ると10000円が見えてくるかな
だけど、7000円ならまだ5000円の方が近いし、数千円の仲間だろ
とは思わない?

>>984
おお、そうだったのか
それは失礼

絶対typoだと思ってたよ
987774ワット発電中さん:2009/02/14(土) 18:29:53 ID:5FGOsmUY
やはりUSB-パラレル変換を買うことにします。

>>986
”数千円”は人それぞれなので、今後気をつけたいと思います

私の中で数千円や数人、数個という場合は、多くても4まででした
たぶん5以上は繰り上がるイメージになるのだと思います
988774ワット発電中さん:2009/02/14(土) 18:53:15 ID:Sf15WOgk
>>987
>やはりUSB-パラレル変換を買うことにします。
あーっ。待って待って。

>>979さんも書いてますが、USB-パラレル変換はたいていがプリンタ専用で、
AlteraやXilinxのパラレル接続ライターには使えないはず。
989技術奴隷:2009/02/14(土) 19:11:20 ID:mYbQ0nba
>>987
ノートならPCMCIAの、デスクトップならPCIのカードを買っとくのが幸せになれると思う。
もしくはパラレル付きquadのマザーを調達。
990774ワット発電中さん:2009/02/14(土) 19:34:26 ID:qqpQ8frl
>>987
USBパラレル変換ケーブルは使えないって2回レスつけられてもわからないのか
991774ワット発電中さん:2009/02/14(土) 19:34:48 ID:uKZ/udUa
このスレってやたら刺々しく荒れるときもあれば、
今日の天気みたいに心地よい優しさ、親切心にあふれることもあるんですねぇ。
992774ワット発電中さん:2009/02/14(土) 19:49:52 ID:aFyZrrFV
2回言っても通じないってのは、余程人の話を聞かないか、分かってて煽ってるのか、複数人が連携して存在しない人格を作っているのかw
993774ワット発電中さん:2009/02/14(土) 19:55:25 ID:I3+CTr3P
>>987
> やはりUSB-パラレル変換を買うことにします。
やはりそうすべきです。

>>990
おまえ、うるさいよ。
買うっていうものを何がなんでも阻止したいのか。
何様だよ、おまえ。買いたいものを買うのになんの問題がある。
994987:2009/02/14(土) 20:09:49 ID:/C4yQsah
>>988-989
わざわざ有り難うございます。
大人しく古いPCを利用するか、TerasicBlasterにさせて頂きます。
(手元にあるUSB-RS232C経由で変換しようとか考えていましたorz)

>>990-993
ごめんなさい。
995技術奴隷:2009/02/14(土) 20:42:41 ID:mYbQ0nba
>>994
古いのがあるならLANでつなげてディレクトリを共有すれば、書き込みだけ古い方でする手もある。
電気代は掛かるけど。
うちは切り替え器に繋がってる分だけでも3〜4台同時に動いてる。
996774ワット発電中さん:2009/02/14(土) 23:29:20 ID:9lGGgu5+
質問があります。

xilinx社が公開しているjtagインターフェースで、他社のcpld fpga その他マイコン類の書き込みは可能でしょうか?
また、pciカードで、増設したパラレルポートで書き込みは可能でしょうか?
教えていただけると幸いです。
また、自作可能で、お勧めのjtagインターフェースがあったら教えてください。
流石に50k、70kもする奴は高校生の身分では買えそうもないので・・・。
997774ワット発電中さん:2009/02/14(土) 23:47:28 ID:Sf15WOgk
>>996
>また、自作可能で、お勧めのjtagインターフェースがあったら教えてください。

ttp://www.koka-in.org/~kensyu/handicraft/diary/20080929.html
998ままサル:2009/02/14(土) 23:55:41 ID:LG+0DDTN
>>995
古いパソコンでJTAG Serverを動かして開発パソコンからそれを使うとパソコン間を行ったり来たりしなくて済むんで便利だよ。
999774ワット発電中さん:2009/02/14(土) 23:57:26 ID:q3ddeXwP
うんこ
1000774ワット発電中さん:2009/02/14(土) 23:57:36 ID:q3ddeXwP
うんこ2
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。