【Verilog】記述言語で論理設計 Project7【VHDL】
1 :
774ワット発電中さん :
2008/10/02(木) 07:22:39 ID:g6PilaM2
2 :
1 :2008/10/02(木) 07:25:32 ID:g6PilaM2
廃れておつ
すみません 非同期RESET(負),ENABLE(正), CARRY IN(正), CARRY OUT(正)付 4bit up/down BCDカウンタってverilogでどう記述するんですか? それをつなげて4桁10進カウンタ作るんですけど、どうverilogで接続記述 すればいいんですか?(0 - 9999 up/down作りたいんです) 教えてください、先生!
乙
>>2 Altera(Terasic) DE2-70 はマジオススメ。
コストパフォーマンスは抜群と思われ。
(DE2-70 でぐぐれ)
10年くらい前のPCだったら再現できるんじゃないかな?
>>5 module top ( reset, clock, en, ci, co ) begin
always @ ( negedge reset or posedge clock ) begin
unit A ( reset, clock, BCDin, BCDout, ci, co );
unit B ( reset, clock, BCDin, BCDout, ci, co );
unit C ( reset, clock, BCDin, BCDout, ci, co );
unit D ( reset, clock, BCDin, BCDout, ci, co );
end
endmodule
module unit ( reset, clock, BCDin, BCDout, ci, co ) begin
if( reset==0 ) begin
end else begin
if( BCD < 9 ) begin
BCD <= BCD + 1;
end else begin
BCD <= 0;
co<=1;
end
assign co =
end
endmodule
眠いから、寝るよ。
>>7 「 本 日 の ア ジ ェ ン ダ 」
1. unitに always文が無い件について
2. assign co = がifの中にある件について
とりあえず・・・ module cnt10(CLK,RST_X,EN,UD,CARRY,Q); input CLK; クロック input RST_X; リセット(_X) input EN; イネーブル input UD; アップダウンセレクト(0でUP) input CURRY; 桁上がりと桁下がり両方とカレー output [3:0] Q; 数値 玉ねぎが黄金色になるまで煮て 砂糖と塩を間違えて 肉じゃがに仕様変更するが、玉ねぎの食感は無い。 endmodule
>>8 エロイすみません。大幅修正しました
下記の入出力付4bit up/down BCDカウンタ
入力:CLK(立ち上がり),非同期RESET(負),ENABLE(正), CARRY IN(正),UPDOWN(UP:正、DOWN:負)
出力:4bit BCD, CARRY OUT(正)
ってverilogでどう記述するんですか?
それをつなげてENが正の期間PULSEINに入力されたパルスの正状態(CLK立ち上がり時正)をカウントする
4桁10進(0 - 9999 up/down)カウンタを実現したいんですけど、どうverilogで接続記述すればいいんですか?
4桁10進カウンタの入出力は
入力:CLK(立ち上がり)、非同期RESET(負)、PULSEIN(正)、EN(正)、UPDOWN(UP:正、DOWN:負)
出力:(4bit BCD)x4
教えてください、大先生!
>>7 大先生引き続きお願いします
変なのにとり憑かれちゃったな。
`define H 1'b1 `define L 1'b0 module cnt10(CLK,RST_X,EN,UD,CARRY_in,Q, curry_out); input CLK; // クロック input RST_X; // リセット(_X) input EN; //イネーブル input UD; // アップダウンセレクト(0でUP) input CURRY_in; // 桁上がりと桁下がり両方とカレー output curry_out; // output reg [3:0] Q; // 数値 always @ ( posedge CLK or negedge RST_X ) begin if( RST_X == `L ) begin Q <= 0; curry_out <= 0; end else begin if( en == `H ) begin if( UD == `H ) begin if( Q < 10 ) begin Q <= Q + curry_in + 1; end else begin Q <= 0; curry_out = `H; end end else begin if( Q > 0 ) begin Q <= Q - curry_in - 1; end else begin Q <= 9; curry_out = `H; end end end end end endmodeule ちよっと用事を思い出したので、出かけてくる。
なんちゅう学生チックな記述。。 IC作ったことないだろ。
>>14 をみると…
仕様決める時begin, endではなく{, }とかにして欲しかったと…
スーパーendとかあると便利っぽくね?(w
>>15 >>18 の言うとおりだぞ
ICを作ったことのある者の記述を見せてみろよ
ICを作ったことあるなら、1-2分でさすがだなというのが出来るだろ
「IC作った」 実際にあなたがしたのはどれ?(複数回答可) 1. プロジェクトを指揮した 2. 仕様書を書いた 3. RTLを書いた 4. 検証した 5. 合成した 6. レイアウトした 7. ATE用のテストプログラムを作った 8. ATEで評価した 9. ボード等で評価した 10. 指示にうだうだ文句言うだけで何もしなかった
>begin, endではなく{, }とかにして欲しかったと… そりゃそうだろ。なんで begin endなんて書かせるんだろ。{}の方がよっぽどいい
22 :
774ワット発電中さん :2008/10/03(金) 22:19:24 ID:D+9sSTMk
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「そんなこともしらないのか」 r'"ヽ t、 \___ ! 「ケイデンス社が勝手にきめたからだよ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
23 :
774ワット発電中さん :2008/10/03(金) 23:00:07 ID:40sZlILb
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
しかしレベルが低すぎる。。
>>20 2,3,4,5,7,9 だ。
お手本は書かない。そんなボランティア精神ないし、金とるぞ。
14です。
まだ駆け出しだものですから、C風にしか書けないんです。
>>14 を叩き台に、ソースの書き方を教えてもらえませんか?
僕の書き方の基本は、
・分かり易いこと。
・間違いが起きにくいように書ける書き方
の2点です。
ifは、
if( !a ) b <= c; とは書かずに
if( a == `H ) begin
b <= c;
end
と書くようにしています。 次のように上下で関連していることを示したい場合は、
if( a == `H ) b <= c;
if( x == `L ) b <= 0;
if( y == `H ) b <= 1;
などと書くようにしています。
変なところを指摘して欲しいです。宜しくお願いします。
(TEDのおじさん、先日研修に行きましたよ)
Cでなく、Pascalちっく?
>>24 自分はそう思っても、他の奴は10と思ってるんじゃね
で、改めて聞くが、お前はIC作ったことあるのか?
まさか、
>>20 の2,3,4,5,7,9やったことある=IC作った
と言わないよな。
>しかしレベルが低すぎる
ここってよ
>>1 書いてあるが
日曜工作のHDL書き、学生も居るんだぜ
ここの状況読めないんじゃ職場で状況把握できてないんじゃね
ま、逃げ口上、脅しは得意そうだな
胸張ってIC作ったといいますが?なにか?
____ / \ /\ キリッ . / (ー) (ー)\ / ⌒(__人__)⌒ \ | |r┬-| | 胸張ってIC作ったといいます \ `ー'´ / ノ \ /´ ヽ | l \ ヽ -一''''''"~~``'ー--、 -一'''''''ー-、. ヽ ____(⌒)(⌒)⌒) ) (⌒_(⌒)⌒)⌒)) ____ /_ノ ヽ、_\ ミ ミ ミ o゚((●)) ((●))゚o ミ ミ ミ /⌒)⌒)⌒. ::::::⌒(__人__)⌒:::\ /⌒)⌒)⌒) | / / / |r┬-| | (⌒)/ / / // だっておwwwwwwwwwwwwwwwwwww | :::::::::::(⌒) | | | / ゝ :::::::::::/ | ノ | | | \ / ) / ヽ / `ー'´ ヽ / / バ | | l||l 从人 l||l l||l 从人 l||l バ ン ヽ -一''''''"~~``'ー--、 -一'''''''ー-、 ン ヽ ____(⌒)(⌒)⌒) ) (⌒_(⌒)⌒)⌒))
おうよ。どう思われようが。で?
2,3,4,5,7,9でいいなら俺もIC作ったことあるよ
でも胸張ってIC作ったとは全然言えないわ
所詮RTLという非常に狭い領域をやっているに過ぎない
>>14 をみて思ったことをざっと書いておこう
・`L とか気持ち悪い。1'b0 でおk
・curry はカレー。carryのこと?
・普通はレジスタごとにalways文を分けた方がいい。でも今回は同じでいいかも
・if文では比較演算は使わずできる限り==だけを使う
・ifのネストが深くて読みにくい。俺だったら
if( en == 1'b1 && UD == 1'b1 ) begin
...
else if( en == 1'b1 ) begin // UD == 1'b0
のように書く
・curry_out でブロッキング代入の箇所が2カ所ある
・アルゴリズムそのものに問題がありそう
Qが8でcurry_inも1だったときはうまく動かない気が
・コメントは0でUPとかいてあるけど、逆になっている
33 :
774ワット発電中さん :2008/10/04(土) 03:04:14 ID:X3Xn4184
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ICを作ると言ういいかたでお前は素人」 r'"ヽ t、 \___ ! 「TEDなら新人でそうはいわないぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
TED? Total Error Distortion エラーと歪みの固まりか?おまえにまさにぴったりだな。ゴミ野郎 to >>AA入れてるアホへ しつこいと灰汁禁報告してやるからそのつもりでいろ
Verilog はなぜかブロックの記述だけが Pascal チック。
個人的には Pascal の方がカッコが少なくて好き。Shift キー押す回数が減る。
>>32 > ・if文では比較演算は使わずできる限り==だけを使う
やっぱりそうか。同じか否かは XOR で簡単にできるけど(というか俺でもスケマで回路書ける)、
大小比較はなかなか思いつかないんで、使うの避けてた。
気にするなという人もいるけど、やっぱ気にした方がいいよねえ。すっきりした。
ところで、Verilog 教えるのにやたらと C と同じだというヤツがいるが(大抵ろくにソフトできないやつ)、
文法似てても作法がまったく違うんだから、そういう教え方はイカンと思うな。
Verilog 教えるのにやたらと C と同じ = 文法似てる くらいで言ってるんじゃね?
>>32 じゃぁなんちゃってIC作ったことある俺も一言
・`L とか気持ち悪い→同意。
・カレーはわざとだろ
・alwaysで分けるのは時と場合によりけるからなんとも
・比較演算は確かに嫌だが、値が吹っ飛んだときどうなるかね?
どちらかというと整数表記と比較しているのがいや。
・イネーブル信号はゲーティングを考えたらあんまり論理を重ねない方がよくないか?
・アルゴリズムは最初の教えて君が問題。
>>37 比較だと、“正常時”と“値が飛んだけど判定はOKなので動いてる時”とがわからなくて
デバッグに苦労したと言うことがあった。
エラーステートは明確に分離する方がいいかも。
なんで `Lとかが気持ち悪いのですか?
if( dir == 1'b0 ) begin よりも
if( dir == `UP ) begin のほうが見やすくていいような気がします。
>>36 >Verilog 教えるのにやたらと C と同じ = 文法似てる くらいで言ってるんじゃね?
そうそう、僕もそう思います。
ていうか、僕もCからVerilogに入ったくちですが、Cを知っている余り
Verilogの文法が覚えられなかった。エラー出まくりで、エラーが何を言っているのか
サッパリわからず「こんなんだったらSHマイコンの方がいい」とすねていた。
でも、あるとき突然わかった。
今となっては当然で、基本なんだけど、
マイコンは、格納先が全て記憶もので、FPGAは記憶ものとそうでないものの2種類あるってこと。
= は、74HC00とか74HC32のように、入力入れればスグ反応する「clock無視の今スグ素子用」の記述で
<= は、74HC74のようなFFのD端子のH/Lの意味で、次回のclockのときにはそうしてね、
という「次回clockでの予約」の意味だって。
これがわかると、assignの意味もわかり、自動的にalways @ ()の中に入れてはいけない
という推論もできた。regというのはFFを用意することだし。
それ以来、Cと比較しても全然違和感無くなり、エラーが激減した。
>>39 > <= は、74HC74のようなFFのD端子のH/Lの意味
> regというのはFFを用意すること
って本当ですか?
>>35 >:Shift キー押す回数が減る。
shift押すのはイヤで、アルファベットキーなら文句ないって?バカ?
> ・if文では比較演算は使わずできる限り==だけを使う
んなこたぁない。==で押し通せるならswitch文で押し通せとなぜ言わんのだ。
>>32
>>39 >なんで `Lとかが気持ち悪いのですか?
リテラルをべたべた直接書いても何の違和感持たないのは単なるバカ
>>39 >Cを知っている余り
>Verilogの文法が覚えられなかった。エラー出まくりで、エラーが何を言っているのか
>サッパリわからず「こんなんだったらSHマイコンの方がいい」とすねていた。
>でも、あるとき突然わかった。
>マイコンは、格納先が全て記憶もので、FPGAは記憶ものとそうでないものの2種類あるってこと。
それ、ホントにわかってんのか?おまえのわかってないのは手順を書いてるプログラミング言語と、
回路作ってるHDLの違いがわかってないんだろが。wire/regの違いなんてなもんじゃなくて。
順序、組み合わせ以前に自分が何をやろうとしてるのかがわかってなかったんだろが。
45 :
774ワット発電中さん :2008/10/04(土) 14:47:16 ID:iuoKZ3MN
>>35 >大抵ろくにソフトできないやつ
おまえと一緒でか?
>>39 A == `Hとかは if(A)なんかと一緒で自分の趣味で良いと思う。
>>42 タッチタイプできないヤツだな。
>>45 そんなんだからハード屋はバカにされるんだよ。
49 :
774ワット発電中さん :2008/10/04(土) 16:19:07 ID:iuoKZ3MN
>>48 おまえの職場じゃ、おまえがいるからハード屋の評判下げてるんだろ。
50 :
774ワット発電中さん :2008/10/04(土) 16:47:41 ID:UALRtzNj
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
51 :
774ワット発電中さん :2008/10/04(土) 16:50:00 ID:UALRtzNj
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
52 :
774ワット発電中さん :2008/10/04(土) 16:51:37 ID:UALRtzNj
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
53 :
774ワット発電中さん :2008/10/04(土) 16:55:53 ID:UALRtzNj
こわかねーんだよ ばーか 優良串だからな ぶははははははははははっ
>>42 switch文って何?そんなのVerilogの文法にありましたっけ?
あと、セレクタとテーブルの違いわかる?
人のことをバカにする前に自分でよく勉強した方がいいよ。
switch文とか言うあたり、Verilog書き慣れてないことバレバレだからさぁ
>>39 UP のように意味があるなら定数使った方がいいけど、
キャリーは数値だから定数じゃなくて数値を直接入れた方が見やすい。
そもそも、`H が 1 みたいな定数宣言は意味がない。
C言語でも #define TEN 10 のようなことはしないでしょ?
あと、定数使うときは `define より parameter 使う方が安全。
>>54 switch文....
>>42 がソゥトメイン屋ってバレバレだな
ソゥト屋でもIC作りが出来る良い時代になったんだな
自称胸張ってIC作っているソゥトメインの俺の場合
定数に関するなんちゃって`define、parameterの使い分けは
`define はあっちこっちのモジュールで使う定数
parameter はそのモジュール内でのみで使う定数
に使うってな感じな使い方だな
本職IC作りはどう使い分けてるんだ
56 :
774ワット発電中さん :2008/10/04(土) 18:06:22 ID:LbrYkNc/
>>54 いたたたた・・・
ifがセレクタでcaseがテーブルに論理合成されると思ってることが痛すぎるな。
放火でもして、人生リセットしなよ。どうせ意味ない人生だろうからさぁ
>>56 >テーブルに論理合成
ってどういうことだ?
>>55 自称ソフトめん屋さん、教えてください。
parameterも使いますが、
parameterだと変数?名と一緒になってしまうので、わかりにくくないでしょうか?
`defineだと、`Hとか`Lとか、`がつくので、明らかに変数ではないと
スグわかるので良いと思うのですが、どうでしょうか。
宜しくお願いします。
>>56 必ずしもそうなるとは思ってないですけど?
そうなるように意識して記述するとそうなるだろ?
確かに誤解を招く表現だった。スマソ
>>55 本職IC作りではないけど、
`define はプリプロセッサだから基本的に使わない。
C言語でも定数宣言は #define をやめて const を使おう、となってきてるでしょ?
それと同じじゃないか?
あと、parameter は上位モジュールから書き換えができたはず。
>>58 命名規則を工夫すればいいよ。
61 :
774ワット発電中さん :2008/10/04(土) 18:42:23 ID:xqCTVtux
ぶはははははははっ
62 :
774ワット発電中さん :2008/10/04(土) 18:43:25 ID:xqCTVtux
ぶはははははははっ ぶはははははははっ
>>60 >C言語でも定数宣言は #define をやめて const を使おう、となってきてるでしょ?
んなこたぁない。Cはあくまでプログラマの自己責任。多少書きやすいアセンブラだ。
safe codeに固執する奴は初めからCなんて使うな
定数の定義に`defineを使うことは何の問題もない。
65 :
774ワット発電中さん :2008/10/04(土) 18:58:15 ID:xqCTVtux
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
66 :
774ワット発電中さん :2008/10/04(土) 18:59:38 ID:xqCTVtux
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
67 :
774ワット発電中さん :2008/10/04(土) 19:17:50 ID:qa+qoPnU
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ひでー記述ばかりだな」 r'"ヽ t、 \___ ! 「TEDなら新人でももっとましだぞ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
68 :
774ワット発電中さん :2008/10/04(土) 19:18:49 ID:qa+qoPnU
優良串、優良串 ぶはははははははははははっ
>>64 C++では#define よりconst使えって傾向はある
コンパイルエラーが出たとき名前が見えるのと・見えないではデバッグ作業が
大分違う。でも、使うか使わないかは自由だがな
>>58 >>60 が書いているが、自分で命名規則を作る
自分の場合C/C++の#define でよく用いられるように名前すべて大文字
70 :
774ワット発電中さん :2008/10/04(土) 19:30:46 ID:qa+qoPnU
優良串、優良串 ぶはははははははははははっ
なるほど、なるほど。 みなさんありがとうございます。 Cの延長で書いているので、ついつい`defineとやってしまいます。 今後は、パラメータも使い分けて書くようにします。 でも、ココで新たなる疑問が。ということは、`defineはどのような時に 使って、parameterはどのような時に使えばいいのでしょうか。 moduleをまたがって欲しくないときにparameter、 globalに知らせたいときは`defineということで良いでしょうか? あと、以前から思っていたんですが、 `define { begin `define } end と、やれないでしょうか。連接演算子と同じなのでできないとは思うんですが。 どうもbeginをbiginと書いてしまうので。 もし出来たら、どんなに便利だろうかと。 連接を使わないようにすればクリアできるかも....。
>>71 verilogはあまり使わないから分からないが、emacs lispとかで自動補完、挿入してくれないの?
ミスったorz
>>71 > でも、ココで新たなる疑問が。ということは、`defineはどのような時に
> 使って、parameterはどのような時に使えばいいのでしょうか。
人によって違うと思うけど、定数宣言は全てparameterで。
`define は `ifdef とかで利用する。
> あと、以前から思っていたんですが、
> `define { begin
> `define } end
begin end に慣れてください。
どうしても嫌ならVerilogをやめてSystemCとかを使うしかないかと。
76 :
774ワット発電中さん :2008/10/05(日) 02:00:44 ID:vJRVTY9q
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「おまえらのやりとり見てると」 r'"ヽ t、 \___ ! 「ど素人というよりバカだな」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
>>75 ありがとうございました。
良くわかりました。今後は僕もparameterを使うようにします。
begin endは慣れですか。頑張ります。
>どうしても嫌ならVerilogをやめてSystemCとかを使うしかない
SystemCはめんどくさそうです。
VHDLは記述が長いので、やっぱりVerilogですね。
ありがとうございました。
>>71 > でも、ココで新たなる疑問が。ということは、`defineはどのような時に
> 使って、parameterはどのような時に使えばいいのでしょうか。
俺は基本 parameter、ディレイで小数点記述など parameter では怒られるところは define を使ってる。
> あと、以前から思っていたんですが、
> `define { begin
> `define } end
> と、やれないでしょうか。連接演算子と同じなのでできないとは思うんですが。
逆のパターンだけど、
ttp://www.kouno.jp/home/c_faq/c10.html#0 要するによくないということ。
俺もソフトから入ったクチだけど、確かにいろんな言語がごっちゃになるよね。
Delphi で余計な括弧つけたり、もちろんソフト書いた後に Verilog 書き始めると
{ } もよくやってしまう。でも割り切ればすぐに慣れるよ。
頭ではわかっていても、体が勝手にw
個人的にプログラムなんかは自動補完使わないと書いてられないけど、意外とそういう人少ないみたいね。 VHDLが長いとか話があるが、lisp使えばverilogのべた書きに比べて圧倒的に早いと思うが、文法ミスも少なくなるし。
VHDLは条件コンパイルがないこと自体欠陥言語だ。 プログラム言語においても、条件実行のでいないコンパイラ言語は欠陥規格、Javaとかな インタプリタはコードそのもので実行を制御できるからまぁ我慢できるけどな
82 :
774ワット発電中さん :2008/10/05(日) 10:13:24 ID:DXcKHDsG
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「てめえの未熟さ棚にあげ」 r'"ヽ t、 \___ ! 「コンパイラのせいにするとは」 / 、、i ヽ__,,/ 「思い上がりもはなはだしい」 / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
アホに限って、マジでm4使って条件コンパイルしようとする工夫もしねーで、未熟と放言して終わりなんだよな。
工夫をしないことこそがエンジニアとして未熟だ。
まともな技術屋はHDLであれプログラム言語であれ、
m4あたりのプリプロ使ってちゃーんと条件コンパイルしてるんだよ。馬鹿たれ
>>82
さらに付け加えれば、そういう工夫をしなければならない仕様だからこそ問題有りってことだ。
わかるか未熟者
>>82
>>80 > 個人的にプログラムなんかは自動補完使わないと書いてられないけど、意外とそういう人少ないみたいね。
IDE の補完機能はあると便利だけど、ファームなんかはフツーのエディタで書くしかないことも多いからねえ。
でも今の開発マシンのパワーなら、昔みたいにコンパイルに30分もかかったりしない(どこまで昔だよ)から、
文法エラーはすぐ取れるよな。
localparam使っている奴はいないの?
使ってる
いろんな言語使ってると本当にややこしい 趣味でいろいろやってるけど、 ずっとVHDLでハードの方書いてて、次にパソコン側のソフトをCで書いてたら代入演算子を<=で書いちまって・・ エラーも何もでないから気づかなかったじゃないかw
>>83 社内IT管理者によって便利なツールのインスールさえ許可されないところもあるよね、きっと…
>>82 のとこはどうなのかわからんが
有用なツールなら管理者説得して導入させるのも仕事のスキルだと思うが。 GNUを拒否ることがあるのかはしらないが。
91 :
774ワット発電中さん :2008/10/06(月) 01:09:28 ID:9Puuf/ce
,, -──- 、._ .-"´ \. :/ _ノ ヽ、_ ヽ.: :/ o゚((●)) ((●))゚oヽ: :| (__人__) |: :l ) ( l: :` 、 `ー' /: :, -‐ (_). / :l_j_j_j と)丶─‐┬.''´ :ヽ :i |: :/ :⊂ノ|:
有用性を説明して頼んでもやってくれない管理者は、実は名ばかりの管理者で なにもできません><
>>92 そんな会社でしか働けない自分の能力のなさを認識するべきだな
11ですが・・・
>>12 は良くも悪くも上司向きですね。
一応動かないように作ってみる。
module cnt10(CLK,RST_X,EN,UD,CARRY,Q);
input CLK; クロック
input RST_X; リセット(_X)
input EN; イネーブル
input UD; アップダウンセレクト(0でUP)
input CARRY; 桁上がりと桁下がり両方
output [3:0] Q; 数値
reg [3:0] Q;
always @(posedge CLK or negedge RST_X) begin
if(!RST_X) //非同期リセット
Q <= 4'd0;
else begin
if(!UD) begin //アップ
if(cnt9) //カウンタが9でクロックがきたら
Q <= 4'd0; //リセット
else //カウンタが9じゃないときは
Q <= Q + 4'd1; //1上げる。
end
else begin //ダウン
if(cnt0) //カウンタが0でクロックがきたら
Q <= 4'd9; //カウントを9にする
else //カウンタが0ではないときは
Q <= Q - 4'd1 //1下げる
end
end //レジスタはここまで
assign cnt9 = (Q == 9); //カウンタが9のとき1
assing cnt0 = (Q == 0); //カウンタが0のとき0
assign CARRY = (cnt9 & !UD) | (cnt0 & UD); //どちらかのキャリーが起こる時、1
endmodule
上のつなぎで、CARRYを次の桁のENに繋いでやれば動くはず。
有難う小林さん・・・
ぎゃー、assign cnt0のコメントまちがえたー
>>94 ISE Foundationに聞いたら
「自分、EN使ってへんやん」
「assign cnt9 = (Q == 9)の9は、なんで4'd9と書かへんの?」
と関西弁で言われましたが。
シミュレーションが長くて暇だ・・・
>>96 節子、それおはz(ry
今回かいててQ <= Q;をいれそこねてるなー、と思ってたら
そういうことか・・・しくしく
ENは非同期リセット直後のelseに入れてくれ。
暇なら最後にQ <= Q;を入れてくれ
output reg [3:0] Q; になっているので、Qは出力されるでしょ? 修正版↓ module cnt10(CLK,RST_X,EN,UD,CARRY,Q); input CLK; クロック input RST_X; リセット(_X) input EN; イネーブル input UD; アップダウンセレクト(0でUP) input CARRY; 桁上がりと桁下がり両方 output [3:0] Q; 数値 reg [3:0] Q; always @(posedge CLK or negedge RST_X) begin if(!RST_X) //非同期リセット Q <= 4'd0; else begin if( EN == 1 ) begin if(!UD) begin //アップ if(cnt9) //カウンタが9でクロックがきたら Q <= 4'd0; //リセット else //カウンタが9じゃないときは Q <= Q + 4'd1; //1上げる。 end else begin //ダウン if(cnt0) //カウンタが0でクロックがきたら Q <= 4'd9; //カウントを9にする else //カウンタが0ではないときは Q <= Q - 4'd1 //1下げる end end end //レジスタはここまで assign cnt9 = (Q == 9); //カウンタが9のとき1 assing cnt0 = (Q == 0); //カウンタが0のとき1 assign CARRY = (cnt9 & !UD) | (cnt0 & UD); //どちらかのキャリーが起こる時、1 endmodule
本当は1字の変数名はよくないんだけどね。 さっきの変更の予定は if(!RST_X) //// else if(EN) begin //// else Q <= Q; //リセットもしない、という暗示(当然いらない) かな どうもdefaultとelseがないと落ちつきませんのう・・・
100 :
12 :2008/10/06(月) 17:43:58 ID:bq1uS7/X
ありがとうございました。 module CNT10(CLK, RESET, UPDOWN, ENABLE, CARRY_IN, CNT10, CARRY_OUT); input CLK, RESET, UPDOWN, ENABLE, CARRY_IN; output [3:0] CNT10; output CARRY_OUT; reg [3:0] CNT10; reg CARRY; always @(posedge CLK or negedge RESET) begin if (RESET == 1'b0) begin CNT10 <= 4'd0; end else if (ENABLE == 1'b1 && CARRY_IN == 1'b1) begin if (UPDOWN == 1'b1) CNT10 <= (CARRY == 1'b1)? 4'd0 : CNT10 + 4'd1; else CNT10 <= (CARRY == 1'b1)? 4'd9 : CNT10 - 4'd1; end end always @(CNT10 or UPDOWN) begin if (UPDOWN == 1'b1) CARRY <= (CNT10 == 4'd9)? 1'b1 : 1'b0; else CARRY <= (CNT10 == 4'd0)? 1'b1 : 1'b0; end assign CARRY_OUT = CARRY & CARRY_IN; endmodule
モジュール名とレジスタ名が同じな件について ポート名を大文字、CLK,リセット,入力,出力はおおっと思ったが 組み合わせ回路をalways記述と ifと?式の混在は・・・うーん。 ?式は嫌いだなぁ、ま、動けば勝ちよね
>>101 細かいところ突っ込めばきりがないし、とりあえず動くことが大前提だからな
103 :
774ワット発電中さん :2008/10/06(月) 19:56:08 ID:6Zr31ldD
>>97 だっせー 素人まるだし
___
/ノ^, ^ヽ\
/ (○) (○) ヽ
/ ⌒(__人__)⌒::: l
⊂ ̄ヽ_| |r┬-| |
<_ノ_ \ `ー'´ /
ヽ ⌒,
/____,、ノ /
/ (__/
( ( (
ヽ__,\_,ヽ
(_/(_/
>>101 alwaysでコンビ嫌なら
wire CARRY;
assign CARRY = (UPDOWN == 1'b1)? (CNT10 == 4'd9):(CNT10 == 4'd0);
なんか、ソフト屋だと、これ、(cnt9 & !UD) | (cnt0 & UD);
何している?って感じになる。cnt9とcnt0をUDでセレクトしているイメージ
がわかないんだよな。こう書くなら(UD)? cnt0:cnt9にしてよになるな。
>>104 それならやはりcarryを最初からまとめずに、carry_up, carry_down作って
論理和とった方が分かりやすくないか?
106 :
774ワット発電中さん :2008/10/07(火) 14:18:05 ID:2MpcpSj0
ANDANDのORでセレクタにしか見えない俺の頭はもう・・・
>>104 だとZもセレクトしてしまうから
合成後のシミュレーションがおかしくならない?
プルしとけばいいか。
107 :
106 :2008/10/07(火) 14:20:33 ID:2MpcpSj0
>>104 だと大丈夫だった。?式でアドレスとかセレクトすると、でした。
いい感じで話が続いていますね。そこで私も一つ教えてください。 ADコンバータの取り込みについてです。例えば、 /CS 〜〜〜〜|_____________|〜〜〜〜 /busy(ADより)〜〜〜〜〜|_______|〜〜〜〜〜〜〜〜〜 /RD 〜〜〜〜〜〜〜〜〜〜〜〜〜〜|___|〜〜〜〜 DATA ・・・・・・・・・・・・・・XXXXX・・・・ /CSを下げると/BUSYが下がり、変換が終わると/BUSYが再度上がるので それを見て/RDを下げてデータを読むという良くありがちなやつです。 それで、CS発行はFPGA都合でいいので問題ないのですが、 BUSYを見張り続け、↑になったことを知る場合に、僕は if( (前回BUSY==0) && (今回BUSY==1) ) begin RD <= 0; end 前回BUSY <= 今回BUSY; などとやっています。 この方法だと、BUSY↑発見〜RD↓まで2clockかかってしまい、 以前から「時間がもったいないなぁ」と思っています。 このような場合、みなさんはどのようにしていますか? ・エッジ見ずに、見込み時間でRD↓ ・すでにBUSY=0なんだから、BUSY=1を見張る
>>108 さっきから珍解答だらけの自分ですが・・・
速度ではなくデータの読み込みの正確さを問えば
その記述はかなり優秀じゃないんですかね。
最悪で、はじめに髭がでるだろうけど、
assign RD = !CS & BUSY;
なんて考えてしまいましたよ(READ後ろのVIOLATIONなんて気にしない)。
BUSYをセンシティブにして、とか考えましたが
BUSYの波形のチャタなんてどういう形か見えないですし
なおかつ全レジスタが同じクロックの同期回路。
安心して眠れそうです。
・・・永遠におねんねかも・・・
110 :
774ワット発電中さん :2008/10/07(火) 21:57:55 ID:9BZtYaUu
,, -──- 、._ .-"´ \. :/ _ノ ヽ、_ ヽ.: :/ o゚((●)) ((●))゚oヽ: :| (__人__) |: :l ) ( l: :` 、 `ー' /: :, -‐ (_). / :l_j_j_j と)丶─‐┬.''´ :ヽ :i |: :/ :⊂ノ|:
111 :
774ワット発電中さん :2008/10/07(火) 23:41:20 ID:+UhWgrYM
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「ここに」 r'"ヽ t、 \___ ! 「嫌がらせを書く」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
verilogで、以下のようなことはできないのでしょうか? input a; input x; input y; reg b[7:0]; reg c[7:0]; always@(.....)begin b[1] <= a; // bの2bit目に、wireのaを代入する b[5:4] <= { x, y }; // 部分的な連接代入 b[6:3] <= { x, 2'b11, y }; // 定数の混じった部分的な連接代入 b[5:1] <= c[7:3] // 部分的な値を部分的な位置に入れる end
全部できると思うよ
>>108 別にサンプリングが早すぎて取りこぼすとかあるわけじゃなければそれでいいのでは?
詳細な仕様が書いてないからRD信号の必要性がわからないが。
>>108 そもそも相手はADだろ?
そんなおそーいクロックで1つ2つのイベントを取り逃がすことに何が問題あるの?
要はADのサンプリングクロックに関わる処理を逃さず処理することだろ?
117 :
774ワット発電中さん :2008/10/08(水) 20:52:59 ID:HKgVKww8
r'"ヽ !ヽ.. .../::::::::/'" ̄ ̄ヾi / 、、i ヽ.|:::::::| ,,,,,_ ,,,,,,| / ヽノ j , |r-==[ 。];[ 。] |⌒`'、__ / / (r ヽ :::__)..:: } {  ̄''ー-、,,_ヽ ー== ;内は世界一の技術商社 ゝ-,,,_____)-\___! / \__ / | "'ー‐‐---''
モデルとか作っても仕方ないのかねぇ・・・ お勉強がてら
SPIシリアルADの取り込みで 教えてください。 現在は、こんな方法でやっています。 always @ ( ) begin count <= count + 1; case ( count ) begin 1: CS <= 0; 2: CK <= 0; 3: CK <= 1; data <= { data[14:0], DATA }; 4: CK <= 0; 5: CK <= 1; data <= { data[14:0], DATA }; 6: CK <= 0; 7: CK <= 1; data <= { data[14:0], DATA }; (続く) 30: CK <= 0; 31: CK <= 1; data <= { data[14:0], DATA }; 32: CK <= 0; 33: CK <= 1; data <= { data[14:0], DATA }; 34: CS <= 1; endcase : : end それなりに動いているのですが、マクロセルの小さいCPLDに組み込みたくて 規模を小さくする目的には、なんか賢くない方法だと思うんです。 規模を小さくするなら、何を改良すべきでしょうか。 僕が考えているのは、 ・case文をやめて、data取り込みの繰り返しを工夫する case(count) begin 1: CS <= 0; 2: CK <= 0; count <= 3; 3: CK <= 1; count <= 4; 4: CK <= 0; if( count < 34 )begin data <= { data[14:0], DATA }; count <= 3; end else begin count <= 5; end 5: CS <= 1; こんな感じです。ところが、if( count < 34 )begin とやってしまうと、 この比較のためにAND OR(セル)がたくさん使われてしまい、 これもどうかと思っています。
回路のクロックを、SPIのクロックにできないの? それでも、カウンタが1bit減るだけだけど
>>120 回路サイズも良いけど、本当にちゃんと動いてる?
ADはCKの立ち下がりでDATA吐くの?
count = 0は?
123 :
120 :2008/10/10(金) 15:16:25 ID:ZW+p+Bb7
ADは、clockの↓でデータを吐くので、clockの↑で読み込んでいます。 count=0については、resetの部分で count <= 1; にしています。 回路のclockをそのままAD clockにすると、ADがついてきませんので 2clock置きとか3clock置きに実行しています。
>>122 つ
default: begin CK <=1'b1; CS <=1'b1; end
でつ
>>124 それだと動くか、まだ細かいこと分からないが。SCLKをCSイネーブル時にしか出さないなら
SCLKでフリーランでシフトさせてしまえば?CSのタイミング考えてないけど
>>125 これでどうでつか?
switch(count) begin
0: count <= (start == 1); CS <= 1; CK <= 1; break;
1: CS <= 0; counT <= 0; count <= 2; break;
2: CK <= 0; count <= 3; break;
3: CK <= 1; count <= 4; break;
4:
if( counT != 16 )begin
data <= { data[14:0], DATA };
counT++;
CK <= 0; count <= 3;
end else begin
data <= { data[14:0], DATA };
count <= 0;
end
default: count <= 0;
>>126 シミュかけて動いて、合成して小さくなってればいいけど。
とりあえず他から突っ込み入る前に
・仮でもcountとcounTは勘弁
・レジスタごとにalwaysは分けるべきでは?
やっつけで作ってみた、シミュもタイミングチャートも作らずだし 勝手にサンプリング開始条件を決めてみた。 面積見積もり、5bitのバイナリカウンタ+16bitシフトレジスタ+csb回路少々かな? 書いてないけどcount=31でラッチするべきですが。 process (clk, rstb) begin -- process if rstb = '0' then -- asynchronous reset (active low) csb <= '1'; elsif clk'event and clk = '1' then -- rising clock edge if count_reg = (others => '1') then csb <= '1'; elsif start = '1' then csb <= '0'; end if; end if; end process; process (clk, rstb) -- 5bit binary counter begin -- process if rstb = '0' then -- asynchronous reset (active low) count_reg <= (others => '0'); elsif clk'event and clk = '1' then -- rising clock edge if csb = '0' then if count_reg = (others => '1') then count_reg <= (others => '0'); else count_reg <= count_reg + '1'; end if; end if; end if; end process; sclk <= not count_reg(0); -- sclk is clk/2 process (sclk) begin -- process if sclk'event and sclk = '1' then -- rising clock edge shift_reg <= shift_reg(14 downto 0) & data; end if; end process;
129 :
774ワット発電中さん :2008/10/10(金) 21:24:07 ID:GfHQeMyI
130 :
774ワット発電中さん :2008/10/11(土) 00:15:29 ID:JRG3uUJz
>>126 ,, -──- 、._
.-"´ \.
:/ _ノ ヽ、_ ヽ.:
:/ o゚((●)) ((●))゚oヽ:
:| (__人__) |:
:l ) ( l:
:` 、 `ー' /:
:, -‐ (_). /
:l_j_j_j と)丶─‐┬.''´
:ヽ :i |:
:/ :⊂ノ|:
12BIT全開で使わないで 8BITとかの256階調じゃだめなの? とはいっても4BITくらいの節約しかならないけど
133 :
774ワット発電中さん :2008/10/11(土) 14:49:23 ID:PjQzLkYd
東京エレクトロンデバイス
134 :
774ワット発電中さん :2008/10/11(土) 15:51:07 ID:bvXhADca
氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。 氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。 氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。 氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。 氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。 氏ねよ、潰れろ、糞あらし、東京エレクトロン 東京エレクトロンは非買でって事で。
135 :
774ワット発電中さん :2008/10/11(土) 17:11:58 ID:JRG3uUJz
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「東京エレクトロンデバイス」 r'"ヽ t、 \___ ! 「俺が仕様だ」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
TED基地外や
>>130 のAA貼り基地外はプログラム板ではみることないレベルの
超粘着超基地外だな。文をろくに書けないからAAを貼るしかないか
基地ってこんな過疎スレに一日何回来てるのやら
このスレを見ている人はこんなスレも見ています。(ver 0.20)
東京エレクトロン CN事業をTEDに継承【06/05/12】 [機械・工学]
137 :
774ワット発電中さん :2008/10/11(土) 23:43:48 ID:GtBpzRmr
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「荒らしに反応する奴も荒らし」 r'"ヽ t、 \___ ! / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
139 :
774ワット発電中さん :2008/10/12(日) 13:22:44 ID:ol70UItP
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「俺達は世界一の技術商社」 r'"ヽ t、 \___ ! / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
140 :
774ワット発電中さん :2008/10/13(月) 15:18:43 ID:MBCx151i
i::::::::/'" ̄ ̄ヾi |:::::::| ,,,,,_ ,,,,,,| |r-==[ 。];[ 。] ( ヽ :::__)..:: } ,____/ヽ ー== ; 「東京エレクトロンデバイス」 r'"ヽ t、 \___ ! 「世界一の設計開発センターDDD」 / 、、i ヽ__,,/ / ヽノ j , j |ヽ |⌒`'、__ / / TEL/r. | {  ̄''ー-、,,_,ヘ^ | ゝ-,,,_____)--、j / \__ / | "'ー‐‐---''
かつらはなぜばれるのか
効き目が長いから勝ち
こんばんは
設計ほどクソな仕事はねえよな。辞めるが勝ち。
こんばんは こんばんは もひとつオマケにこんばんは。 青木小夜子です。
おはよう。今から寝るぜ。
ずいぶん来ない間にすごい流れになってるな。 なにかあったのか?
教えてください。 昨日からずーっとバグっていたのが、治りました。 原因は僕のミスで、レジスタのbit幅指定が違っていたのです。 例えば、 reg [1;0] count; case (count) begin 1: 2: 3: 4: endcase となっていて、4まで変化するのに、2bitしか取っていなかったんです。 それはそれで僕が悪いんですが、ツールも「教えてくれればいいのに」と 思うんですが、どうでしょう。ツールはISE WebPack 9.2です。 ツールのsyntax errorは無く、シンセシスした後のwarningに、 「○○は用意されてるけど、未使用なのでGNDにしました」など そんなメッセージばっかり。 「countのbit数が足らないよ」とか「そのcaseは3までしか動作しないよ」とか 教えてくれてもいいと思うんですが。 質問 ・そんな事言う僕は、まだまだ甘いでしょうか? (因みにチェリーです) ・シンセシス時のチェックを厳しくしたいんですが、 ツールの設定で可能なのでしょうか? ・ちなみに、VHDLだと、こういう場合はどうなんでしょうか? チェックが厳しいとも聞いたことがあるので。
i::::::::/'" ̄ ̄ヾi
|:::::::| ,,,,,_ ,,,,,,|
|r-==[ 。];[ 。]
( ヽ :::__)..:: }
,____/ヽ ー== ; 「
>>148 東京エレクトロンデバイスで」
r'"ヽ t、 \___ ! 「そんなミスしたら即クビだな」
/ 、、i ヽ__,,/
/ ヽノ j , j |ヽ
|⌒`'、__ / / TEL/r. |
{  ̄''ー-、,,_,ヘ^ |
ゝ-,,,_____)--、j
/ \__ /
| "'ー‐‐---''
150 :
1 :2008/10/15(水) 18:46:57 ID:hSsQ+JHk
>>148 0:
1:
2:
3:
にすればOKだったという話ですか?
>>148 VHDLは型に対して厳しいからstd_logicとintegerの比較は弾かれると思う。
verilogのこういった甘さはメリットでありデメリットだろ、そういう言語ということを頭に入れてやっていかないと。
とりあえず
>>148 みたいな書きかたしてたらこんなミスいくらでもおきるだろうな。
>>151 >
>>148 みたいな書きかたしてたら
と言うことは、こんなミスの起こり難い書き方を知っているということですね。
>>148 をそれで書いてください。お願いします。
153 :
148 :2008/10/15(水) 19:28:21 ID:xtzHzdz9
>>150 いえ、reg[bit幅]と実際の数値の幅を見て欲しい、ということです。
148の書き方だと0始まりにすればokなんですけどね。
>>151 ありがとうございました。
>verilogのこういった甘さはメリットでありデメリットだろ、
そうですよね。それは知っていたのですが。
>とりあえず
>>148 みたいな書きかたしてたら
これは、僕も知りたいです。どのような書き方がポカを防げるのでしょうか。
頭フラフラでやっているときでも、なるべく見すらないような書き方が、
ぜひ覚えたいです。
155 :
154 :2008/10/15(水) 20:08:07 ID:j9bG5oYx
シミュレーションで一蹴するようなネタじゃないな・・・うーん。 Caseの条件は2'b00で書いたらどうだろうかねぇ reg [1:0] count; case (count) 2'b00: 2'b01: 2'b10: 2'b11: default: endcase
ASICのプロではlintツールで見つけます。 ビット幅まで意識しないといけないのがソフト設計との違いと割り切るべし。
>>156 bit型の範囲指定(サブタイプ)と思えば良いのかな?
>>153 >>155 や
>>156 が書かれてるようなこと。型、bit幅には自分でシビアにならないと
俺は自分で出来ないと思ってVHDL使ってる。
ところで2d'4とかで書いたらエラー吐くんじゃないの?
慣れてくるとミスはこんなミスはなくなるよ。そんな重く考えなくてもいいかと
160 :
774ワット発電中さん :2008/10/15(水) 22:06:40 ID:HxhAUxM9
さっきベンチでエンドレスwaitのエスケープ用に initial begin #3000000000;//3ms $stop; end で流したら、#すっとばして0psで止まったんだけど 3000000000だと多すぎでだめだったのかな? まあ、あしたコンパイルのwarnning眺めてみるんだけど。 シミュレータ依存とかかな? ちなみにtimescaleは1ps/1psでした。
warning出してくれてるのだから、 そのままにしてはいけない。
数がでかすぎたとか 65535まで〜・・・嘘です
163 :
774ワット発電中さん :2008/10/16(木) 19:59:36 ID:FyTVHdJC
わり、warning眺めるの忘れてたわw #2147483647;だとおkで、 #2147483648;だとだめだった。 符号あり32bitのmaxだった
VHDLはシビアって言うけど、比較でビット幅が違ってもエラー出さないでしょ?
verilogで defparam foo.bar = 3'b000; defparam foo.hoge = "HOGEHOGE"; A foo( ・・・ ); という記述があります。 VHDLに書き換えるとき fpp : A generic map( foo => "000", hoge => "HOGEHOGE"); port map(・・・); でいいのでしょうか?
VH大好きな香具師って長々かきこするの大好きだろ
記述量をみて、おらにはVHは使えないと悟ったよ
>>164 VHって長々書かなければいけないのにいい加減なんだな
でも、VHだと
>>148 はエラーを当然出すんだよね
>>164 VHDLで異なるbit幅比較で合成しようとしたらエラーでた
Verilogでも同様にしてみると、エラーは出ずに out = (d_2bit[0] xor d_1bit) nor d_2bit[1] という回路を吐いた。
>>166 VHDL使ってる奴で補完なしでちまちま書いてる奴なんていないって。
Verilogで、もっと厳しくチェックしてくれないですかね?
かといってVHDLは長いので書きたくない。
>>167 補完って、何ですか? 何かのソフトの機能でしょうか?
>>168 それをlintツール(でいいのかな、発音しかしたことない)で行います。
ただ、これは確か高いはずなので
ISEとか使っているなら、
意味が分からないWarning文が無くなるくらい調べる事によりなんとか事足ります。
それ以上に重要なのはやっぱりシミュレーションです。
あと補完っていうのは方言で、「おかあさん」のことです。
>>168 最初の数文字入力してtabとか押せば自動で候補を出してくれるとかそんなやつ、別にVHDLだけの話ではないけど
emacsのVHDLモードは非常に優秀。例えばレジスタのprocess文を書こうと思ったら
process->シーケンシャル選択->クロック->リセットで
process (<clock name>, <reset name>)
begin -- process
if <reset> = '0' then -- asynchronous reset (active low)
elsif <clock>'event and <clock> = '1' then -- rising clock edge
end if;
end process;
まで書いてくれる。
VHDLでよく言われるコンポーネント宣言のめんどくささもC-c,C-p,C-wでポートコピーしC-c,C-p、C-cで終了。
けど正直、時代の流れはVerilogなんで今更VHDLやるのもどうなのかな?
viでひたすらタイプするほうが早い俺…orz
>>170 の
>時代の流れはVerilogなんで
これ本当ですか?
FPGA/PLDではVHDLの方が圧倒的に使われていると聞いたような..気がする
最近はFPGA/PLDでもVerilogが主流になりつつあるの?
なんで時代の流れはVerilog? 長文に疲れた?
メモリのシミュレーションモデルとかVerilog多いしね
シミュレータの速度はVerilogの方が一般的に速い。言語仕様の違いだったかな。
Verilogの方が取っつきやすい。
>>176 Verilogは何の合成語か知っている?それが本来の用途
当然、それはなるべく早くなるようにしている
VHDL:HDL Verilog:logic検証 だから、その違いが言語仕様に色濃くでるんじゃないか Verilog:logic検証するのにハードの細かいとこを指定してもなー VHDL:ハード作るんだからきっちりしないと、ハード作ってみたらあれーーーになるよな てな感じじゃないか
ここでは、どちらかというとVerilog HDLじゃないか。
>>180 もともと米軍納入用の論理回路の仕様記述言語だもんな>>VHDL
記述の厳密さが優先されるわけだよな。
>>181 そうだな。Verilog曰く
検証パスしたんだから、とりあえずその通りの動作をするよう合成すればOKだよな。
ハードがどのように合成されるかなんってキニスンナよ。
えっ、ビット幅指定間違ってたって?
お前、検証ろくにやってないだろ、おれの使い方すら知らんのか、アホ!
俺は本来HDL屋じゃない、検証屋なんだよ
Verilogはシミュレータ名
>>183 わかりやすい説明! ありがとう。
Verilog使いながらも、シュミレーションを1度もやったことが無く、
直接jedecを焼いては、オシロでテスト確認するオレがここにいます。
シミュレータを使わない理由は、
・設定がめんどくさい(と思う)
・シミュレータだとできて、実機で確認できないことが、意外に少ないので
・シミュレーションの完了が待ちきれない。
>>185 なかなかすごいな、お前さん。w
テストベンチ書くのは確かにめんどくさいな。
あと、合成で出てくるタイミングレポートは最悪値なんで、実際はそれほど遅延しないこともある。
これは実機で確認するしかないな。SDRAM なんかの場合は、遅延量によってはクロック別けたり
しないといけないのでめんどい。
ただ、シミュレーションの完了が待てないって、いったい何やってんのよ?
シミュレーションしなくても試せるぐらいだから回路規模はそんなに大きくないだろ。時間設定間違えてんじゃないの。
逆にシミュレーション必須の規模になってくると、24時間で 1ms 程度のシミュレーションしか出来ないこととかあるけどね。
>>185 個人的趣味で、かつ小規模だったらいいんじゃね、それで。
でも、一度まともな環境作ったら逆に実機での確認はあまりいらなくなるよ。
ベンチ作り上げるまで最初面倒だけど。
小規模でも、ミスって機械が壊れることもないし、中の人の信号もすぐ見れるし。
シミュレータ便利だよ、つうか普通は必須だな。
>>172 別に圧倒的にVerilogの方が勢いがあるとまでは言わないが、アサーションなどの取り組みなどが積極的だし
HDL本もverilogが多い気がするけどな、あと
>>173 に書いてあるようにライブラリなどのモデルはVerilog記述が多い。
両方使えたらそれでいいよ、HDLの本質は記述の仕方じゃないんだし。
>>187 レビューなんて絶対通らないだろうな
てかタイミングチャート用にsimしない? 手書きでタイミングチャートかくより ベンチ作って流してキャプチャの方が速い気がする。 ドキュメントにmodelsimの画面貼っとくとなんか説得力増すしw
>>188 たしかに結局、両方使うことになるよな
言語自体のバージョンアップも考えるとVerilogなんだろうけどね
昔からやってたり、古い環境にしがみついたりで、何だかんだVHDLも残ってるんだよな
#SystemVerilogがSystemCに食われてしまわないように、Verilog応援してやってくれ
191 :
774ワット発電中さん :2008/10/18(土) 22:37:17 ID:obziuPyC
東京エレクトロンデバイス
Verilog使えるようになってきたんで一応VHDLも 勉強しておいた方がいいのかなと思ってましたがやっぱ必要ない? なんかCQ出版の本だとVHDLで書かれた本が多い気がするんで…。
>>172 VHDLが圧倒的にユーザが多いってお前はいったいどこでその情報を入手したんだ。
Modelsim でもPEはVHDLはサポートしてない。
だいたい、国防総省お墨付きってところで、これからは結局Ada程度の普及率に減少するのは目に見えてる。
Verilogで不満があればSystemVerilogもあるわけだし、VHDLの厳格性なんて幻覚
>>182 >もともと米軍納入用の論理回路の仕様記述言語だもんな>>VHDL
それは違う。ディジタルシステム記述言語
回路記述はそのサブセットに過ぎん。
>>192 どうせならSystemVerilogとかに進んだ方がいいよ
VHDL使う必要がないのなら勉強しなくてもいいんだし、Verilogとあまり変わらないからすぐ出来るようになるよ
漏れのイメージだとこんな感じかな
北米:Verilog
欧州:VHDL
国内LSI:VHDL
国内FPGA:Verilog
SystemCは、別の言語(ソフトウェア屋用の言語)だとしても、SystemVerilogは覚えておく必要があると思う
もしかしたらSystemCにやられて滅びるかも知れないが、Verilogはまだ残るだろうし、それも無駄にはならないだろう
VerilogのほうがVHDLより1シグナルの状態数が少なくて メモリ消費が半分って聞いたが本当?
>>197 VHDL 0,1,L,H,Z,X,U,-,W
Verilog 0,1,X,Z
だと思う。
Verilogでも信号強度があるわけで、そんな単純な話ではない。
>>195 おれのイメージだと、国内LSI/FPGAは基本Verilog
HDLに手をだしたのが遅いとこがVHDLって感じだ
>>195 > 国内LSI:VHDL ってのは嘘だろ。
初心者の学生なんですが、加え戻し法ってやつと、引き離し法の除算回路の作り方 がさっぱりで困ってます。だれか教えてください
>>201 本に載ってるよ。
ヘネパタか、あるいはCQ出版の数値演算のディジタル回路?みたいな
タイトルの本を読むといいよ。
ヘネバタって何?
>>203 ヘネバタではなくてヘネパタ。
ヘネシーさん&パターソンさんというコンピューターサイエンスの偉い人が執筆したCPUのバイブル。
パタヘネと順番を変えて呼ぶ人もいる。
彼等の書いた、「コンピュータの構成と設計」の上下巻はマジおすすめですよ。
中身はMIPSアーキテクチャのプロセッサについて書かれているのだが、見よう見まねでverilogを書いていた学生時代を思い出すなあ。
結局、手作りプロセッサはFPGA上で上手くうごきませんでしたけど・・・
>>204 > パタヘネと順番を変えて呼ぶ人もいる
おまい、それは別の本だろ。
ていうか、ヘネパタが別の本だ。
>>205 YES。
真逆でした・・・すいません。
本を出すたび、また版を重ねるたびにヘネパタとパタヘネを入れ替えて いるらしいよ。まったく対等だということを強調したいそうだ。
コンピュータアーキテクチャはもってるんだが、それと比べてコンピュータの構成と設計はどんな感じ!?
>>208 どちらかというとヘネパタ(コンピュータアーキテクチャ )より先にパタヘネ(コンピュータの構成と設計)だと思うが。
上下セットで9000円だと思ったが第3版安くなってる?
>>209 そういわれてもな…
コンピュータアーキテクチャ買った時、コンピュータの構成と設計は出版されてなかったような気がする…
内容的に違うこと書かれてるのか、同じ内容を表現をかえただけとか知りたかったんだけどw
ヘネパタとパタヘネはコンセプトに違いがあるらしい。 パタヘネの方が初心者向け、ヘネパタの方が上級者向けとよく聞くけど、 どちらも読み切るのは難しい本だと思う。
ありがとん、無駄遣いせずにすみました
>195 国内LSI:Verilog 国内FPGA:VHDL じゃないか? まあ、国内LSIもASICベンダーとセットでは微妙に意味合いも変わってきたりすぐのも確かだが。。。 国内LSI(ASICベンダー):Verilog 国内LSI(セットメーカー):Verilog/VHDL こんな感じじゃないか? それと北米の軍関連はVHDLだと思う
軍関係はEDAも指定してくるって本当かね? けど最近は米軍も民間に投げまくって、中国でチョンボされた物納品されて大変らしいが。
>>213 北米:Verilog
欧州:VHDL
国内ASIC:Verilog
国内FPGA:五分五分からVerilogが優勢に
今後HDLはVerilogファーストでOK
もう、SystemC/SystemVerilogが使えることが要求されている。
いち早くこれらを導入、運用して設計工程の効率化を図る
これらをまだ早いから導入しなくてもいいよと思っているなら
もうダメポ企業決定!もしレッドオーシャンで戦っている企業なら敗退決定
でもVHDLの 「一度も初期化されていない信号は'U'」 ってのは、目からうろこだったな。 Verilogにはねーよ・・・Lintか
'U'はデバッグの時効いてくるんだよな
それってVHDLそのものっていうより、std_logicのライブラリだよね。 Verilogは知らんが、そういうライブラリ実装すればVerilogでも出来るんじゃねぇーの?
220 :
195 :2008/10/25(土) 14:55:33 ID:Nf19xvrn
>>213 ,216
ああ、国内LSIと国内FPGAが逆ですね・・・漏れもVHDLメインでFPGAやってるのに・・・
SystemVerilogよりSystemCの方が、どう見ても複雑怪奇に見えるんだけど
それでも元ソフト屋はSystemCがいいのかな?
奴らはC++の悪口を、長いこと言いつづけていたように思えるのだけど・・・
>>220 SystemCだとC++分らん現バリバリハード屋は泣きながら勉強だろうね
爺・おっさんハード屋は自分の時代が去ろうとしていると悟ることになるかも。
若い奴だと、これ面白いと楽しみながら習得するかもしれんが
爺って"仕方なくいやいやながら"が多いんじゃないかな。
変革が起こったときにそれ(変化)についていけないエンジニアは去り
それに対応できるのが生き残るだけだよ
>>221 若い奴が新しい事に飛びつくのが好きとも限らないんだが、意外とせっかく覚えたのにまた覚え直さないとだめだからつらいかもな。
HDLの本質なんて文法とか記述方式にあるとも思えないし。どんな言語使おうとウンコ回路しか作れない奴は居る。
>>222 >意外とせっかく覚えたのにまた覚え直さないとだめだからつらいかもな
ソフト屋では新たな言語を覚えることは良くあること。
>HDLの本質 って何と思っている?
C++でGeneric使って書くと、俺はアセンブラにどのように落とされているのか
想像すら出来ないぞ。でアセンブラを意識して書く事なんて、ソフト屋じゃほとんど
無いんじゃないか。ひたすら実現したい機能をその言語で提供されているもので記する。
ハード設計も回路設計(ソフトではアセンブラに近いレベル)から機能設計にシフトして来ているんじゃないか
俺思うに、SystemCなんかはHDLよりさらに機能設計を進めるために出てきたんじゃないのか
ハード屋は現にHDLが有るのにSystemCがなぜ出てきたと思っている?
で、それの目指す設計スタイルは何?
>>223 何を作っているかよりも、どう動くものを作っているか次第じゃね?
プロセッサに仕事をさせる形で作るときは言語に関係なくアセンブラや
レジスタをまぶたに浮かべつつ書くし、数値処理ならアルゴリズムフローが
脳裏に浮かぶ。システムならシステム間インタフェースのシーケンス図を
頭に浮かべる。
結局言語に関係なく、解きたい問題に対応するレベルを脳内に浮かべつつ書だけ。
で、たまたま使っている言語にそれに近い記述機能があればそれで書くだけ。
言語はあくまで従。HDLだって同じこった。
>>223 高位設計にシフトしていきたい、そのためには抽象度を上げていくというのは分かる。
けど実際はWinアプリのソフト作るにしてもメモリ管理なんかはまだやってるんじゃないの?
ハード設計の場合も、マイコンなどの設計はまだまだハードを意識した設計しないと性能あがらないでしょ。
HDL齧ったことがある方がC++の習得は早くないか? 純ソフト的感覚とはオブジェクト指向に対する感覚がずれてるかもしれんが。
227 :
774ワット発電中さん :2008/10/25(土) 23:06:29 ID:uCRqldZM
>>224 納期に間に合ってものが出来ることが重要
それ以外は何だっていいんだ
>>225 組み込みならメモリも考えるが、Winアプリはやらないだろう
ハードにも余裕あるし、ソースの見やすさとかの方が大事
だから重たいソフトが量産されて(ry
>>226 それでもC++は言語として難しいから嫌だ(Cなら簡単だと思うがw
ソフト屋に仕事取られるし、なんとかSystemVerilogで落ち着いて欲しい
>>227 たぶん大学内で知ってる奴を探して聞いた方がいいよ
229 :
774ワット発電中さん :2008/10/26(日) 00:09:30 ID:LHaLjHEC
おれは一応、VHDLもCその他も仕事でつかってるけど、 C++で回路がかけるようになったからと言って ソフト屋に仕事もっていかれるなんて一緒に仕事しているソフト屋のレベルからいくと まずあり得ないとおもうがね。もともとハード屋としての感覚がある一部のソフト屋は別として。 ハードはやはりハードの実体を理解しているかどうかがエンジニアとしての力量で、 VHDLが使えるからどうのってのは単なるツールスキルであって技術力ではないよ。
>>224 >何を作っているかよりも、どう動くものを作っているか次第じゃね?
加算器の場合
何を作っているか:回路設計, AdderをGateで配線
どう動くもの:機能設計, c = a + b;
>>225 systemCで設計したのが性能を満足しないとなると、その部分は
別なやり方で設計するだろうな
ソフトでもほとんど全てをC++で作成したソフトでマルチメディアのリアルタイム処理はSSE系の
命令をアセンブラで記述してリアルタイム性が満たされたとか聞いたことある。
ソフトでも結局C/C++で低級な処理書いたりして優秀という人は C/C++でこう書いたら、CPUではどういう風に処理されていってとかが詳しくわかるってところなんだけど、 C++で回路を書くというのは、CPU上の概念ではないものが対象になるので、 純粋にソフトだけの技術しか持たない人ではその辺のバックグラウンドやノウハウが崩れさって、 ツールスキルの人になってしまう。
>>229 ソフト屋に仕事を取られると言うことは無いと思うぞ。
あるのは、自分がC++ライクツールを使えないで仕事を失うってこと
ツールを使うにあたり、新しい考え方(たとえばC->C++で言うなら
オブジェクト指向)を身に着けなければならなくなって、それがなかなかできない。
すると、なんだよこの糞設計はと
>>222 に言わる。
ちなみにVHDLってかなりオブジェクト指向風味というかユーザ定義型ばりばりで なんで基本がしょぼい言語をこんな複雑な仕様にしたのか疑問。 C++は今はオブジェクト指向ですらないマルチパラダイム言語。 回路設計の自動化や機能設計志向が進んでも実際は、 ソフト/ファーム/ハードの分業体制がさらに細分化されるだけで 仕事がなくなるってことはないでしょう。 むしろ電気系不人気で人へってますます仕事大杉な状況になりそうでこわい。
SystemVerilog でも SystemC でもいいけどさ、どれがメインになるんだろ
>>233 物理的なオブジェクト(回路)が出来上がるし、各モジュールがレジスタ(値)を抱えていて
それらの処理方法は各モジュールが知っている(というかそれをするのが回路そのものだが)
オブジェクト記述的な方向へ進むしかないだろう(w
まあ、Adaがベースだからなんだろうけど。 C++もAdaの影響うけてるからね。ある程度の類似性はあるよ。
>>237 激ワロタ、ほんとそっくり
Adaって米軍言語だよな。軍人って堅いの好きそうだよな
Verilogみたいなゆるい言語はしょうにあわんだろな
>>238 記述に解釈余地を残すと死に直結だしな。
ある意味 Ada → VHDL で既にソフト屋の仕事って言う点では失敗してるのかも。
AdaというかALGOL系の言語は全部よく似てる。 PascalもDelphiもパッと見た時VHDLかと思った。
いや、ALGOL/Pascal系の中でも、Adaが圧倒的に似てるって。 wikiに洗脳されるなよ。VHDLが一番影響うけてるのは 圧倒的にAda。強力な型付けとかもうけついでる。
なんでこうも必死なんだろう。 パッと見たら似てるって言ってるのに・・・
全部よく似てるんでしょ??w
SystemCってC++によく似てるよな。だろだろ、なぁ
SystemCはC++の駄目っぷりまで継承
いまこそSystem Objectiv-C
SystemCは、C++ってとこがダメなんだよな 組み込み向けに直したC系の言語で、ファームから論理設計まで、全部出来たら面白いのだけどね
Verilogにedgeとかいう予約語があるんだが、これってうまい具合に使える?
>>249 うまい具合というのは分からないが、DFF意外でってこと?
>>242 影響受けてるというか、VHDLってAdaから来たんだけど。
圧倒的に似てるのを発見した人になんて物言いだ!
>>251
と、今まで何もしらなかった人たちが初心者たちが必死です。
SystemCを勉強すればC/C++も出来るようになるんだからね 知らなかったでしょ
きっとJavaも使えるようになります
話の流れが見えない…。 Verilog, VHDL, アセンブラ, C, C++, Java, Python, VB ならおれでも使える。 言語は必要な時に本一冊買ってきて3日で頭に文法たたき込みます。 SystemCはやったことない…。 大事なのは設計やアルゴリズムだね。
AX を 斧 と翻訳するのは辞めてほしい
スパルタンとか買うお金があっても、それを利用する頭が無いから高卒
>>227 1)システム仕様、スペックとか決める
☆システム仕様書レビュー
2)ハードウエア(HW)仕様に落とし込む
☆HW仕様書レビュー
3)検証のゴールを決める
ブロックに搭載した機能一覧(検証項目)、確認事項、確認手法を
表にまとめる。これを検証リストという。
場合によってはサブモジュールにわけてつくる。
☆検証項目レビュー
4)検証環境を作る
実機でもシミュレータでも。検証項目に適した環境を使えばよい。
5)ブロック(モジュール)に分けて記述
☆コードレビュー(検証後のこともおおいけど)
6)検証をする
7)エラーと未実施項目がなくなるまで5〜6を繰り返す
☆検証完了レビュー
(大抵は顧客に対して)
8)納品
9)反省会(飲み)
できればキックオフ(飲み)も。
追記。 大人の事情によりレビューや工程は省かれたり、パラでやったり、後付けだったり、順番がぐちゃぐちゃになったりします。
>>256 おまえ、本当はRubyとPHPも出来るけど内緒にしてるだろ。
>>261 コードレビューもやるんだ、lintで終わりとやっぱり違う物?
>>250 予約語で検索するとある程度の意味は出るんすけど
edgeにするとposedgeかnegedgeだけがヒットしてしまう・・・
エッジ検出といえば@(posedge CLK)とか上下なら@(CLK)で
ぶっちゃけ使えるのかこれ、てことっす
>>256 >言語は必要な時に本一冊買ってきて3日で頭に文法たたき込みます。
はぁ?じゃお前、1000ページ超えるプログラミング言語C++を3日で読みこなせたんかい。
仮にこれを3日で読めても、Modern C++ Design か C++ Templates を読まないと、
ジェネリックプログラムの本質はわかったことにならんわけだが、それも含めて3日で読破したんだろうな。
所詮、なんちゃって解説本を読んでわかったつもりになってるんとちがうんかい。
>SystemCはやったことない…。
3連休あれば習得できるんだろ?なぁ。すでにジェネリックプログラミングも習得してるんなら簡単だよな。
今週末に習得して知識披露しろや。
>>258 アセンブラも含めてるようだ。VB含めてる癖にC#も。Pythonみたいな字下げが構文解析に作用するような
糞言語を含めてる癖にPowerShellはおろか、PerlもRubyも、習得してる状況じゃないなんてのは
所詮しれてる。
>>267 またお前かwww
この人何してる人なんだろ?ニート?
粘着も荒しです。スルーが基本。
>>269 >この人何してる人なんだろ?ニート?
ゲラゲラ
アホ程、自分と同じ境遇しか想像できねーんだろうなぁ
Pythonは糞じゃないもん
3時まで起きてるってことはニートで決まりだなw
>>267 3日で文法を覚えるって話に、
> ジェネリックプログラムの本質はわかったことにならんわけだが、
> なんちゃって解説本を読んでわかったつもり
275 :
774ワット発電中さん :2008/10/29(水) 22:11:48 ID:+JErlcQh
>>269 俺、社内ニート、orz
>>256 は技術者として使えるとは言ってないぞ。
覚えただけかもしれん
SystemC使うための読むべき本
Effective SystemC、More Effective SystemC、Modern SystemC Design、SystemC Templates
SystemC Template Metaprogramming
とりあえず、Accelerated SystemCを読め
>>275 それLSI、ハード屋の範疇超えてる。ってか、C++なんて仕様絞らなきゃソフトやだって大規模は無理だろ
でもまあC++とかは無理としても、大抵の言語なら3日あれば、文法くらいどうにかなるんじゃないか?
みんな同じような文法だし、複数言語で経験あれば、新規文法なんて少ないし・・・
ああ、SystemC消えてくれないかなぁ・・・出来ればSystemVerilogもいらないんだけど・・・
#なんか最近、不況なのか仕事無いよな。久々にFPGAやるとか、回路やるとか香具師も多そうだw
>>276 不況は始まったばかりだぞ。これからバブル崩壊後の不況とは
比べ物にならないすごいのが来るよ。外需依存の日本さてどうなることか。
俺、社内ニートから真性ニートまっしぐらって感じだな。
ところで、現状ではSystemCとSystemVerilogってどちらの方が優勢?
>264 LINTは勿論有効で便利ですが、着眼点が違いますかね。 書き方の綺麗さ、つまりは読みやすさとバグ発生がしにくく仕様変更などの改造に対応しやすい記述、 そういったところを有識者に指摘して貰う。LINTとかぶる部分もありますね。 あとは、仕様の盛り込み抜けの発見。ちゃんと工数を割けばそれなりにバグを見つけることができるんですよ。 ただしレビュー参加者が仕様を理解してないと効果薄。 コーディングした結果の動作ミスはコードカバレッジで。 >265 まあ顧客の理解等ないとなかなか難しいですよねぇ・・・(予算が) 学生さんって事で、とりあえず理想型を。
>277 検証モデルや合成用RTLとかはSystemC 検証環境はSystemVerilog という住分けをされているような気がする。 個人的な想像としては 環境とかは既にVerilogのがあったりするから、シフトしやすい? (ちょっと嘘) モデルはツールがCやSystemC吐いたり、なるべくRTLと違う視点で書くというのから、 SystemCを採用。とか。 SystemCでコーディングしたこと無いので、あくまで感覚の話ってことで・・・
280 :
256 :2008/10/30(木) 00:07:38 ID:4CZ4V3Wb
C++は覚えるのさすがに時間かかったよ。 Direct3Dゲー作るために学生時代に覚えた。 一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。 PerlやPHP, JaveScriptも一応書いたことある。一応、コンピュータ系ブログももってる。 ハード屋としては、むしろPythonが一番役にたつね。 事務処理とか、PCからのデバッグに使えるから。 会社はいってからは、C++やアセンブラの方が使う機会がない…。 SystemCは実はあまり興味がない。仕事で必要にならない限りは覚えないだろう。
> 一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。 > PerlやPHP, JaveScriptも一応書いたことある。 覚えたつもりが、しばらく使ってない言語を使おうとすると、変に混ざっちゃって文法エラー出まくり、とか・・・
>>282 あるある(w
都合のいい記述だけ使おうとして混ざってたりとか。
それだけ似ているということですね
>一度C++まで覚えると世の中で一般に普及してる言語の文法は3日あればほんと十分。 手続き型の同じような言語をいくつ覚えましたってアホかい。 これだけLisp実行環境として普及してるEmacs Lisp 3日で覚えて自慢してみろや
>>285 手続き型以外の言語で仕事したことあるの?Lispの仕事ってどんなの?
>>280 なぜそんなにたくさんの言語覚えたんだ?
趣味のためには覚えるが、仕事で将来要求されるものには興味なし?
ラダーはしばしばやるが、手続き言語的アプローチが身にしみてるから非常にやりづらい上、 我ながらゴミみたいなプログラムしかできない おまけにラダー文化にはついていけないものがある ・レジスタ割付は基本的に全部手動 ・変数名の使用はご法度 ・レジスタにコメントを入れるのもご法度という化石もいる ・基本的にグローバル変数しか存在しない
そんなにたくさん、という程の数ではないだろ・・・
>>287 たくさんって、
C/C++/Java/Phthon/Perl/PHP/JavaScript
精通するんじゃなくて、普通一度くらいは書いたことあるだろ。
たくさんどころか、
win使ってるなら実用的な意味で、PowerShellやC#
あと、modelsim使ってるだろうからTcl/Tkになんで手を出してないかと聞きたいぐらいだ。
webいじってるならSQLあたりも必須だろうし、全然多いとは思わん。
あと、
SystemCは回路屋にとっては洋梨言語であることが保母確定したし。
Sim屋にとってもDかC#あたりをベースに作り直さないと、モデリングツールとしても問題ありすぎだろ。
それでも、とりあえず上っ面だけを利用するレベルならそれでもなんとかなるかもしれんがな。
下手に知ってることがばれてつぎはぎ言語の砂上の楼閣に住む魑魅魍魎と格闘させられることにでもなったらかなわん。
本職としてはせいぜいSystemVerilogで留めておくのが吉
設計の抽象化のレベルとかは議論の余地があるけど、 要は言語なんてどうせなれれば直ぐ覚えられるんだから、 言語間の違いなんて、そのとき必要なものをそのときおぼえりゃいいだけでそれを度騒ぐものじゃあない。
>>PowerShellやC# 単なる新しもの好きだろ。 ここはハード系のスレなので実用性でC#なんて選ぶ馬鹿は少ない。
>>DかC#あたりをベースに作り直さないと、 単に新しいものをあげれば良いと思ってるアホ。 ノイマン型、逐次実行の世界とハードの世界をごっちゃにしないで欲しい。 ハード設計にどれだけ使いやすい言語かは、 ベースがC#だろうがDだろうが、はっきりいって関係ないね。
>>291 >要は言語なんてどうせなれれば直ぐ覚えられるんだから
すぐって3日?
今、実際にSystemC、SystemVerilog使っているのいる?
いるの手を挙げて!
主流のソフト系言語では3日でも覚えられるよ。習得している言語が複数あって、新しい言語覚えることそのものになれていれば。 ソフトの世界はアセンブリ言語だろうが、手続き型だろうが、関数型だろうが、ベースはノイマン型なので実はあまり 考えることはかわりません。抽象度の高い言語でもリストやツリー、ハッシュみたいな定番アルゴリズムが 裏で動いているだけ。HDLはそれらとは根底の原理が違います。 プログラムなんて文系でも教育すればくめるし、実際世の中に大卒以下のプログラマは沢山いる。 能力がかなり高い奴なら1, 2日でおおかた習得できても、おどろかないって。 世の中には新しい言語を生み出してきたやつもたくさんいるんだから。 Adaみたいに元からコンカレント文があればハードにも移植しやすいかもしれないけどね。
最後の一行は編集ミスだな。
なんでそんなに必死なの?
残念ながら全く必死ではないよ。 ここの住人のレスは性格のゆがみを感じるけど。
ID:dmn7Q7Dn
本人必死否定でも、俺必死だと思う
>>295 はソフト屋を馬鹿にしてないか?
ソフト屋は目的等に応じて色々言語を開発したんだから
ハード屋もハード設計に適さないPG用言語からパクリ持ってくるんじゃなく、
開発効率を上げ、ハード設計により適した言語を自分たちで作ればいいんだよ。
>>299 ソフト屋を見習うべきところが多いと思うが、漏れらは微妙な立場なんだよな
現iクレって、ソフト屋がソフト作るのとは一緒に出来ないだろ・・・
ハード設計に適した言語を、ハードウェアで作れるならいいがw
まあ、そのうちハード屋出身より、ソフト屋出身が増えてきたら、状況も変わるんじゃないかな
でもソフト屋もあまり新しいもの生み出してないよな。いや残ったものが少ないと言うだけか・・・
あと基本的に技術屋なら LSI屋 > ハード屋 > ソフト屋 の順で偉いだろう?
ソフト屋なんて使い捨ての(ry
endがVHDLに似てるから、Rubyがいいよ!
>>300 >ソフト屋なんて使い捨ての
って極東の島国日本では...
世界的に見たら、今、華なのソフト屋だろ
>>300 偉い?ってのは何が?
高給取りってこと?
そもそもソフト屋でひと纏めにするのがアレだな。
>>301 日本のソフト屋は、かわいそうだな
でも仕事いっぱいあるみたいで、ハードより余裕でやっていけそうだよ
>>302 地位かな。ソフトは一人倒れても代わりは沢山いるし・・・
>>303 ここでのソフト屋は、きっと組み込み系Cプログラマーでしょ?IT系やSEなんてのは関係ないもの
まあCが出来れば、すぐにでも使い回せるのがソフト屋なんだけどねw
>>304 >ここでのソフト屋は、きっと組み込み系Cプログラマーでしょ?IT系やSEなんてのは関係ないもの
PHPやPythonが出てきてるこの流れで、か?
今時、ハード屋とかソフト屋とかいって線引きしてあーだこーだ言ってる時点で エンジニアとしてレベル低すぎ。
ハード屋がハードを作ったらソフトはテストコードからドライバから何から一切面倒見ないってのは時代遅れだが、 線引き自体は必要だろ
308 :
774ワット発電中さん :2008/11/01(土) 10:40:17 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
309 :
774ワット発電中さん :2008/11/01(土) 10:42:27 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
310 :
774ワット発電中さん :2008/11/01(土) 10:43:03 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
311 :
774ワット発電中さん :2008/11/01(土) 10:44:33 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
312 :
774ワット発電中さん :2008/11/01(土) 12:14:55 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i tgg
313 :
774ワット発電中さん :2008/11/01(土) 12:16:00 ID:ViZZSBf7
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i thh
>>307 ハード屋でも簡単なテストプログラム作れるぐらいのスキルは必要だな。
スキルは必要だが、作るときはこっそりとw
>>314 それによって、何がどうよくなるのかが分からない
>>314 ここと違って基地が少ない板なら、IDなんていらんだろ
で、何で板違いなここに、そんなの張るんだ基地害
>ここと違って基地が少ない板なら、IDなんていらんだろ つまりここには基地ガイル
>>307 ハード屋でもソフトのスキルも多少無いとソフト屋にバカにされそう。
動かないとき真っ先にハードのせいにされそう。
ソフトも多少できるハード屋もしくはその逆が理想じゃね?
>>320 理想は全部出来ることだけど、最近は並列分業が進んでるから無理だよな
LSI屋は回路分からないだろうし、ソフト屋はハード見るのも嫌って奴もいるだろう
ハード屋ソフトなんて全く分からないし、すぐに作れると思っている
趣味でやってるとか、小さな案件を小さな会社で取ってるとかじゃないと、難しいだろう
トラブルが起きたとき、犯人は俺ではないと主張できる程度の知識は持っておきたいね お互いにさ
>>322 互いに俺じゃないと言って前に進まなくなるだけ
>>323 あんたの職場では自分が間違ってるって分かってもそれを隠して自分の正義を主張してるの?
そういう会社だったら相手の領域を知っていようが知っていまいが結果は同じだね
声のでかい奴が勝つ・・・サル山みたいな職場なんだろうな
けど俺の職場では自分が間違ってたらスマンカッタとみんな言うし、
それで吊るし上げ食ったりすることもないんだ
>>321 > ソフト屋はハード見るのも嫌って奴もいるだろう
> ハード屋ソフトなんて全く分からないし、すぐに作れると思っている
いくらでも変更ききますよね(ハード屋) vs 余裕で即時処理可能ですよね(ソフト屋)
そしてシステム設計はなすり付け合い。
>>324 別に吊し上げや隠蔽なんて無いけが、そんなくっきり線引きなんてしてるとインターフェイスでトラブルよ。
オレの会社では、 打合せ、仕様作り、金額見積、回路設計、部品発注、基板設計、 ハード製作、ソフト製作、デバッグ、調整、ドキュメント、納品まで、 全〜ん部1人でやるよ。 今までソフト屋 vs ハード屋でもめたことは一度もないのでいいんだけど、 唯一の問題は、技術的に困ったときに、聞ける人がいないということかな。
329 :
774ワット発電中さん :2008/11/02(日) 15:30:54 ID:WTzmbgwI
東京エレクトロンデバイスでは、何時つるし上げにあっても、アカンベーができるように 普段は株で儲けているよ。元派遣さんなか、総資産3億でクビにされても楽しそうでした。 不労収入で生活できるようになると、会社の命令なんて全然こわくないからね。
330 :
774ワット発電中さん :2008/11/02(日) 15:37:13 ID:8OTUQOu+
2chで聞くんですね わかります
ID:dmn7Q7Dnって糞だなホントに
>ハード設計にどれだけ使いやすい言語かは、
>ベースがC#だろうがDだろうが、はっきりいって関係ないね。
SystemCがハードウェア設計のためにあると思ってる時点で馬鹿だわコイツ
C++の処理系を必要とするってことも知らない。
言い換えればC++の言語上のハードウェア設計にとっては何の利益もないデメリットをそのまま引き継ぐ。
そんなことすら理解せずよくもまぁレスつけられたな。
>>291 >>292 >>293 >>295 >>296 >>298 >>299 アホとしか言いようがない
SystemCの話じゃなくて言語ツール一般の話だろ…。
>>331 >C++の処理系を必要とするってことも知らない
何が?誰が?SystemCがC++を処理系必要ってサルでも知っていると妄想
>C++の言語上のハードウェア設計にとっては
???、妄想補完出来ん
高脳様の言うことは、低脳には理解不能だ
高脳様、低脳に解るように説明たのむ。
>>332 ID:dmn7Q7Dnのカキコ読んでみたが、SystemCの話はしてないな
それが、なんでSystemCになるんだか理解できない
やっぱ、高脳様の言うことは低脳には理解不能ということだな。
SystemCはC++という言語をベースに何でも設計できることを売りにしているが、 言語なんてなれれば直ぐ覚えられるんだから、言語が同じということをうりにしているのには意味がないってこと。 開発環境が一環していて検証が容易ってのは意味があるがな。
>>335 おーーーっ、そう言うことを
>>331 は言いたかったのか、トンクス
これって
>>331 に聞くべきか、
>>335 に聞くべきか判らんが
意味をなさない条件は "なれれば" がFALSEの時と解釈
この場合の覚えるって何を具体的に意味してるのか解らんが、
何を(に?)なれれば言語をすぐに覚えられる?
言語を覚えることを慣れればってこと?
現にVerilog/VHDLは使っているが他の言語は全く知らない香具師でも7日程度
あればSystemC覚えられる?
いくらなんでもHDLしかやったことないやつが、C++を1週間はふつうの頭じゃ無理。 ソフトはC++のあとは楽だが、最初がC++では壁が高い。 個人的にはハードとソフトを連携してデバックできるようになるのはいいが、 ハードウエアをC++で書けるなんて大きなお世話だし勘弁してほしい。 この手の研究者の自己満足なんだよ、全部C++ってのは。
338 :
774ワット発電中さん :2008/11/02(日) 21:39:32 ID:uPymeLE8
そういやハードもソフトもいけるって言う、SpecCはどうなってるの? 解散してそうな感じだけど、どこかの企業で押してたりしなかったっけ? もうどこの企業もやってないのかな C++なSystemCより期待してたんだけど・・・
自己満足の段階なら被害は無いからSystemCを賞賛できたのだが、 それを普及させようとする業界の意思があるから困る。
>>336 この 「覚えられる」ってどういう状態のことを示してるのかな?別に>336に限った話ではないが。
>>337 >C++を1週間はふつうの頭じゃ無理
そう、orz
やっぱ、高脳基準なのか
>>340 覚えるって言う言葉に自分がどういうのをイメージ持つかしだい。
人それぞれだと思うが、
>>336 の場合の俺イメージは自分の業務遂行に必要な言語知識を
習得しかつ実際に使える状態かな。
補足 野球を覚える 英語を覚える pi(パイ)を3桁覚える 名前を覚える 顔を覚える 覚えるって大変だね
ID:ci97S12h == ID:dmn7Q7Dn ってやっぱり何もわからず妄想解釈をよくもまぁ恥ずかしげもなく妄想を書き込めたものよのう。 こいつはサル以下。 システム屋がシミュレーションなりソフトウェア&ハードウェアとの協調設計をするのに、 C++の処理系に頼るのならまだしも、ソフトとはほとんど馴染みもなければ知る必要もないハード屋が、C++の処理系に依存して、 合成不能どころか、ソフトウェア実行にも支障を来すようなダイヤモンド継承あたりが何の警告もなく記述できてしまうことを まったく知らんのだな。言語の不都合がそのままハードウェアに波及してしまうことが問題だってことをこの馬鹿は皆目理解してない。。 ハード屋が前提としてC++の言語仕様の詳細を把握しなければならない理由がどこにあるよ。 ったく、馬鹿なのかこいつは。 VHDLがAdaの文法に似ているとか、VerilogがCに何となく似てるとかとは全く意味が違う。そこらへん理解してからほざけ。
>>336 331でも335でもないんだが、
SystemC でハードウェア記述の仕方を覚えるには1日あれば十分だと
勝手に思ってるんだが。
別に SystemC を使うために C++ の全部の文法をマスターする必要はないわけだし。
Verilog/VHDLでも全文法に精通しているハードウェア技術者なんてほとんどいないでしょう。
>>344 何が言いたいのかよくわかんないんだけど。
ハードウェア記述でダイヤモンド継承を使ってしまうケースがあるわけ?
実際にそういう問題が発生した事例に出くわした、ということなの?
ていうか、そのハード屋がちゃんと勉強しない(orバカ)なのが問題なわけで、
C++に問題があるというのは論点のすり替えにしか見えないんだが。
俺だけかもしれんが、C++よりもVHDLの方がよっぽど使いにくいですわ。
>>345 俺、SystemC、C++なんて、実際はほとんど知らん
だから、すぐに覚えられる基準を知りたかった。
SystemCに関しては高脳
>>344 様に聞いたほうが良いよ
高脳様はSystemC,C++をよく知っているみたいだし。
>>346 >何が言いたいのかよくわかんないんだけど。
こらこら、高脳様の言いたいことは高脳じゃないと理解できんのだ
俺は、低脳はSystemC使えないと主張してると理解した。
>>344 だって、低脳だもの
高脳様が低脳に解るように書かないのがイクナイ
あのさ。ソフトウェア言語っていうのは"できる"ことに主眼が置かれる場合がおおいけど、むしろ"できなくしてる"ことが重要なわけ。 C++の文法をベースにしたとかならまだしも、シミュレーションの処理系としてC++を使い続けてますじゃその穴を埋めるすべがないだろ。 それを論点のすり替えとか、VHDLが使いにくいとかいう上っ面の話を持ち出すなんてどこむかってレスしてる? >Verilog/VHDLでも全文法に精通しているハードウェア技術者なんてほとんどいないでしょう。 文法に精通するレベルじゃなくて、込み入ったことに手を出そうと思えば言語仕様のポリシーにまで踏み込む必要が必要があるってこと。 言語仕様はもちろん、最低限Effective C++あたりは読破しとく必要はあるだろ。 ま、結局SystemCはどんなに養護しても、もう終わったに等しいわけでな
>>348 自分が使えないからって、SystemC\(^o^)/オワタ とか言わないで!!
Stroustrup自身はC++の問題を十分に知ってるけどな。
353 :
774ワット発電中さん :2008/11/03(月) 03:23:53 ID:WAoztx+J
>>351 >ソフト業界でもC++は死にかけてきてるんだし
死にかけてる?
「ソフト業界」って一括りにするなよ。
IT土方の世界だけがソフト業界じゃない。
確かに「ソフト業界」で使われている言語の「比率」で言えば
JavaやPerlやPHPやC#の伸びに押されてるのは確かだけど。
IT業界の10年後を行く、組み込みソフト業界 組み込みソフト業界の10年後を行く、LSI業界 言語以外の環境や開発体制も古かったりするよな・・・
355 :
774ワット発電中さん :2008/11/03(月) 04:07:37 ID:WAoztx+J
>>354 IT業界(元コボラーの世界)の開発環境を過大評価(妄想?)しすぎ。
業務系システムの仕事なんてやったことないでしょ?
UNIX系の環境でも過大評価ですよ。一番使われてるのはprintf。 javaでもわざわざ導入されるくらい使われてます。
そもそもSystemCなんて言葉は一つも書いてないわけだが、あらぬ方向に行ってますね。
ID:ci97S12hは別人だし。おれ個人はSystemC否定派だよ。
>>344 は文面自体も意味不明だけど、何か壮大な勘違いしてるようですね。
HDLは純然たるHDLで十分で、ソフトはソフトで別に覚えればいいだけの話。
両方やっている人は、HDLだろうがC/C++だろうが既に両方できるわけでたいした労力じゃあない。
見えない敵と戦う、2chではよくあることです。
やはり、隣の芝生は青いってやつですな。 IT業界からみると組み込みやハードは頭よさそうな業界に見えているよ。 そもそもIT業界には基礎理論(電磁気学とか量子力学とか)ないし 「何バグってんじゃくぉらー」とか「ゆうた通りに動かんじゃねえかボケッ」 という顧客のありがたいお言葉が?理論?なので。。。
>>360 あなたのいる所はとても酷いアイテー業界でつね。
IT(技術)業界
IT(土方)業界
でホント分けてくれないかな。
ITai業界です
新商品提案&開発と新規アルゴリズム考えるやつ以外は、みんな土方だと思うんだ。 先を見通して上手く、短期間に完成させられるかどうかの違いはあるだろうけど。
>>363 HDLを使って言われた通りのものが設計できますレベルじゃ
ITドカタとほとんど変らない感じだろうからな
この部分の仕事は人件費削減で外部・派遣へGoGoGoだろな
365 :
774ワット発電中さん :2008/11/05(水) 01:00:53 ID:cWDZRwu7
今日解雇されますたorz 明日からハロワ通いです
( ゚Д゚)⊃旦~ 小室よりは上だから頑張れ
ところでSystemCで作ったらECOはどうやってするんだろう? 信号追えるのかな。
内定取り消しが話題になってるなぁ
神様、Verilog超初心者の俺を助けて下さい。 1Khzのクロックで8bitの入力を受付け、 8Khzのクロックで8bitの入力された値と 同一の値を出力するモジュールを作成しなくちゃいけないんだけど 初心者過ぎてさっぱりわからない。。。 神様、お願いします。
まあ、FIFOかな。 8kHzだったらマイコンでいけるけど。
たとえ分周で簡単に作れるとはいえ、初心者に複数クロックの回路作らせるかね?
もしかして、8kHzは、シリアル入力かい?
>>370-373 低脳俺は、
>>369 は意味不明なんだが
>>369 は現状に途方にくれている自分に励ましレスくれると助かると言っているんじゃないか。
少なくてもVerilogで作成をしてくれとか、作るためのアドバイスをここの香具師にお願いはしてないよな。
>>369 がんばれ!
俺にも
>>369 の内容は理解不能
まあ、ホントに分からないからうまく説明すべきポイントも分からないんだと思うけど
1KHz,8KHzってHDLの出番じゃない気がするけど、もしかして、宿題?
>>375 逆に考えると旨く説明出来るなら、すでに教えて貰うことも無かったりするんだよな。
>>369 がんばれ。
>>375 どこが解らないかが分からないから、人にお願いではなく神様お願いなのかな
>>369 はこのような悩み?を解決できるのは神様しかいないと言うことは
分かっているいるみたいだな。つまり、エスパー(すごい人)ですら難しいと判断したんだな。
>>369 超がんばれ
シフトレジスタ作れってことじゃないか? 宿題だとしたら、ありそうだし。
>>381 >>369 ががんばって分かるように説明してくれないといやはやなんともだが
パラ(1Khz, 8bit)<->シリ(8khz,8bit長)双方向変換機をverilogでかもしれん
つまり、パラシリ両入力出力対応シフトレジスタ
>>369 がんばれ、がんばれ、369!ウォーッ
383 :
774ワット発電中さん :2008/11/07(金) 21:58:22 ID:daJjQAI6
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
384 :
774ワット発電中さん :2008/11/07(金) 23:35:59 ID:zocGPIKu
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
という夢を見た \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | 短小包茎 ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
386 :
369 :2008/11/08(土) 01:25:48 ID:J7el8DeW
みんなありがとう まさかこんなに自分の書込みこんなに励ましのレスがつくとは思ってなくて今見ました。 頑張る!!!
387 :
774ワット発電中さん :2008/11/08(土) 11:16:00 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
388 :
774ワット発電中さん :2008/11/08(土) 14:20:10 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
389 :
774ワット発電中さん :2008/11/08(土) 14:21:28 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
390 :
774ワット発電中さん :2008/11/08(土) 14:21:59 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
391 :
774ワット発電中さん :2008/11/08(土) 14:23:08 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
392 :
774ワット発電中さん :2008/11/08(土) 14:24:43 ID:KFZ/u7zA
東京エレクトロンデバイスの派遣社員ですが おまえらバカすぎ。 \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
393 :
774ワット発電中さん :2008/11/08(土) 14:28:10 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
394 :
774ワット発電中さん :2008/11/08(土) 14:29:23 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
395 :
774ワット発電中さん :2008/11/08(土) 14:30:55 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
396 :
774ワット発電中さん :2008/11/08(土) 14:33:01 ID:ZSuF4ltc
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
秋月スレで荒らしすぎて、ヤバいことになったから逃げてきたの?
ここ一年ぐらいでこのスレ荒れるようになったな。 前は全然こんなことなかったのに。 あるメーカの名前が挙がりだしてからね。 (東京エレクトロンデバイスではないよ。) だから次世代最下位なんだと。
399 :
774ワット発電中さん :2008/11/09(日) 04:50:46 ID:p6gJdCKe
次世代の最下位は、身売りしたサンヨーなんだが。
三洋、パナの子会社になんかなって大丈夫かなぁ。 経営はへたくそだけど、研究部門は有機半導体コンデンサとか、エネループとか ユニークさが得意。 一方のパナは、カンキョーやジャストシステムに訴訟攻撃掛けてベンチャーを潰しにかかる。 パナの子会社になったら、三洋のエンジニアは転職していなくなってしまいそう。
401 :
774ワット発電中さん :2008/11/09(日) 16:20:26 ID:rwbC43kx
不況で転職先なんてないよ。 ダンボールハウスにプロの空き缶拾い業かな
402 :
774ワット発電中さん :2008/11/09(日) 16:45:48 ID:Nk7arsCK
最近のダンボールハウスはすごいよ。 テレビにビデオ、インターネットまで完備。
いや、インターネットは無理だろ
ケーブルいらずですぐに繋がる無線LAN
ダンボールハウスって電気はどうやって調達してんの? 街灯から引っ張ってくるとかかな。
つ 太陽光発電
408 :
774ワット発電中さん :2008/11/10(月) 11:31:52 ID:dUAqzdPL
設計の仕事ってホント糞だよな
糞だと思うなら職変えたら?
ウンコ好きのスカトロ野郎もいるよ
ダンボールハウスの設計に転職なんてどう? 建築士の資格がないとダメなのかな。
413 :
774ワット発電中さん :2008/11/10(月) 22:19:54 ID:YqxZETHH
設計の仕事ってホント糞だよな。
仕様の設計からやってるなら、そうでもないんだが ただ部品(RTL記述)を集めて組み合わせるだけなのを設計と思っているなら確かにダメだな。
商品企画が上から降りてくるので、仕様策定からやってるのにやりがいが無い なんて職場も多そうだ。特に民生品。
416 :
774ワット発電中さん :2008/11/11(火) 07:43:40 ID:9bit9bp3
ころころと変わる仕様 固定された納期 金くれるから付き合ってやっているだけ。 仕事は糞だよ。
417 :
774ワット発電中さん :2008/11/11(火) 08:48:35 ID:esaRYuU3
今日もバカ客の子守だぜー
辞めちゃえよ、甲斐性なしが。
>>414-415 やっているのが設計であって開発じゃないからだろ。
ハイ、設計しました、おわり。こう言う感じなんだろな。
423 :
774ワット発電中さん :2008/11/11(火) 20:32:38 ID:6aedd36h
こっちも馬鹿客の子守りが大変だよ。
424 :
774ワット発電中さん :2008/11/11(火) 22:02:27 ID:8tucwi5S
>414 は設計じゃなくてコーディングだよ
バカにはバカがクルーーーーって常識だよね そして、おまえもなーーーとお互いに言い合う
426 :
774ワット発電中さん :2008/11/12(水) 18:50:51 ID:wYb3l2rt
クソ客の馬鹿さかげんにはあきれるぜ。 請け負いさきで、馬鹿にされてるともしらないで。
>>426 お前も客に馬鹿にされてるのも知らないで・・・
スレチガイすら認識できない
>>426 は仕事でもこんな調子なんだろな
やっぱり、バカにはバカがクルーーーーって常識なんだな
429 :
774ワット発電中さん :2008/11/12(水) 21:26:53 ID:zn/V2zDs
内は世界一の技術商社 東京エレクトロンデバイスだ おまえら、ど素人はだまつてろ このクズどもが
自分で出来ないか人手が足りないから請負に出すわけで、 理解して自分で出来るなら仕事なんて来ないんだよ。 お互いに、出来ないから頼んでいる、助けることで仕事を請け負える ことを理解しないとな。 これを理解せずに相手を馬鹿にしてるのが一番馬鹿だと思うんだが。 仕様変更で無理を強いてくることがあったりするだろうけど、 出来ないことを依頼してるわけで、仕様などを明確に伝えられないのは当たり前で 明確に伝えられるところは自分のところでも出来ることなんだな。 只言われたことをだけを実行するだけじゃなく、相手の真意を理解し相手を導いていく 不明確なところを明確にしてあげるてゴールに導いていくことが必要。
>>430 自分たちじゃできないから丸投げしてるくせに
(当初担当するハズだった)元請けのオッサンは
エンドにはウチの社名を一切出せない
エンドの言うことを理解せずしかも曲解し
各メールに"重要度:最高"をわざわざ付け、
オッサンなりの解釈で変な指示を入れる
エンドと直接会話させることを避ける
俺の質問を「理解できないから」握りつぶす
とか、メンツだけは一人前だった。
ブチキレました。
今はエンドと直接話せるのでまるで別世界だ。忙しさは数倍に増したけどw
ウチの営業、エンドから直で取り直してくれないかなあww
>>432 の状況に加え次のことがあるんだよな
・エンドユーザの仕様でわからないことを俺にえんえんと聞く
おまえがエンドユーザに確認とらなきゃ、俺もわかんねえよ
>>430 俺のところは、人手が足りないと言うよりは
会社の人材をかけてやる意味のない部分を、外部に出すことが多い。
つまり、コストセーブ。これのために派遣やら請負を使っている。
>>432 典型的なドカタ構造だな。
でもな、基本的に駄目なのお前の会社だぞ。
2次受けしか受注出来ないような会社ってことだ。
お前はエンドと直接やっているけどな、金流れははしっかりエンド->元受->お前の会社になっているんだぞ
>今はエンドと直接話せるのでまるで別世界だ
何もしなくて金はしっかり入る、やっぱガキだねとおっさん、ほくそ笑っているな
>>435 あんた、金さえ入れば何でもいいと思ってるでしょ?
世の中、生きていく分の金を確保できれば過程や結果を重視する奴が大勢いるってことを知った方がいいよ
で、あんたみたいなのはあんたが食い物にしていると思ってる奴らがへそを曲げたらとたんに立ち行かなくなるわけだ
>>463 何か勘違いしてないか?
食い物にしてるんじゃないだろう。
自分から苦労を背負い込んだのは
>>432 で、その結果、
おっさんの所、その案件に関してなにもしなくても金が入ることになったじゃね。
請け負った仕事を丸投げするってソフトなんかではよくあることで、
それを食い物にしてって言うのもどうかと思うぞ。
あと、あと下請けがへそを曲げるなんて簡単にできると思うか?
>>430 なーに、寝言いってんだ、だからSONY製電池搭載の富士通パソコンが燃えるんだよ。
441 :
774ワット発電中さん :2008/11/13(木) 23:12:51 ID:7kN5J+gq
三洋の電池も燃える燃える、あ仕様だよね。
電池萌えるの仕様あるね 食べ物にぶない物入っているも仕様あるね そんな常識仕様知らない日本人は基地外あるね
443 :
774ワット発電中さん :2008/11/15(土) 21:20:38 ID:jhXXKXMN
派遣元はグッドウィル@栗タープロジェクト 派遣先は東京エレクトロンデバイス@DDC お客様は、国策企業富士通様だ。 富士通様のデザインセンターで仕事をしたこともあるんだぞ えへへへへへへへへへへ、えへへへへへへへへへへ \________________________/ V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (U) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
444 :
774ワット発電中さん :2008/11/16(日) 07:16:25 ID:QK5ykIjO
>>430 それがおまいに一番足りないものだ
と部長が言っていたな
後輩や2チャン相手にせいぜいいきがってくれよん
お互いに気持ちよく仕事できるかってことだけなんだけどな。 上手くすれば相手のやる気を引き出したり、前向きな協力をしてもらったりできるし。 仕事をとってくるところから、実務、受け渡し依頼まで一通りこなしている人ならわかるだろうけど、 上から振ってくる仕事を言われたとおり黙々とこなしてるうちはあんまりそのことに気づかないんだよな。 職場でもいるだろ仕事だからつきあってるけど、気の利くいいやつと、あんまり関わろうと思わないのが、 社外でも一緒だよ。
付け加えておくと、自分は管理職ではなく若手なんだけどな。
447 :
774ワット発電中さん :2008/11/16(日) 15:23:04 ID:AyX9nwec
448 :
446 :2008/11/16(日) 15:36:24 ID:zvhs64J1
やかましいヌっころすぞバカたれ
449 :
447 :2008/11/16(日) 18:11:34 ID:GAC7elBC
うるせーバーカ
この業界の人は ガラが悪いことだけはわかりました
451 :
774ワット発電中さん :2008/11/16(日) 19:08:15 ID:XkXhlRtZ
↑なに調停人きどり氏ねよ ばーか
452 :
450 :2008/11/16(日) 19:31:30 ID:ArcZ4Nj0
うーるせバーカ こうですか?わかりません!><
453 :
774ワット発電中さん :2008/11/16(日) 20:58:41 ID:RVrWa/15
>>446 ぷーぷぷぷぷ、自称若手ねぇーーー。
哲学ばかりで技術がおいちゅかないでちゅー。。。
>>445 >仕事をとってくるところから、実務、受け渡し依頼まで一通りこなしている人
営業、資材(購買)、技術、製造、品管、全て一人でやっているのか?
ところで社員何人いる?
456 :
774ワット発電中さん :2008/11/16(日) 21:32:10 ID:QK5ykIjO
457 :
774ワット発電中さん :2008/11/17(月) 20:13:07 ID:PaCjL7vZ
アルテラの開発環境QuartusIIについて、質問です。 日本語の入力は、どうしたらいいのでしょうか?? VHDLにて、コメント文を入力しようとしたところ、半角英数記号は入力できるのに、 半角カタカナや全角文字が入力できません。 トランジスタ技術2006年4月号によるとバージョン6.1では、 メニュー「tool」-「option」からtexteditorのフォントを日本語フォントにすればok らしいのですが、 最新の8.1や一つ前のバージョンの8.0sp1で試したところ、入力ができません。 どうか、知恵を貸してください。
>>457 確かにやってみたけど出来ないね。
俺なら別のエディタを使うか、環境の問題が多いからコメントとはいえ日本語は使わないかのどちらかにする。
460 :
774ワット発電中さん :2008/11/17(月) 21:16:33 ID:eLiNNr4j
>>457 入れ知恵 1泊5000円
浅知恵 1泊10000円
悪知恵 2泊30000円
どの知恵をお貸しましょうか。
ソースは日本語でコメントしてコンパイル時はソースリーダのところで ストリップする
462 :
774ワット発電中さん :2008/11/18(火) 20:40:56 ID:/C9EYekf
バカ客の子守りに疲れて、、、、
463 :
774ワット発電中さん :2008/11/18(火) 23:28:53 ID:/C9EYekf
糞客のあいては幼稚園児のあいてとおなじ
ところでC++ってなんて読むの? しーぷらぷら?
たすたす
しーいんく
>しーぷらぷら なんか垂れ下がってそう
まあ、30過ぎるとなんだ、…
しーぴーぴー どっとしーしー
関連会社入れて数万人
>>457 フォント変えれば8.0でも表示は出来るよ
一応、文字コードにも注意だよ
入力は、出来ないんだっけ?外部エディタしか使わないから分からないorz
>>471 およよ。どっちがウンコなの、Quartus or コード
475 :
471 :2008/11/20(木) 21:06:10 ID:Ndn1rahW
>>473 おお、ありがとう。
ちゃんと見本が載ってますね。
助かりました。
>>474 推奨記述を使わないでネットで拾ってきた漏れがうんこw
選ぶターゲットデバイスによってはどっちの記述もRAMになったりするからよくわからん…。
ブロックRAMが正しく合成されるかどうかが記述に依存するってイヤじゃね? ベンダのライブラリ呼んだ方がよくね?どうせデバイス依存なんだし。
477 :
471 :2008/11/21(金) 00:39:03 ID:+cKICmo4
megafunction使えばいいという話もあるんですけどね。 デバイス変えるたびに生成し直さないといけないしシミュレーションも面倒になるし…。
478 :
774ワット発電中さん :2008/11/22(土) 03:53:53 ID:k2OGCcP8
DesignWaveMagazine 2009/03で休刊、季刊化らしい
まあ内容がコア(怖)過ぎるわな。 …ごめん。
480 :
774ワット発電中さん :2008/11/22(土) 10:57:42 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ そんなこともわからねーのか、さすがクズだな。
481 :
774ワット発電中さん :2008/11/22(土) 10:58:19 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ そんなこともわからねーのか、さすがクズだな。
482 :
774ワット発電中さん :2008/11/22(土) 11:15:47 ID:PoXeFEEy
バカ杉な質問するんじゃねー、このクズ そんなこともわからねーのか、さすがクズだな
483 :
774ワット発電中さん :2008/11/22(土) 11:24:30 ID:v11L/j8P
バカ杉な質問するんじゃねー、このクズ そんなこともわからねーのか、さすがクズだな
大事な事なn(略
485 :
774ワット発電中さん :2008/11/22(土) 18:32:20 ID:LQaiLge7
答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ
>>484 で初めて思ったけど、
「大事な事」って、「頭痛が痛い」みたいなもんなのかな。
最近、話がそれまくりだな。
>>486 大事なおおごとって言ったらもうあれだな。
489 :
471 :2008/11/23(日) 15:58:26 ID:kelRkoS3
そんなに漏れをいぢめるなよ
490 :
774ワット発電中さん :2008/11/24(月) 21:06:23 ID:cpUqL8wo
答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ 答えはウンコだよ
そろそろSystemVerilogとSystemCも仲間に入れて上げてください。 やっぱり、まだダメでしょうか。。。
既に論理合成がちゃんと機能してるSystemVerilogとシミュレーションしか用途のないSystemCを同列に扱うな
SystemVerilogはVerilogの新しいのとして使えるしね 漏れんとこもVHDLは滅ぼして、SystemVerilogへ行くみたいだよ まだ立ち上げたばかりで資産という資産もないし、FPGA系なんで比較的サクッと変更出来るしw 当然ASIC部隊の方は、そんな簡単にはいかないんだけどね こう言うのはCOBOLみたいに、ずっと残っていくのかな・・・ SsytemCは、使う予定も全くないな。C++なんて分からないし。 ソフト屋から来る香具師でもいれば考えられるのかも知れないけど、ソフト屋の方が人足りてないしなw
494 :
774ワット発電中さん :2008/11/30(日) 11:20:29 ID:CqGA2F8K
↑だからどうした、このタコ
DKは入れてもらえない?
496 :
774ワット発電中さん :2008/11/30(日) 13:00:40 ID:/oijYkyP
o○w えへへへへへへ、えへへへへへへ \________________________/ 派遣 V / \ ( 人____) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
497 :
774ワット発電中さん :2008/11/30(日) 13:16:33 ID:WzsZqOxx
>>493 逆!
ハード屋をソフト屋にするのがSystemC
つまり、需要の少ないハード屋を需要の多いソフト屋に強制転職させるのがSystemC
ソフト屋なんて星の数ほどいるよ わざわざ稀少なハード屋を転向させる必要はない
バブル以後、日本人ハード屋の低脳基地外化が進み今の現役では世界市場で戦えません そこで、これからはハードの設計は優秀な外国人にになってもらうことにしました 国籍を問わず人材を世界に求めることで優秀な人材を確保する所存です このスレを見れば日本のハード屋がいかに低脳か明らかですね
実際、おまいらのトコはハード設計を海外に出してんの? うちは今のとこ無いみたい。 まだ10年選手だからわからんけど、 昔に比べて国内の設計屋が少なくなってるのでしょうか。やっぱり。
>>502 海外の外注に出してるんじゃなく、海外に開発・設計センターを設立
うちは中国・インドに開発センターあるが、エンジニアを日本に比べはるかに安い給料
で雇えるとか言っているよ。で、日本よりそっちの方が安くて優秀となると、工場の海外移転
同様に開発・設計も移転(とりあえずその市場向け製品開発部隊から)となる可能性ある。
こんなスレも見ています にVerilog VHDLスレがあるんだなぁと思って見たら 人いない・・・OTL 海外に設計センターをって ソフトウェアのほうがよく聞く話じゃないか? ハードウェア設計もそうなってきたら中小死亡か・・・俺か・・・
ソフトはいいけど、ハードは、検証やデバッグを一緒にできないからなぁ。 何十人も一度に必要になるプロジェクトも少ないし。
>>505 担当製品の開発部隊が海外移転なら、お前も当然そこに転勤だろ?
> 何十人も一度に必要になるプロジェクトも少ないし そんなことはないだろ ハードでも大規模プロジェクトはいくらでもある ソフトみたいに手戻りが楽じゃないから胃が痛いぞ
あーゴメン ハードって言うからボードのことを考えてた。 LSIはそうだよね。うちは数人のプロジェクトだけど、ベンダーさんは何十人もかかってそう。 まあ、それは海外でもよさげ。
509 :
774ワット発電中さん :2008/12/02(火) 21:41:19 ID:H+V8xLio
o○w 、デブで身体能力最低、 情報処理専門学校卒で情報処理試験不合格 毎週競馬で負けて、収入は派遣での稼ぎだけ 派遣元は栗タープロジェクト 派遣先はTED TEDの正社員の振りしてF通のデザインセンターに はいった事もあるんだぞ、 警備でTEDの社員と偽って、 門を出るときはFグループの一員きどり。 ○○の宿に帰ってきがついた、俺は客先常駐、栗タープロジェクト 住の実家ではPSにアニメを録画しているアニメお宅 マザコン実家通勤の40歳の童貞男の子 年齢=彼女いない歴の俺様 えへへへへへへ、えへへへへへへ \________________________/ 派遣 V / \ ( 人__哲_) |ミ/ ー◎-◎-) メガネメガネ (6 (_ _) ) ノ|/ ∴ ノ 3 ノ、 くさい / \_____.ノ ヽ / ,ィ -っ、 ヽ | / 、__ う 人 ・ ,.y i | / 大きな体に ヽ、__ノ 小さな手足 ノ ノ | x 9 / | ヽ、アニメ,ノ 彡イ | (栗) | ヽ、__ノ__ノヽ_ ヽ ̄ ̄ノ^ | ̄ ̄ i
IC設計は頭脳勝負だから、頭の良いインド、中国人にやらせたほうがいいよ
なんか定期的に自己紹介してる人が居るみたいなんだがファビョりっぱなしなの? それとも別人に感染する病気なの?
>>510 あのさ。インド人なんて頭いいとおもってんの?
いったいどういう根拠でインド人がオツムがいいのか明らかにしてくんないかな?
2桁のかけ算なんてそろばんからすれば屁みたいなもんだし。
0の概念なんて別に起源をたどればインドに行き着いたんだろうが、加減算やればかならず0なんて行き当たるわけだし。
インド移転なんて設計というよりITドカタ人件費削減でのコールセンター転送がほとんどだろ。
>>513 アメリカ・ヨーロッパの会社と仕事すると良く分るよ
チッ、結局具体的になーんも説明できないのかよ。 別に欧米企業をもちださなくともインド人は俺の職場にも居るがな。 で、そのオツムの良い国が何で300年にもわたって英蘭に搾取され続けてきたんだい? 日本は丁髷切ってわずか40年で当時の最強国ロシアに勝利したよな。 お前の尊敬するインド人がもっとも尊敬するのは日本だとさ。 具体的になーんも知らずに、上っ面の報道を鵜呑みにしてることを恥と知れ
さて、そろそろ寝ようかな。
インド 独自に核兵器を開発したのは純粋にすごいと思うぞ
インドはカースト敷いていたもんで 下克上できるようになってきたのは半導体と同時期。 って、地理の先生が言っていたような・・・ 要は大企業の下っ端的な位置にいるところなのかねぇ あとは人口多すぎで発展しきれないとか? ・・・経済?
インドはカレーの国だから、絶対凄いに決まっているだろ、おまえら
子供の大好きなカレーの国だぜ!
>>515 当時の最強国ロシア勝った国がアメリカに無条件降伏、ロシアにはいまだに北方領土取られている
って凄いよな。昔は昔、現代じゃないからな。
歴史を知らない奴がなんか言ってるな 日本は連合国に無条件降伏したのであってアメリカに無条件降伏したのではない 連合国にはソ連も入っているんだぞ
最強国ロシア勝った国が落ちぶれたんだね
>>520 いまは日本も連合国の一員だ 敗戦国条項はあるけどな
いまだ連合国の一員になってない国ってスイスと台湾と後どこだったっけ?
524 :
774ワット発電中さん :2008/12/04(木) 00:19:06 ID:hOYvq3sD
中国、インドって人多いからな。 優秀な奴の人口比少なくても、母数がでかいから 優秀な奴の数は日本より多くなる可能性あるな。
>>524 ついでに言えば貧富の差が激しいから、出来るやつと出来ない奴の差も大きい
金持ちか有能な奴でもないと、勉強できないからね
勝ち組になろうと必死だし、昔の日本のようによく働く
今の腐った日本じゃあ、日本語が使えることくらいしかアドバンテージがないよw
ところでVHDL 2008ってどうなったの?
526 :
774ワット発電中さん :2008/12/04(木) 01:09:17 ID:U0k0lr+T
日本の優秀な奴の人口比も少ないからな
C言語の__DATE__みたいに。 コンパイル日時を保持するマクロってありませんかね。 a <=COMPILED_DATE; とかやると aにはx"200812041528" みたいなのが代入されるとか。
>aにはx"200812041528" こんな回路が合成されたらキモすぎる プリプロセッサ代わりに一度Perlでも通すならできる
m4つかえ 常考
>>528 “コンパイル日時レジスタ”が生成されるじゃね?
スタートアップの時に値がプリロードされてるの(w
531 :
774ワット発電中さん :2008/12/05(金) 00:14:15 ID:qw7vF4K3
bit数無駄だろ エンコードして ボリュームシリアル番号は 2EC5-56B8 みたいなフォーマットにすりゃ節約出来る
532 :
774ワット発電中さん :2008/12/06(土) 08:00:54 ID:sWnYTk8N
533 :
774ワット発電中さん :2008/12/06(土) 09:50:14 ID:rsOYFJTM
200812041528 は 38bit ? ボリュームシリアル番号は月とか日とか99まで数える必要が無い部分を 圧縮したフォーマットだから 32bit に収まるって話?
つか日時を焼き込む必要なんてあるのかね
現代の名工の俺としては自分名前ののAsciiコードを梅込たい
電源層にでも刻んどけ
それならCADでお絵かきして名前入れた方が良いだろ、昔そんなの無かった?
今度仕様決めるときにレジスタの初期値でやってみようかなw
FM8のYAMAUCHIコマンドを思い出した。
おお、このASICは銘入りじゃないか、さぞや名のある人の手になるものなのだろう。 でもエロゲキャラの名前つけるのはやめれ。
レジストで名前入れてる基板は見たことある。 捨板のところだったけど、「遊んでんな〜w」とおもた
6層基板の内層に入れたら見つかるかな?
X線検査がきっかけでばれて改版命令が出た例を知ってる…。
日本語のVerilogの入門書で、お薦めの書籍はないでしょうか? Quartusの選択肢にVerilog2001やSystemVerilogとあるので、そう言った新しい規格にも対応していると嬉しいです。
547 :
774ワット発電中さん :2008/12/06(土) 18:33:40 ID:pdl63lF9
verilogでsinやらcosを使いたいんですが無理ですかね?
昔のPC-98と周辺機器に使われてたNECカスタムチップ表面にチップの愛称?とおぼしき 名前が印刷されていてですね。何だよS-PULSEってw
>>546 基板の内層に自分の名前をこっそり入れておいたが、何かの問題でX線検査することになりばれてしまったらしい。
お偉いさんに認められず結局改版することに…。
>>549 名前なんて逆に製作メンバの名前を全員入れるとかしたほうが
よほど士気も品質も高まるだろうに・・・ダメなお偉いさんだな。
こいつらか、駄目なの作ったので恥さらしだな
552 :
774ワット発電中さん :2008/12/06(土) 20:42:30 ID:rsOYFJTM
どうせ入れるんならパターンそのものを文字に読めるように工夫すれば 消される心配はないのに
基板じゃなくてICで、名前入れたのが原因の不具合でリコールになった事例があったような。
>>547 テーブル使って適当に補間すればいいんじゃないでしょうか
24進カウンタをデータフローレベルでVHDLを使用して記述する課題が出ているのですが、 JKフリップフロップすら作成できないです。 どなたか教えてもらえないでしょうか? JKフリップフロップと二進カウンタを宣言を削除したら、下のように書きました。 JKフリップフロップを動作レベルで動かしたもので代用しても二進カウンタが動かず涙目。 entity JK_FF is port ( J,K,CK : in std_logic; Q,Qnot : out std_logic ); end JK_FF; begin G1 <= J and S2 and CK; G2 <= K and S1 and CK; COMP1 : SR_FF port map ( G1, G2, S1, S2 ); Q <= G1; Qnot <= G2; end STRUCTURE; entity TWO_COUNTER is port ( I : in std_logic; O : out std_logic ); end TWO_COUNTER; begin COMP1 : JK_FF port map ( '1', '1', I, O, Qnot0 ); end STRUCTURE;
基板の内層にドラえもんの顔を入れたのなら見た事ある。試作基板だったけどな。
>>547 ですが
実は物体の回転の描画をうまくverilogでやりたいんです
三角関数の計算式で角度を少しずつ変えてやろうと思ったんですが
他にうまいやり方とかありますでしょうか?
三角関数はCORDICを使うといいらしいと聞いたことがあるけど、詳細はシラネ
>>547 それはDSPの仕事では?FPGAでやらせるには無理があるのではない?
みなさん丁寧にありがとうございます まだまだ初心者でわからない用語等ありますが、サイトを参考にして頑張ってみようと思います
そういえばマクローリン展開の回路ってあんの?
>>556 クロックイベントの記述を入れないと
レジスタじゃなくただの組み合わせ回路に・・・
clk'event clk = 1みたいのだっけ?
もうVHDLはだめだな・・・
三角関数がマクローリン展開でそれなりの精度で出せる回路組んだら結構バカでかくならない? 乗算器大量にいるし。 テーブル引きが普通だとおも。 テーブルの量を減らす工夫はいろいろ必要かもね。
係数は定数だからそんな計算量ないよ sin/cosの対称性考えて0<θ<π/2までの範囲で 精度があれば良いなら4項までで充分
演算1回あたり複数クロック要していいならかなり面積は減らせるぞ LUTの大きさをとるか、演算レイテンシーの短さをとるかのトレードオフだな
569 :
774ワット発電中さん :2008/12/09(火) 20:34:25 ID:N4Y2brH4
>>568 CPUっぽく処理させるつもりか!!
楽しそう
クロック食ってもいいならそういうてもありだね。
精度それほどいらねーんじゃね。 それなら1度ステップで90度ぶんのテーブルと、後は直線補間でどうにかならないか? 「CPUっぽく」ってのには何かそそられるものを感じるが・・・
まぁそれが正攻法だと思う。 クロック食ってもいいという条件で、 少ない乗算器で実現できればもしかすると マクローリン展開の回路組んだ方がコンパクトになるのかもしれん…。
そういや漏れもロジック数減らすためにその手の数学っぽい処理の回路をCPUっぽく1個の演算器で時分割させたことはあるな…。 そうしないと安いFPGAに入らなかった。
しかしながらハードウェアの夢としては ソフトウェアでは足元にも及ばないぐらい早い計算とやらをだな・・・ 面積犠牲にしたいね
575 :
774ワット発電中さん :2008/12/10(水) 13:16:41 ID:pB+zxVUy
直線補間ってどのくらいのコストで出来るんですか?
質問です。 VHDLとVerilogでは、シミュレーション速度がVerilogの方が早いと聞きました。 実際に早いのでしょうか? 4値 VS 9値と言うのは分かるのですが、作り方もありますが、実際にmodelsimで速度差が出るものなのでしょうか? SystemVerilogでは2値も使えるようですし、もうVHDLについてなんて議論の余地はないのかも知れませんが・・・
みなさん使用していない信号とかどうしてますか? IPとか使用したときに自動的に生成される出力線などです。Synthesizeした時にWarningとして残るのがいやなのでお聞きします。
578 :
774ワット発電中さん :2008/12/11(木) 06:36:37 ID:WCaJ5xn3
これでエラーが出る理由ってなんですか? module lcd_output( LED, ROT_A, ROT_B, BTN_SOUTH ); input ROT_A; input ROT_B; input BTN_SOUTH; output [7:0] LED; parameter init_value = 8'b00000000; reg [8:1] led_status; always@(posedge ROT_A or posedge ROT_B or posedge BTN_SOUTH) begin if(BTN_SOUTH == 1'b1) begin led_status <= init_value; end else begin if(ROT_A == 1'b1) begin led_status <= led_status + 1; end else begin led_status <= led_status - 1; end end end assign LED[7:0] = ~led_status[8:1]; endmodule
reg [8:1] led_status;
雨後の筍かと思うぐらいの質問・・・稚拙ながら
>>575 スルー(わからんっすOTL
>>576 差はでるだろうけど差が顕著になるぐらいデカイVHDL書けたらすごい。
ModelSimだといろいろオプションで早くなるから適切に。
>>577 Warningの中身を理解して、それで放置。
確かに気持ち悪いが、Warningが0なのに動かない!
なんて合成ツールを信頼しきるほうが危険
>>578 あんまり自信無いけど
assignの箇所はBIT列指定してあげる必要あるの?
・・・自信ぜんぜんなし・・・
>>578 Verilogの文法上、問題なし。シミュレーションでエラーがでたなら、ログを晒すべし。
合成できないのは、別の問題。実際、どんな回路ができるか考えてみたら?
582 :
577 :2008/12/11(木) 13:52:09 ID:gI7dOr2R
そうですね、大丈夫そうなのでほっておくことにします。ありがとうございます。
583 :
FSF@女子大製 :2008/12/13(土) 20:25:10 ID:hsVYGa2t
すみません、初めて質問します。 Verilog-HDLで8ビットマイクロプロセッサの設計して来い、 と教授に言われました。 なんのこっちゃわからなくて、 とりあえずVerilogについてだけいろいろWebを見て回ったのですが、 マイクロプロセッサに必要なモジュールをVerilogで記述・・・って 実際にはどんな感じなんでしょう・・・。 ぜんぜんマイクロプロセッサとVerilogがつながりません↓↓ 詳しい方いらっしゃいませんか? また、馬鹿でもわかるお勧め入門書的なものがあれば教えてください。 いまからこの過去スレ全部見返していきます・・・。 ここが一番情報が多いかもなので。
8bitマイクロプロセッサを設計する ↓ 回路をVerilogで表現する ↓ 教授に提出 ↓ (゚д゚)ウマー
585 :
FSF@女子大製 :2008/12/13(土) 20:33:04 ID:hsVYGa2t
8bitマイクロプロセッサの設計って、 ダイアログみたいなやつですか・・・? すみません、リアルに無知です。 情報3回生とは言えません。
女子大製って
>>585 自体が女子大で設計された人口無能なの?
587 :
FSF@女子大製 :2008/12/13(土) 20:36:38 ID:hsVYGa2t
【技術名称】 8bit マイクロプロセッサ 【技術内容】 (1)特徴 ○ 8ビット命令デコーダ制御装置 ・演算論理ユニット ・8ビット算数/論理演算 ・16ビット算術演算 ・ブーリアン操作 ○ レジスタ・ファイル・ユニット ・汎用レジスタとフラグレジスタの二重セット ・2つの16ビット・インデックス・レジスタ ○ 割り込みコントローラ ・3モードのマスカブル割り込み ・ノンマスカブル割込み ○ 外部メモリインターフェース ・最高64kBのプログラムメモリのアドレシング ・最高64kBのデータメモリのアドレシング ・最高64kBの入出力デバイスのアドレシング ○ メガファンクション上のダイナミック・メモリ・リフレッシュ これ?
自分のバカを自覚しているならもう一年、やればいいじゃないか。
589 :
FSF@女子大製 :2008/12/13(土) 20:45:48 ID:hsVYGa2t
とりあえずあと一年かけてやるんつもりですよ。
マイクロプロセッサとVerilogが繋がらないというか、両方何だかわかってないんじゃない?
つ先月のトラ技
593 :
FSF@女子大製 :2008/12/13(土) 20:56:26 ID:hsVYGa2t
590>> そうかもです。 どっちかわかったら、どうにかなるはずですよね・・・。 592>> どういう意味ですか??
私の好きな言葉 光繊線路 小野剛 大内俊身 小川浩 大野和明 滝井繁男 今井功 中川了滋 古田佑紀 通話明細の蓄積漏洩 暴力団体の組織犯罪 旧郵政省の行政職員の利権 総人労と交換屋との愚劣な権力闘争 再就職先を確保するという利権争奪 小野寺正 児島仁 大星公二 西村守正 北海道人脈による旧郵政省関係者との対立を偽装した癒着 警察組織や検察組織までが、関与している 祷雅和、 小寺広哲、 佐田敦彦、 早苗慶太、 田中敏晶、 平木伸幸、 吉田俊宏
「CPUの創りかた」って書こうと思ったらすでに書かれてたw しかもスルーされてるw
596 :
774ワット発電中さん :2008/12/14(日) 02:39:35 ID:zrZSsCkL
>>591 その本の通り再現するのが目的であれば
HDLでTTL作ってそれを組み合わせるなら意味あるけど
(普通はHDLでそんなことしない)
HDLで(TTLじゃなく)その本のCPU書くと簡単に出来すぎて拍子抜けする
TTLつか基本ゲートな。
うんうっかりしてたけどそのつもりで書いてた ごめん でも伝わったみたいでよかた
>>593 2008年12月号のトランジスタ技術の
特集がまさしく8ビットMPUのVerilog記述そのもの
>>587 > ・8ビット算数/論理演算
まじですかw
>女子大製(生?) 本当に詳しくなさそうだが、がんばれ。
文系の女の人が工学部に学びに行ってコンパイラの作り方の本を書いた事例も
あるぐらいだ。やればなんとかなるかもしれない。
マジレスすると 教授の所に毎日行け 「容易に聞いてくるな!」といわれても 「これだけ調べてきたんです!」とか手土産もって こういうところでひょいひょい助けてもらってもいいが 今後の大学生活(少しだけど)や人生で不利だぜ。 え?8bitマイコン? ごめんなさい・・・
602 :
774ワット発電中さん :2008/12/15(月) 13:13:23 ID:J98Ym16x
603 :
774ワット発電中さん :2008/12/15(月) 14:54:32 ID:2sJ6u7kE
604 :
774ワット発電中さん :2008/12/15(月) 16:29:14 ID:J98Ym16x
通報しました
>>603 んー、この流れでナゼ?
注意!アラートオープンを発見! (3)
危険!mailtoストームを発見! (3)
危険!ニュースストームを発見! (3)
危険!Telnetストームを発見! (3)
質問です。 現在Verilogを使っているのですが、最近時間があるのでSystemVerilogに挑戦してみようと思います。 そろそろ初めても面白いですよね? そこで質問なのですが、C++とSystemCにも挑戦しておいた方がいいでしょうか? SystemCがどんなに素晴らしいものか、知らないので迷っているのですが、 シミュレーション速度が速い等、何かハードウェア技術者にも利点があるのでしょうか。 SystemVerilogの方が数倍早いとかなら、必要も無さそうなのですが。
>>587 とりあえずトラ技の12月号でも読んで自分なりに理解して教授のところに行けば教えてくれるっしょ
システムCは素晴らしい。 シミュレーション(計算)は早いし、クロックサイクルは意識しないで済むし、乗除算も一撃。どんなデカい配列も使い放題。I/Oも抽象化して設計できる。ソフト屋を連れてくればあっと言う間に設計完了。 でも、いつになったらハードにインプリ出来るの?
なんか
>>608 とはいい酒が飲めそうも無い気がする。
ただなんとなくだけど・・・
>>609 は誰とでもいい酒の飲み方ができない下戸っぽいな
>最近時間があるのでSystemVerilogに挑戦してみようと思います そのままとーさんするだろうから、 別なスキル身につけた方がいいよ
>>608 ほんと素晴らしいよな。
今は製造海外だが、時期に開発の下流工程も海外・外部になる
そうなった時にSystemCをやってて良かったとしみじみ思うことになるよ
SystemCってあんまり歓迎されてない雰囲気だから気にしてなかったけど最近はどうなんでしょう。 C言語でアルゴリズム検証したあとさらにCでRTL風に書き換えて結果が変わらないことを確認した後 Verilogで書くって感じのやり方なんですけどこのCでやってる部分がSystemCで置き換えられるんですかね。
アルゴ検証完了=設計完了 ツールまだ〜 (・∀・)っ/凵⌒☆チンチン
それができたら大歓迎だねぇ。 でも、設計の時点でRTLを意識しないでいて ○○の処理は面積消費していいから○○クロック以内で! とか △△の処理は△△クロックまで使っていいから限界まで面積を小さく! とかそういう要求対応できるん?
そのための合成ツールでしょ。 出来なきゃ存在意義ないよね〜 ってか、存在してないか…
高いくせにバグが多いから困る。
CよりもVerilogに書き換えやすい状態でアルゴリズム検証できるんならやってみる価値あるかな。 合成はまだまだ期待しないでおこう…。
書き換えなきゃいけないって時点でね、もうね…
実際、おもしろ半分に手を出してみるけど、使ってはいないってのがSystemC でも技術が進めば、いつかSystemCの時代が来るんだと思ってしまうorz もしかしたらFPGAなら、もう使ってる香具師も居るのかもしれないが。
>>616 海外の高脳エンジニアがやってくれるよ
日本は電子系開発に使っているソフトって弱いからな
黒船ソフトばかりだからな。
おまえらって、日本製の電子系開発ソフトって何使っている?
>>621 別に電子系に限らないでしょ、ソフトだって開発環境は舶来物ばっかりじゃない?
Rubyw
>621 Veritak
CircuitViewer
一太郎&花子
628 :
774ワット発電中さん :2008/12/19(金) 18:07:09 ID:876ubEoX
LHarc
秀丸
BSch
D2CAD
秋月のH8コンパイラとか
おいおい、何だよ、そんなレベルかよ デファクトスタンダードレベルの日本製開発系ソフトないのかよ
デファクトスタンダード(笑)
んじゃ、質問を変えよう おいおい、何だよ、そんなレベルかよ お前らが作ったデファクトスタンダード(笑)レベルの日本製LSIないのかよ
初めてDSPを作ったのは日本だけど、開発ツールがしょぼくてTIに負けた という話がちょうど今月のInterfaceに載ってるね。
D-RAMは、デファクトスタンダードだったろ。 SD-RAMあたりから負けたけど。 iTRONもあるじゃない。
嶋正利さんがいるじゃまいか
3人中1人だと多数決で舶来
久々にVerilogの話に戻そうか・・・ 皆さんは負論理の信号名には NAME_X NAME_N NAME_B XNAME NNAME その他 のどれを使いますか?
nNAME
右に倣えで使ってるけど B(とX)は何から来てるん?
NAMEn nNAME うちはXは双方向で使う。
負論理でも気にしない
俺も
>>643 は気になるな
starcの本にも負論理のときは_nか_x使えって書いてあった希ガ
NAMEnかな。
書いてあればどんなでも良いような、統一はして欲しいけど。
便乗。 クロックとかリセットとかの信号名どうしてる? 正論理ならこんな感じ? Clock Reset Clk Rst Ck Rs
みんな大文字使ってるのか…orz
モジュール間(input output)は大文字 モジュール内(wire reg)は小文字なイメージでやってる
頭文字と意味の区切りを大文字にしてる。 AdrCnt CalcState
OSEnvironmentReaderFromJava5SystemGetenv みたいな名前になっちゃうよ
bはバーだと思う 文字の上のバー 古い回路図やICの端子とかで、チップイネーブルとかでみかけるよね あんまり使ってる所はみないけど。 普段は末尾に_Xかな 大文字小文字の混在は避ける
基本的には会社などの決まりに従って、無いなら構文チェッカーに従ったらいいんじゃないかね
Nしか使ったこと無い。 Xを使ってる人、割と多いのな。
658 :
774ワット発電中さん :2008/12/23(火) 21:27:04 ID:/G4aocdF
うちの会社はxが多いかな。 信号名は小文字で、パラメーター宣言は大文字というのがうちの会社のルール。 設計を外注すると、記述ルールが違ったりして、とても見にくいっす。
>>659 しかしまぁ、作ってもらったのをIPと考えるなら
こっちから出した仕様書どおりに動けば○、ダメなら×でいいんだけどな
「うごかねーぞこらぁ!」って言って返すだけ。
・・・でもテストベンチもこっちから出してるだろうしなぁ・・・
負論理はRST*見たいに表すことが昔多かったから、 見た目*に似ているXを自然と使うようになった。
662 :
774ワット発電中さん :2008/12/26(金) 21:13:51 ID:8TPi+5Px
 ̄RST
#RST
~RST じゃないかのう?
/RSTって表記もなかったっけ?
667 :
774ワット発電中さん :2008/12/27(土) 12:16:53 ID:/qkaj2in
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 貴様 見ているな!? \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
>>666 それ、R/~W みたいなとき使いにくいんだよな
Rと/Wで R/W でいいんじゃねーの? ピンに複数機能がある時とかの事だと思うが。
やっぱり nRESET でしょう。 兼用ピンのときも、CHINCO/nRESET/MANCO/CONFIGと普通に書ける。
>>670 あー、回路図で知らない訳じゃなくて
* → x の流れがホントかなと思って。
でもよく考えると乗算で * を使うし、
640x400 みたいな書き方もするから
別にヘンじゃないのか。
でもHDLで周りの人間が X を負論理として
使ってるのを見たこと無いのよ。
このスレで使っている人が多くて戸惑っている。
負論理は末尾に#だろJK 頭に何かつけるのは辞書順でソートした場合に同系列の信号なのにバラけるからという理由で 俺ルールでは末尾につけることにしてる
674 :
774ワット発電中さん :2008/12/28(日) 10:47:12 ID:lsiQSTdQ
RnESET
大文字と小文字の区別が無い場合があるので、nRESET は使わなかった
負論理の話が多いけど、 レジスタなのかワイヤなのか、 はたまた、入出力なのか内部レジスタなのか の表現はどうしてますか? 入出力のときにIN_CLOCK、出力のときにはOUT_CARRYとかやる人を見たことがあるけど、 面倒じゃないのかな? 慣れの問題か。
677 :
774ワット発電中さん :2008/12/28(日) 18:14:10 ID:lsiQSTdQ
辞書順でソートした場合にみやすい鴨試練
レジスタは信号名にregをつけるが入出力極性はつけないな in,outをつけるとどこかでin = outみたいなところが出てくるし
verilogについて質問です レジスタAの値が変わったらレジスタBの値が変わるようにalways文で記述しているのですが、 一度bの値を変えたらaの変化にかかわらず何秒かは変わらないようにしたいのです。 何かいい方法はありませんか?
680 :
774ワット発電中さん :2008/12/28(日) 22:54:07 ID:S92My/cg
ありますよ
目の付け所が # でしょ。
always@(posedge clk or posedge RST) begin if(RST) b<=0; else if(a) #5 b<=b+1; end こんな感じですか?
この場合の5秒って どのクロックを基準にしてるんだろ
シミュレータの単位時間では御座らぬのか
5サイクルだった 5秒ってどっから出てきたんだろうw 吊ってくる orz
サイクルという表現も微妙におかしいと思うけど。 秒は>679に書いてあるからな、というか数秒って合成する気は無いのか
しかし、何秒かは変わらないようにって、 1nでやったらシミュにすげー時間がかかりそうだ。
イベントが発生しなきゃシミュレーションには時間はかかんないよ。 timescale 1s/1ns とか出来るかな?
それ以外のロジックが無いなら、一瞬だろうけど。 しかし、どのみちシミュ記述だ。
デルタ遅延対策と仮定して・・・ クロック周期が一本だけなら 気にしなくていいけどな。 それより出力PINを組み合わせで出さず クロックで叩いてやる事を忘れなければ無視していい ・・・非同期とかだと考えないと厄介だよなぁ
691 :
774ワット発電中さん :2009/01/02(金) 06:02:02 ID:xKMIqB0A
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 貴様 見ているな!? \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
今年は、SystemVerilogへ移行考えて、VHDL減らしていかないとなぁ C++も勉強しておかないとなぁ・・・ あとは英語とPythonをやれれば・・・無理
ピジョンって何に使うの?
パイパン信者ここにもいたか
ありました先生
697 :
774ワット発電中さん :2009/01/03(土) 07:34:52 ID:yliJ6qbs
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 貴様 見ているな!? \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
今更Python使うぐらいならPowerShell覚えろ
>PowerShell MS信者乙
┏━━━━━━━━━━━━━━━━━━━━━┓ ┃ ━ ╋━╋ ╋┓┏┓ ┳┻┳┏━ ┣━┳━ ┃ ┃ ━ ┏┳┓ ┃┃┗┛ ┻━┻┃ ┃ ┃ ┃ ┃ ━ ┗╋┛ ┏━┓ ┃ ┣┳ ┏━╋━ ┃ ┃ ━ ━╋━ ┣━┫ ━╋━┃┃ ┃ ┃ ┃ ┃┏┓━╋━ ┣━┫ ┏╋┓┃┃ ━┻━╋━ ┃ ┃┃┃ ┃ ┗━┛ ┃┃┃┃┃ ┃ ┃ ┃┗┛━┻━ ━┛┗━ ┃┃┃┃┃ ┃ ┃ ┗━━━━━━━━━━━━━━━━━━━━━┛ ∩ 〈〈〈 ヽ 〈⊃ } (\___/) | | ( ̄l▼  ̄)! ! / ● ● | / / l ___\lミ/ /▲ ( 。--。 ) /■___ (( ̄)) 新年あけましておめでとうございますーーっ! (___)  ̄/ 2009年 元旦
スクリプト言語ってみんな何を使ってるんだろ?上でPythonやらPowerShellなどが出てきてるけど。 俺はPerlぐらいしか使ってない、何だか一つ使えたら事足りるような気がするし、別にそれがメインのお仕事でもないしなぁ
>>701 普通にPerlやshぐらいじゃないかな?
保守するわけでもないのならPerlでいいのだし、新しいの使ってる香具師は少なさそうだよね
どうしても移行しなくてはならなくなったら、その時考えればいいし
それよりもSystemVerilogやSystemCの方が恐ろしい物に見えるよ
移行しろって言われたらどうしよう・・・
>>702 そんときは本とかが山のように入ってきてwktkですな。
SystemVerilogってどこか勉強できるところある?
まあ、ツールがまともに使えるものになってから心配すればいいよ。 別の言語が出てくるかもしれんし。 正月で旧友に会ったんだが、未だにスケマ入力だってw 言語で書くとクリティカルパスが厳しいって本気で信じてるみたい。10年前じゃないんだからさ…
いやいや20年前だろ それ
むしろ20年前に言語で論理合成できてたことがすごい。
どうでもいいが、仕事あるの?
20年前でもAbelみたいな記述言語はあった。アドレスデコーダとかには便利だった。 ここだけ20年遅れているスレで聞いてみなw
>>704 スケマはさすがに見たこと無いけど、HDLでべた書きは見たことあるかな。
そうでもね〜よ。俺の周囲でもスケマ健在w FPGAの分野じゃまだかなり残ってるんじゃないかな〜中年以降が現職の職場で・・・
verilogでウン万行になるやつ助まで書ける?
スケマで書いたのをVerilogに変換すると何万行にもなるだろ。JK 普通、edifかもしれんが。
おまいの手変換は糞!!とボケてみるw
SN7400 cs1 (.a1(cs0), .b1(add[12], .y1(select) ... なんたら
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
回路図入力の何が嫌かってバスの接続だな Din(31 downto 0) <= Bus(31 downto 0 )と一行で済むところが マウスをチマチマカチカチやって配線なんてやってられねぇ
スケマでもバス配線できるじゃん。name[15:0]とか信号名付ければ。
同じ部品の多重定義もできるよね>スケマ まあいまさらスケマに戻りたくはないけど
バスの配線なんて飾りです、えろい人にはわからんのです ネットにちゃんとラベqwせdrftgyふじこlp@
まぁ最初スケマでやってても回路が大きくなると信号名のみで配線するように なってくるし、結局四角いブロックに文字を書くだけの作業になり 回路図であることのメリットが何も無くなってしまう。 そんな感じでVerilogに移行した私です。
722 :
774ワット発電中さん :2009/01/12(月) 21:08:45 ID:uEPSnCi3
空とぶ円盤 UFOのAAです。 けちつけんじゃねーぞ。 ゙'. ,ト `i、 `i、 .、″ | .,.:/"" ゙‐,. ` / ` .,-''ヽ"` ヽ,,,、 ! 、,、‐'゙l‐、 .丿 : ':、 、/ヽヽ‐ヽ、;,,,,,,,,,-.ッ:''` .,"-、 ,r"ツぃ丶 `````` ../ `i、 ,.イ:、ヽ/ー`-、-ヽヽヽ、−´ .l゙`-、 _,,l゙-:ヽ,;、、 、、丶 ゙i、,,、 ,<_ l_ヽ冫`'`-、;,,,、、、、.............,,,,、.-`": │ `i、 、、::|、、、ヽ,、、. ```: : : ``` 、.、'` .|丶、 .l","ヽ、,"、,"'、ぃ、、,、、、、.、、、.、、、_、.,,.ヽ´ l゙ ゙).._ ,、':゙l:、、`:ヽ、`:、 : `"```¬――'''"`゙^` : ..、丶 .l゙ `ヽ ,i´.、ヽ".、".、"'ヽヽ;,:、........、 、、...,,,、−‘` 、‐ |゙゙:‐, ,.-l,i´.、".`ヽ,,,.".` `゙゙'"`'-ー"``"``r-ー`'": _.‐′ 丿 ,! j".、'ヽ,".、".、"`''`ー、._、、、 、._,、..-‐:'''′ .、,:" 丿 ゙l,"`"`''ヽヽ"`"` ```゙'''"ヽ∠、、、、ぃ-`''''": ` 、._./` ._/` `'i`ヽヽヽ`''ーi、、、: : 、.,-‐'` 、/` ``ヽン'`"` : `~``―ヽ::,,,,,,,,,,.....................,,,,.ー'``^ ,、‐'"` `"'゙―-、,,,,..、、 : ..,、ー'"'` : `‘"`―---------‐ヽ``"''''''""
723 :
774ワット発電中さん :2009/01/13(火) 19:25:53 ID:kRhu9s+F
アルゴリズムを忠実にverilogで書いたらロジックバカでかくなった。 紙の上で回路図書いてそれをverilogに変換したら半分くらいになった。 回路図も捨てたモンじゃないんでは。
そういう時は、CADを使おうよ
頭の中を整理するにはCADより手書きが有効
CADで回路図書くとHDLにしてくれるツールってありますか?
おいおい、ネットリスト出せないCADなんてあるのかよ?
>>724 チラシの上で表現出来る程度の小さな回路の話をされても
なんか暗にでかい回路を組んでる俺はすごいと言いたげだな ほめてやるからさっさと回路規模を減らす作業に戻るんだ ロジック工夫しないでうすらでかい回路作る奴は偉いわけじゃないぞ
うんこ
736 :
774ワット発電中さん :2009/01/15(木) 09:06:13 ID:fBAfoJNK
ぱっと見、よさげだけど。 とりあえずシミュレーションしてみたら?
ごめん、動かないのか… どういう状態で止まってるのか確認しる
ヒント Compiling source file "8645.v" Error! syntax error [Verilog] "8645.v", 505: clk;<- 1 error
PMX のインスタンスの端子名のあとの ";" は "," だ。で、最後の ")" のあとに ";" な。 いちおう何か波形らしきものは出てくるぞ。
ソフトクリームのアイスの部分のAA ゙'. ,ト `i、 `i、 .、″ | .,.:/"" ゙‐,. ` / ` .,-''ヽ"` ヽ,,,、 ! 、,、‐'゙l‐、 .丿 : ':、 、/ヽヽ‐ヽ、;,,,,,,,,,-.ッ:''` .,"-、 ,r"ツぃ丶 `````` ../ `i、 ,.イ:、ヽ/ー`-、-ヽヽヽ、−´ .l゙`-、 _,,l゙-:ヽ,;、、 、、丶 ゙i、,,、 ,<_ l_ヽ冫`'`-、;,,,、、、、.............,,,,、.-`": │ `i、 、、::|、、、ヽ,、、. ```: : : ``` 、.、'` .|丶、 .l","ヽ、,"、,"'、ぃ、、,、、、、.、、、.、、、_、.,,.ヽ´ l゙ ゙).._ ,、':゙l:、、`:ヽ、`:、 : `"```¬――'''"`゙^` : ..、丶 .l゙ `ヽ ,i´.、ヽ".、".、"'ヽヽ;,:、........、 、、...,,,、−‘` 、‐ |゙゙:‐, ,.-l,i´.、".`ヽ,,,.".` `゙゙'"`'-ー"``"``r-ー`'": _.‐′ 丿 ,! j".、'ヽ,".、".、"`''`ー、._、、、 、._,、..-‐:'''′ .、,:" 丿 ゙l,"`"`''ヽヽ"`"` ```゙'''"ヽ∠、、、、ぃ-`''''": ` 、._./` ._/` `'i`ヽヽヽ`''ーi、、、: : 、.,-‐'` 、/` ``ヽン'`"` : `~``―ヽ::,,,,,,,,,,.....................,,,,.ー'``^ ,、‐'"` `"'゙―-、,,,,..、、 : ..,、ー'"'` : `‘"`―---------‐ヽ``"''''''""
やけにでけぇアイスだな このクラスのでこの形のって中どうなってんのか凄く気になるんだが
何が動かないのか、エラーメッセージや状態の説明がほしいね とりあえず812さんの指摘事項さえ直せばコンパイルは通るよ $stopは$finishにした 俺の好みで Cygwin+Cverで確認。
745 :
736 :2009/01/16(金) 01:54:44 ID:sY5DiABc
みなさん、アドバイスをいただきありがとうございました。 指摘いただいた箇所を直してコンパイル出来る様になりました。 動作内容の理解が目的なのですが、initial以降の値の与え方等見直しが必要だと思われます。 後はそこをいじりつつ考察してみようと思います。 ありがとうございました。
テメェ、せっかく人が C/C++ 宿題スレで回答してやったのにシカトかよ。
うるせぇな。 シカトが嫌なら答えんなや。 こちとら半端にマルチしてんじゃねぇんだ、このカス野郎。 という心の声が聞こえたので代弁しておきます。
748 :
774ワット発電中さん :2009/01/16(金) 22:05:01 ID:8QSR5I49
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
749 :
774ワット発電中さん :2009/01/16(金) 22:14:03 ID:5LreNJcb
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
750 :
774ワット発電中さん :2009/01/16(金) 23:27:04 ID:dJ7ZjQnD
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
バカ
752 :
774ワット発電中さん :2009/01/17(土) 00:20:47 ID:8hKSxloW
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
753 :
774ワット発電中さん :2009/01/17(土) 09:13:13 ID:hhA9ou13
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇ / ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
754 :
774ワット発電中さん :2009/01/17(土) 09:27:16 ID:hhA9ou13
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇ / ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
755 :
774ワット発電中さん :2009/01/17(土) 11:45:11 ID:EqYpevW9
ポトン ●
昔ソニーの品川テックのエレベーターの中に「ソフトクリーム」がとぐろ巻いてたって話思い出した。
757 :
774ワット発電中さん :2009/01/18(日) 02:21:45 ID:CJ3aS6CT
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
758 :
774ワット発電中さん :2009/01/18(日) 02:22:23 ID:CJ3aS6CT
/ ̄ ̄ ̄ ̄\ ( ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 仕事中に○○した。 \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
759 :
774ワット発電中さん :2009/01/18(日) 14:26:08 ID:5yr2YBKc
t_0 <= signed(a) / signed(b); aとbが固定小数点数で a<1,b>1の時ってどうなるんでしょう? 常にt_0は0になるんでしょうか?
>>760 >何が間違っているのでしょうか?
おまえさんの環境
もう少し詳しく御願いします・・・
>>760 どうせならveritakのページ見ながら勉強したら?
VHDLなんでさっぱりなんだけど、Verilog+Veritakってそんなにいいのかな
Core DuoのノートPCとか使ってる漏れは、シミュレーションは遅いものと諦めているんだけど、変えたら幸せになれるかな?
あと、みんなはどんなマシン使って開発してるの?
>>763 トチ狂ったうちの教授がいきなりHDLとか言う言語でプログラム書いて提出とか言い出したので
veritakしか知らんのです;;
他のソフトでもいいので解説が詳しい奴があったら教えてください
>>764 それなら迷わずに、そのまま突き進んだ方がいいと思うよ・・・
まあVeritakのヘルプでも見ながら頑張ってくれ
766 :
774ワット発電中さん :2009/01/18(日) 18:24:33 ID:5INEvVBQ
>>760 やってみたけどエラーはでなかったよ。MIXIで聞いてみたら。
>>763 Core i7 爆熱の割には速くない。
>>766 i7ダメなんだ・・・
Core2Quadや高クロックのCore2Duoの方がいいのかな?
PhenomIIマシンは、会社で買って貰えなさそうだしorz
同時にシムを回すなら8スレッド使える i7 が早いよ。
>>767 会社で使うのなら別に熱くても関係ないでしょ、C2Dより速ければそれでいいと思う。
けどまだ値段的には割高だから、今ならまだC2D買った方が良いだろうね
770 :
767 :2009/01/18(日) 20:19:07 ID:xBh6qk9w
>>768 同時に?同時には無い気がします
とりあえずはmodesimの対応次第ですかね
>>769 やっぱりコア数より、高クロックの方がよいですかね
10万切ってるといいですw
>>766 ありがとうございます
やり方は、新しいプロジェクトの作成→名前をつけて保存→and2.vとand2test.vを追加してexit
でその後、名前をつけて保存した奴をload verilogで読み込めばいいんですよね?
>>771 記述例に問題はないよ。
どんなエラー? タイプミスによるシンタックスエラー?
図4.7 で、12〜13行目($dumpfile/$dumpvers)は実行環境依存じゃないかな?
774 :
774ワット発電中さん :2009/01/19(月) 19:58:43 ID:HPbWJwFx
/ ̄ ̄ ̄ ̄\ ( 哲 ) |ミ/ ー◎-◎-) (6 (_ _) ) |/ ∴ ノ 3 ノ 東エレデバイスの派遣です \_______ノ ノ >-─|<`ヽ、 く へ, V、_,/ (⌒ヽ\ノ,〉 >'、\/⌒j { ヽ、 テ彡⌒ ⌒} ノ | | } 丶 . .,,___ノ、ノ/{ i |. { } | ⊆ニゝ ● ヽニ⊇
>>772 もう一度移しなおしたら何とかできました。
ありがとうございます。
これから課題の自分で一から作る作業に移ります
HDLみたいに歯車機構を記述する言語仕様ないですか
HDLで書けるだろ。
基本的な問題で申し訳ないのですが加算a+bをverilogで書くと module plus(a,b,c); output c; input a,b; initial begin c=a+b end endmodule でいいのでしょうか? regとかwireとかあと、ビット数値の入れ方とか分からないくらい壊滅的に理解していないのですが・・・ なんとかここまで書いてみました
仮に、a=1、b=1のとき、cはどうなる予定なの?
c=2です C言語でa+bを計算するプログラムをverilogで表せという内容なので
>output c;
↑は、2の値を取れないです。。エラーになる。
てか、ちょっと検索すればverilog文法説明してるとこあるんで、
そこを一読するだけでも
>>778 はできると思うぞい
もしくは、他の人たちの乱暴な口調の丁寧なツッコミを待つもよし。
>>781 この一週間、図書館行ったりネットで調べてもいるんだが、単純な計算の記述が無くて困ってます・・・
もうドン詰まり・・・
output [3:0] c; とすればいいのだろうか・・・
>>782 ソフト的に言うと1ビットの変数を3つ用意しかしてないのが問題
ハード的には複数ビットの時点で配列扱いになる(intagerが32ビット分のワイヤーとかレジスタみたいな)
それが書いてない本は無いと思うんで普通のだと3か4章ぐらいの辺りかな?を読んでくれ
アンドとかの論理演算であれば1ビット同士の計算で1ビットの結果が出てくるからその書き方で有ってるが
>>785 いや、まあ有ってるけど
1ビット同士の加算で4ビットの計算結果は不要だろwwww
inputも増やしてww
その説明を聞いて2留が現実味を帯びてきた・・・
吐きそう
>>784 thx
ちょっとやってみます
一応四則が出来るところまでを目標にやってみます
四則が出来るようにって、最初の課題としてはハードル高杉w 加減算くらいにしておかないと挫折の元w
>>784 答えwww書くなwww
monoistの期末考査とかは新人にやらせるのにちょうどいい。
いいんや
793 :
778 :2009/01/22(木) 11:47:53 ID:JBL9RawO
こんなんでいいのでしょうか? module test(a,b,c,ca); input [3:0] a, b; output [3:0] c; output ca; wire [3:0] a,b,c; wire ca; assign {ca, c} = a + b; assign {ca, c} = a - b; assign {ca, c} = a * b; endmodule
ダメ
で、結局その{ca,c}には何が入るんだ? あとそう言う結合みたいなのは{}じゃなくて[ca,c]みたいな書き方だったかと と言うかまず教本を見直すんだ!
>>793 どういうモジュールを作りたいのでしょうか?
>>797 四則演算回路です
信号処理やマイクロプロセッサ、乱数発生、符号化等の回路も課題としてあるのですが、
四則が一番とっつきやすいと思ってやっています。
>>798 演算の組み合わせが3通り(+-*)あるのに入れ物(出力信号)が
一組しかありませんがどのように結果を出力するのでしょうか。
>>799 あ〜そうですね。
もともとC言語で書いたaとbと演算子をscanfで入力して計算するプログラムの
計算部分だけをHDLで書くという内容なので度忘れしました・・・
ある程度verilogをつかんだら、case文で独立させます
一応この場合はこんな感じですかね
module test(a,b,c1,c2,c3,ca1,ca2,ca3);
input [3:0] a, b;
output [3:0] c1,c2,c3;
output ca1,ca2,ca3;
wire [3:0] a,b,c1,c2,c3;
wire ca1,ca2,ca3;
assign {ca1, c1} = a + b;
assign {ca2, c2} = a - b;
assign {ca3, c3} = a * b;
endmodule
M系列の乱数なんか、意外と簡単
>>800 4bit同士の掛け算の結果は8bitになると思います
>>801 そうなんですか!
ちょっと考えておきます
>>802 なんかbitで躓いているんですが・・・
もういっそのこと[31:0]にしちゃっていいですか?w
bitとかって何の授業でやるんだろ 論理回路?
>>803 回路のビット数をいくつにするかは設計者である貴方が決めれば良いと思います。
論理回路と2進数の勉強を行うことをおすすめします。
しかしプログラミングの経験がありそうですが、それで
2進数のことがわからないのは逆に不思議でなりません。
2進数の1010とかは分かりますが >4bit同士の掛け算の結果は8bitになる とかが分かりません>< ビットの設定とかCではやりましたっけ? それと、M系列はC言語でどう表せばよいのか分からないので、大人しく四則にします
>>805 変数の型宣言はしないんですか?
演算結果が何ビットの範囲になるかは
実際に計算してみればわかると思います。
符号なしの演算とするとこんな感じになりませんか。
1111(15) × 1111(15) → 11100001(225)
>>795 >あとそう言う結合みたいなのは{}じゃなくて[ca,c]みたいな書き方だったかと
>
>と言うかまず教本を見直すんだ!
お前が見直せゴミクズ
>>806 なります
Cのほうがfloat(4バイト)だから4*8=32ビットでやればいいのだろうか
module test(a,b,c1,c2,c3,ca1,ca2,ca3);
input [31:0] a, b;
output [31:0] c1,c2;
output [31:0] c3;
output ca1,ca2,ca3;
wire [31:0] a,b,c1,c2;
wire [31:0] c3;
wire ca1,ca2,ca3;
assign {ca1, c1} = a + b;
assign {ca2, c2} = a - b;
assign {ca3, c3} = a * b;
endmodule
>>807 いや、そこまで言わなくても・・・
>>795 氏がゴミクズなら、俺はミジンコになっちゃう・・・
>>808 floatってことは整数ではなく実数の演算なんですか?
もう少し仕様について確認したほうが良いと思います。
>>810 いや別にintでもいいよ・・・・
課題は、勝手にCのプログラムを書け→そのなかでHDLに書ける部分はHDLで書け
以上。
としか書いていないので
たまたまサンプルに選んだCがfloatだったからそのまま使ってるだけです。
>>811 それなら先にその課題の内容を質問に明記したほうが良かったような気もします。
元になるC言語のプログラムもご自身で記述するものなら
bit幅、型宣言はお好きなものに合わせれば良いと思います。
>>812 ありがとう。
CもHDLも半端な知識しか持っていないので何をどう聞いたら良いのか分からなかったのです。
intでも32bitなので
>>808 を元にcase文で分けてみます
おかしい所はありますか? module test(a,b,c1,c2,c3,ca1,ca2,ca3,i); input [31:0] a, b; input i; output [31:0] c1,c2; output [31:0] c3; output ca1,ca2,ca3; wire [31:0] a,b,c1,c2; wire [31:0] c3; wire i,ca1,ca2,ca3; case(i) +:assign {ca1, c1} = a + b; -;assign {ca2, c2} = a - b; *;assign {ca3, c3} = a * b; endcase endmodule
何語だこりゃ?
HDLでもそうだけど、Cなんかでも型を全く考えてない人か?
1bitに32bitの情報を持たせられる夢の言語?
(i) ←エロいな
是非ともIEEE準拠の不動小数点で四則演算を作って欲しい。
821 :
814 :2009/01/22(木) 20:27:57 ID:JBL9RawO
Cのこの部分をHDLで表したつもりだったのです switch(i){ case '+':c=a+b; printf("和は%f\n",c);break; case '-':d=a-b; printf("差は%f\n",d);break; case '*':e=a*b; printf("積は%f\n",e);break; case '/':f=a/b; printf("商は%f\n",f);break; }
>>821 そのCの変数 i はどの型で宣言していますか?
>>814 の回路の i は何ビットですか?
i の状態は何通りありますか?
823 :
814 :2009/01/22(木) 20:35:45 ID:JBL9RawO
>>822 iはcharで宣言してます。
Cでは
printf("演算記号を入力して下さい。\n");
scanf("%s",&i);
なので1ビットかな
四則演算なので+-*/にしようかと思ってたのですが/が出来ないと聞いたので
3通りです。
1ビットで3通りの状態を表現可能でしょうか? あとVerilogの文法で + - * などの定数表現は可能でしょうか?
825 :
814 :2009/01/22(木) 20:43:04 ID:JBL9RawO
大学中退でトヨタのタコ部屋行きの予感( ;ω;)
>>824 >1ビットで3通りの状態を表現可能でしょうか?
無理です
2通りまでです
>あとVerilogの文法で + - * などの定数表現は可能でしょうか?
演算子が使えるんじゃなかったっけ?
Cでは
>>821 で出来たからもうわけ分からん
>>825 C言語の '+' は16進数で0x2Bと言う定数を表現しています。演算子ではありません。
827 :
814 :2009/01/22(木) 20:53:54 ID:JBL9RawO
>>826 ゴメンもうお手上げだわ・・・・
正直、四則演算は出来ないの?
数時間で出来ないなら、符号化とか、乱数とかの方が楽なのかな・・・
>>827 四則演算だろうがなんだろうが処理内容を理解していればできます。
今までの流れをみるとC言語の方もあまり理解していないように思えるんですが
いちど書籍などを図書館で読むなりした方が良いと思います。
829 :
814 :2009/01/22(木) 21:02:48 ID:JBL9RawO
>>828 C言語も何も1年のときに触っただけで、一切それ以降触れてないです;;
あまり時間が無いので短時間で
>>821 をHDLに変換する方法って無いんですかね?
HDL関係の本は時間があるときに流し読みにして、文法くらいは分かるのですが、
変数とか、使い方は全く分からないです。
特に演算に関してピンポイントで載せてくれている資料が無いのです
乗算は、乗算器があれば問題ないけど、普通はシフト加算するよ。 除算は、ちゃんとした回路を書かないと駄目。 除算器を書けるようになって、やっと半人前かな。
831 :
814 :2009/01/22(木) 21:07:28 ID:JBL9RawO
これじゃあだめですか? module test(a,b,c1,c2,c3,ca1,ca2,ca3,i); input [31:0] a, b; input [3:0]i; output [31:0] c1,c2; output [31:0] c3; output ca1,ca2,ca3; wire [31:0] a,b,c1,c2; wire [31:0] c3; wire [3:0] i; wire ca1,ca2,ca3; case(i) 2'00:assign {ca1, c1} = a + b; 2'01;assign {ca2, c2} = a - b; 2'10;assign {ca3, c3} = a * b; endcase endmodule
>>829 >>784 のページを読んで記述できないのならあきらめるしかないと思います・・・
>>811 を読むと処理内容についても自由な気がするのですが、身の丈に合わせた
処理内容にするとかしてみたらどうでしょうか。
833 :
814 :2009/01/22(木) 21:22:02 ID:JBL9RawO
>>784 のページを読んで
assign {ca1, c1} = a + b;
を記述して
そこにcase文を当てはめるというやり方を行ったのですが
具体的に
>>831 の何が悪いのか言っていただけないでしょうか?
自分では何が悪いのか全く分からないのでぜひ指摘していただきたいのです
bitが分からないから次へ進めない、だから諦めると言う事はしたくないです。
それとも手の施しようが無いくらい根本的に全てが間違っているのでしょうか?
>>833 input [3:0]i; と宣言しているのに 2'00 と比較しているのはなぜですか?
input [31:0] a, b; としたときの乗算結果を {ca3, c3} に入れているのはなぜですか?
> 根本的に全てが間違っている 2chで他人に物を教えてもらおうとすることが根本的に間違いなわけだが。
case文の文法調べたら? ネットですぐ見つかるし…。 ところでca3は何?
837 :
814 :2009/01/22(木) 21:58:10 ID:JBL9RawO
>>834 ありがとうございます
こんなんでどうでしょう?
module ALU(op, A, B, C);
input [2:0] op;
input [7:0] A, B;
output [7:0] C;
wire [2:0] op;
wire [7:0] A, B;
reg [7:0] C;
always @(op or A or B)
case (op)
3'd0: C <= A + B;
3'd1: C <= A - B;
3'd2: C <= -A;
default: C <= 8'hxx;
endcase
endmodule
>>833 Cでいう変数宣言をVerilogと対比して書くと、
unsigned char a; a [ 7:0]
unsigned int b; b [15:0] ( int=16bitの場合)
となる。
unsigned char a; → 8bit変数
この8bitの変数に入る数値の模様は、00000000〜11111111の256通り組合せ
→10進で言うと0〜255の数字は、8bitあれば記憶出来るというわけ。
一般にCでは、char, int, long, ....と、8毎に増えていくけど、
Verilogの場合は、もったいないので、必要な数だけを宣言できる。
0,1,2,3の4通りを表したいなら、2bitあればよいので、c [1:0]と書く。
同様に0,1,2,3,.....8, 9, 10まで表現したいときは、最大の10がbit模様で1010なので
4bit用意すればよい。d [3:0]と書く。e [13:0]とかね半端な定義もできる。
掛け算の答えは「それぞれの数値の桁数」を足した桁数にふくれあがる。
10進数でも99×99=9801→2桁×2桁=4桁になるということだ。
2進数でも同じで、1010×1010=01100100となる。
なので、32bit×32bitの答えを32bitに入れようとしている君は、変。
C言語でも、charとcharを掛け算したときの答えは、intに入れるだろ?
4bit取ったiを、なぜ2bitの00, 01,10, 11で比較するんだ?
bit幅が合ってないじゃないか、と指摘されているんだよ。
だったら はじめからiを2bitで宣言すればよい。
また、2'00はエラー。2'b00と書け。めんどうなら、いきなり0, 1, 2, 3と書けば良い。
本は、ちゃんと注意して読め。
∩___∩ | | ノ\ ヽ | / ●゛ ● | | | ∪ ( _●_) ミ j 彡、 |∪| | J / ∩ノ ⊃ ヽ ( \ / _ノ | | .\ “ /__| | \ /___ /
ピンポイントで解説を求めるな 基礎をすべて理解してから出直せ
全くハード化を考えてないHDLに何の意味があるのか分からないが、がんばれ。
842 :
814 :2009/01/22(木) 22:31:39 ID:JBL9RawO
>>838 詳しい説明ありがとうございます。
>>840 就活&実験レポで時間が無いんです。
>>841 教授の気紛れ以外の何者でもないです。
一応作れても次はテストベンチをつくらなければいけないんですよね・・・
>>842 無いのは時間ではなく貴方の実力です。
とりあえず現状のものを提出して
評価してもらったほうが良いかも。
844 :
814 :2009/01/22(木) 22:39:11 ID:JBL9RawO
皆さんは本とか読んで独学で勉強したんですか? 大学でも教えてくれないマイナー言語を一体どうやってマスターしたのか気になる LSI関連の技術者が多いんですか?
回路設計は趣味、知識は書籍や無料のセミナ受けたりしましたよ。
>>844 FPGAとかVerologの前に、
ほんの少しでいいからデジタル回路の勉強した方がいいよ。
847 :
814 :2009/01/22(木) 22:53:10 ID:JBL9RawO
あと一週間でどうにかなるかもという淡い期待を捻り潰す衝撃のトドメがきた/(^o^)\
俺のようなド素人に長々とお付合い下さって有難うございました。
>>846 ディジタル回路って前にやった気がするけど、、、、さっぱり思い出せないです。
>>844 大学で教えてもらってないとか...
考えがすでに間違っとるんとちゃうか?
研究室の課題か授業の課題かわからんけど,今までの経緯から
理不尽な要求ならしっかりと交渉すればいいジャマイカ?
>>847 コメントかいてたらオワタ宣言がwww
>>846 こんな課題出す所なんて電電か情報でしょ。どっちにしろ授業でデジタル回路はやるだろ、普通の大学なら。
850 :
774ワット発電中さん :2009/01/22(木) 23:51:04 ID:0TgGyNUD
バカ客の子守りつかれるぜ
>>844 電子回路とプログラミング言語理論の基礎があればそんなに難しくない。
言語が変わったらできない、という時点で表層をなぞっていただけと判定できる。
>>814 のおかげで、近年まれに見るスレの進み具合。
○登場
>778 :774ワット発電中さん:2009/01/21(水) 21:13:16
> 基本的な問題で申し訳ないのですが加算a+bをverilogで書くと
○退場
>847 :814:2009/01/22(木) 22:53:10
> 俺のようなド素人に長々とお付合い下さって有難うございました。
こんな簡単な質問なのに誰も答えを書かないのなw
>>825 >
>>824 >>1ビットで3通りの状態を表現可能でしょうか?
>
>無理です
>2通りまでです
いや、
supply1/strong1/pull1/large1/weak1/medium1/small1
supply0/strong0/pull0/large0/weak0/medium0/small0
あと、highz があるから 15 通り可能
855 :
774ワット発電中さん :2009/01/23(金) 00:30:56 ID:rqzoHTY2
>>853 俺もそう思ったわwwww
初心者に優しくないのか知らんがココまで一切進展しないレスも珍しいわw
廃れるなこりゃ
こうですか?わかりません>< module nullpo(A, B, C, i); input[15:0] A,B; input[1:0] i; output[31:0] C; wire [31:0] C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) ); endmodule
おっ、だんだんいい感じになってきたじゃん。 >wire [31:0] C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) ); この行、なんか変。 assign .... で書いちゃダメなの?
>844 >847 大学でも教えてくれないマイナー言語ってうちの大学じゃ教えてるよ それとデジタル回路って基本は簡単・単純だから教科書読みなおせば一時間で理解できるとおも
module nullpoint ( A, B, X, i ); input [7:0] A; input [7:0] B; input [1:0] i; //00:+ 01:- 10:* 11:? ouput [15:0] X; reg [15:0] X; always@(A or B or i) begin case(i) 2'b00: X = A + B ; 2'b01: X = A - B ; 2'b10: X = A * B ; 2'b11: X = 16'hxx ; endcase end endmodule
861 :
814 :2009/01/23(金) 08:44:54 ID:rqzoHTY2
問題はテストベンチの方だろ ネットの情報も少ないし、教科書見てもハア?だった奴が多いと思うわ
862 :
856 :2009/01/23(金) 08:47:52 ID:rqzoHTY2
C = (i==0) ? ( A + B ) : ( (i==1) ? (A - B) : (A * B) ); これはいいのか
特に問題ないと思うが…
861 = 862 は、
言葉遣いが急に変わったけど、 814(=856=発言元)なのか?
>>862 見にくいだけで、問題はないとおもう。
864違う アンカーができなくなったんだ 紛らわしくてすまん なんか安価が変になるんだがIMEを入れなおした方がいいのか
もうこれで出そうかと思うんですが・・・・どうでしょうか? なんか気づいた点があったら教えてくださいな module SHISOKU(A, B, C, op); input [7:0] A,B; input [1:0] op; ouput [15:0] C; reg [15:0] C; always@(A or B or op) begin case(op) 2'b00: C = A + B ; 2'b01: C = A - B ; 2'b10: C = A * B ; 2'b11: C = A / B ; endcase end endmodule
function文を使うんですね、わかります。 module boooooon(A, B, X, i); input [15:0] A, B; input [1:0] i; output [31:0] X; wire [31:0] X = kyuiiiiiin(A, B, i); function [31:0] kyuiiiiiin; input [15:0] A,B; input [1:0] i; begin case(i) 2'd0 : kyuiiiiiin = A + B; 2'd1 : kyuiiiiiin = A - B; 2'd2 : kyuiiiiiin = A * B; default : kyuiiiiiin = 32'hxxxxxxxx; endcase end endfunction endmodule
割り算は敷居が高くないか? module divu( start, clk, valid, A, B, D ,M); input start, clk; input [7:0] A, B ; output [7:0] D, M ; output valid; reg [3:0] cycle; reg [7:0] D; reg [7:0] M; wire [7:0] pre_M0 = {M[6:0], D[7]}; wire [8:0] pre_M1 = pre_M0 - B; wire ge = ~pre_M1[8]; wire [7:0] pre_M2 = ge ? pre_M1[7:0] : pre_M0 ; always@(posedge clk) begin if(start) begin D <= A; M <= 8'd0; cycle <= 4'd0; end else if(!cycle[3]) begin D <= { D[6:0], ge} ; M <= pre_M2 ; cycle <= cycle + 4'd1; end end assign valid = cycle[4]; endmodule
>>868 やはり割り算は止めた方が良さそうですね。
折角書いていただいたのに申し訳ないです
見直すと少なくとも二つの間違いがあるな。 もう駄目ぽ
ビヨンセのーぶらやっほー
>>867 テストベンチのときiをどうすればいいのか分かりません
進数やらビットやらが間違っているとは思うのですが、ごっちゃになってサッパリです・・・
$dumpfile("test.vcd");
$dumpvars(0, TESTBENCH);
$monitor ("%t: A = %d, B = %d, X = %d, i = %b,", $time, A, B, X);
i = 0;A = 0; B = 0;
#10 A = 10; B = 0;
#10 A = 0; B = 20;
#10 A = 10;
#10 A = 0; B = 0;
#10 $finish;
end
-----------------
元
case(i)
2'b00: X = A + B ;
2'b01: X = A - B ;
2'b10: X = A * B ;
2'b11: X = 16'hxx ;
endcase
end
>>872 答えさえわかればいいのか?
それとも、理解したいのか?
もし、後者なら、質問するには早すぎる。
出来れば答えを教えてください。 もう私には理解するのは無理みたいです・・・・・・・
,‘゙'、 .へ ,:' `'、 .,,:' :::::ヽ ,:' ヽ ,:' :::::゙; ; ''''''"""' ::::.゙; ; ::::::::; ;/ \ ::::; ; ● ● :::; ; (__人__) ::,; だが断る `: : ,丶‐、、_ ::::::、′ 、''"```゛''':、;. : : .,:' :::::ヽ ,,:' `ヽ、、 ``'`` :::::;; ( _`丶 、 ::::'. : "-、- ‐″: `'、、: : : .,,..` ::::.ヽ ,:' ,:'` ````` 、 :::';、 ,,:' ..,:' 、 :::::.゙;、 、": ,′ r、 :::::゙; ′ ` .'"
>>874 >テストベンチのときiをどうすればいいのか分かりません
i を、どうしたいの?テストベンチの仕様は?
>>876 case(i)
2'b00: X = A + B ;
2'b01: X = A - B ;
2'b10: X = A * B ;
2'b11: X = 16'hxx ;
endcase
end
これで、iが00だとA+Bの計算をするという感じにしたいです
>>872 のテストベンチの主要部分だけでは情報が足りませんか?
この人友達いないんかな
>>877 >これで、iが00だとA+Bの計算をするという感じにしたいです
iが00だとA+Bの計算をする、これは、moduleですることだ。
>
>>872 >のテストベンチの主要部分だけでは情報が足りませんか?
872は、テストベンチの試し書きであって、
テストベンチの仕様が不明。
880 :
774ワット発電中さん :2009/01/24(土) 14:18:40 ID:02fSrTAf
>>879 case文の場合のテストベンチの記述方が分からんのです
何となくで書いてみたのがこれです
module TESTBENCH;
reg [7:0] A,B;
reg [1:0] op;
wire[15:0] X;
SHISOKU bbb(A,B,X,op);
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TESTBENCH);
$monitor ("%t: A = %d, B = %d, X = %d, op = %b,", $time, A, B, X);
op = 0;A = 0; B = 0;
#10 A = 10; B = 0;
#10 A = 0; B = 20;
#10 A = 10;
#10 A = 0; B = 0;
#10 $finish;
end
endmodule
実際にシミュレータで動作確認をしてみればいいのに。
素直に単位落とせ。
他人の留年で今日も飯がうまい!! + ____ + + /⌒ ⌒\ + キタ━━━//・\ ./・\\━━━━!!!! + /::::::⌒(__人__)⌒:::::\ + | ┬ トェェェイ | + \│ `ー'´ / + _|\∧∧∧MMMM∧∧∧/|_ > < / ─ / /_ ──┐ヽ| |ヽ ム ヒ | | \/ ─ / / ̄ / / | ̄| ̄ 月 ヒ | | ノ\ __ノ _ノ \ / | ノ \ ノ L_い o o
メシウマ状態のAAはなんで鉄平なんだろう
>>881 同じようなもんべたべた貼られるのがアレなんで、簡単に助言を.
module "SHISOKU"のブラックボックステストをするのが目的と仮定します。
case分云々は、testbenchを書くときに意識する必要はありません。
moduleの入力はA,B,op・出力はXで,入力を変化させたときの出力を見たいわけです。
で、みなさんが再三質問されているように、moduleの仕様はなんですか?
仕様どおりに動くかを検証するわけですから.
# 極論すれば、入力 8bit 8bit 2bit(2^18通り)の入力を見ればいいでしょう。
# (0,1のみを入れる場合.不定値・信号強度は今回ムシする)
社会に出ても同じようにやっていけたらイイデスネ...
> case分云々は、testbenchを書くときに意識する必要はありません。 分かりました > moduleの仕様はなんですか? 初心者なものでmoduleの仕様というのが何を指すのかわかりません 上に張ったものが全てです。 > # 極論すれば、入力 8bit 8bit 2bit(2^18通り)の入力を見ればいいでしょう。 そこまで求めていないと思うので2bitのみにしておきます。
テストベンチがなにか、がわかってないようだ・・。
シミュレーションを行うために入力するデータのことじゃないの?
ぶっちゃけ友達に聞いたほうが早いと思う。
皆やらないみたいです。 まともにやってる自分がアホに思えてきた
>>887 風呂上がって見直したらレスも酷かったな.すまない...
レポート作成なら、結果も重要だが、考え方がとても重要だ.
教授の趣味・趣向によるかもしれんが、突っ込んでくる人だと、
どう考えたかを問うてくるだろう.(そんなヒマないか…)
あと,プログラミング言語の関数テスト経験もなさそうだし,
ほかの人の役にもたつかもしれないので自分なりに書き下してみます.
# 自分の考え方やら説明の仕方の勉強にもなる・・・かな
>仕様
ここでは、テストベンチの作成にあたって,
なんのために,なにを,どのようにしていくのか,の内容を指すかと思います.
・なんのために(目的):四則演算モジュールの検証
・なにを:テストベンチを作って,シミュレータにかける
・どのように:入力パラメタを変化させて、出力の値を確認して.
ここまでは、前提条件としてOKですかね?
ここでモジュール定義に戻ります.(
>>866 より.少し表記を変えました)
▼検証対象モジュール
module SHISOKU(
input [7:0] A,
input [7:0] B,
input [1:0] op,
ouput [15:0] C
);
符号なし8bit値A, Bと,符号なし2bit値 opを入力とし,出力は符号なし16bit値Cとなるモジュール.
※Cはreg指定しているが、クロックが無いので合成時に無視されそうな希ガス?
・opの値は演算種別を指定する(未定義?.記述より0:和/1:差/2:積/3:商)
・A,Bの値に制限はない(未定義)
つづく
>>892 つづき
▼試験パターンの検討/準備:
1:四則演算の動作確認のため,四則それぞれ行う(検証目的より)
2:A/Bの値を考える
(全パターンがイヤなら,端折っていい理由を考えつつ、値を選択すること)
選択した理由がいえない,というのはよろしくない.
→ 選択した入力値のセットを縦方向に並べて,シミュレーションの出力ではなく,
手計算なりソフト書くなりしてCのとるべき値を得ておく.(期待値リスト)
★ 提示されたベンチでは、上記1を満たしていません.
その直し方を聞かれていますか?(if yes then ヒント:"op" else "kwsk")
▼シミュレーション:
1:前述の検討結果を基にして,テストベンチを記述する.
2:$monitor()により、入出力の値が列挙されていくので,
作成しておいた期待値リストとつき合わせて差異が無いかを確認する.
ここで差異が出なければ検証OK.
差異が出れば,モジュール作成・検証方法・テストベンチのいずれかが誤り.
▼おせっかい
"Cで記述した四則演算関数"もfix.してたっけ?
単純にHDLにもってこいと一言だけど,前述のとおり,
今の記述だと符号なし整数扱い(注1)です.
---
注1:Verilog HDL 2001仕様で語っています.古いほうの仕様書は読んでないので.
ご丁寧にありがとうございます。m(_ _)m レポートには定義・考察・検証の部分があるので役立たせて頂きます。
> module SHISOKU( > input [7:0] A, > input [7:0] B, これだとVerilog HDL 2001スタイルっぽくはないな。
Verilog書けないFラン乙
>>895 "ぽい"スタイルを教示いただけると幸いです.
個人的にはANSI-C記述っぽくて気に入っているんですけど(笑
LRM Chap.10の辺りを見る限りは、記述上の問題はないですよね.
# QuartusIIで論理合成できてるけど..
何で自分で調べようとしないの?ばかなの?
提出期限っていつなの??
900 :
774ワット発電中さん :2009/01/25(日) 16:25:54 ID:FyRVONVP
何でthrowghしないの?ばかなの?
過疎っててみんな寂しいんだよ。
スルーしてるわけではないが答えているわけでもない罠
903 :
774ワット発電中さん :2009/01/25(日) 18:37:04 ID:FyRVONVP
話は変わるけど、2001まででjoin_none的なことってできるのかな? シナリオ書いてて、なんか不便だった。。。
>>904 ありがとうございます。
クロック信号を入力して非同期回路にするのはどういう意味があるのか聞いてもいいですか?
同期回路だよ o_DATAはクロック(CLK)に同期して動くからね 暗黙の了解として、i_DATA1などもCLKに同期した回路(FF)からの出力を受ける前提がある。
了解しました。 ちなみにシミュレーションしようとしたところ task automatic waitclk; が引っ掛かって停止してしまいました
automaticという予約語はverilog 2001以降なので、シミュレータのオプションで設定してね 今は1995になってるようだから
本当に感謝です。
なかなかの模範解答お見事w どう見ても初心者の学生が書いたコードに見えないから、 これを提出するには質問者もきちんと理解しておかないと、自力でやってないことがばれるよw
veritak死ねええ オプションが存在しないw
>>911 やり方はネットで調べろとかぬかしてたから問題ないです。
もし落としたら即効で・・・・
あーあつまんねぇな せっかく他人の不幸が留年が涙が見られると思ったのに ID: LOrw3rH+はさっさと死ねよ
廃れる直前の業界は一見さんお断り的な雰囲気がある。
>>912 Veritakの悪口言うな。菅原さんはいい人だ。
ごめんなさい。 でも予約語登録できないんだもん
verilogはネットで情報集めるにはちょっとつらいかもね Veritak使ったことないけど、あれなら絶対設定できるはず>1995/2001 SVサポートを心待ちにしてる。 まあ、とりあえず実際のコードみながら調べたほうがイメージがつかみやすいだろうから頑張ってね 先にデジタル回路の勉強したほうがよさそうだけどね。
おまえらID:vY77J+CRが「ASICのプロジェクトでぼくが担当した部分が動きません><」 とか泣きついてきたらどうするつもりだ!
メタル修正乙
920 :
774ワット発電中さん :2009/01/26(月) 07:50:46 ID:vBPNYjvn
>>920 すげぇ・・・きれいなRTLだ。
学生さんには
assign calc = A + B;
とか便利な技使わず
全加算器とかつかtt・・・何歳だ俺は
浮動小数点の加減乗除をRTLで記述したらいい点つくんじゃないか?
>>920 乙
op_width とか宣言してるのに、2'b00 とか使っちゃうのは何故なんだぜ?
924 :
774ワット発電中さん :2009/01/26(月) 12:36:36 ID:vBPNYjvn
>>920 そうですね。この方がいいかな。
// セレクタ
parameter [OP_WIDTH-1:0] Add=0, Sub=1, Mul=2;
always @(*) begin
case(w_sel)
Add : w_sel_data = w_add_data;//Combinational
Sub : w_sel_data = w_sub_data;
Mul : w_sel_data = w_mul_data;
default : w_sel_data ='sbx;
endcase
end
925 :
774ワット発電中さん :2009/01/26(月) 21:05:59 ID:F4tx5RKC
Wired-Or, Wired-Andって、VHDLでどう書くの?
ワイヤードANDって、できるの? 全員がHになったときにだけ出力H......できるかな? ダイオードでORすればいいのか。1人でもLがあったら出力はLだもんね。 てか、FPGAでワイヤードってできるのかな。
なにがしたいの?
928 :
774ワット発電中さん :2009/01/26(月) 23:14:42 ID:OUGS9ajK
>>926 そんなの簡単じゃん、他にもワイヤードNANDやワイヤードEORなんてのもあるぞ。
浮動小数点の加算器作って提出すればきっと満点もらえるよw つかやってくれw
真面目な後輩が試し書きした奴を持っていったら突っ返されたらしい・・・ なんでも分岐計算みたいな階層プログラムなら加算するとか・・・・・ HDLに触れたことすらない俺らにいったい何を望んでるんだよ マジで●意が湧くわ
プロセッサでも作るとか?
学校など教授とのSMプレイの場だからなw最後までMに徹した者に単位が与えられる。
>>931 選択肢の中にそれもありますが、明らかに不可能なので演算回路にしました
上で書いてくださった奴で十分だとは思いますが・・・
>>930 就職した後、HDL書く部署に配属されたらどうすんの?
動かない回路書いて怒られたら上司に殺意抱くわけ?
っていうか文句あるならそんな授業選択しなければいいじゃん。
まあプロセッサは冗談として
>>930 をみる感じ、なんか意味ありげな処理を期待しているのかな
って思ってね。積和演算のみでデジタルフィルタあたりとか作っても面白いかも。
>>934 去年まではそんな課題は出なかったんで、この授業を選択したんです。
>>921 きれいけど、バス幅を合わせないと、Lintチェックに引っ掛かるよ。
>>930 >何を望んでるんだよ
自分で勉強することを知ることだろうね。
大学なら、勉強を一方的に教えてもらうの、そろそろ卒業だよ。
>>924 昔、parameter でビット幅付きの宣言すると
ブチ切れた処理系がいた。
ちょっと便乗質問。 たとえば16'd123のビット幅"16"の部分をparameterなどで文字に置き換える方法は無いんでしょうか。 後からモジュール全体のビット幅変えたいときなどに数値で書いてしまうと不便です。
HDLラッパーをスクリプトで掻く
後からビット幅変えたいとか… それより、ちゃんと仕様を詰めようぜ オレなら perl
m4だろ JK
944 :
774ワット発電中さん :2009/01/27(火) 10:04:30 ID:rzr9yyl0
945 :
774ワット発電中さん :2009/01/27(火) 12:02:18 ID:rzr9yyl0
927>> オープンコレクタ出力のあるモジュールを、 ひとつ上からVHDLでテストベンチを書きたいと思いました。 回路にすると、プルアップしてもうひとつオープンコレクタの 周辺回路とつなぐイメージです。
>>945 テストベンチなら std_logic型のZとかHとかLを使えばいいでしょう
947 :
774ワット発電中さん :2009/01/27(火) 13:28:52 ID:rzr9yyl0
>>946 なるほど。こういうときに使うものなのか、H,Lって。
モジュール内では、'Z', '0'でドライブしてあげて、
テストベンチからは、'H','0'を入れてあげる。
でよいすよね。
もひとつ質問ですが、この信号を入力としても
使ってるので、モジュール内では、
if (data_tri_io = '1') then
みたいな場所がたくさんあるのですが、、、全てを
if (data_tri_io = '1' or data_tri_io = 'H') then
にする必要ありますか?
入力のどこかで変換するんでしょうか。
IEEE1164で定義された TO_X01関数を使うといい 例 if (TO_X01(data_tri_io) = '1') then
949 :
774ワット発電中さん :2009/01/27(火) 17:46:03 ID:rzr9yyl0
>>948 なるほど、ありがとう。
入力の入り口で、教えてもらった関数で 'H' -> '1' に。
出力の最後で、'1' -> 'Z' に値を変換するようにしました。
950 :
940 :2009/01/27(火) 20:26:20 ID:KFKf/l1j
>>941-943 サンクス。
外部プログラムで対処ってことですね。
>後からビット幅変えたいとか…
以前のプロジェクトで作ったモジュールがビット幅変えるだけで流用できる時とかあるじゃん。
>>939 >
>>924 >昔、parameter でビット幅付きの宣言すると
>ブチ切れた処理系がいた。
>>940 直接の回答ではないかもしれないけど、
'd100
みたいに幅を省略する事はできる
all1のときは
~0
で誤魔化すことがあるなぁ
constraint c{
a dist {
[0:~0] :/ 1,
256 :/ 1
}
}
みたいな。
ビット幅を省略すると32ビットになるだけで、ワーニングもふつうに出るよ。 ってか、'd100なら、ただ100でいいじゃん
そうなんだ、じゃああんま意味ないなー 'dは確かに無意味だ、せめて'hと書けば良かったか? それだと4の倍数じゃなければやっぱり怒られるかな・・・ 今手元に環境ないからためせんw {P_WIDTH{2'b10}} とかなら行けるかね?
956 :
774ワット発電中さん :2009/01/28(水) 19:30:09 ID:8epi3Xcb
二つのパルスの変化でカウンタをUp/Downさせたいとき、VHDLでどう書きますか? ↓のようなことをしたいのですが。 process (reset, plsA, plsB) begin if (reset = '0') then cnt_reg = X"00"; elsif (plsA'event and plsA = '1') then cnt_reg <= cnt_reg + '1'; elsif (plsB'event and plsB = '1') then cnt_reg <= cnt_reg - '1'; end if; end process;
どう書く、以前に、どういう回路にするつもり?
958 :
774ワット発電中さん :2009/01/28(水) 20:42:14 ID:8epi3Xcb
>>957 それも含めて聞きたかったんです〜。
A,Bのパルスより速いCLKを入れて、CLKのタイミングで
A,Bそれぞれをの立ち上がりを検出して、カウンタをUp/Downさせる。
は、考えたのですが、もっと簡単な方法あるのかなと思いまして。
パルスは完全に非同期? 同時にupとdownが発生する可能性もある?
960 :
774ワット発電中さん :2009/01/28(水) 21:28:04 ID:8epi3Xcb
パルスは完全に非同期です。 まったく同時の場合は、差し引きゼロでカウンタは増減せず または、増えてすぐ減る。でもいいですけど。 2つカウンタ用意しておいて、それぞれカウントアップしておく。 取り出したいとき、減算。でもいいかな。無駄多い気がするけど。。
じゃあ、カウントアップ回路とカウントダウン回路を直列につなぐのはどう? 両方アクティブなら+1−1=0 加算器と減算器、2個使うけど なんで非同期なの?
>>920 有難うございます。
自分なりに書き直したのですが、テストベンチで何を入力をして、また出力がどう出ているのか分かりません。
case文でi_selに値を入力しないと計算がされないから?
いや、私の見間違いだったようです。すみません。
アルファベットが含まれているからおかしいと思ったんだ・・・ これって何進数なのでしょうか? 入力も出力も2進数にしたい場合はどこをいじればいいのでしょうか?
965 :
774ワット発電中さん :2009/01/29(木) 04:25:51 ID:h2+xHnPp
これはCPUで解いた方がいいだろ
>>960 何だか非同期の考え方が甘いような気がするけど、実際は自分で言っているA,BパルスをCLKで叩くか
A,Bそれぞれカウンタを作って、減算器に突っ込むかだと思う。
968 :
774ワット発電中さん :2009/01/29(木) 23:33:22 ID:d6W2KRX2
CPLDの中でGCKを分周したクロック(gated clock)を さらに分周して外に出したいだけのときは always@(posedge gated=clock) begin div_clk <= ~div_clk; end でOK? クロック扱いになるけど、UCFでクロック指定とかしないとまずい?
さては英語キーボードだな
971 :
968 :2009/01/30(金) 01:47:54 ID:FshUA9D2
合成もできたしとりあえずは動いてますが、ちょっと不安になったので。
一応クロックなのでnet gated_clock BUFG=CLK; って書くのかなとも思ったり、
ほかに、posedge_gated使うとこないから、いらないかなとも思ったりしてます。
>>968 さん
やっぱり会社と家でキーボードが違うとだめですね。
div_clk は、クロック扱いにならないんと違う? 内部で使ってなければグローバルクロックラインを使う必要もないが
973 :
774ワット発電中さん :2009/01/30(金) 11:05:20 ID:zQxH1SMG
quartus2で論理合成すると10時間くらいかかって,正しく終了したんだけど何かおかしいのかな?
もし
>>973 が質問だとしたら、一番おかしいのは
>>973 の質問の仕方だな
ただの愚痴ならば無問題
っ ハイハイ大変デチュネー オチゴト ゴ苦労チャマ
激遅CPU+カツカツメモリーだったら仕方ない
IntelとかAMD の CPU って論理合成&&配置配線にどれくらいリソース使ってるのかね 大規模並列マシンで何日もかけてまわしてるんだろうか
977 :
973 :2009/01/30(金) 14:31:05 ID:zQxH1SMG
athlonXP2600+にRAM1G. 合成してみてロジックエレメントは約1万. 除算コードがそのまま論理合成通ったから,そこに問題があるのかと思ったんだが. 今までの感覚だとかかっても1時間程度かと思ってたら10時間・・・ ISEだと"/"が通らないから,コアジェネレータのやつ使うんだろうが. まあ,通ったので実装してみる.
教えてください。 FPGAのシミュレーションなどに時間がかかるので、 高速なノートPCを買おうと思うのですが、 どれにしたらよいのかわかりません。アドバイスおねがいします。 ツールも64bit対応してるみたいだし、 64bitのPCにした方が良いと思うのですが、以下のどのCPUが64bitのCPUかわかりません。 ・Xeon ・Intel Core 2 ・Intel Core 2 Duo ・Intel Core i7 HDDよりソリッドステートドライブSSDにしたほうが、 高速になる、特にFittingが早くなると聞いたのですが、本当でしょうか? 高速にするために メモリも多く積みたいのですが、 Windows VISTAだと16GBまで認識するでしょうか? もしオススメのPCがあれば、教えてください。 今考えているのは、LenovoのW700 2757A13です。 よろしくおねがいします。
その64bitに対応したシミュレーションツールって言うのは何だい? VISTA に対応してるのかい? もしかして、釣りなのかい?
>>978 ノートでなくデスクトップが良いでしょう
ツール名を書いてください
おそらくXeonが良いと思います
基本SSDの方が早いので、高速なSSDにしましょう
Windowsは捨てて、Linuxにしましょう
>>979 どう見てもくまー
ありがとうございます。
>その64bitに対応したシミュレーションツールって言うのは何だい?
ツールと書いたのは、ISE10のことで、シミュレーションツールではありません。
変な書き方してすみません。
>VISTA に対応してるのかい?
そのあたりのことが わからないんです。
>>980 現場とか新幹線の中でHDL書いたりしたいので
デスクトップは調子悪いです。
SSDにも低速/高速があるのでしょうか?
どのようなキーワードで探せば遅い/速いがわかるのでしょう?
OS Linux Linuxだとメモリが16GBまで使えると聞きました。
Windows VISTAでは16GBは見えないでしょうか?
ネットブックのatomのPCでも動きますか?
ノートで合成?回路規模にもよるけど、まあ、やってみたらいいよ。
ほほう、最近ではXeon搭載のノートPCがあるんだ。時代は変わったねぇw Core i7も、もう出たんだ。早いねぇ。
core2duoのレッツノートでいつも合成してるけど不自由ないよ。 10000ALUTくらいの規模で10分くらい。 変な記述するとなかなか終わらないが。
ume
ume
梅
999 :
774ワット発電中さん :2009/01/31(土) 13:16:19 ID:TVxISROZ
携帯から初の1000!
1001 :
1001 :
Over 1000 Thread このスレッドは1000を超えました。 もう書けないので、新しいスレッドを立ててくださいです。。。