【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 12

このエントリーをはてなブックマークに追加
1XC5VFX200T-2FFG1738CES

  今をときめく半導体、FPGA、CPLDのスレです。

  雑誌の付録にも付くようになり、その名を良く知られるようになりました。
  20年も前からやっている超ベテランも、こないだから始めたビギナーも、
  仲良く情報交換しましょう。

  FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとかの
  製作談もお待ちしてます。

  その他、FPGA関係の話題なら、何でもどうぞ。
  雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

    さあ君も レッツFPGA !!

 Xilinx   (p)http://japan.xilinx.com/
 ALTERA (p)http://www.altera.co.jp/
 Lattice  (p)http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
 Actel   (p)http://www.actel.com/intl/japan/

  前スレ
  11 http://science6.2ch.net/test/read.cgi/denki/1246360585/ 2009/06〜

2XC5VFX200T-2FFG1738CES:2009/09/17(木) 03:59:01 ID:nYQNosPH

  前スレ
  11 http://science6.2ch.net/test/read.cgi/denki/1246360585/ 2009/06〜
  10 http://science6.2ch.net/test/read.cgi/denki/1234640921/ 2009/02〜
  09 http://science6.2ch.net/test/read.cgi/denki/1221925121/ 2008/09〜
  08 http://science6.2ch.net/test/read.cgi/denki/1206114803/ 2008/03〜
  07 http://science6.2ch.net/test/read.cgi/denki/1187612023/ 2007/08〜
  06 http://science6.2ch.net/test/read.cgi/denki/1154900133/ 2006/08〜
  05 http://science4.2ch.net/test/read.cgi/denki/1139847266/ 2006/02〜
  04 http://science4.2ch.net/test/read.cgi/denki/1129771211/ 2005/10〜
  03 http://science4.2ch.net/test/read.cgi/denki/1119984464/ 2005/06〜
  02 http://science3.2ch.net/test/read.cgi/denki/1104330395/ 2004/12〜
  01 http://science3.2ch.net/test/read.cgi/denki/1081231226/ 2004/04〜

◆関連リンク

ALTERAデータシート
ttp://www.altera.co.jp/literature/lit-ds.jsp
ALTERAナレッジ・データベース
ttp://www.altera.co.jp/support/kdb/kdb-index.jsp

XILINX資料
ttp://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp
XILINXアンサー データベース
ttp://www.xilinx.co.jp/support/searchtd.htm
LE数比較
ttp://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm

Lattice
ttp://www.latticesemi.co.jp/products/
Actel
ttp://www.actel.com/intl/japan/products/devices.html
QuickLogic
ttp://www.quicklogic.co.jp/cssp
ttp://www.quicklogic.com/home.asp?PageID=740&sMenuID=94&p1=94&p2=481

OpenSources
ttp://www.opencores.org/

某社の宣伝
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html
ttp://www.infohobby.jp/
ttp://www.natrium42.com/projects/jtag.php
ttp://fpgapark.com/sp3/sp3stkit.htm
ttp://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
ttp://www.altera.co.jp/products/devkits/kit-dev_platforms_partner.jsp

SDCC / Nios
ttp://sdcc.sourceforge.net/
ttp://japanese.sugawara-systems.com/opencores.htm
3774ワット発電中さん:2009/09/17(木) 19:13:15 ID:51NrNTM3
おつかれちゃーん
4774ワット発電中さん:2009/09/17(木) 19:37:06 ID:3CaouFLY
おつ。

ところで古いISEとEDK欲しいんだけど、入手方法ない?
新しいのにデバイスが対応してなくてさ。
5774ワット発電中さん:2009/09/17(木) 21:39:23 ID:Hys3k0TL
安かったので、ここでFPGAキット買ったけど、デバイスが
認識せず・・。安かろう悪かろうを地でいくとは・・・。
誰かここで購入した人いる?

http://www.csun.co.jp/SHOP/440950/list.html

あと、掲示版をみると☆1つと5つの両極端な評価w
(5つ付けてる奴は店側の人間?)

http://www.csun.co.jp/SHOP/rating_list.html
6774ワット発電中さん:2009/09/17(木) 22:04:51 ID:SvcZh7FR
>>5
参考までにPCの環境と買ったKITの型番を教えてもらえると助かる
7774ワット発電中さん:2009/09/17(木) 22:46:20 ID:7x4mMxLR
安いねぇ、びっくりだ。
8774ワット発電中さん:2009/09/17(木) 23:08:20 ID:U4X2h87f
>>5
安かろう悪かろうに飛びついて、安物買いの銭失いを地でやったな
9774ワット発電中さん:2009/09/18(金) 06:35:06 ID:B2Hmj1VV
実はボードのデバッグを体験出来るキットとかじゃ……
10774ワット発電中さん:2009/09/18(金) 09:16:20 ID:Yzz5MZo8
そんなに安いとも思えないが…
11774ワット発電中さん:2009/09/18(金) 10:08:11 ID:dyNnynbV
>>5
動かなくてもその原因を調べて動くようにするのがキットの醍醐味なんだよ・・・・・キット。

動かなかったときの確認リスト。

半田のブリッチの有無の確認。
ダウンロードケーブルの不具合確認。(正常なボードで認識する事を確認する)
各電源電圧の確認。
modePINの設定確認。

ワンタッチで接続だったらないと思うけど、JTAGケーブルの逆接続とかも極性ないタイプだとあるね。
御店で検査済みの製品だったら問い合わせてみればいい。
送料取られると思うけど動作確認してくれると思うよ。
半田チェックは注意が必要。隣のピンとショートしていると思ってハンダ吸い取り線で取ったんだが、ウンスン。
色々調べて悩んで問い合わせしたら・・・・・ショートと見えるのは必要なジャンパーだったってのが一度だけあった。orz

12774ワット発電中さん:2009/09/18(金) 11:13:43 ID:a4CkTGye
試作専用から量産品に使える値段に切り換えたから安くなったんじゃね?
13774ワット発電中さん:2009/09/19(土) 07:45:26 ID:e5bIeNf9
すんません。ALTERAのNIOSはXILINXのPicoBlazeみたいなもんですか?
14774ワット発電中さん:2009/09/19(土) 08:23:13 ID:fIFfU5yY
>>13
そうですね。
15774ワット発電中さん:2009/09/19(土) 21:24:15 ID:8TLm6UBZ
すみませんやっと.Vファイルと.VHDファイルの違いが分りました。
次に、ISE10.1を使ってDEC.Vというファイルをダブルクリックしてみます。
自分の予想ではソースファイルが開いて書き換えが出来るのだと思うのです。
しかし、「このファイルを開けません DEC.V」と出ます。
素直に理解すると、ISE10.1には.Vファイルのエディターが付いていないから別に用意する必要がある。
という事なのですが、そんな馬鹿な?という思いが80パーセントです。
例えば、ファイルメニュー→ニュープロジェクトでの設定になにか間違いがあるのでしょうか?
どなたかよろしくお願いいたします。
16774ワット発電中さん:2009/09/19(土) 22:38:10 ID:9L5RuVfl
>>15
エクスプローラからダブルクリックで開けないなら関連付けされていないから
ISEからファイル→開くか何かで開けば開けるんじゃない?
17774ワット発電中さん:2009/09/20(日) 00:02:48 ID:cIpORoxx
件のボードの会社

>会社名 株式会社 日昇テ

なんか某FPGAインフォメーションの軽石のスメルが

> 主な取引先  IT技術者

をい!www
18774ワット発電中さん:2009/09/20(日) 03:11:41 ID:kjUvaugl
ブラスタもどきは普通に使えた
ボードはちょい手直ししたら使えた インターフェイスボードはLED、7セグ、スイッチとか多くていいね
19774ワット発電中さん:2009/09/21(月) 15:13:49 ID:m27vxNJ1

WEB PACK ISE ver10.1のProcessesウインドウなのですが

User Constraints
Create timing Constraints
Floorplan IO - Pre-Synthesis
Floorplan Area / IO / Logic - Post-Synthesis

こうなっています。ネットで参照する旧バージョンのISEでは以下のようになっていたりします。

User Constraints
Create timing Constraints
Assign Package Pins
Edit Constraints(text)

>FPGAのピン固定」ツール(Xilinx PACE)を起動します。
という操作をするためにAssign Package Pinsを右クリックしてrunを実行するというのですが、
ver10.1ではどれを選べばよいのでしょうか?

何方かよろしくご教示お願いいたします。
20774ワット発電中さん:2009/09/21(月) 15:44:52 ID:PpziBdDX
Floorplan IO - Pre-Synthesis

これでPACEは走るよ
21774ワット発電中さん:2009/09/21(月) 16:05:05 ID:m27vxNJ1
>>20
ありがとうございました。
22774ワット発電中さん:2009/09/21(月) 19:23:37 ID:NIXCaCWy
Xilinx EDK ですが、UpdateBitstreemの時「権限エラー」がでてファイルコピーが出来ないで
止まるんですが、原因はなんですかね。
23774ワット発電中さん:2009/09/21(月) 23:50:08 ID:V4PEWqCa
>>22

プロジェクトのディレクトリに2バイト文字が入ってたり
Cleanupプロジェクトしたらなおったり
Vistaだったり
らじぱんだり
24774ワット発電中さん:2009/09/22(火) 03:38:01 ID:2q4ZZ3I8
らじぱんって何?
25774ワット発電中さん:2009/09/22(火) 09:42:36 ID:x9dA48Pj
Cygwinと VMwarePlayerってなにか影響する?
VMwareを入れてるとEDK(Xilinx)がおかしい。
26774ワット発電中さん:2009/09/22(火) 10:54:36 ID:8F9FRfW2
>>13
どちらかというと、MicroBlazeだと思うが
27774ワット発電中さん:2009/09/22(火) 19:30:50 ID:Fyh8jlBf
>>25
PATHが被ってて別のとこのコマンドを使ってるとかでは?
28774ワット発電中さん:2009/09/22(火) 20:13:34 ID:aTZCwoVl
>>26
NIOS と NIOS II のどちらが PicoBlaze と MicroBlaze に対応するの?
29774ワット発電中さん:2009/09/23(水) 06:00:41 ID:zSNiu88Y
>>5
たけーよw
30774ワット発電中さん:2009/09/23(水) 06:05:03 ID:qUPY5qDe
>>28
Nios:Altera初期のソフトコアプロセッサ。SPARCのようなレジスタ・ウィンドウを持つ。現在保守モード。新規設計はサポート無し。
Nios II:Stratix/Cyclone世代にあわせて再設計されたソフトコアプロセッサ。初期のMIPSに似たアーキティクチャを持つ。Niosとの互換性無し。
MicroBlaze:Xilinxのソフトコアプロセッサ。初期のMIPSに似たアーキティクチャを持つ。
PicoBlaze:マイクロシーケンサーに分類されるソフトコアプロセッサ。MicroBlazeとは互換性無し。

現行、NiosIIとMicroBlazeが使用可能。どちらも進化中。
PicoBlazeに対応するAlteraのソフトコアプロセッサは無い。
31774ワット発電中さん:2009/09/23(水) 06:46:07 ID:zSNiu88Y
USB Blaster互換
ttp://page18.auctions.yahoo.co.jp/jp/auction/w42904002

値段はこれくらいが妥当でしょw
32774ワット発電中さん:2009/09/23(水) 07:11:45 ID:HWYAySq2
PacoBlazeならばALTERAでも動くんじゃないかな。
33774ワット発電中さん:2009/09/23(水) 08:13:08 ID:jKQNrda1
>値段はこれくらいが妥当でしょw

この値段でXilinx はないのか?

34774ワット発電中さん:2009/09/23(水) 08:15:40 ID:iKMvIdt7
>>32
>PacoBlazeならばALTERAでも動くんじゃないかな。
Xilinx独自のプリミティブで記述されていて論理合成できないと思うのですが
どうすれば動くようになるのでしょうか?
35774ワット発電中さん:2009/09/23(水) 08:25:23 ID:HWYAySq2
>>34
それはPicoBlazeの方ですね。

PacoBlazeはオーソドックスなVerilog記述なので
どんな環境でも論理合成できると思います。
36774ワット発電中さん:2009/09/23(水) 08:38:11 ID:qUPY5qDe
>>35
ライセンス的にどうなのかな?
37774ワット発電中さん:2009/09/23(水) 09:29:36 ID:HWYAySq2
>>36
ライセンスはBSDスタイルですね。

Pacoじゃないけど、PicoBlazeはUART,etcをくっつけ、
アルゴリズム検証用デザインのレジスタ制御シーケンサ
として重宝してます。シンプルでコンパクトな所がイイ。
38774ワット発電中さん:2009/09/23(水) 12:23:25 ID:5iY67eYi
>>30
d。

PicoBlaze, PacoBlazeは8ビット。マイクロシーケンサー風。
MicroBlaze は16ビット。MIPS風。
Nios II は32ビット。MIPS風。

PacoBlazeはXilinxとAlteraの両方に使えてBSDライセンス。
PicoBlaze, MicroBlaze, Nios II は各メーカーのチップでのみ使用可。

まとめるとこんな感じかな。
39774ワット発電中さん:2009/09/23(水) 12:27:31 ID:5iY67eYi
40774ワット発電中さん:2009/09/23(水) 15:09:44 ID:zSNiu88Y
>>33
ttp://www.tmplex.co.jp/jp/sample5.html
これ使えばUSB-BlasterをXilinx用に使えるようなので人柱キボンヌ
41774ワット発電中さん:2009/09/24(木) 00:29:12 ID:iJ4qJ2xY
関係ないけどFR60付録の号ってまだ入手できるのね
42774ワット発電中さん:2009/09/24(木) 07:31:51 ID:Ki2MB+0r
FPGAのISEでピン配置の指定をするために Xilinx PACEを起動して、Desing Object ListのLoc欄のプルダウンメニューからP88とかを選びたいと思うのですが、
表示されるのはBANK0〜BANK7という7つの表示だけです。
Locの右隣にあるBANKとなにやら混同されているような?どうしてそうなってしまうのか?何方かアドバイスの程お願いいたします。
43774ワット発電中さん:2009/09/24(木) 12:53:40 ID:ABXtaX07
よく知らないけど、そのBank表示は無視。
Excelのように、そのセルに直接「P123」と入力する。
P無しに「123」リターン だけでもOKかも知れない。

44774ワット発電中さん:2009/09/24(木) 12:59:44 ID:ABXtaX07
補足説明
Paceでピンを指定するのに、複数の方法があるみたいです。
1つは、>>42のように直接手打ちする方法
2つ目は、Paceで、画面の右側に出てくる図の丸く表示されたピンを
マウスで 画面の左の表の上にドラッグしてくる方法。
いずれの方法でも、表中に記入された(登録された)ピンが、
画面の右側のICの図の丸いピンのマス目に、斜線がついて、使用済み(登録済み)であることを
表現している。
45774ワット発電中さん:2009/09/24(木) 16:12:19 ID:zZlqY1ON
サンプルプログラムが作られた使用環境(ISE,EDK等)と自分の使用環境が合わないみたい。

サンプルプログラムの作られた使用環境が知りたいんだがどうすればいい?
46774ワット発電中さん:2009/09/24(木) 17:08:20 ID:ABXtaX07
筆者に問い合わせる。
47774ワット発電中さん:2009/09/24(木) 17:13:07 ID:2Ubqkziu
それは掟破り
問い合わせ窓口はあくまでも編集部
48774ワット発電中さん:2009/09/24(木) 17:22:23 ID:Ki2MB+0r
>>43-44
ありがとうございました。なるほどそういう事でしたか。感謝感激です。
49774ワット発電中さん:2009/09/24(木) 19:27:55 ID:o3PDZKBm
>>47
書籍の編修部が電話応対なしでFAXのみの対応らしい。
いつ返ってくるかも分からんないし。
50774ワット発電中さん:2009/09/24(木) 23:27:52 ID:1yKoEakL
>>49
対応窓口があるのか。編集部すごいな。
51774ワット発電中さん:2009/09/25(金) 00:54:01 ID:TclRKulF
Altera USB Blaster コンパチ品
ttp://www.easy-chip.com/product/Magic-Blaster/001.html

ttp://cgi.ebay.ph/ws/eBayISAPI.dll?ViewItem&item=150367223609
ケース無しだと$16+$5送料らしい。

出てたっけ?
52774ワット発電中さん:2009/09/25(金) 01:00:28 ID:TjmzhXQU
中国すげえな
昔の日本みたいなやる気を感じる
53774ワット発電中さん:2009/09/25(金) 09:07:37 ID:3pVluuWT
自作できるからな、コンパチBlaster
54774ワット発電中さん:2009/09/25(金) 20:22:13 ID:bSkHG/oF
Cyclone 2 スターターキットはUSB Blasterでデータ送受信してるようなのですが、
これって付属のコントロールパネル以外のプログラムから同じようにデータ送受信可能なものなのでしょうか?
55軽石:2009/09/26(土) 00:44:37 ID:EY52+7pp
774ワット発電中さん、この名前だと誰だかわからないので、まずハンドルネームを決めてください。
これがネチケットです。(^。^)
で、質問の内容がよくわかりません。
コンフィグの話でしょうか。
ゴーグル先生で調べてみましたが、サイクロン2 スーターターキットには、、USBブラスータは付属しないみたいです。(+o+)
付属のコントロールパネルと言うのも判りません。(>_<)
軽石が持っている自作バイトブラスターでは、書き込みはできても、通信はできないみたいです。(-o-)
56ぴころ ◆lHG3Yzo0a6 :2009/09/26(土) 01:13:47 ID:RQUWnP53
>USBブラスータ
にワロた
さすが、軽石大先生(^▽^)
先生はサイクロン2 スーターターキット持っているの?
57ジェンズマジカ:2009/09/26(土) 01:41:27 ID:TGxmzzop
すみません。54です。ハンドルネームをジェンズマジカとします。
Cyclone 2 スターターキット=Cyclone II FPGA スタータ開発キットで、下記のキットです。

http://www.altera.co.jp/products/devkits/altera/kit-cyc2-2C20N.html

このキットはUSBケーブル一本のみ接続することで、コンパイルされたプログラムのSofファイルをダウンロードするのも
サンプルで付属するプログラム(プログラム名がコントロールパネルである)を利用してSDRAMやSRAMの内容を読み込むのも
両方可能です。

キットをPCと接続すると、USB-Blasterというデバイスとして認識されるので、おそらくキット側にUSB-Blasterデバイスが
内臓されていると思うのですが、PC側のプログラムとキット側は、おそらくこのUSB-Blasterデバイスで
通信するしか、方法がないと思うのです。

しかし、肝心なPC側のプログラムのサンプルコードがないため、PC側のプログラムを開発することができない状態です。
58774ワット発電中さん:2009/09/26(土) 04:33:49 ID:t+3PQeSX
QuartusUで作成した回路の回路規模と動作周波数を調べたいのですが
FullCompileした後のどの数値で判断すればいいのですか?
59774ワット発電中さん:2009/09/26(土) 10:00:40 ID:fHCAAqeJ
>>57
>キットCD_ROM のインストール後、コントロール・パ
>ネルのハードウェアおよびソフトウェアは、<kit path>\Examples
>\CII_Starter_demonstrations\CII_Starter_USB_API_v1 ディレクトリ
>内にあります。Verilog に精通しているユーザは、Verilog コードを使用
>してコントロール・パネルの機能を変更することができます。
60ジェンズマジカ:2009/09/26(土) 10:34:44 ID:TGxmzzop
>>59
購入したキット付属のCD-ROMにはサンプルには下記のディレクトリがあります。

\DE1_demonstrations\DE1_USB_API_v1 ディレクトリ

この中にはSWとHWディレクトリがあり、HWにはVerilogコードとQuartusのプロジェクトがあり、
このプロジェクトはコンパイル可能です。
SWディレクトリにはDE1_Control_Panel.exeがあり、これ以外にはPC側プログラムなどはありません。
おなじSWフォルダにFTD2XX.DLLがあるので、もしかしてFTDIのAPIを利用しているのかもわかりませんが
とくにそのような記述はどこにも見当たらないです。
61軽石:2009/09/26(土) 10:41:14 ID:EY52+7pp
単体の純正のバイトブラスータはFTDIのDLLを使っているみたいです。(^。^)
でも、コンフィグレーションしかできないとおもわれます。(>_<)
62774ワット発電中さん:2009/09/26(土) 11:27:46 ID:kwPeX80l
軽石さんを爆破する
63774ワット発電中さん:2009/09/26(土) 13:09:41 ID:wSjgwUM9
初心者の質問のよくないところは、目的が書いていないことだ。

PC-FPGAで通信したいの?j自分アプリでConfigしたいの?
JTAGポートだと基本的には通信できないよ。
64774ワット発電中さん:2009/09/26(土) 14:46:26 ID:MuYiAnZ6
>>63
>JTAGポートだと基本的には通信できないよ。
JTAGで通信する例
http://www.cqpub.co.jp/DWM/contents/0086/dwm008600870.pdf

65774ワット発電中さん:2009/09/26(土) 16:07:20 ID:p9rsSB9+
>64

アルテラではどうなの?軽石さん
66774ワット発電中さん:2009/09/26(土) 16:07:42 ID:3Qgoqfm1
ブラスータ流行らないかなぁ
67774ワット発電中さん:2009/09/26(土) 16:27:39 ID:3Qgoqfm1
>>65

軽石さんじゃないけど。
もう4〜5年前だと思うけど「JTAGベースのデバッグを可能する為のライブラリを提供」云々・・・
と日本ALTERAのWEBに載っていたので代理店に問い合わせしたら「はぁ?」みたいな回答でしたよ。
納得行かなくて直接米本社に問い合わせしたら「特別な契約をしないと公開しない」って言われました。
面倒なので諦めました。
今は当時考えてた様なツールを純正で用意してくれているので文句ないです。

USBブラスータいいよね。
USBブラスータ高いけど。
USBブラスータ使ってる?
USBブラスータって言いたいだけじゃないからね!
68774ワット発電中さん:2009/09/26(土) 17:22:22 ID:WAqbQdtV
Terasicのブラスータ使ってる。
中華のごく安ブラスータも人柱したいなぁ。
単なる開発ツールコレクータの独り言でした。

ちなみに、純正よりもテラシックの方が基板のアートワークがいい感じ。
69774ワット発電中さん:2009/09/26(土) 17:25:42 ID:1TBY2jrG
ブラスータとか馬鹿か
Terasicでいいよ、中身変わらんし
70ジェンズマジカ:2009/09/26(土) 18:28:43 ID:TGxmzzop
>>63
一応目的は前述のとおり、スターターキットとPC間で通信することですね。
ただ、現状それを実現しているのがコントロールパネルというサンプルに付属の
PC側プログラムです。

それと同じ機能をVCなりVBで作成したいということです。
71ジェンズマジカ:2009/09/26(土) 18:35:02 ID:TGxmzzop
一応確認ですが、スターターキットとPC間での通信とは、データの送受信であり、
プログラムのダウンロードではありません。
USBケーブル以外のケーブルはキットでは接続しませんが、サンプルプログラムは
データの送受信を行っているようです。
72774ワット発電中さん:2009/09/26(土) 18:39:14 ID:BoPT/Lxk
>>61
USB-BlasterはFTDIのDLL使ってるけど、ByteBlasterはパラレルポートだから使わないよ。

>>70
USB-BlasterでJTAGアクセスする自作プログラムは作った事あるんだけど、
汎用の通信をするなら、命令/データレジスタへのアクセス手順が公開されていない限り無理だね。
空いているピンを使ってバウンダリスキャンで通信するという方法なら、可能だろうね。
73774ワット発電中さん:2009/09/26(土) 21:36:48 ID:EY52+7pp
回路図がないので想像だけど、ConfigのJTAGラインと一般Pinがパラになっていて、Config後は一般Pinで通信とかじゃないかなぁ、ALTERAのバヤイは。
Config中はInputでWeakpullupに設定しとけば成立するけど。
回路図とかFPGAのSource見れば判るかも。
74774ワット発電中さん:2009/09/26(土) 23:00:39 ID:pe7hVnsv
今更だけどCPLD入門にカメレオンUSBロジアナの完成品買ってきた
とりあえずロジアナとして一通り動かして感動できたし、
動くものが入手できたのでよしとする
今のところ5Vトレラントが必要なのでアルテラのはスルー
75774ワット発電中さん:2009/09/27(日) 00:01:40 ID:VhCFn4bE
>>73
それだと何らかの方法でJTAGピンを切り離さないとconfigモードに入っちゃうよなぁ。

MegaWizardにJTAG経由で信号の読み取り・書き込みが出来るモジュールがあったからそれ使ってるんじゃないかな。
http://www.altera.com/literature/hb/qts/qts_qii53021.pdf
76774ワット発電中さん:2009/09/27(日) 01:03:35 ID:aR1ZTMOo
SUZAKUで研究しているけど、
数学、物理、電気回路系でいい研究内容ない?
77774ワット発電中さん:2009/09/27(日) 01:28:02 ID:C6n1vn7u
>>73
http://www.altera.co.jp/products/devkits/altera/documents/cy2_fpga_starter_board_schematic.pdf

ブラスータ以外に通信機能があるんじゃまいか?EPM3128ATに。
78744ワット発電中さん:2009/09/27(日) 01:35:24 ID:39MAXH9p
>>76
マンデルブロでも計算しとけ
79774ワット発電中さん:2009/09/27(日) 17:37:36 ID:+HIuL1P3

プログラム使わないでなんか研究ないかな?
80774ワット発電中さん:2009/09/27(日) 17:44:21 ID:atnQGPQp
半導体材料の研究でもしとけ
81774ワット発電中さん:2009/09/27(日) 18:10:40 ID:IvRR+3E5

「Freeman氏の発明者殿堂入りは誇り」,Xilinx社CTOにFPGAのこれまでと今後を聞く
http://techon.nikkeibp.co.jp/article/NEWS/20090925/175659/

Bolsens氏  Xilinx社が設立されたのは 1984年。
以降,これまでに25年が経過し,顧客数は2万社を超えた。
現在,PLD市場における我々のシェアは50%を越えている。
つまり,競合他社をすべて合算した数値よりも我々単独のシェアの方が大きい。
現在,65nm世代のハイエンドFPGAについては,我々が90%以上の市場シェアを持っている。

65nm世代のハイエンドFPGAについては,我々が90%以上
65nm世代のハイエンドFPGAについては,我々が90%以上
65nm世代のハイエンドFPGAについては,我々が90%以上

つまり、AのSVはタコなの?
ツールは秀逸なんだし、デバイスがタコなんだろうが、
なにが理由でタコか知ってる人いますか?
82774ワット発電中さん:2009/09/27(日) 20:53:55 ID:Utl5tG3L
>>79
プログラムはハードより5倍難しい。
83774ワット発電中さん:2009/09/27(日) 21:00:31 ID:VPcIRalj
>>82
>プログラムはハードより・・難しい。

うそこけ。文字列をいじくるほうが、電気電子部品を弄ぶより
ずっと楽チン。それは全世界の人々の共通認識なんだろ。
84774ワット発電中さん:2009/09/27(日) 23:32:01 ID:yIfoRLQ8
>>82-83
まーた始まった(AA略

そりゃ電子部品弄くる環境より文字列弄くる環境の方が
そろえ易い(開発ソフトタダでDLできるしな・・・)だろうが、
ハードが向いてる奴、ソフトが向いてる奴なんて人それぞれだろJKFF
85774ワット発電中さん:2009/09/28(月) 00:45:45 ID:V8GUQba9
>>81
arriaGX2とかstratixIVは40nmだからじゃね?
そっちは独壇場でしょ
86774ワット発電中さん:2009/09/28(月) 01:58:53 ID:2izxcbhX
>>プログラムはハードより・・難しい。
>うそこけ。

ソフトは人災が多いので、ハードより難しいかも。
87774ワット発電中さん:2009/09/28(月) 02:04:47 ID:ocRlXHVM
外注が近藤@日湘(軽石)だったら同じような世界だ。
もっとも、やつはWindowsCEの元ソフト屋だけど。
(嫁と一緒にWindowsCE Fanの掲示板荒らしてた。)
88774ワット発電中さん:2009/09/28(月) 02:28:45 ID:Y87vw1FP
>>82
一例を示すと状態数が10倍以上ある。
89>>81:2009/09/28(月) 02:47:29 ID:WzAqsqif
>>85
45/40nm品はAもXも出てないでしょ?サンプルくらいしか。
まだファンドリ立ち上がってないんじゃないかしら? リスク生産扱いでしょうて。
よってメインストリームは65nm
90774ワット発電中さん:2009/09/28(月) 03:26:28 ID:6QcUc+VP
なぜ、だんだん細くなっていくのですか?

細いと何が嬉しいのでしょうか?
・単位面積あたりの配線本数が上げられるので、結果的に
 同一サイズなら高機能、高容量が狙える、
 同一機能なら、小型化が狙える、ということでしょうか?
・core電圧が低くなってしまうので、I/O電圧が上げられない、もしくは
 I/O電圧を上げると、bufferが何段にもなり、速度の低下を招く。
・歩留まりが上がらない。
・同業他社に対して、営業的アドバンテージが確保できる。

91774ワット発電中さん:2009/09/28(月) 09:45:03 ID:Gbwkbo06
高速動作だろ。
あと、歩留まり上がらないなら実用段階ではないんじゃね?
92774ワット発電中さん:2009/09/28(月) 12:28:03 ID:mjj/yVEM
>>90
FPGA に限って言えば、高容量に出来るから他社差別化できる。
高くても買ってもらえるしね。
でも、ASIC に関しては、そうでもない。
だけど、古いプロセスをやってるファブがないから仕方なく65nm。
開発費高いし、時間かかるしいいことはあまりない希瓦斯。
まあ、ソフトと同じで無駄に高容量になっているのも事実。
93774ワット発電中さん:2009/09/28(月) 12:45:07 ID:+Q1HNnfX
>古いプロセスをやってるファブがないから仕方なく65nm

これはダウト
ファブが理由でなくてメーカ(AなりX)が止めてるだけ。

TSMC/UMCも0.13/0.18/0.25/0.35とかバリバリ現役なんですが。
94774ワット発電中さん:2009/09/28(月) 14:20:35 ID:PjUMz7TI
>>93
0.35とかって、今じゃ高電圧用のプロセスじゃないの?
95>>93:2009/09/28(月) 14:46:33 ID:+Q1HNnfX
たしかにハイボルもやってる。
だけど、それだけじゃなくて、
従来通りのロジック、MixedSignal/RF とか色々やってファブ回してるのよ。
96ジェンズマジカ:2009/09/28(月) 16:16:26 ID:bacI3IZd
>>77
ソースコードを見ると、JTAGで通信を行っているようです。
JTAGの解析モジュールがあり、そのなかでJTAGコマンドに応じてLEDを点燈させたり
7SEGのLEDを動作させています。

とりあえず今回は40ピンIOを利用してEZ-USBのGPIFにてデータ送受信するように
して見ます。

どうもありがとうございました。
97774ワット発電中さん:2009/09/28(月) 18:07:15 ID:RTfe+sCC
FPGAでなんかシミュレータかなにか使って研究課題ないかな?

プログラムは苦手です。
98774ワット発電中さん:2009/09/28(月) 18:09:48 ID:mjj/yVEM
>>96
MITOUJTAG でも出来るぞ!
99774ワット発電中さん:2009/09/28(月) 18:10:06 ID:xZ58riba
ゼミに友達いないなら指導教官に聞けばいいのに
100774ワット発電中さん:2009/09/28(月) 18:22:22 ID:J67dTffA
>>97
トリップ高速解析の実装とか?
101774ワット発電中さん:2009/09/28(月) 19:10:24 ID:uD97d4G3
>>99
あほか?指導教官に聞けないから、ここで聞いてるんだろう?
10月に研究課題探してるんだ!少しは察しろよ!
102774ワット発電中さん:2009/09/28(月) 19:13:59 ID:WzAqsqif
>>101
ヨコからコメントだけども、
おまいさん、態度がなってないね。
しかも自分で考えられない時点でセンスないんじゃないかしら?
道間違ってない?
103774ワット発電中さん:2009/09/28(月) 19:21:16 ID:SyJteSGI
> ヨコからコメントだけども、

もうすこしROMってからコメントしてもいいと思う。
104774ワット発電中さん:2009/09/28(月) 19:57:33 ID:THMQzA6B
あほか?指導教官に聞けないから、ここで聞いてるんだろう?
10月に研究課題探してるんだ!少しは察しろよ!
105774ワット発電中さん:2009/09/28(月) 20:54:49 ID:xjHfU6hO
>>103
本人に気づかせるのが主眼なんだがね。
用事が済んだらスレ見に来なく可能性あるだろ?
こういう指摘は早い方がいい。

貴殿とは着目が違うみたいだね。
106774ワット発電中さん:2009/09/28(月) 21:20:05 ID:AwzimG8Z
ゼミねぇ・・・
こいつが卒業しても
うちの会社にはきませんように。
10799:2009/09/28(月) 21:28:10 ID:xZ58riba
>>101
指導教官に聞けないってどうして?
嫌われてると思い込んでるの?
指導教官に嫌われてたら、院に行けないじゃん。
行ってもマスターで追い出されちゃうよwww
108774ワット発電中さん:2009/09/28(月) 21:35:25 ID:Opb8FytG
技術が無いんだったら人間関係でうまく立ち回れよ
それも出来ないんだったらただのクズです
109774ワット発電中さん:2009/09/28(月) 22:01:12 ID:uTjDHM9x
研究課題の結果もここで聞くんだと思います。
110774ワット発電中さん:2009/09/29(火) 00:12:17 ID:ucpK1hZy
電卓でも作ってれば?
Fラン乙
111774ワット発電中さん:2009/09/29(火) 00:49:54 ID:CiHhXMIu
> 10月に研究課題探してるんだ!

日本語からだな....まず。
112774ワット発電中さん:2009/09/29(火) 04:24:29 ID:ZQJ9OLd/
>FPGAでなんかシミュレータかなにか使って研究課題ないかな?

FPGAで制御するアダルトグッズ
おんにゃのこ100人に使ってもらって感想を聞きました・・
113774ワット発電中さん:2009/09/29(火) 12:08:28 ID:F6sXcsO/
>>112
共学だったらなぁ・・・
渋谷でスカウトするか?
114774ワット発電中さん:2009/09/29(火) 15:21:06 ID:ZlzvU6Ff
共浴に見えたorz
115774ワット発電中さん:2009/09/29(火) 22:15:04 ID:jbugR/v2
HUMANDATAのEDX-002でスタディしています。ライターを使わずにUSb経由でBITデータを書き込めるのですが、書き込みソフトがCDに入っています。
その都度CDをドライブに挿入しておくのは面倒なのでバードディスクにコピーしたいのですが、普通にコピーするだけではエラーとなり使用できません。
なにか別の操作方法があるのでしょうか?それとも、CDで使うしかないのでしょうか?よろしくお願いいたします。
116774ワット発電中さん:2009/09/29(火) 22:22:28 ID:d4VEdMq+
メーカーにきくよろし
117774ワット発電中さん:2009/09/29(火) 23:53:27 ID:HTtfd2H+
     < ̄`ヽ、       / ̄>
        ゝ、  \ /⌒ヽ,ノ  /´
           ゝ、 `( ´・ω・)/  <バードディスク!
             >     ,ノ  
            ∠_,,,/´””    


118774ワット発電中さん:2009/09/29(火) 23:55:49 ID:CiHhXMIu
どういうエラーか位は書かないとなぁ。
119774ワット発電中さん:2009/10/01(木) 23:56:15 ID:J2kdv5X9
MacのBootCampなどで、ISEと、USBブラスター等USB系書込ツールは
使えますか?

外で作業するのに、Windowsのノートはは少し前の古い遅いのしかないので、
合成だけでも(多少は速くて画面も広い)Macでやりたいのですが。
120774ワット発電中さん:2009/10/02(金) 02:23:01 ID:fAT76KcI
できると思うよ。以前それでやっていたから。
でも、キーボードのキー配置と内容が違って、使いにくいのであきらめたけどね。
121774ワット発電中さん:2009/10/02(金) 07:48:42 ID:6riwv/T/
>>120
XPあたりでそうなるのは、XP上で一度他の配列を指定して、
元に戻すと直るんじゃなかったっけ。
違っていたらスマン。
因みに俺はUSkeyのマクブクのparallels4.0でXP動かして、
XILINXのPlatform Cable USB II 使ってる。
問題なく動く。VirtualBoxではうまく認識してくれなかった。
122774ワット発電中さん:2009/10/02(金) 10:42:46 ID:7wsDKJEC
マックでやってる人、結構いるんだな。
下手なWin機より速いからなあ。

123774ワット発電中さん:2009/10/02(金) 11:52:12 ID:0tLZVeRF
やっぱりHDL書きには、Thinkpad Wシリーズが最強です。
124774ワット発電中さん:2009/10/02(金) 12:13:42 ID:CSXHv4gC
>>119
ISEはVMWareでOK。wineでも行ける可能性がある。ダウンロードに関しては、
USB接続の物なら、WMWareで大抵OK。だめならBoot Camp使用。
パラレルポートでのダウンロードは、Macではあきらめた方がよい。
俺は、wineやQemuのソースコードを改変して、パラレルポートでのダウンロードを
試みたが、時間が20分以上かかったので、実用的でない。
これは、memory mapped i/oをエミュレートするのに、大変なオーバヘッドが
発生するためと思われる。
125774ワット発電中さん:2009/10/02(金) 20:05:53 ID:00WYoi9P
Macで電子系の開発するって俺的に変な感じするんだが
それが普通の会社あるんか?
トラブル生じそうなことを会社でやるなんってと思うんだが
126774ワット発電中さん:2009/10/02(金) 20:10:53 ID:eaLHoyh8
電子系ではないけど組み込みの開発にMac使っているひとはよくみかけます。

というかMSの技術者と打ち合わせするときにも
MSの技術者でMac使っているひとをよくみかけます。
127774ワット発電中さん:2009/10/02(金) 20:32:52 ID:+Hc4H2LL
intelMACでwindowsの動作テストをしているのかもしれんぞ
128774ワット発電中さん:2009/10/02(金) 20:43:25 ID:75movWy4
単にオサレだからだろ
Mac買える金があったらDellなら2台買える
129774ワット発電中さん:2009/10/02(金) 22:17:20 ID:ZFRbYgvK
>>128
つまり、オサレで金アル、ハイカラ会社ってことか
オフィス、開発作業室も当然良いんだろな

>>126
このスレから、ハード開発でもMacでオサレに開発している多いみたいだぞ
てか、普通の会社だとよほどのことが無いと会社標準以外のものって使えないようなきがするが
意外と好き勝手に会社使用パソコン選べるのところって多いのかな
レンタル、リースする時、俺Mac、じゃ俺HP、うんじゃ俺Sonyって俺の会社じゃ
ありえないな。こんなことすると情報シス部がヒステリー起こすな
130774ワット発電中さん:2009/10/02(金) 23:38:42 ID:JoDx7aSD
Macユーザは、殉教という言葉に特別な思いがあるからなぁ。
131774ワット発電中さん:2009/10/02(金) 23:39:26 ID:cg8P6tQJ
なんで会社の話になるんだろう
趣味でやってる人だって居るだろうに
132774ワット発電中さん:2009/10/03(土) 01:01:14 ID:0TElGlJ+
ハイテクドカタにMacみたいなオサレなのは似つかわしくないんだよね。
VAIOもダメだと思う。
せいぜいDynabookかNEC。Sharpでもいいかな。
133774ワット発電中さん:2009/10/03(土) 02:37:29 ID:CYrPZhrQ
いや、なんていったって男はFMVだ。
134774ワット発電中さん:2009/10/03(土) 02:44:45 ID:Zc8An8jk
PCI単行本や記事で有名な筆者もMACでプレゼンしているな。
KEYNOTESだけ?あれをCQセミナで使っていたし、ALTERAの
開発紹介のときはVmWARE+XPに切り替えてた。
135774ワット発電中さん:2009/10/03(土) 02:46:17 ID:RyA+IGUE
なんで趣味の話になるんだろう
仕事でやってる人だって居るだろうに
136124:2009/10/03(土) 08:03:38 ID:sdcyzrW+
叩かれるのを予想して書いたが、このスレは寛容性があるね。
プロが多いからかな。俺が趣味の電子工作にMacを使ってるのは、
>>130の「殉教」精神もあるが、電子工作だけのために、
コンピュータの台数を増やしたくないというのが一番の理由。
1年ほど手元にあったFMVの中古も、雑誌付録のLatticeのFPGAに、
Macからダウンロードできる事が実証できたので、人にやってしまった。
それ以外の付録もここ2年くらいは結構溜め込んでるが、ほとんどMacから
ダウンロード可能。また、EzUSBは、最初からダウンロードのアプリケーションが
ついているので、以前はよく使った。
137774ワット発電中さん:2009/10/03(土) 09:17:27 ID:ce/DmpPk
>>136
>叩かれるのを予想して書いたが、このスレは寛容性があるね。
もうすぐヤツラがやってくると、そうは行ってられなくなるがな。
138774ワット発電中さん:2009/10/03(土) 10:16:14 ID:CV79WFIs
一時期なら、バッテリーでの長時間駆動はiBookに分があったしなぁ。
俺もそれでiBookを買ったクチ。
それもやがてパナに食われ、更にネットブックの出現で完全に優位性が無くなったが。
139774ワット発電中さん:2009/10/03(土) 10:33:00 ID:uTwN1+7x
>更にネットブックの出現で完全に優位性が無くなったが。
それは言い過ぎ。
電池寿命だけならNetBookに歩があるが、それ以外の、
画面の大きさ、キーボードの大きさ、処理速度、など 
どれをとってもネットブックにはメリットがないよ。
140774ワット発電中さん:2009/10/03(土) 14:01:29 ID:esBlurm9
スレチは消えろ
141774ワット発電中さん:2009/10/03(土) 14:16:02 ID:RyA+IGUE
actel使いたいんだけど、Flashpro3って個人で買える?
142774ワット発電中さん:2009/10/03(土) 14:57:22 ID:NYFQ0Rb4
デジキーで買えると思うよ。
143774ワット発電中さん:2009/10/03(土) 15:08:50 ID:RyA+IGUE
ありがとうございます。
digikeyは代理店ではないようで、Actel製品はありませんでした。
mouserは在庫なしでした。
144774ワット発電中さん:2009/10/03(土) 22:43:13 ID:0vC3shnY
145774ワット発電中さん:2009/10/03(土) 22:58:17 ID:XRWkyBYB
軽石のぐぐりネタばっかだな。
買ったやつはいないのかよ。
146774ワット発電中さん:2009/10/04(日) 15:34:31 ID:S+JTWUKM
Xilinx EDKのGPIOですが、EDKのV9.2でOPBバスのGPIOを使う方法は
ありますか? 9.2にはXPSバス用しかありません。

147774ワット発電中さん:2009/10/06(火) 19:02:09 ID:S9EisVd5
>>146

メニューで、
「Edit」 → 「Preferences」 で出てくるダイアログで
左のツリーで 「IP Catalog and ...」を選択

右の設定項目で
「Display "Available" IP cores ...」にチェック

ライセンス絡みで新しいEDKが気軽に買えなくなったから9.2iを使い続けるしかないな…
148774ワット発電中さん:2009/10/07(水) 15:08:54 ID:jMTOsgoQ
ALTERA MAX2 を使う予定なんだけど、
おススメの参考書ってありますか?
149774ワット発電中さん:2009/10/07(水) 18:37:44 ID:7tl9j0rt
タシートで何が不足なんだ?
150774ワット発電中さん:2009/10/07(水) 18:38:25 ID:7tl9j0rt
不足したなw
データシートだ。
151774ワット発電中さん:2009/10/07(水) 19:51:06 ID:0RmdSqWJ
spartan 3a スターターキット購入したのだが、動作がおかしくて困っている。

FPGAの単体で起動ができ最初っから書き込まれているものは動作はしてるのだが、
新しく書き込もうとするとデバイスを認識しない

http://d.hatena.ne.jp/propella/20080525/p1
こういったところで確認はしてるのだが

initialize chainも585エラーが出たりする。

初期不良だろうか?
152774ワット発電中さん:2009/10/07(水) 20:34:57 ID:0Lnlvshi
>>149
148は背景知識等無くCPLDを始めようとしているのではないだろうか
つまりMAX2に対応した入門書を薦めてほしいのではないだろうか

まあ俺はデータシートとweb上の情報だけで入門したけど
ちゃんと本読んだほうがいいとは思う
系統立った物がないと知識が偏ってしまうし、セオリーみたいなものを知らずに組んでしまうから
153774ワット発電中さん:2009/10/07(水) 21:08:15 ID:aeeoh5DO
ダウンロードケーブル(PC←→Spartan-3Aボード間のケーブル)は、
Xilinx 純正USB方式のものを使っていますか?
154774ワット発電中さん:2009/10/07(水) 22:45:26 ID:sNAXfZwC
>>153
はい。純正を使ってます

いろいろな参考サイトをみたのですが、コンパイルしてからIMPACTでデバイス認識しようとすると、エラーが

ケーブルはUSCを認識しています
155774ワット発電中さん:2009/10/08(木) 00:37:40 ID:gdgfdvM8
>>148

MAX2を使うといってもMAX2を使ったボードから作るのか
ボードを買ってきてコーディングだけするのか
使用する言語はVHDLなのかVerilogなのかとか
そういうのがないとなぁ
156774ワット発電中さん:2009/10/08(木) 00:46:31 ID:vZwfMKbt
昔インタフェイス自作してパラレルポートに繋げた時のドライバを外すんだ!
157146:2009/10/08(木) 14:25:43 ID:4zdIKUoi
>147
ありがとう。助かりました。

 EDKで作ったロジックがどの程度リソースを使っているかってのか見る
方法ありますか? ISPにロードしないとわからないですか?
158774ワット発電中さん:2009/10/08(木) 15:15:02 ID:5EUGhnbZ
PCからプラットフォームケーブルUSBを使ってCPLDに書き込みたいのですが
書き込み用のライターを自作することはできるのでしょうか?
調べてもパラレル用のものしか見つからなかったもので
159774ワット発電中さん:2009/10/08(木) 18:51:57 ID:2djLH5VJ
できるよ。俺には無理だけど>>160が何とかしてくれる。
160774ワット発電中さん:2009/10/08(木) 19:22:05 ID:sXEyOOFc
回路自体は難しいものじゃないので自作できなくもない
ttp://sa89a.net/mp.cgi/ele/ub.htm
ttp://www.ixo.de/info/usb_jtag/
161774ワット発電中さん:2009/10/08(木) 20:17:33 ID:BaoT/G9T
プラットフォームケーブルUSBってことはザイリンクスだよね。
ザイのUSBケーブルは互換品が無いから>>160のに別のソフトを組み合わせるとかしないといけない。
アルテラなら>>160ので決まりなんだけどね。
誰かザイのケーブル解析しないかな。

あと、自作JTAGはこんなのもある。これならプログラムの書き込みが要らないからいい。
http://www.digientity.com/d3services/den2mm/index.php?JTAG
162158:2009/10/08(木) 20:51:11 ID:5EUGhnbZ
>>159,160,161
ありがとうございます。

サイト見させていただきました。
プラットフォームケーブルの代わりになるものを自作する、という内容だと思います。

私は、
基板にCPLDのソケットとJTAGのジャックがあり、裏面を配線したものに
CPLDをソケットに入れて書き込む基板、のことを想定していたのですが
うまく伝わらず、すみません。

もちろん既製品もありますが、CPLDを取り外して使いたいので
どうにか自作できないかと思っています。
163774ワット発電中さん:2009/10/08(木) 21:11:04 ID:j2/iP+cW
#CYCLE というのは、単位はなんでしょうか?
164774ワット発電中さん:2009/10/08(木) 21:16:41 ID:BaoT/G9T
>>162
電源とJTAGピン繋ぐだけなんだから何も難しくないよ
パラレルのはあったって言うけどJTAGなら元がパラレルだろうがUSBだろうが関係ないじゃん
165774ワット発電中さん:2009/10/09(金) 10:32:58 ID:jOyLJRSH
>>157
個々のIPがどれだけリソースを使ってるのかは見れなかった気がする
166774ワット発電中さん:2009/10/09(金) 14:21:38 ID:osKFcs7K
alteraの波形シミュレーションは便利だけど、ザイリンクスは機能が貧弱な
気がするのですが、使い方が悪いだけなのだろうか?
 両方使ってる人どうですか?
167774ワット発電中さん:2009/10/09(金) 15:50:42 ID:g9B3tdUK
教えてください。

FPGAの載った基板上に「MT48LC16M16A2P」というSDRAMがあります。
    http://www.micron.com/products/partdetail?part=MT48LC16M16A2P-75
これをFPGAで使おうと、XilinxのISEのCoreGeneratorを起動しました。
いくつかの質問に答えていくうちに、DDRか?それともDDR2か?と聞かれました。
それで、Dataシート内を検索したのですが、DDRという単語は見つかりませんでした。

そこで質問です。
・「MT48LC16M16A2P」は、DDR、DDR2、あるいはシングルDataRateなのでしょうか。
・ISEのCoreGenでは、「MT48LC16M16A2P」が使用できるIPは生成できないでしょうか?
よろしくお願いします。

168774ワット発電中さん:2009/10/09(金) 16:26:44 ID:qJXsUv5A
>>167
そのURLにあるデータシートを確認すればわかりますが
MT48LC16M16A2Pはシングルデータレートのようですよ。
CoreGenの件についてはよくわかりません。
169774ワット発電中さん:2009/10/09(金) 16:27:13 ID:g9B3tdUK
167です。
1つわかりました。
>・「MT48LC16M16A2P」は、DDR、DDR2、あるいはシングルDataRateなのでしょうか。
MT48LC16M16A2Pは、単なるSDRAMで、SDRと呼ばれ、DDRでもDDR2でも無いようです。
ありがとうございます。

>・ISEのCoreGenでは、「MT48LC16M16A2P」が使用できるIPは生成できないでしょうか?
についてはわかりません。どなたか、ご存じの方どうぞ宜しくお願いします。
170774ワット発電中さん:2009/10/09(金) 17:00:00 ID:SUsyycN/
(出来上がったIPコアに手をいれりゃいいじゃん...バカ?)
171158:2009/10/09(金) 17:07:37 ID:yBbLdIhO
>>164 ありがとうございます。

難しく考えすぎてました。
もう一度よく考えてみて勘違いに気づきました。
あと自分の知識不足も

お騒がせしました。
172774ワット発電中さん:2009/10/09(金) 17:10:36 ID:g9B3tdUK
>>168
ありがとうございます。
前の投稿と時間差でした。ご親切にありがとうございました。
173774ワット発電中さん:2009/10/09(金) 19:27:54 ID:jtKbFN3a
仕事じゃないんだろうから、IPなんざ使わずやったら?
同期回路の書き方を知ってれば、そう難しくないと思うし。
174774ワット発電中さん:2009/10/09(金) 19:41:44 ID:SPSwQkQp
>>167
そのURLのページに、ちゃんと「Data Rate: PC133」と書いてあるじゃないか。
175774ワット発電中さん:2009/10/09(金) 20:31:58 ID:g9B3tdUK
>>174
ありがとうございます。
>ちゃんと「Data Rate: PC133」と書いてあるじゃないか。

Data Rate: PC133というのが、DDRのことなのでしょうか? すみません。
SDR = Single Data Rate
DDR = Double Data Rate と、どちらもDRという文字があり、区分けがつきません。

PC133という言葉は、clock周波数のことでしょうか。
パソコンのPC、133MHzということでしょうか?
(このメモリは7.5nsなので、逆数をとると、133MHzになります)

すみません、よくわかっていません。
176774ワット発電中さん:2009/10/09(金) 21:30:21 ID:+3so7YOp
DRAMメーカーのサイトから技術資料をダウンロードして勉強しる
177774ワット発電中さん:2009/10/09(金) 21:38:46 ID:Eq3woVlE
もっと勉強してから出直してきな
178774ワット発電中さん:2009/10/09(金) 22:00:24 ID:xYoC0+hc
>>175
PC133はメモリの規格の名前だ。ちょっとは調べろ。
SDR PC66 〜 PC133(SDRの数値はメモリクロック)
DDR PC1600 〜 PC4400(DDR以降の数値はモジュールの転送速度[MB/s])
DDR2 PC2-3200 〜 PC2-6400(最近はもっと高いのもあるが規格外)
179774ワット発電中さん:2009/10/09(金) 23:30:06 ID:NfueaJLl
CoreGenで作ったところで、SDRAMの仕様を理解していないようだから動かせないと思うよ。

http://www.elpida.com/ja/products/index.html
「SDRAMの使い方 - ユーザーズマニュアル (PDF: 721KB)」を熟読してください。

> Data Rate: PC133というのが、DDRのことなのでしょうか? すみません。
> SDR = Single Data Rate
> DDR = Double Data Rate と、どちらもDRという文字があり、区分けがつきません。
データシートの波形を見てください。
まずは言葉に惑わされずに、データシートを読んで理解してください。
話はそれからです。
180774ワット発電中さん:2009/10/10(土) 01:05:42 ID:cTkCsDLU
EDK使ってると、cygwinを古いバージョンにしてくれってのが出たけど、
どうすればバージョンダウンできる?
181774ワット発電中さん:2009/10/10(土) 03:22:19 ID:DCTf9gB9
僕の会社に出入りしているHDL屋さんは、FPGAは使うものの、その中にはいつもマイコンを作り込んで
マイコンで全て動かしているようです。
僕はマイコンはわかりますが、FPGAはわからないので何も言えませんが、
FPGAにマイコンを組み込んでくるぐらいなら、はじめからH8やSHを使えばいいと思います。

マイコンの方が、外付けフラッシュROMいらないし、単価も安いし、流通もいいです。
以前にFPGAにマイコンを組み込む講習会に参加しましたが、
聞き慣れないバス線を自分でつないだり、LED1個を追加するだけでも、あちこちの設定を
修正しました。今ひとつFPGAマイコンの嬉しさを感じなかったです。

FPGAのほうか嬉しいことがあるのでしょうか?
おしえてください。よろしくお願いします。
(FPGAが好きだからとかマイコンは遅いからとかではない理由をお願いします)
182774ワット発電中さん:2009/10/10(土) 04:24:26 ID:h4xe0b4p
FPGAにマイコンを入れると良い点は
ロジックだけでは対応できない処理が可能になります。
マイコンの周辺回路も1チップに入れ込みできます。
部品数を減らせるので不良率を下げられます。
不具合や仕様変更があってもJTAGひとつで何でも対応できます。

逆に良くない点は
高性能なマイコンにすると規模の大きなFPGAが必要になります。
わずかな修正にも全体を書き換える必要になります。

一般的にはコスト要求からFPGA内マイコンを採用するのが殆どです。
183774ワット発電中さん:2009/10/10(土) 05:01:01 ID:bclAnlfH
 XilinxでPowerPCを使うのなら、外付けの方がいいのじゃないだろうか?
SDRAMに配線をかなりとられるし、フルパワーでは動かないし、価格は高いし
メリットは殆どない。
 しかしマイクロブレーズを外付けなしで、内部のブロックRAMで動かすていど
なら、メリットはある。かなり柔軟性の高いハードになる。
しかし、FPGAもそこそこのチップが必要だから、市販なら5万程度かたや
H8+FPGAなら10000円以下なので、どの程度メリットがあるかは問題。
 使い方も難しいし、マイクロブレーズCPUもバージョンが代わって、バス
をサポートしないなど、2,3年まえのIPがすでに使えない。そのあたりは
H8のディスコンと同じ程度に危険だが、ソフトを保存しておけばなんとか
なるので、その点のメリットはあるかもしれない。



184774ワット発電中さん:2009/10/10(土) 05:05:06 ID:bclAnlfH
>部品数を減らせるので不良率を下げられます。

 BGAが難点
185774ワット発電中さん:2009/10/10(土) 06:54:19 ID:yaZMXJ5N
SoCは格好良い。
H8使うのは格好悪い。
186774ワット発電中さん:2009/10/10(土) 06:56:55 ID:yaZMXJ5N
CPUコアとIOが内部同期バスで接続されるので高速にデータのやりとりが可能。
(さらにDMAのハードを用意すれば最速)

H8等の汎用CPUは外部非同期バスを介すの遅い。
187774ワット発電中さん:2009/10/10(土) 06:57:41 ID:yaZMXJ5N
>>184
BGAなど今どき当たり前
188774ワット発電中さん:2009/10/10(土) 08:56:50 ID:bclAnlfH
>CPUコアとIOが内部同期バスで接続されるので高速にデータのやりとりが可能。

マイクロブレーズのGPIOで、リードするとリードパルスでカウンタを自動
インクリメントしたいのだがよくわからない。
 だからリードする毎にリードアドレスをだしている。
 リードパルスを取る方法ってあるの?
189774ワット発電中さん:2009/10/10(土) 08:58:55 ID:YUaI1BEK
うちの会社じゃBGAの半田不良ほとんど無いけどな
ノウハウとか足りてないんじゃない?
190774ワット発電中さん:2009/10/10(土) 10:44:55 ID:yaZMXJ5N
>>188
おれも"XPS_GPIO"は変な仕様だと感じている。
なんか気持ち悪いので、PLBにつながるGPIOを自作して使っているよ。

一度自作したらどう?
どうしても分からなかったら教えてあげる。
191774ワット発電中さん:2009/10/10(土) 10:57:54 ID:bclAnlfH
>190
教えて。 HardWareのCreatePeripheralでしょ。やってみたがさっぱり
解らん。 出来上がっても最後にネットエラーになる。
192774ワット発電中さん:2009/10/10(土) 14:14:53 ID:3nAwyC9r
FCPU-X USB JTAG
$119

xilinxのケーブル互換らしい。
193774ワット発電中さん:2009/10/10(土) 14:23:08 ID:+NnURyUE
BGAは設計がメンドイ
194774ワット発電中さん:2009/10/10(土) 14:51:53 ID:aaTPi7cE
マルツのSpartan3E基板と一緒にX-CABLEというのを買った
代引き送料込みで4000円
XC9500とかの5Vなら簡易ケーブルでいいんだけど
バッファ入れると小さく作れないし時間もない
手持ちノートがちんこだからパラレルも現役
195774ワット発電中さん:2009/10/10(土) 20:33:06 ID:aaTPi7cE
さらにマルツの基板に付いているCN1Aコネクタに差す、
2mmピッチのメスは電子部品屋でほとんど扱ってないが、
T-ZONEにちょうど良い変換がある。(TZEX-2254P)
これにX-CABLEを繋いで3.3Vを供給すれば、
必要なハンダ付けはヘッダだけになる。
しかしこれだけでもう1万超えてしまった。

FPGA導入に既製品の組み合わせで済まそうとすると高いね。
マイコンならせいぜい数千円なのに。
196774ワット発電中さん:2009/10/10(土) 20:41:52 ID:aaTPi7cE
あ、水晶振動子も必要だね。
FXO-31FLは秋月で100円の27MHzのを入手した。
マルツ基板は他のメーカーでもいいみたいだけど。
197774ワット発電中さん:2009/10/11(日) 00:33:37 ID:8RTvJ4zB
198774ワット発電中さん:2009/10/11(日) 10:52:46 ID:Kg3Pr3Hl
>H8等の汎用CPUは外部非同期バスを介すの遅い。
DMAが強力だよ。シンプルで使いやすいし。

 しかし日本の電気業界は戦略を失敗したよなー。FPGAをやっとくべきだった
よね。まあ次の新世代デバイスではやるだろ。デバイス作るまでは
世界1なのに、その利用ソフトが弱いんだよなー。

199くり:2009/10/11(日) 13:22:58 ID:hx+vOv4b
>>198
>しかし日本の電気業界は戦略を失敗したよなー。

 日本の戦略がチグハグなのは今に始まったことじゃない。「石油を止めら
れた。死活問題だ。」と、蘭印に(ワザワザ、必要ないのにアメリカに宣戦
布告して)攻め込み、油田を確保したのは良いけれど、石油タンカーを用意
していなかったり、世界最大の戦艦を作ったは良いけど、まったく戦闘に
参加させようとしなかったり、「レーダーやソナーなんて、ワザワザ闇夜に
提灯点けて、相手にこちらの位置を知らせるようなものだ。」と言っとき
ながら、相手のレーダー波やソナーのpingを探知する機器を持っていな
かったり、運動性のためだと狂ったように軽量化し、弾を食らった時の
ことをまったく考慮していない戦闘機を、後で防弾化しようとしたり・・・。
 まあ、ようするに「頭が悪い」ってことですな。例の戦闘機は、未だに
「当時世界一の・・・」とか言っている。反省心もゼロです。あ々、元々
ゼロ戦だったか・・・。

>FPGAをやっとくべきだったよね。

 やったよ。NEC。その他にも 8086互換CPU。DSP。非ノイマン型プロセッサ。
グラフィック・チップ・・・。ぜーんぶ、失敗した。

>デバイス作るまでは世界1なのに、その利用ソフトが弱いんだよなー。

 理由、もう分かるでしょ?
200774ワット発電中さん:2009/10/11(日) 18:05:06 ID:s5uhIeA7
まぁ,帝大卒がえらそうにのさばりだしてからというもの,
ロクなことになってないわな。
201774ワット発電中さん:2009/10/11(日) 19:52:15 ID:KjkdXweP
人生負け組の墓場糞ワロス
202774ワット発電中さん:2009/10/11(日) 20:35:12 ID:q8TwvwXX
FPGAを使用してUSB]ターゲットの開発を行おうと考えているのですが
どのように行っていけばいいのかよくわからないので誰か教えてください
203774ワット発電中さん:2009/10/11(日) 20:57:42 ID:ycr42kyL
>>202
USBインターフェースまでFPGAで実装したいのか
USBインターフェースはコントローラに任せてFPGAではデータだけ処理したいのかどっち?
必要な帯域はどのくらい?
予算はどれくらい?
204774ワット発電中さん:2009/10/11(日) 22:16:45 ID:8RTvJ4zB
>>199
VMTechnologyのことですか?
205774ワット発電中さん:2009/10/12(月) 00:53:19 ID:vbpJ7cd8
>>202
カメレオンUSBと、USB関連本を買ってくればいいと思うよ
本は、この辺だったか。立ち読みしてくるといい

オリジナルUSB機器の設計と製作
ttp://www.cqpub.co.jp/hanbai/books/34/34501.htm
206くり:2009/10/12(月) 01:27:58 ID:MjeIB2L/
>>204

>VMTechnologyのことですか?

V30のことだよ。

ttp://www.wdic.org/w/SCI/V30

 戦略上、最大の間違いは、Intel(当時は弱小企業)と喧嘩して、著作権
違反は免れた(名を取った)が、以後、互換CPUを作らないと約束した(実を
取ってない)ことだ。経営規模の差からして、上手くIntelを取り込むこと
は可能だったはずで・・・、まあ、そんな未来を見据える頭がないのだから
しょうがない。
207774ワット発電中さん:2009/10/12(月) 01:38:52 ID:gLO14upj
これが専門家か
208774ワット発電中さん:2009/10/12(月) 04:11:40 ID:0MOZtmpg
汎用品をやめることで異議はないかな?
209774ワット発電中さん:2009/10/12(月) 08:09:54 ID:XdV1B7Ff
>>198
FPGAのBRAMをSHのバス経由で、SH側のメモリに転送したいんだけど、
今はまだソフトでゴリゴリ転送してる。

DMA、いつかは使おう、と思ってるけど、早めに勉強した方がいい?
使ったこと無いんだよなぁ。

DMA使った外部バスと、CPUが動いてる内部バスは別に動けるんだったっけ?
210774ワット発電中さん:2009/10/12(月) 08:48:30 ID:djuaWHxT
当たり前だろ。でないと意味ない。勉強するほどのこともないよ。
FPGAのカウンターGOと同じだよ。
RS232とかでもDMA転送で直接メモリ転送できるよ。
211774ワット発電中さん:2009/10/12(月) 09:28:20 ID:AF4tFPoR
>206
V30でアウト出されて、互換用にマイクロコードを使わないV33作ったんだよな。

独自の非互換CPUでパソコン作って売ってたら、また多少は変わってたのかもしれんなぁ。
ソフト的に互換がないと当時国内では圧倒的だったPC9801シリーズの資産を
継承しないのと同義だけど、V60,70にはV30エミュレーションモードもあるし……
ま、どっちにしろWin95発売で独自路線はMSに殺されたようなものだけど。

Intelは後もCyrixとかAMDとかに外部互換CPUを許したものの、
PenII以降は(バスなど周辺を固める形で)排除して現在に至る訳で。
一方AMDは、別のバスを使う事でハード非互換ながらソフト互換で対抗。
212774ワット発電中さん:2009/10/12(月) 13:57:06 ID:djuaWHxT
AMDは苦戦しているから、今こそ、合従連衡のチャンス。
そろそろOSの改良も頭打ちになってきているから、OSもハードも
ごっそりひっくり返す。
213774ワット発電中さん:2009/10/12(月) 16:01:04 ID:Px1kLLey
NECってライセンス受けないパクリやってたのか、超恥ずかしな
そんなことやっていたから、ダメポNECエレになりでいよいよルネに吸収(実質)
昔は日本企業=パクリ会社って感じだったんじゃないのか?
パクれなくなり日本の半導体の天下終わったんじゃね?
214774ワット発電中さん:2009/10/12(月) 18:33:53 ID:XdV1B7Ff
パクリといい加減さなら、中韓企業には絶対に勝てないからな。
215774ワット発電中さん:2009/10/12(月) 18:51:30 ID:SqzxmiXq
DSPとFPGAってどういう関係なの?
お互い良好な関係保っているの?
216774ワット発電中さん:2009/10/12(月) 20:36:12 ID:wSgStJvS
ラブラブです。
217774ワット発電中さん:2009/10/12(月) 21:16:33 ID:Zcq5gJHP
ラブラブとかそんなのを超越した関係だと思うよ
218774ワット発電中さん:2009/10/12(月) 21:54:51 ID:np6h3QW/
そうか、これが友愛か!
219774ワット発電中さん:2009/10/12(月) 23:07:02 ID:7rB3g8Oj
FPGA:ハード育ち
DSP:ソフト育ち
220774ワット発電中さん:2009/10/13(火) 00:25:04 ID:w+xUQeTp
LatticeのispLEVERのエディタでホイール回すと1行ずつスクロールして遅すぎる。
Windows標準の3行ずつスクロールに変えられないのかな?
221くり:2009/10/13(火) 01:46:50 ID:AiR3PnIw
>>211
>独自の非互換CPUでパソコン作って売ってたら、また多少は変わってたのかもしれんなぁ。

 残念ながらNECにそんな度胸はなかったし、V60,70に対してCコンパイラひとつ、
直ぐには付けてよこさなかった。ましてやOSともなると・・・。

>>212
>AMDは苦戦しているから、今こそ、合従連衡のチャンス。

 もはや、日本の半導体産業にそんな余力は・・・。使える技術者の数も圧倒的
に不足しているし。

>>213
>NECってライセンス受けないパクリやってたのか、超恥ずかしな

 70年代までは、コンピュータや半導体に関して著作権の概念がそんなに
厳しくはなかった。ライセンスを受けていないセカンド・ソースが沢山あった
し、パクリ、パクラレの世界だった。もっとも日本は、もっぱらパクリ側
だったが。日立なんて、80年代になっても手癖が直らず、おとり捜査に
引っかかって恥晒している。

 ttp://ja.wikipedia.org/wiki/IBM%E7%94%A3%E6%A5%AD%E3%82%B9%E3%83%91%E3%82%A4%E4%BA%8B%E4%BB%B6

>>215
>DSPとFPGAってどういう関係なの?

 DSPが登場する以前は、デジタルの積和演算のための専用IC(乗算器+アキュ
ムレータ:各1個)を使って、デジタル・フィルタなどを組んでいた時代が
あった。が、さすがに段数が多くなると大変なので、1個の積和演算器を使い
まわすようにプロセッサ化したのがDSP。今のFPGAは内部で大量の積和演算器
を結線してフィルタやFFTを行うので、先祖がえりしていると言える。
 ちなみに、初期のDSPのシェアを2分したのがTIのTMS32010とNECのμPD7720。
特に前者は、早くからCコンパイラを出して来て、使いやすさが特徴だったが
長い間、浮動小数点化は行われなかった。後者はいち早く浮動小数点化した
μPD77230を出したが、Cコンパイラを付けることが出来ず、その後の結果は
ご存知のとおり。ある人は、このμPD77230のことを「戦艦大和みたいなDSP」
と呼んでいたが、当時、世界最高性能の積和演算部とそれに比例しないI/O部
の低性能、そしてアセンブラしかない使い勝手の悪さから、言い得て妙かと。

>218
>そうか、これが友愛か!

 そうです、これが友愛です・・・。って、「Change!」とか口先だけで、まだ1発
も削減していないのに賞金もらいに行く、どっかの恥知らずよりはマシかと。
友愛で、なんとか3兆近く、掘り出してるからね。
222774ワット発電中さん:2009/10/13(火) 02:47:53 ID:sjceTz69
>>221
DSP → 1個の積和演算器だけ搭載
FPGA → 腐るほどの積和演算器を搭載

ってこと?
223774ワット発電中さん:2009/10/13(火) 03:42:28 ID:+XSFvPW/
だからFPGAでは、フィルタとかの答えが1clockで出る。
DSPは、1つあるいは少数の積和素子をグルグル使い回す。
だから500tapのFIRフィルタの答えが、DSPでは500clock後、FPGAでは1clock後に出る。
Virtex6では、積和が2200個も入っているとか。素晴らしい。
224774ワット発電中さん:2009/10/13(火) 04:03:28 ID:6Ahp3eKJ
Freescaleあたりの最新DSPなら
1コア8並列MAC X 6コア X 1GHz駆動 とかじゃないかな?

結構な分野においてDSPで済んでしまうかも
225774ワット発電中さん:2009/10/13(火) 04:52:28 ID:2ah2vd2s
>なんとか3兆近く、掘り出してるからね
ここは笑う所ですね、っはっはっは〜
226774ワット発電中さん:2009/10/13(火) 11:05:52 ID:GFTmD6Df
>だから500tapのFIRフィルタの答えが、DSPでは500clock後、FPGAでは1clock後に出る。
それだとFPGAの動作速度はせいぜい 100KHz だけどね。
227くり:2009/10/13(火) 12:07:02 ID:AiR3PnIw
>>224
>Freescaleあたりの最新DSPなら1コア8並列MAC X 6コア X 1GHz駆動

 すべてが並列で動いて、演算器が遊んでいないというのが理論ピーク性能。
現実にはあり得ない条件だから、話1/10で見積もった方が良い。I/O部の
性能が、演算部の性能に見合ったものかどうかも問題だし。

>>226
>それだとFPGAの動作速度はせいぜい 100KHz だけどね。

 ?大丈夫?(最近の)FPGA、使ったことある?
228774ワット発電中さん:2009/10/13(火) 13:40:44 ID:k66N4Lkq
掛算がパラでできても足し算ができないんじゃね?
229774ワット発電中さん:2009/10/13(火) 13:48:09 ID:pyqPkz6M
そもそも、500clock後にならないと500tap分のデータがそろわない。
230>>224:2009/10/13(火) 14:01:30 ID:EBrYU5mc
>>227
うん、わかってる。
わかって言ってる。

最初の話がFIRフィルタ例題だったのでね。
複雑な分岐処理とか入り組んでくると話は違うだろうし、
1コア辺りでも命令並列度がそうも8並列化できるかという話もある。

フィルタ係数キャッシュ当たるだろうし、
逐次投入する新データも、取り込めば内部でシフトするだけだしね。

FIR例示しといて1/10は言いすぎかもね。

なんだか
>>223,227も>>226も両極端であり、ちょっと引くなあ
231774ワット発電中さん:2009/10/13(火) 16:42:47 ID:F7iekmFa
>>222
言い得て妙かと。

>>223
どっかの恥知らずよりはマシかと。
232774ワット発電中さん:2009/10/13(火) 18:24:06 ID:llPplrYV
DSPとFPGAのコストパフォーマンスってどうなんだ?
まさか1万のFPGAと2000円程度のDSPの性能比較なんてな馬鹿なこと
してないよな。

お前らの会社は製品発売開始後に機能追加・性能うpとかいってFPGAのコンフィグ
変えるとかやっている? マイコン・DSPのファームではよくあるんだが、FPGAなんかじゃどう?
233774ワット発電中さん:2009/10/13(火) 20:31:44 ID:LCPvkChA
>製品発売開始後に機能追加・性能うpとかいって
実はバグフィックスですね、わかります。
234774ワット発電中さん:2009/10/13(火) 20:58:43 ID:x0yTi2zF
サービスパックという名の・・・・
235774ワット発電中さん:2009/10/14(水) 00:19:51 ID:G+8eA+LB
LatticeのFPGAはDSPの代わりに使えそうだと思ったけどな。
価格的にも。
236774ワット発電中さん:2009/10/14(水) 01:10:54 ID:AxFHxuei
digikeyだとspartan3a 400k(乗算器20個)が2000円ですね
237774ワット発電中さん:2009/10/14(水) 03:04:47 ID:9G4fyjA7
>>232
うちは製品発売後に2、3回ぐらいアップデートします。

製品にもよると思うけど他社はどんな感じでしょうか。



238774ワット発電中さん:2009/10/14(水) 08:14:01 ID:mFUcS8Km
>パクリ、パクラレの世界だった。
甲板を蹴って発進する足つき戦闘機開発計画とか
衛星でレーザーを反射させてICBMの迎撃計画とか・・
239774ワット発電中さん:2009/10/14(水) 10:17:29 ID:5evu7n9U
FPGAも64pinぐらいの大きさが便利だと思うんだけどな
240774ワット発電中さん:2009/10/14(水) 11:54:15 ID:8gkWIjeb
>digikeyだと
商社から買わないの?
零細すぎて相手されないの?
241774ワット発電中さん:2009/10/14(水) 12:38:49 ID:/CZDV950
商社から買うと何かいいことあるのかな?
242774ワット発電中さん:2009/10/14(水) 12:48:41 ID:4jO7vWmW
っていうか商社が出した価格をネットに書くわけにはいかんだろ
243774ワット発電中さん:2009/10/14(水) 16:18:28 ID:6EzNImcs
だしちゃえ、だしちゃえ☆
244774ワット発電中さん:2009/10/14(水) 18:42:05 ID:MdYQLTS0
このスレの中だけでいいよ。出しちゃえば気持ち良いよ。
245774ワット発電中さん:2009/10/14(水) 21:48:48 ID:Y2gPZadU
FPGAのアルゴリズム集とかない? エッジだとか、重心だとか、書いていたら
段々めんどくさくなってきた。パクれるソースないかなー。
246774ワット発電中さん:2009/10/15(木) 00:09:52 ID:/w/h9Q7C
FPGAのアルゴリズム?ってなんのことだっけ?
247774ワット発電中さん:2009/10/15(木) 01:16:21 ID:1C84GLMC
>>241
ん?
digikeyって承認図もらえるの?
248774ワット発電中さん:2009/10/15(木) 01:53:44 ID:vo0kPlh5
商人図?
RSならプレゼントいろいろもらえるみたいです(^。^)。
そろそろCEATECの戦利品レポート書かないと(>_<)
249774ワット発電中さん:2009/10/15(木) 08:33:08 ID:qO0KbFnc
>>245
俺もラベリングとか重心とか書いてるけど、参考になるソースが凄く欲しい。
案外落ちてない。
250774ワット発電中さん:2009/10/15(木) 16:54:15 ID:+xD+WAF5
>>246
「FPGAで使える画像処理アルゴリズム集」とエスパーしてみる
251774ワット発電中さん:2009/10/15(木) 23:00:12 ID:/w/h9Q7C
>>250
だとしたら、画像処理アルゴリズム集は大量にあるんじゃないかな?
IPかRTLのソースということなら、(FPGA)デバイスごとに用意することに
なるわけで、それはベンダ次第だろうし。
252774ワット発電中さん:2009/10/16(金) 00:14:19 ID:+VnDMXeE
C言語でいうところのcomplex.hみたいなもんがほしいんジャマイカ?
253774ワット発電中さん:2009/10/16(金) 08:32:17 ID:KDjNaDoM
VHDLのソースがあっても、見てもわからんだろな。解読するくらいなら
作ったほうが速い。
図入りの解説付きで、ソースがあれば、1万くらいならバンバンうれるん
じゃまいか?
254774ワット発電中さん:2009/10/16(金) 11:13:09 ID:jl7CGZ1D
>253
バンバンは売れんだろう。

汎用のライブラリーで作ったとしても、それが動く環境とか条件とか制限を受けると思うよ。
ソフト単体って事はなくて、信号入力ハードウェアとセットでだったらありそう。

NTSC入力で、10種類くらいのライブラリーを入れて作ったら10万位だったら安いと思う。
IPを実装済みにして、貸し出しとかやったら使って見て欲しいと思うユーザーがちょろちょろいるくらいかな?
255774ワット発電中さん:2009/10/16(金) 13:11:50 ID:ImL53lN/
よーし、パパ、シリパラ変換の IP 作って売っちゃうぞ!
256774ワット発電中さん:2009/10/16(金) 14:38:41 ID:RA4tSWB+
サポート付きだったら3万でも売れるだろう。
257774ワット発電中さん:2009/10/16(金) 16:03:24 ID:KDjNaDoM
 今はVHDLでやっているから、めんどくさいんだけど、たとえばシステムC
とかだと簡単なんだろか? 
 依頼されるのがVHDLなんで、VHDLしか知らないが、Velilogはかじったくらい
だけどもっと簡単だった気がする。VHDLはやたら面倒。特にポートマップが
嫌い。


258774ワット発電中さん:2009/10/16(金) 16:39:20 ID:lFv/IGS/
ポートマップって何ですか?
259774ワット発電中さん:2009/10/16(金) 21:37:47 ID:FmC19diU
横浜には象の鼻パークというのがあってだな…
あと、今はオクトーバーフェストで盛り上がっているぞ。
260774ワット発電中さん:2009/10/17(土) 10:15:30 ID:nQHDL6mT
もともと普通にロジックIC使うつもりで設計した回路を、
実装スペースの都合でCPLDに置き換えを検討中。
今回みたいに回路図がある場合、何を使って記述するのが便利?

……まだ石も決めてないけどさw
261774ワット発電中さん:2009/10/17(土) 10:17:19 ID:sjnsarNq
何も考えなければ回路入力とか?
これを機会にVHDLとかで記述しなおしても良いとは思うけどね。
262774ワット発電中さん:2009/10/17(土) 16:41:59 ID:gwOqXDB3
>>260
IDにもHDLが含まれているゾ 吉兆だ
263774ワット発電中さん:2009/10/17(土) 16:57:44 ID:dfn98kf3
えっ、船場吉兆?
264774ワット発電中さん:2009/10/17(土) 18:11:46 ID:oTXrbt39
>>260
SystemCだな
265774ワット発電中さん:2009/10/17(土) 21:42:55 ID:6yiufRRD
>>264
いきなりソレかよwww 酷いwww
266774ワット発電中さん:2009/10/17(土) 22:23:09 ID:kyz3cMJW
>>264
エシェロン様
267774ワット発電中さん:2009/10/17(土) 22:29:05 ID:nQHDL6mT
>261
回路図での入力なら、直感的に操作出来るから便利だな。

>262
QHDLってことは、VHDLの……?w

一応、XillinxのISE(WebPack)をインストールしてはある……んだけど、
なぜかProject Navigatorを叩いてもウィンドウ枠が描画されるだけで何も起こらないorz
再インストールするか。
268>>262:2009/10/17(土) 23:12:45 ID:SVKl1X5Q
>>267
クラゲエントリーならば、
本当ならばALTERAのMAX+Uが素晴らしかったですよね。
でももう旧世代だし
もしXが駄目っぽいなら、ようこそQuartusUの世界へ
269774ワット発電中さん:2009/10/18(日) 01:58:43 ID:QXYE8UZk
>>260
回路図があるならTTLライブラリを使ってschで書くのが一番早いかもね。
何も考えずに、部品おいて配線するだけ。
動かなかったら回路図を設計した香具師にデバッグさせればいい。

タイミング図とかがあるなら言語で書くといいかも。
経験ないなら手ごろな練習台に使える。
270774ワット発電中さん:2009/10/18(日) 15:43:37 ID:i+qQ3URf
>>260はそん事すら自分ところでで決めらなくて、ここで相談って
何をしているんだ? 仕事じゃないよな
271774ワット発電中さん:2009/10/18(日) 17:21:07 ID:eHwhjcvB
>269
自分で設計してるよ。もちろんタイミング図もあるよー。
回路を構成するロジックの大半はシフトレジスタ、データラッチ、
カウントダウンタイマを使った同期回路だからタイミング図は必須。

>270
もちろん、趣味でやってる。
CPLDは今まで全く手を出してなかったから、手探り状態だ。

仕事は純粋なソフト屋で、最近はVBで製造設備関係の保守。
ヘタなバグ仕込むと製造ラインが止まる恐怖w
272774ワット発電中さん:2009/10/20(火) 01:32:37 ID:2AtmqKnN
273774ワット発電中さん:2009/10/20(火) 17:17:07 ID:RCz6Pgy4
XilinxとARM提携発表したね。
274774ワット発電中さん:2009/10/20(火) 21:34:47 ID:gkoDXjyb
い一体何が始まるんです?
275774ワット発電中さん:2009/10/20(火) 21:46:31 ID:eqsESih7
Coretex-X1
276774ワット発電中さん:2009/10/20(火) 22:10:47 ID:0zwVL5y5
Coretex-X0
Coretex-I0
Coretex-L0
Coretex-I1
Coretex-N0
Coretex-X0
277774ワット発電中さん:2009/10/20(火) 22:12:52 ID:7/fApbzn
X0がダブってるよ。
278774ワット発電中さん:2009/10/20(火) 22:48:50 ID:3Yal1PSb
PPCやめてARMにするらしいよ。
279774ワット発電中さん:2009/10/21(水) 00:23:33 ID:KpLRtj3A
PPC版ってファブIBMだったのかな?
そうならば、呪縛から抜けれて嬉しい とか?
280774ワット発電中さん:2009/10/21(水) 00:28:12 ID:vXNQrbz5
Apple−IBMの共同開発じゃなかったっけ?
281774ワット発電中さん:2009/10/21(水) 07:10:35 ID:eDuLRm5x
初歩的な質問をさせてください。

2つのassign文AとBがあり、互いにその結果に影響される時ですが、
たとえば、Aが遅延カウンタでBはカウンタが一定値になるとクリア信号を出す。
Aはクリア新ゴアが出た時にカウンタをクリアする。

というものなのですが、こういう互いに影響しあう場合、タイミングがずれる恐れは無いのでしょうか?
282774ワット発電中さん:2009/10/21(水) 08:14:58 ID:DgzE721R
日本語で(ry

記述を出したら?
283774ワット発電中さん:2009/10/21(水) 08:46:32 ID:EYt7u/rs
タイミングチャートを書くことをおすすめする
284774ワット発電中さん:2009/10/21(水) 09:47:34 ID:4wne0DUq
>>281
assign文は、ふつう一直線な回路にしか使わないと思う。
2つのassign文が絡み合うというのは、おかしいんじゃないかな。
自分の出力が、回り回って、自分の入力になり自分の出力を決定するのって、
何か変な感じがするし。
285774ワット発電中さん:2009/10/21(水) 11:25:08 ID:F1lMG0oZ
assignと記述しているのに中身はregになっているのかもしれん
286774ワット発電中さん:2009/10/21(水) 11:29:18 ID:DgzE721R
>>284
つ RSフリップフロップ
287774ワット発電中さん:2009/10/21(水) 21:33:46 ID:UZ+ZaXyG
>>281 の記述を考えてみる

reg [3:0] A;
wire B;

always@(posedge CLK or posedge B) begin
if(B == 1'b1)
A <= 4'b0000;
else
A <= A + 4'b0001;
end
assign B = (A == 4'b1111) ? 1'b1: 1'b0;

こんなかんじ?
あれ、assignじゃないし。
Bのハザードが、、
面倒なんでやめた、、、
288774ワット発電中さん:2009/10/22(木) 06:35:54 ID:/f7t52vg
ところで、どうして順序回路をフリップフロップ、いや、フリップフロップを順序回路と和訳したのでしょうか?
組み合わせ回路と順序回路がどっちがどっちで・・・となるのでぜひ知りたいです。
289774ワット発電中さん:2009/10/22(木) 09:36:24 ID:i1zF5zy6
フリップフロップを 順序回路とは訳さないでしょ。
動作が、まるで紙を裏返したり表に戻したりということで、フロップフロップと言う
290774ワット発電中さん:2009/10/22(木) 09:54:41 ID:2bRW0Bha
・Combinational circuit → 組合せ回路
・Suequential circuit → 順序回路

順序回路は原理的に状態保持機能が必須なため
ほとんどの順序回路の実装でFFを使用してるってだけの話
291774ワット発電中さん:2009/10/22(木) 10:09:22 ID:ExqU7bmZ
すこしまえにFPGA,およびCMOSセンサー焼損の失敗をさらしたものです

結局原因はUSBからの5Vを単純に3端子で受けたということになりそうです。
また皆様からあほ馬鹿としかられそうです。

なお設計者はロシア系アメリカ人です。
最近棒ベンダーがつぶれましたがこれも一員ありそうでしょげてます。
基本的にはロシア人=無責任+暗い、アメリカ人=そのとき動けばいい
と思っとります。
292774ワット発電中さん:2009/10/22(木) 17:30:12 ID:/EnTBlf4
>>288
>組み合わせ回路と順序回路がどっちがどっちで
組み合わせ回路は入力の「組み合わせ」だけで値が決定する
順序回路は入力信号の「順序」によって値が変わる
293774ワット発電中さん:2009/10/22(木) 17:46:06 ID:DIyn9GwS
順序で決まるわけじゃないぞ。
294hqWuVSqgCtsa:2009/10/22(木) 21:12:07 ID:HwDK0R9f
Nervous breakdown, I am afraid. ,
295774ワット発電中さん:2009/10/22(木) 21:14:41 ID:45sYDB+R
無理に定義をきめなくてもw
296774ワット発電中さん:2009/10/23(金) 07:08:07 ID:vK9bZYUa
回路の違いはない。概念の違いだ。
297774ワット発電中さん:2009/10/23(金) 09:48:48 ID:Y9fOLIgT
actelの65ナノへの以降は来年になるようだな。
298774ワット発電中さん:2009/10/23(金) 12:51:23 ID:aZJa0+jv
AVボードって何の為にあるの?
299774ワット発電中さん:2009/10/23(金) 12:57:45 ID:S0UBiAnH
alteraだけど、一部VHDLを公開したいのだが、残りをIP化っていうか
ライブラリ化ってできるの?
300774ワット発電中さん:2009/10/23(金) 17:30:19 ID:vK9bZYUa
腰ぬけ!
男ならソースコード全部をさらせ!!!
301774ワット発電中さん:2009/10/23(金) 17:33:20 ID:pVBRzoAv
>>300
ワロタ
302774ワット発電中さん:2009/10/23(金) 18:29:48 ID:IhDYSI2z
XC95辺りのCPLDで74HC4053相当の
マルチプレクサ/デマルチプレクサ(入出力がどっちになるか不定)
を作りたいんですが、可能でしょうか?
303774ワット発電中さん:2009/10/23(金) 18:37:59 ID:BQI1N8nU
74HC4053ってアナログだったっけ?
XC95のみだと無理じゃないでしょうか。
304774ワット発電中さん:2009/10/23(金) 19:10:34 ID:IhDYSI2z
アナログじゃなくていいです(CPLD側の閾値のon/offで信号が出れば)
305774ワット発電中さん:2009/10/23(金) 19:17:00 ID:BQI1N8nU
けど双方向なんですよね?
306774ワット発電中さん:2009/10/23(金) 19:17:44 ID:IhDYSI2z
やっぱ入出力の方向定めないとだめでしょうか?
そういう意味で4053は楽だったので大量に使いたかったんですけど
CPLDで作れれば基板面積小さくて済むかなと
307774ワット発電中さん:2009/10/23(金) 19:23:13 ID:BQI1N8nU
回路上方向を固定するか
別の信号で方向を切り替えるようにするか
とかしないと無理ではないでしょうか。

というか自分は思いつきません。
308774ワット発電中さん:2009/10/23(金) 19:25:06 ID:IhDYSI2z
なるほどだからアナログなのかな・・
1線で入出力が変わるようなシリアルバス信号を、
経路を変えてそのまま通したい場合、何か定石があるでしょうか?
デジタルでやるならプロトコルを知ってないとだめということ?
309774ワット発電中さん:2009/10/23(金) 19:34:14 ID:BQI1N8nU
電気的に切り替えるとなると74HC4053みたいなものを使用するしかないかもです。

完全にデジタルにするにはそのバスを受信して別のバスに送信するような
機能が必要になるんではないでしょうか。
310774ワット発電中さん:2009/10/23(金) 19:44:13 ID:kNS5n/UJ
何のバスか不明ですが、I2Cなんかはそれ用のスイッチやリピータがあった気がします
4053の回路構成を見れば分かりますが、普通のトライステート構成のIOでは
アナログ双方向の信号を伝えるのは無理です
311774ワット発電中さん:2009/10/23(金) 19:50:02 ID:TAIV2BEb
こんな便所の落書き板に質問する程度のレベルなら
アナログスイッチ使ってたほうがいいだろね.
無理やりやろうとすると,綱渡りみたいなことをしないといけないから
312774ワット発電中さん:2009/10/23(金) 19:55:24 ID:IhDYSI2z
よくわかりました
これからも74HC4053を愛用していくことにします
313774ワット発電中さん:2009/10/23(金) 20:12:58 ID:oIYx1lzW
アナログスイッチ内蔵のFPGAとかCPLDって無いかな?
314774ワット発電中さん:2009/10/23(金) 20:28:58 ID:wWtr9LdI
LVDSのレシーバを・・・
315774ワット発電中さん:2009/10/24(土) 00:29:26 ID:SaStqnuN
>>313
PSoCは?
AVNETから出てるSpartan3AやSpartan6のボードにも載ってるよ。
316774ワット発電中さん:2009/10/24(土) 00:54:59 ID:6RBalSKy
>>313
デジアナを混ぜるつもり?
317774ワット発電中さん:2009/10/24(土) 02:03:11 ID:FE1wvhVa
>アナログスイッチ内蔵のFPGAとかCPLD
PSoC3/5は入ってたような気もする
318774ワット発電中さん:2009/10/24(土) 02:08:06 ID:njmu1Pm6
気もするじゃなくて、ちゃんと調べてから発言してくれないかね
PSoC厨の宣伝はうんざりしてるもんで
319774ワット発電中さん:2009/10/24(土) 02:16:08 ID:uyogdRYu
>318

なんだ、話題のチップを知らんのか、軽石。
320774ワット発電中さん:2009/10/24(土) 09:06:38 ID:FE1wvhVa
何で>318のご機嫌とりなんかしなくちゃいかんのだ?
嫌ならNGワードにでも指定しとけ

PSoC3/5ならアナログスイッチもCPLDも入ってる
ついでにALUやDMAもあるから何かと便利に使えそうだ.
321774ワット発電中さん:2009/10/24(土) 10:03:17 ID:ZMEhoHTE
アナログ・デバイセズの高精度アナログ・マイクロコントローラ
tp://www.analog.com/static/imported-files/jp/overviews/Precision_Microcontrollers_J.pdf
322774ワット発電中さん:2009/10/24(土) 10:24:46 ID:FE1wvhVa
アナログスイッチ(ピン間を直結状態にできる)じゃなくて,
アナログマルチプレクサ+A/Dのように見えるが
323774ワット発電中さん:2009/10/24(土) 12:30:16 ID:jHIj4j07
元の話は74HC4053だしね。
324774ワット発電中さん:2009/10/24(土) 15:20:47 ID:EBUYE5xe
>>318
PSoCスレにも出入りしてるのに、うんざりもなにもあるまい。
格安FPGAボードとしても使えるから、リンクでも貼っとくかね。

http://www.em.avnet.com/spartan6lx-evl
http://avnet.co.jp/design/Kits/xilinx/AES-SP3A-EVAL400-G.asp
http://avnet.co.jp/design/Kits/xilinx/AES-S6EV-LX16-G.asp
325774ワット発電中さん:2009/10/25(日) 11:25:05 ID:AvRJSID5
Spartan-3AでPCIボードを設計しようと思います。
何か気を付けることはありますか?
326774ワット発電中さん:2009/10/25(日) 11:36:05 ID:PyYpwI3s
>>325
対応スロットの電源電圧
327774ワット発電中さん:2009/10/25(日) 13:00:28 ID:AvRJSID5
>>326
ありがとう。了解です。
Spartan3AのI/Oの割り付けは以下のように考えています。
問題あれば指摘してもらえると助かります。
(1)PCKクロック(33MHz)はGlobal Clockのいずれかに接続。
(2)基板のパターン配線のしやすさを優先して、Slartan3AのIOピンに
PCIバスの信号を適当に接続する。(ただし全信号を同一バンクに接続)
(3)コンフィグレーションROMはSPIフラッシュを使いたいが、PCIレジスタ
の読み出しに前にコンフィグレーションが完了するかちょっと不安
以上、よろしくお願いします。
328774ワット発電中さん:2009/10/25(日) 23:48:13 ID:me9aKPhX
軽石の本名なんだっけ?
329774ワット発電中さん:2009/10/26(月) 01:12:00 ID:sXK92f4B
>>314
ピンに制約あるよ
330774ワット発電中さん:2009/10/26(月) 02:39:15 ID:dFF7TcVi
近藤@日湘
331774ワット発電中さん:2009/10/26(月) 08:53:19 ID:TCnUzIj6
>以上、よろしくお願いします。
なんか,態度が図々しい
332774ワット発電中さん:2009/10/26(月) 12:01:40 ID:Bg/ZErnL
↑ そう思ったら答えるな。アフォー。
333774ワット発電中さん:2009/10/26(月) 17:55:46 ID:Qd0Deeqp
ありがとう。了解です。
Spartan3AのI/Oの割り付けは以下のように考えています。
問題あれば指摘してもらえると助かります。
(1)PCKクロック(33MHz)はGlobal Clockのいずれかに接続。
(2)基板のパターン配線のしやすさを優先して、Slartan3AのIOピンに
PCIバスの信号を適当に接続する。(ただし全信号を同一バンクに接続)
(3)コンフィグレーションROMはSPIフラッシュを使いたいが、PCIレジスタ
の読み出しに前にコンフィグレーションが完了するかちょっと不安
以上、よろしくお願いします。
334774ワット発電中さん:2009/10/29(木) 11:16:31 ID:GcaNLtAu
ttp://www.tokudenkairo.co.jp/sp6brd.html
これ買った人いますか
335774ワット発電中さん:2009/10/30(金) 01:17:01 ID:IA7BAzWt
>>334
それ、ES品で作ったやつでしょ。
ま、ES品を商社がどこからか仕入れて売っちゃうってのもカオスだけど。
まさか、xilinxはES品を売ったりしないよね。
336774ワット発電中さん:2009/11/02(月) 11:21:44 ID:xQk5ZrQS
きせい
337774ワット発電中さん:2009/11/02(月) 11:22:28 ID:xQk5ZrQS
XはESの付いたのも普通に売るぞ
338774ワット発電中さん:2009/11/02(月) 11:30:03 ID:q1xJEelg
ESって言っても量産マスクと同じならば無問題では?
(つまりESとして最終品であり改修なしなやつ)
339774ワット発電中さん:2009/11/03(火) 00:14:36 ID:ZXX3ZddU
無問題ならタダで配らんだろう。
340>>338:2009/11/03(火) 01:36:18 ID:+R8xdjCX
ES配布と平行検証中はタダで配るんだろう。
で、検証済んだ段階から量産突入するだろうが、
ES扱い品は多少余ってるんで売ったんじゃないの?
時系列上の扱いの違いだけなんじゃ?
341軽石:2009/11/03(火) 02:33:40 ID:mXhDUtat
CQ出版のStratix開発キットもEP1S10のES版みたいです(^o^)
只ではもらえませんでした(><)
342774ワット発電中さん:2009/11/03(火) 07:43:10 ID:CRBVvGWf
ガンダムもサイド7で検証してたES品だけど普通に使えてたよ?
343774ワット発電中さん:2009/11/03(火) 10:26:48 ID:M9fho/Gr
メーカーは「ES品は製品に組み込まないでね」ってゆうんじゃないの?
344774ワット発電中さん:2009/11/03(火) 11:31:18 ID:Swa6fQWZ
例としてES1,ES2,ES3(問題解決量産判断)

とかなら1,2は使うなでしょ。
3はかまわないんじゃないの?

ESといってもリビジョンあるだろうし、
時系列の話もあるし、
ぜんぶ一緒くたに話すのはどうかと思うが。。。
345774ワット発電中さん:2009/11/03(火) 11:32:17 ID:t3/lme6A
まだES品しかないようだが。
XIの純正評価ボードもES品だし
このままES品しかでなくて終わるんじゃね?

346774ワット発電中さん:2009/11/03(火) 11:35:19 ID:kpkdyOoO
FPGAじゃないけどエラッタ山盛りのES品を売っているメーカもあるよ。
(たぶんチップの評価目的)エラッタの情報が開示されてれば
問題ないんじゃないかな。
347774ワット発電中さん:2009/11/03(火) 13:37:22 ID:wCW64Dk+
エラッタとして処理されればマシだな。
データシートからこっそり削除するところもあるし。
でもWebにはまだ記載されてるというのは何の罠だw
348774ワット発電中さん:2009/11/03(火) 14:19:17 ID:XpsfXQTy
>>341
書籍扱いは、流通マージンがでかいよ。
あの値段ならStratixはタダでもらってると思われ。
ってか、数的にいって、量産品にESの捺印をしたくさい。タダで出荷するために。
メーカー純正とか公認の評価ボードの場合、売価を下げるためにありえる話。
349774ワット発電中さん:2009/11/03(火) 14:46:59 ID:InQJvgYB
>>346
どこの製品か気になるなw

そういえば、Motorola(現Freescale)のPowerQUICCもドエライエラッタリストで吹いたなw
RevA、RevB、RevC とか改版するけど延々直ってない項目とかw
ちょっと懐かしい
今現在もそうかは知らないけど
350774ワット発電中さん:2009/11/03(火) 15:12:42 ID:TIzW0MY4
ロジック的には簡単に直せても、
レイアウトに影響するようなエラッタは
直さないことがあるからなー。
インテルにてもそうだし。
351774ワット発電中さん:2009/11/03(火) 15:17:35 ID:is+MVQAk
>>346
>>ES品を売っているメーカ
それさぁ、メーカと直取引で買ったのか?
直取引でないなら、商社がダークなルートから仕入れたんじゃないの?
インチキ商社なら、儲かりさえすれば、女体でも白い粉でも売るけど、
ICメーカがES品の注文を受けて売ってるなら、世も末だな。
352774ワット発電中さん:2009/11/03(火) 15:25:40 ID:InQJvgYB
微細化が進むと
マスクコストと特性面とでそうそう安易にイジれなくなるよね
353774ワット発電中さん:2009/11/03(火) 15:51:21 ID:FESeqWZQ

>ってか、数的にいって、量産品にESの捺印をしたくさい。タダで出荷するために。
>メーカー純正とか公認の評価ボードの場合、売価を下げるためにありえる話。

ありえないw
354774ワット発電中さん:2009/11/03(火) 17:57:17 ID:hvglwHHF
Spartan-3Aスタータキットの拡張コネクタにFT2232Hを接続する拡張基板を設計した。
こんなの誰もいらないよね。
355774ワット発電中さん:2009/11/03(火) 21:16:35 ID:sDe/tVq/
>>353
何か大人の事情がからんでるんだろうけど、
本物のESにしちゃ、多すぎる気がす。
356774ワット発電中さん:2009/11/03(火) 22:08:48 ID:0jt8LcjV
うーん、
なんかあるのかねえ。

そういえば、Xの45/40n世代からは東芝落選してUMC&サムスンになったよね。
オイラの記憶だと40UMC、45サムスンだった気もする。

45nm=S6だから、アレか、法則発動 とかよく茶化されるやつ。
きっとリーク電流が酷いやつとかじゃないのかなあ?
357774ワット発電中さん:2009/11/03(火) 23:39:55 ID:kpkdyOoO
>>351
メーカの直販通販サイトで売ってます。
358774ワット発電中さん:2009/11/03(火) 23:48:31 ID:kpkdyOoO
ちなみにそれはPSoC3ってマイコンのことです。
現在メーカが販売している評価ボードにもES2品が実装されているのですが
そのES2品のチップ自体も直販サイトで購入できるようです。

ttp://www.cypress.com/?id=2218&shopID=2232
型各にES2がついているものがカートに入れられる。

ちなみにエラッタはここ
ttp://www.cypress.com/?rID=35179

みるとわかりますがES3で治るよ〜〜って項目が沢山あります。
359774ワット発電中さん:2009/11/03(火) 23:59:04 ID:u6bBffZO
>>358
ついに世も末か。
けど、カートに入れたら$0-になってた。
誰か、まじめに買えた人いるかなあ。
360774ワット発電中さん:2009/11/04(水) 10:28:09 ID:G5vEwIzA
>>354
欲しい
どんなの?
361774ワット発電中さん:2009/11/04(水) 14:43:35 ID:zqxot6lf
>>344
そういう問題じゃないよ。
PSoC3とかは、新コンセプト商品だから特例として、通常のESなら、シリコン
自体は、量産と同じ。 そうでなきゃ、ユーザの試作機がちゃんと動作テスト
できないでしょ。
けど、ICメーカは試作用部品として無料でユーザに渡してるんだから、転売したり、
商品に搭載するのは、ルール違反。

あとメーカにもよるだろうけど、ESは動作テストがちゃんとされてないから、
エラッタと別に不良率が高い。 全然動かない石なら、複数台の試作ですぐ
わかるけど、特定機能だけ動かない中途半端な不良品だとICのデバッグなんだ
か、HDLのデバッグなんだかわからなくなる。
362774ワット発電中さん:2009/11/04(水) 15:20:57 ID:mOd5yhAK
>ICメーカは試作用部品として無料でユーザに渡してるんだから

361はどのICメーカーがどんなES品を無料でくれるのか教えてくれ。
俺もほしいから
363774ワット発電中さん:2009/11/04(水) 16:11:21 ID:8d2rF5fl
Xの赤い方の代理店
364774ワット発電中さん:2009/11/04(水) 17:04:59 ID:6VvHnij/
赤い代理店は少なくとも2つあるし、それじゃどのデバイスかもわからんな
ES品をタダってのは、せいぜい1個か2個もらったっていう程度だろ

あまり、メーカーや代理店の信用を汚すことを妄想でいわないほうがいいと思うよ
365774ワット発電中さん:2009/11/04(水) 18:42:33 ID:nh0ZGjsb
バケラッタ?
366774ワット発電中さん:2009/11/04(水) 23:38:16 ID:ODFufTl4
>>364
まあ、落ち着きなよ。
ICメーカもボランティアでESを配ってるわけじゃない。
正規量産品をたくさん買ってくれそうなところにしか回ってこないよ。
日頃からX製品を買ってる仕入先に聞いてみるといい。
年間100万くらいの小口取引でもESくらいはくれるんじゃないかと思うが、無理かなあ。

にしても、Xのbuyonlineからlinkされてるnuhorizonsのって、Xは売ったんだろうか?
それとも、無料で渡したのを勝手に売ってるんだろうか?
やっぱり、もう21世紀だからES品も売買されるのがデフォなのかね
367774ワット発電中さん:2009/11/05(木) 02:00:11 ID:9iFbMeMB
モトローラのMC68060あたりは
最後までES品のXC68060しか
出回らなかったと聞いているが・・・
368774ワット発電中さん:2009/11/05(木) 02:22:43 ID:3xO6v/im
たとえばMC68060RC50なら、こんなとこで買えるらしい。
http://www.freescale.com/webapp/shoppingcart.buynow.framework?partnumber=MC68060RC50
Arrow Electronicsは在庫9だとか。
369774ワット発電中さん:2009/11/05(木) 09:12:19 ID:hahO4jMy
>ICメーカもボランティアでESを配ってるわけじゃない。
>正規量産品をたくさん買ってくれそうなところにしか回ってこないよ。
ESは流通に乗った製品です。CSと同じような値段で売ってます。
あまり誤解を生じさせるようなことは書かないほうが良いかと。

もし有力代理店とか評価ボードメーカーに無料で配ってるのがあるとしたら、ESになる前のもっともっと前のサンプルでは?さすがにそれを流通させたらまずいだろうけど。

>にしても、Xのbuyonlineからlinkされてるnuhorizonsのって、Xは売ったんだろうか?
普通に仕入れて、販売してるんでしょう。
XのES品は、Digikey、Avnet(米国)、NuhHorizonで売ってる。
Aなら、Stratix IVがDigikeyで売っています。
どれもCS品と同じような値段で。

>それとも、無料で渡したのを勝手に売ってるんだろうか?
だから、どうしてそう腹黒い推測をしたがるの?

>やっぱり、もう21世紀だからES品も売買されるのがデフォなのかね
前世紀からそうでしたが何か。
370774ワット発電中さん:2009/11/05(木) 12:53:27 ID:2HIIOQ7G
>それとも、無料で渡したのを勝手に売ってるんだろうか?
心と財布がしみったれてる考えだねw
371774ワット発電中さん:2009/11/05(木) 13:17:18 ID:m8fe34F7
>>370
ESとはいえ、リフローするICなら湿度管理がいるし、
IC自体が無料でも、小分けして、再密封まで無料はきつい。
372774ワット発電中さん:2009/11/06(金) 15:37:32 ID:wqOjLQdM
だから、ESでもICは無料じゃないってば
373774ワット発電中さん:2009/11/06(金) 15:45:46 ID:y9H9TtNF
サンプルは無料だろ、JK
374774ワット発電中さん:2009/11/06(金) 15:53:03 ID:5uFQ0W8H
女子高生のサンプル?
375774ワット発電中さん:2009/11/06(金) 16:00:24 ID:sYKojqpy
サンプル=無料と思う奴おおすぎ。
FETのES品なんか現在のES無しの2倍の価格で買わされたよ。
376774ワット発電中さん:2009/11/06(金) 19:30:47 ID:yOlk9068
ESの代金は、LSIを製造する時のマスク代などの初期費用に含まれる
手作業が多い分、一個当たりの製造コストはかなり高いはず

別にESばらまくこと自体は企業の勝手でしょ
ただし「品質保証」に対するモラルを疑われてもしかたない
全ては結果責任
377774ワット発電中さん:2009/11/06(金) 20:04:02 ID:sYKojqpy
新しいデバイスとか何処よりも速く評価しておきたいとかあるからね〜。
378774ワット発電中さん:2009/11/06(金) 21:38:15 ID:uCS1Ab1R
エンジニアリングサンプルとちょっと違うけど、

数個でも入手できるのがサンプル。
10Kロットで単価120円のデバイスがサンプルだと2個セット8000円。

っていうメーカーもある。
379774ワット発電中さん:2009/11/06(金) 22:09:50 ID:LMr6Aj+R
>373
軽石さん、よく知らないことは無理に回答しないほうがいいですよ。
380774ワット発電中さん:2009/11/06(金) 23:28:16 ID:2T/kRRaP
>>369
engineering sampleでググったら、君にぴったりの台詞がみつかったよ。

 ttp://kamochan.at.infoseek.co.jp/semi-j.html

ここの一番下のほうに

>>#たまに、悪用されるお客様がいるようです(^^;)

ICメーカも、どうせ悪用されるなら、もう最初から有料で出荷
しようって流れなんだね。
381774ワット発電中さん:2009/11/06(金) 23:40:37 ID:2T/kRRaP
しばらく書けなかったから連投スマソ。
一応、これも貼っとこう

http://www.xilinx.com/warranty.htm

の「Legal Disclaimer for FPGA Engineering Samples」
の部分、誰か日本語訳よろしく。探せば日本語版もあるかな
382774ワット発電中さん:2009/11/07(土) 00:46:27 ID:yww+PwRa
単価が安いCS品ならタダでくれるね。チップトランジスタなんかは1レール50pcs位とか・・
FETのES品は10万位したけど;;
383774ワット発電中さん:2009/11/07(土) 07:20:06 ID:1qKRy8hp
>>1-382
おまえらエンジニアリングサンプルとサンプル請求の違いぐらい理解しろ
384774ワット発電中さん:2009/11/07(土) 09:59:30 ID:5UbLsm6w
Cyclone3ってコンフィグレーションROMにSPIフラッシュ使えますか?
専用FLASHは高そうで。
自分ではまだ何も調べてません。
385774ワット発電中さん:2009/11/07(土) 10:23:54 ID:pVLhSmkV
使えないよ
386774ワット発電中さん:2009/11/07(土) 10:36:15 ID:5UbLsm6w
>>385
ありがとうございます。
えっ、使えないんですか。
それではXilinxを使い続けようと思います。
Cyclone4はどうなるのかな?
387774ワット発電中さん:2009/11/07(土) 10:47:02 ID:pVLhSmkV
特にアナウンスしていないから4でも使えないんだと思う
Alteraはそっちの方向をサポートするつもりがないんだろう

地味だけどSPIシリアルが使えるのって便利だよね
FPGAで不具合出しても結構簡単にフィールドでアップデートできる
388774ワット発電中さん:2009/11/07(土) 10:55:05 ID:5UbLsm6w
>>387
了解です。
そうですよね。私もSPIフラッシュは入手製が良いので気に入ってます。
実際、インターネット経由でMicroBlazeを介してリモートアップデートしています。

年間、数千個しかFPGAを使わないユーザーですけど、SPIフラッシュを
サポートしてくれたら検討させてもらいます。 >アルテラさん
389774ワット発電中さん:2009/11/07(土) 11:05:55 ID:H/3LE2zn
>385

軽石さん知らないことは無理に答えないほうがいいですよ。
ASモードが使えるやつだと旧STMicroのnumonixのM25P**でいけるのあります。
どっか日本語のページもあったはず。
ググッて見て。
390774ワット発電中さん:2009/11/07(土) 11:23:55 ID:pVLhSmkV
>>389
スマン、Cyclone3のデータシート見る限り見つけられなかった
どこに情報ある?
できない事はないって言うレベルかな?

>>388
MicroBlazeに何やらせてる?
391774ワット発電中さん:2009/11/07(土) 12:04:28 ID:FjlP7816
データシートに出てるわけないっしょ。
ググレって。
392774ワット発電中さん:2009/11/07(土) 12:37:33 ID:pVLhSmkV
データシートにないレベルならいいや…
Xilinxなら型番指定でデータシートに出てる

と思ったけど、見つけた
ttp://office-dsan.hp.infoseek.co.jp/fpga_board/fpga_borad_7.htm

ちゃんとできるんだ、Quartusからも書込みできる。
という訳で、 >>384 へ できるって。

Alteraが公開していないって事は、使って欲しくないって事か
393774ワット発電中さん:2009/11/07(土) 12:43:25 ID:5UbLsm6w
データシートに載ってないようなことを仕事で使う勇気はないです。
ダメ元で代理店に聞いてみます。

>>388

外付けUSBコントローラからコンフィグレーションデータを受け取って、
XPS_SPI経由でフラッシュに書き込みします。
(インターネットにつながったPCとUSBを介して接続)
394774ワット発電中さん:2009/11/07(土) 14:49:43 ID:0DqntnqL
CPUから直接コンフィグレーションする
FWも更新できてお得・・・でもないけど
395774ワット発電中さん:2009/11/09(月) 00:47:33 ID:unCKjtUV
 EDK9.2でデバッグをしようとしている。
Microblazeは4.0bなんだが、デバッグしようとしてIPカタログを見ると
MDM1.00aしかない。 4.0bはmdm2.00aしかサポートしないと書いてある。
一体どうしたらいいの?
 それではと思って、SDKを立ち上げようと1週間トライしているが、SDKは
ダウンロードするときハングしてどうしても立ち上がらない。
396774ワット発電中さん:2009/11/10(火) 10:45:18 ID:BepLHflq
>Alteraが公開していないって事は、使って欲しくないって事か

保証外って事だよ
397774ワット発電中さん:2009/11/10(火) 12:19:51 ID:JLhf47VE
altera

Quartus2 V9.1 WEからnios2無償化?


評価版て文字が無いんだな…
398774ワット発電中さん:2009/11/10(火) 12:33:50 ID:7ZkUvptG
これは切羽詰ってきたのかもしれんね
399774ワット発電中さん:2009/11/11(水) 09:00:15 ID:bDhPBbVu
初期開発費がペイしたのでわ
400774ワット発電中さん:2009/11/11(水) 09:30:51 ID:DjwmXdPd
WEだけでちょっとしたコントローラなら組込めるのは良いな
Nios2/eって他のと比べてどの程度なの?
キャッシュなし、パイプラインが浅い程度?
401くり:2009/11/11(水) 10:30:47 ID:SOygs+3v
>>398
>これは切羽詰ってきたのかもしれんね

 うーん、これは、ダメかもわからんねー。

>>400
>キャッシュなし、パイプラインが浅い程度?

 キャッシュなし、ノン・パイプラインのナイナイづくし。

ttp://www.altera.co.jp/literature/hb/nios2/n2cpu_nii51017_j.pdf

ただし、今時のFPGAに組み込むと軽く100MHz以上で動くから、下手な
組み込み系CPUより、遥かに強力。
402774ワット発電中さん:2009/11/12(木) 09:30:58 ID:74P8Igw9
くやしいのう くやしいのう くやし伊能忠敬
403774ワット発電中さん:2009/11/12(木) 10:29:51 ID:74P8Igw9
ちょっと >>393 を殺しにいってくる
404774ワット発電中さん:2009/11/12(木) 11:48:13 ID:zaywFD/A
>>401 下手な組み込み系CPUより、遥かに強力。

その資料には 0.15DMIPS/MHz とあるから、100MHzで動かしても15DMIPSにしかならない。
8ビットマイコンには勝てても、16ビット以上だと全敗では?
405774ワット発電中さん:2009/11/12(木) 13:39:03 ID:j3qIV6sa
消費電力あたりのパフォーマンスを指標にすると8bitにすら勝てないと思う
406774ワット発電中さん:2009/11/12(木) 16:27:30 ID:tHyisq2f
消費電力や性能よりも周辺回路を含めた実装面積が重要な事もあるし。
色々選択肢があるのは良い事だよ。
407774ワット発電中さん:2009/11/12(木) 17:16:52 ID:DebhSgFP
最初の選択肢にはないな
408774ワット発電中さん:2009/11/12(木) 18:22:18 ID:B5t9CWtP
8ビットマイコンで周辺回路内蔵で48pinQFNとかあるし。
409774ワット発電中さん:2009/11/12(木) 19:46:08 ID:DzvWVHF5
マイコンだけで済んでしまうシステムで
そもそもFPGAすら用無しだろ

この手のはFPGAありきのシステムにおいて
マイコンを併合してコストダウンOR省面積化 とかいう検討候補だろうて

あと既存の流用設計・開発案件で機能追加とか、そういう柔軟性かな

これメインで提案する馬鹿はそいつが馬鹿であってNiosは悪くないという話
本当に馬鹿なのか、印象操作したい作為なのか知らないが
410774ワット発電中さん:2009/11/12(木) 20:00:55 ID:s/lwnVod
FPGAなんて全く使い物にならないっていう評価をしないと
日本の半導体会社にとって不利になるからね。
そういう呪文なんだと軽くスルーするのが正解だと思う。
411くり:2009/11/12(木) 20:44:59 ID:WR7w8RtU
>>404
>その資料には 0.15DMIPS/MHz とあるから、100MHzで動かしても15DMIPSにしかならない。
>8ビットマイコンには勝てても、16ビット以上だと全敗では?

 同じ資料には100MHzでしか動かないとは書いてないのだが…。

http://japanese.sugawara-systems.com/opencores/YACC/newpage11.htm

君の仮説が正しいとすると、SH2 7045Fあたりは、他の16ビット以上の
マイコンに全敗となるはずだが。

>>405
>消費電力あたりのパフォーマンスを指標にすると8bitにすら勝てないと思う

 うーん、FPGAは大飯食らいだからねー。電池、なるべく長持ちさせたい
ので、良い8bitがあったら紹介してよ。えーと、仕様は、UARTが32個ぐらい
で、EtherとUSB、SPIモードでいいからSDも付けてほしいな。何時も割り込み
でトラブルから、出来たらJTAGあたりでCPUへの割り込み線を直接見れると
良いね。あ々、あとソース・レベル・デバッガも付けてね。

>>407
>最初の選択肢にはないな

そういう場合は、後の選択肢にもない。というか、後から上がって来た時点
でアウト。

>>408
>8ビットマイコンで周辺回路内蔵で48pinQFNとかあるし。

SDRAMも付けたいんですが…。

>>409
>これメインで提案する馬鹿はそいつが馬鹿であってNiosは悪くないという話

PICで済む話に、FPGAはメインで提案しないって。

>>410
>FPGAなんて全く使い物にならないっていう評価をしないと
>日本の半導体会社にとって不利になるからね。

 その辺が的を得ているかもね。
412774ワット発電中さん:2009/11/12(木) 20:55:55 ID:D6Zf6tVm
CH間で同期している20MHzのSPIが4chほしいってだけでマイコンは選択肢にない。
アイドル時にμA以下の消費電流とか言い出すとそんなに機能のないマイコンを選ばざるを得ない。
要は用途次第だろう。どっちも駆逐はされない。
413774ワット発電中さん:2009/11/12(木) 22:13:49 ID:lzRXySbV
>100MHzで動かしても
ネイティブじゃないと
この日本語の意味が理解出来ないのだろう。
414774ワット発電中さん:2009/11/12(木) 22:34:23 ID:B5t9CWtP
>>413
それ、触っちゃだめなヒトだから。
415くり:2009/11/12(木) 23:59:51 ID:WR7w8RtU
>>412
>要は用途次第だろう。どっちも駆逐はされない。

 うーん、Niosなどが登場する以前から、お遊びでFPGAにCPUを実装したり
してきたが、非常に贅沢で手を抜いた使い方というか、新しい革袋に、どう
しようもない古い酒を注ぐような方法だと思っていた。が、ここまで利便性
が向上し、性能も侮れないものになってくると、もうこういう考え方自体が
古いのかとも思う。もちろんコストや消費電力、耐ノイズ性など、色々な
問題があるのは事実だが。一方、国産のマイコン、ミドル・レンジあたりは
利便性なんてそっちのけ。零戦52型甲、乙、丙みたいな意味のないバリエ
ーションを作ることに熱中しているようにしか思えない。ロー・エンドや
ハイ・エンドの方は…。まあ、言わない方がいいか。

>>413
>ネイティブじゃないと この日本語の意味が理解出来ないのだろう。

?? 一方は、新しい革袋に注ぐと、新たな味が期待できて、一方は
古い革袋しか得られないし、新しい革袋を求めると、中身も飲んだこと
のないものに変わってて、悪酔いするかもしれないよ…、と言おうとした
だけなのだが。
 馴れない言語で、小難しい話に首を突っ込まない方がよろしいかと。

>>414
>それ、触っちゃだめなヒトだから。

 貴様! 生粋の帝国臣民に向かって何を言うか!!
416774ワット発電中さん:2009/11/13(金) 00:03:38 ID:f946oYzB
うわキモ^^
417くり:2009/11/13(金) 00:13:23 ID:LN1l2Uuh
>>416
>うわキモ^^

 2ちゃんは、基本、キモ、オタの巣窟ですが、何か?
418774ワット発電中さん:2009/11/13(金) 00:18:16 ID:xyk8Tg1r
>生粋の帝国臣民
大韓帝国臣民ですね、よくわかります。
419くり:2009/11/13(金) 00:22:46 ID:LN1l2Uuh
>>418
>大韓帝国臣民ですね、よくわかります。

大韓帝国? そんな時代あったっけ? 大清国属国時代なら…、おおっと^^;。
420軽石:2009/11/13(金) 01:08:09 ID:L2ZRHqsZ
軽石です
みなさん、おひさしぶりです。
やっと会社の禁身が溶けました(^。^)。
これであんなことやこんなことができます(^。^)。
みなさん、よろしくおねがいいたじます。m(_ _)m
421774ワット発電中さん:2009/11/13(金) 04:38:08 ID:691Vj9fE
Nios2/eレポよろ
422774ワット発電中さん:2009/11/13(金) 09:31:37 ID:p1+pL8GQ
ご活躍に期待しております。
馬太郎先生にも宜しくお伝え下さい。
423774ワット発電中さん:2009/11/14(土) 18:14:28 ID:i0vwmPgH
NiosU初心者ですが質問させてください。
NiosUカスタム命令にオプションで付けられる、Internal Register Fileって主にどういう用途で使うものなんでしょうか?
424774ワット発電中さん:2009/11/16(月) 16:08:20 ID:Ix1QpgtY
またISEがコケました。
もう、驚きません。
425くり:2009/11/17(火) 02:22:39 ID:d3pDz06g
>>423
>NiosUカスタム命令にオプションで付けられる、Internal Register Fileって主にどういう用途で使うものなんでしょうか?

 例えば、積和演算(=掛け算した結果を加算していく演算)とか。

ttp://www.altera.co.jp/literature/ug/ug_nios2_custom_instruction.pdf

の1-12, Figure 1-8のイメージ。その他、一々、Niosのオリジナルのレジスタ
に書き戻すとめんどくさくなる場合。

 誰も、レス付けてやらんなんて、結局、Niosのことなんか良く分からずに
批判だけは一人前ってか。まあ、2ちゃんらしいと言えば、2ちゃんらしいが。
426774ワット発電中さん:2009/11/17(火) 02:34:34 ID:oReWlbr0
所詮軽石の巣だよ、ここは。
427774ワット発電中さん:2009/11/17(火) 03:55:01 ID:JBKDXeqo
latticeの論理合成ツールのライセンス発行のメール待ってた。
Vistaのメーラーが迷惑メールのホルダに勝手に放り込んだ。
何で見分けてるのか疑問に思った。
428774ワット発電中さん:2009/11/17(火) 11:56:08 ID:qnegKopo
>>425
レスありがとうございます。

カスタム命令用のロジック回路からInternal Register Fileに自由にアクセス

できるわけではなく、あくまでカスタム命令ロジックの入出力先をNiosUのレ

ジスタの代わりにInternal Register Fileにできるってことなんですね。
Internal Register Fileを使ったとしても32bit2入力以上のデータをカスタム

命令ロジックに一度に取り込むことはできないと。

カスタム命令ロジックに32bit2入力以上のデータを読み込んで処理させたいの

ですが、何か考えられる方法はないでしょうか?
パッと思いついた方法がカスタム命令を2回呼び出す方法で、
@1回目の呼び出しで、Conduit interfaceを使って外部の記憶回路に入力デー

タを保持する
A2回目の呼び出しで、1回目の入力で入りきらなかったデータを読み込み、更

に1回目に入力したデータを外部の記憶回路から読み込み、処理する

ただ、もっとカスタム命令の利点を生かした良い方法とかある気がするのです

が。。皆さんの知恵をお貸しください!
429774ワット発電中さん:2009/11/17(火) 14:33:26 ID:VAUDla3r

改行うざい
430774ワット発電中さん:2009/11/17(火) 18:24:08 ID:3tDlrZUe
>>429
改行ではなくて「行間」だろうよ。
「余分な改行」かも試練。
431423:2009/11/17(火) 18:31:13 ID:YGq1GhtT
ごめんなさい
432774ワット発電中さん:2009/11/17(火) 19:41:16 ID:3d6cSVUs
行間うざい、とは書かんよなぁ・・・
433774ワット発電中さん:2009/11/17(火) 19:44:38 ID:aZRLe/I1
空行
434774ワット発電中さん:2009/11/17(火) 22:39:47 ID:JBKDXeqo
>>433
坊主の名前みたいだ
435774ワット発電中さん:2009/11/17(火) 23:30:33 ID:3tDlrZUe
良い国作ろう、
436くり:2009/11/17(火) 23:57:30 ID:d3pDz06g
>>428
>カスタム命令用のロジック回路からInternal Register Fileに自由にアクセス
>できるわけではなく、あくまでカスタム命令ロジックの入出力先をNiosUのレ
>ジスタの代わりにInternal Register Fileにできるってことなんですね

?言ってる意味が良く分からないが? ロジック回路からInternal Register
Fileに自由にアクセスできない? なんで?

>Internal Register Fileを使ったとしても32bit2入力以上のデータ
>をカスタム命令ロジックに一度に取り込むことはできないと。

 Nios側のレジスタファイルは、実態はどうか知らないが、見かけ上2port
出力、1port入力になってるから、Nios側からカスタム命令ロジックに2
入力を超えて、同時にデータを供給することはできない。が、積和演算は
3項演算(=入力が3つ)。

>カスタム命令ロジックに32bit2入力以上のデータを読み込んで処理させたいの

 当然、外から入力することはできる。

>パッと思いついた方法がカスタム命令を2回呼び出す方法で、

 もう、何を言いたいのか…。





437774ワット発電中さん:2009/11/18(水) 02:49:25 ID:Tte3lFKG
>>428
ちょっと読みづらいんで、抜き出して・・・
主題を「カスタム命令ロジックに32bit2入力以上のデータを読み込んで処理させたい」
に限定して書き込んでみます。

ます、レジスタのインデックスは5bitx3渡せます。
5bitなので、レジスタをそれぞれ32本指定できます。
operation a,b,c
のa,b,cを指定できるわけです。このa,b,cそれぞれのレジスタをカスタム命令ロジック
側に持たせれば、32bitの制限は外れます。
しかし、気づかれてるとおり、NiosIIからは32bitデータx2しか渡せません。
そして、カスタム命令ロジックからは32bitデータx1しか返せません。

考えられる手法はいくつかあります。
・複数回命令を発効する
32bitx2のデータをがんばって流し込む。効率悪いです。
・カスタム命令ロジックにAvalonバスマスター回路を持たせる
こうするとやり放題です。
ただ、カスタム命令ロジックにロード・ストアに相当する命令の追加が必要です。
例:cust_load c,(a)
カスタム命令cレジスタに、NiosIIのaレジスタが示すアドレスのデータをロードする
aの値はNiosIIから渡される、32bitのアドレス値とします。
Avalonバスマスター回路は、aの値をアドレスとして、メモリからcレジスタに値を
コピーします。このbit幅は任意です。
メモリブロックをレジスタとすれば、1024bitレジスタを32本実装しても4KBです。
※1クロック1演算させるには3ポートメモリが必要なのでメモリは倍必要
※もったいないからバランスを考えましょう。

さらに推し進めて、メモリ上にカスタム命令のレジスタを配置する事も可能です。
一度に一回しかアクセスできないので性能は落ちますが、こうすれば容量制限は
ほぼ無くなります(メモリの容量次第)。1レジスタ1MByteを32本とか制御できます。
またさらにレジスタの長さを可変長にすることも可能です。何に使うかは知りませんがw

ということでアイデア次第です。いろいろ遊んでみましょう。

と、ここで再考。その機能、ほんとにカスタム命令にする必要ありますか?
外部ペリフェラルで実装した方が楽では?
XilinxのMBに移行するときはそっちの方が融通効きません?
などなど、いろいろなささやきもあります。
438くり:2009/11/18(水) 11:35:16 ID:SUIzuH5e
>>437

 そんなに教えすぎると、自主性ってものが育たないよ。特に最後の部分ね。
439774ワット発電中さん:2009/11/18(水) 13:01:03 ID:7+zXT50S
お節介とスルーは2chだろ
440くり:2009/11/18(水) 17:31:46 ID:kdzwfq3p
>>404
>その資料には 0.15DMIPS/MHz とあるから、100MHzで動かしても15DMIPSにしかならない。
>8ビットマイコンには勝てても、16ビット以上だと全敗では?

 同じ資料には100MHzでしか動かないとは書いてないのだが…。

http://japanese.sugawara-systems.com/opencores/YACC/newpage11.htm

君の仮説が正しいとすると、SH2 7045Fあたりは、他の16ビット以上の
マイコンに全敗となるはずだが。

>>405
>消費電力あたりのパフォーマンスを指標にすると8bitにすら勝てないと思う

 うーん、FPGAは大飯食らいだからねー。電池、なるべく長持ちさせたい
ので、良い8bitがあったら紹介してよ。えーと、仕様は、UARTが32個ぐらい
で、EtherとUSB、SPIモードでいいからSDも付けてほしいな。何時も割り込み
でトラブルから、出来たらJTAGあたりでCPUへの割り込み線を直接見れると
良いね。あ々、あとソース・レベル・デバッガも付けてね。

>>407
>最初の選択肢にはないな

そういう場合は、後の選択肢にもない。というか、後から上がって来た時点
でアウト。

>>408
>8ビットマイコンで周辺回路内蔵で48pinQFNとかあるし。

SDRAMも付けたいんですが…。

>>409
>これメインで提案する馬鹿はそいつが馬鹿であってNiosは悪くないという話

PICで済む話に、FPGAはメインで提案しないって。

>>410
>FPGAなんて全く使い物にならないっていう評価をしないと
>日本の半導体会社にとって不利になるからね。

 その辺が的を得ているかもね。
441774ワット発電中さん:2009/11/18(水) 18:07:09 ID:lp+CfJFu
頭のいい奴は質問にも光るものがあるし、回答もシンプルで解りやすいと
常々おもうな。
442774ワット発電中さん:2009/11/18(水) 18:17:53 ID:+p0gPSkV
とりあえず、的を射る、な。
443774ワット発電中さん:2009/11/18(水) 18:25:39 ID:O1TnkR4R
>>440
そんなに教えすぎると、自主性ってものが育たないよ。
>>442
あの的が欲しかったものだから、つい・・・
444くり:2009/11/18(水) 21:20:07 ID:SUIzuH5e
>>439
>お節介とスルーは2chだろ

「外野は黙ってろ!!」とジャック・バウアー風に突然キレるのも2ch?

>>440

 誰だー。人のレス勝手にコピペした香具師は。このカス。IP抜くぞー。
と無駄に吠えるのも2ch?

>>442
>とりあえず、的を射る、な。

 とりあえず、こんな意見も。

http://academy6.2ch.net/test/read.cgi/gengo/1177838243/l50

>>443
>そんなに教えすぎると、自主性ってものが育たないよ。

 そうか〜?




 
445774ワット発電中さん:2009/11/18(水) 21:35:32 ID:ZVH8j3ij
うわキモ(^^
446774ワット発電中さん:2009/11/18(水) 21:59:14 ID:0jN60dzA
全レスきもいです^^
447774ワット発電中さん:2009/11/18(水) 22:12:21 ID:iyZ6X2DN
>>445, 446
「きもい」って言葉、やめてくれよ。
何なんだそれ?
448774ワット発電中さん:2009/11/18(水) 22:18:36 ID:iSnOM/mF
IP抜くぞってコピペだよね?本気じゃないよね?
449774ワット発電中さん:2009/11/18(水) 23:34:40 ID:X4yvLQfy
>>447
同感。
きしょいとかきもい、乱れてるな日本語。
こういう言葉遊びは、一人前に日本語ができる人がやることなのにね。

「1000円からお預かりします」 からってなんだよからって。
「レシートになります」じゃ今はレシートじゃなくて何なの?
「ご注文は以上でよろしかったでしょうか?」 なんで過去形?
「レギュがあっちっち」 レギュって何? その言葉は、君しかわからない。省略せずに言え。
450774ワット発電中さん:2009/11/18(水) 23:57:24 ID:7W9xBvO6
よろしかったでしょうかはマックがshall you? を誤訳したのが始まりだったらしいんだけど、
マックはいまでも言っているな
451774ワット発電中さん:2009/11/18(水) 23:58:20 ID:7W9xBvO6
should you ...だった
452774ワット発電中さん:2009/11/19(木) 00:05:03 ID:mGajGZdU
>449に便乗して、「ファーストフード」って何よ? 何が一番だよ?w

言葉の乱れはファストフード店、コンビニ、ファミレスあたりがひどいが、
気がつけば普通のスーパーやデパートとかでも、
特に若い店員は普通に「○○円からお預かりします」とか言ってやがるのな。
釣りがある場合は「○○円、お預かりします」で、
ピッタリの金額は「○○円(ちょうど)頂きます」が正解。
453423:2009/11/19(木) 00:18:11 ID:LbHwYici
>>436 >>437
分かりづらい質問に付き合って下さってありがとうございます。

>>437
>このa,b,cそれぞれのレジスタをカスタム命令ロジック側に持たせれば、32bitの制限は外れます。

Internal Register Fileはカスタム命令を実装する際に、SOPC Builderが自動で生成するものだと思っていました(オプションで)。
そしてreadra,readrb,readrcポート及び、a,b,cポートはその自動生成されたInternal Register Fileの入力ポートのことだと思っていました。
なのでa,b,cポートでアドレス指定するレジスタファイルをカスタム命令ロジック側に持たせることが可能なのか今一腑に落ちません。。
もし何か参考資料がありましたら教えていただけませんか?
ざっとですが、下の資料は一通り読みました。
ttp://www.altera.co.jp/literature/ug/ug_nios2_custom_instruction.pdf
454くり:2009/11/19(木) 00:35:36 ID:YiSTDX4n
>>453
>なのでa,b,cポートでアドレス指定するレジスタファイルをカスタム命令ロジック側に持たせることが可能なのか今一腑に落ちません。。

 実機、持ってるならやってごらんよ。それが一番早いと思うけど。
455774ワット発電中さん:2009/11/19(木) 00:52:02 ID:oQVYNrXL
>>452
言えてる、言えてる。
店長なり、会社側の人が、接客用語を教育しないのかな。
不思議でならないよ。

あと、疑問に思っているのは、人前で話すときに「これ、うちの奥さんが好きなんですよ」など
自分の妻のことを「奥さん」と呼ぶのは、正しい日本語かなぁ?
身内をさん付けっておかしいと思う。嫁とか家内とか女房とかでいいと思うんだけど。
どうなんでしょうね。
456774ワット発電中さん:2009/11/19(木) 00:55:56 ID:C2ysEPKC
>>455
積極的差別是正
457774ワット発電中さん:2009/11/19(木) 02:11:01 ID:z5VwrUS4
毎度お馴染み日本語LINTかw
Cyclone4出た話とかは無いんだなあ
まあ、俺も仕入れた情報無いけど
458774ワット発電中さん:2009/11/19(木) 02:12:20 ID:RAKtPF1b
>>453
>Internal Register Fileは・・・思っていました。
違います。
>そしてreadra,readrb,readrcポート及び・・・と思っていました。
違います。

>なのでa,b,cポートでアドレス指定する・・・今一腑に落ちません。。
間違えた解釈を元に想像だけで話してるからです。
その資料を「読んだ」と言ってますが、全く読めてません。ただ見ただけです。
まずちゃんと読んでから話を進めてください。
その資料に必要な情報はすべて書かれています。
459774ワット発電中さん:2009/11/19(木) 02:17:31 ID:z5VwrUS4
これにはちょっと驚いた
>Cyclone IV E FPGA は消費電力に最適化された 60nm ローパワー・プロセス技術を使用しており

60nmってなんだよwww
TSMCって90/65/55/40nmステップじゃないか?
460774ワット発電中さん:2009/11/19(木) 02:58:36 ID:hlpwxTnV
ファーストフードはfirstじゃなくてfastだろ…
461774ワット発電中:2009/11/19(木) 03:16:23 ID:acgzD5lC
>>455
> 身内をさん付けっておかしいと思う。
自分をさん付けで呼ぶ人に言われてもねぇ・・・
462774ワット発電中さん:2009/11/19(木) 07:50:50 ID:vzR3Td0Y
>>461
はあ?
463774ワット発電中さん:2009/11/19(木) 14:09:51 ID:09jOX03D
>>461
ん?
464774ワット発電中さん:2009/11/19(木) 15:36:41 ID:NtPWjCNz
> 「レギュがあっちっち」 レギュって何? 
レギュレータだよチンカス
465774ワット発電中さん:2009/11/19(木) 15:45:15 ID:qcdeTBWH
>455
 身内にさん付けは、刑事コロンボの
「ウチのカミさんが〜」で慣れてるので全然気にならない(あと 「嫁さん」も ね)。

だが、「奥さん」(「奥様」のくだけた言い方)は ”他人の妻”のニュアンスがある
(と私は思ってる)から、これについては自分の妻に使うのは確かに変に思う。

結局のところ、そんな使い方に聞き慣れてないだけかもしれないが。

>461-463 自動的に付く 名前欄 にかけたシャレかw
466774ワット発電中さん:2009/11/19(木) 18:18:27 ID:Ehy/dFes
> ”他人の妻”のニュアンスがある
たしかに。

「カミさん、今日の下着は何色だい? はぁはぁ」
「奥さん、今日の下着は何色だい? はぁはぁ」
467774ワット発電中さん:2009/11/19(木) 19:22:52 ID:mGajGZdU
>460
ファーストはfirst、fastはファスト。
中学レベルの英単語だぞ。
468774ワット発電中さん:2009/11/19(木) 20:05:22 ID:09jOX03D
>>467
フィストではなくて?
469774ワット発電中さん:2009/11/19(木) 20:55:48 ID:DTrzEK7C
>>461
なんというセイロン
470774ワット発電中さん:2009/11/19(木) 21:10:17 ID:kzJePgz1
英語はファースト、ファストが米語、という話もある。
話し言葉では圧倒的に「ファーストフード」が多いっていう現実も。
471774ワット発電中さん:2009/11/19(木) 21:34:30 ID:mTmeWUoh
よーし、パパ今日から自分のこと『お父さん』って呼ぶぞー
472774ワット発電中さん:2009/11/19(木) 22:50:38 ID:09jOX03D

自分の子供を「くん」「ちやん」を付けて呼ぶ親。媚び売ってどうする。

日本人なんだから、子供には「お父さん」「お母さん」と呼ばせて欲しい。
  (「パパ」「ママ」はあちらのお国)

悪いことをしたらはり倒せ。自分の子供だぞ。
怪我するからとカッターを使わせない親。アホ! 刃物は手を切って使い方を覚えるんだ。
473774ワット発電中さん:2009/11/19(木) 23:44:32 ID:QQ3nrOQ0
流れぶった切りですみません。
どなたかお知恵を貸してください。

ALTERAのStratix2GX(EP2SGX90)を2個使用した基板で
QuartusUのProgrammerからJTAGチェーンを見ようとしましたが見れませんでした。
ですがデバイス情報(型名、使用個数、チェーン順)はちゃんと見れるのです。

・QuartusUのバージョンは9.1
・Programmer ModeはJTAG、USBは認識済み
・コネクタ→244Buffer→FPGA1→FPGA2→244Buffer→コネクタ
・各TCK、TMSもコネクタ→244Buf→各FPGAへ入力
・コネクタ→Buffer間に22Ω/Buffer→FPGA間に33Ωのダンピング抵抗
・JTAGチェーンのハード線接続は正しい
・JTAGのBank電圧、USB用コネクタ、Bufferには同じ3.3V供給
・電源関係のハード線接続も正しい
・JTAG Chain Debugger を見ると入り口のTCIのラインに×
・書き込みケーブルはUSB Blaster、TerasicBlasterどちらも同じ現象
・何度試しても100%同じ現象

デバイス情報が見えるということは、チェーンが動作していると思うのですが
何がいけないのか分からず、対応も出来ません。

同じ現象が出たことがある方や、原因について思い当たることがある方、
どうかお知恵を貸してください。
お願いします。
474774ワット発電中さん:2009/11/20(金) 00:21:45 ID:qURZGno5
>>473
ES品とか
475774ワット発電中さん:2009/11/20(金) 00:41:26 ID:Dkdb90Zk
>JTAGチェーンを見ようとしましたが見れませんでした。
>ですがチェーン順)はちゃんと見れるのです。

お手数ですが、質問は日本語でお願いします。(>_<)
476774ワット発電中さん:2009/11/20(金) 02:08:50 ID:ytIksG7r
>>472
父上、母上と呼ばせるのだ。
477774ワット発電中さん:2009/11/20(金) 02:14:05 ID:L0mJDA28
>>476
一休さんみたい
478473:2009/11/20(金) 08:34:36 ID:OnVbt5mg
>>474
サンプル品ではありません。

>>475
申し訳ありません。
チェーン順が見える、というのはなしです。

ProgrammerをひらいてAuto Detectを押すと
普通はデバイス名が表示され、Add FileでROMをセットしますが
自分の場合Auto Detectを押すとチェーンが見えないというエラーが表示されます。
しかしデバッガーを表示すると、各デバイスは認識されています。
479774ワット発電中さん:2009/11/20(金) 08:39:15 ID:I+gpNYYC
>>473
オシロかなにかで波形観測はしましたか
480774ワット発電中さん:2009/11/20(金) 12:14:37 ID:NTKXWzSO
>>478
ここでいってる、デバッガ、って何のこと?
481774ワット発電中さん:2009/11/20(金) 13:59:56 ID:cxaiCw9B
>何がいけないのか分からず、対応も出来ません。

設計や実装などが原因
あと頭脳タリン
482774ワット発電中さん:2009/11/20(金) 15:09:38 ID:V5QRpyDS
ちょっとわからんのだが、ICのモードはどうなっているんだろうか?
アルテラだとMSELxの設定が必要なんだが、書いていないって事は知らないって事かもしれん。
この設定がおかしいとAutoでは認識できないのはなんとなく納得できる。
483774ワット発電中さん:2009/11/20(金) 17:44:07 ID:GKXewmYm
> ・JTAGチェーンのハード線接続は正しい

判断できる人なら動くはずだけどねぇ。
パターン長すぎとかデバイス間でTDI同士つないでるとか...。
じつは3.3Vじゃ駄目だとか。

できるならチェーンを切って、ひとつづつ動かしてみるか、オシロで波形見るか...。

コケたことがないのでわかりません。
484くり:2009/11/20(金) 22:57:00 ID:Gk8PiZ+z
>>473

ttp://www.altera.co.jp/literature/hb/cfg/stx2_sii52007_j.pdf

の 2-104、2-36図をじーっと見て、何か忘れているものない?
485774ワット発電中さん:2009/11/20(金) 23:38:47 ID:rkWDS38t
回路図は合っているけど、シンボルミスで...ご愁傷様です。

でも、チェーン不具合なのにデバイス見えてるって変だねぇ。
引き回し悪くて駄目駄目とか。
あと、244が74HC244で波形トロトロとか。
486774ワット発電中さん:2009/11/21(土) 01:48:34 ID:4e3doTtU
>>485
>244が74HC244で波形トロトロとか。
へー、HC244はとろいんですか?
何に比べて何の性能がトロトロなんでしょうか?
487くり:2009/11/21(土) 01:50:53 ID:omzGK3wH
>>485
>でも、チェーン不具合なのにデバイス見えてるって変だねぇ。

>>478
>チェーン順が見える、というのはなしです。

 実際の結果と、本人の妄想が入り混じってるんじゃない?
488774ワット発電中さん:2009/11/21(土) 08:59:01 ID:TdacYI5t
くりさんのお話を聞きたい場合はあっちに行きますよ。
使い分けしてんですよ。名無しでいてくださいよ。
489774ワット発電中さん:2009/11/22(日) 02:39:20 ID:hVgSNIfo
>>486
HC244とか、3.3Vで使えることになってるけど、おっそい。

LVとかLVCとかの3.3V駆動に比べると、格段におっそい。
波形がなまりまくりだ。
490774ワット発電中さん:2009/11/22(日) 03:00:52 ID:eXKFnwAs
遅いおかげで助かることもあるけどね
491774ワット発電中さん:2009/11/22(日) 10:17:37 ID:vEcoUrYc
おれは、イクのはっやい。
492774ワット発電中さん:2009/11/22(日) 18:39:19 ID:Y+2URnHx
フリーのI2CのRTLって入手できないでしょうか。
493774ワット発電中さん:2009/11/22(日) 19:35:23 ID:roalVB33
opencoresに転がってる
494774ワット発電中さん:2009/11/22(日) 20:19:36 ID:eXKFnwAs
某Q&A系とこっちと両方に投げたか
495774ワット発電中さん:2009/11/22(日) 20:20:56 ID:eXKFnwAs
某Q&A系とこっちと両方に投げたか
496774ワット発電中さん:2009/11/22(日) 22:18:20 ID:DaAtAqzl
大切なことなので二度言いました
497774ワット発電中さん:2009/11/22(日) 22:43:38 ID:eIOXu6mx
>ID:eXKFnwAs

うるせーうぜー軽石豊広氏ね
498774ワット発電中さん:2009/11/23(月) 02:43:42 ID:dDeZOG6U
FPGAを使用した回路設計をしていますが、教えて欲しいことがあります。
FPGAに仕様する電源のアンペア数の決め方です。
回路を試作すれば良いのかもしませんが、
FPGAがBGAのやつなので簡単でないことと、デバイスが高価なので、
簡単には試作ができません。どのように決めれば良いのでしょうか?
・Xpowerを信じる。というか、パラメータを入れるのが面倒だし、本とかな?という感じ。
・エイヤッで全部3Aを付けておけば良い
・データシートの消費電流を信じて、その倍の容量にする
・某評価ボード屋さんの回路図をダウンロードして、使用している電源ICから類推する。
   (あそこの回路図、ブツブツに切れていて見にくいんだよな)

2.5Vの電源が弱いと、デバイスに書き込む時にエラーすると言う人もいて、ビビっています。
499774ワット発電中さん:2009/11/23(月) 03:21:18 ID:eNHz2pmr
>>498
エイヤ!で作った。

予想するリソース使用率と動作クロックで、全てのF/Fが毎クロック反転
てありえない条件で出してみて、これでギリ目いっぱいくらいを狙った。

試作も出来ない量ならば、チマチマ言わずに、コストを掛けて安全策を取って、
何かで変更できる時にギリに直せば良いさ。
500774ワット発電中さん:2009/11/23(月) 06:34:39 ID:R0ImaWo0
動作周波数低いと、動作時よりコンフィグ時に消費するからそれ次第かも。
501774ワット発電中さん:2009/11/23(月) 07:21:22 ID:dHIdYGRg
>>500
あるある

外部から直接Vccaux入れられるコネクタをつくっておいて
config時だけ外部供給できるように設計すれば安心だよ
502774ワット発電中さん:2009/11/23(月) 08:42:52 ID:XhQG/DSH
Vccauxってコンフィグのときだけ消費するの?
知らんかった・・・。
503774ワット発電中さん:2009/11/23(月) 09:24:09 ID:P4EoA/69
アルテラはデータシートの最大値でよかった。
最大値はけっこうマージンがあるような感じ。
504774ワット発電中さん:2009/11/23(月) 10:34:01 ID:lrfDKLtX
各メーカーが出している、消費電力計算ソフトは、使わないの?
505774ワット発電中さん:2009/11/23(月) 11:00:09 ID:0HAzV3e1
コンフィギュレーションを更新しないようなボードだと、ツールの値でもいいけど、
アップデートの可能性があるなら、デバイスの最大値でいいよ。
506774ワット発電中さん:2009/11/23(月) 12:08:38 ID:6r3cr2mD
そもそもFPGAって、なんで2つも3つも電圧が必要なの?
マイコンなんて、3.3VだけでOKなのに。
あるいは、3.3Vを入れてやれば、FPGA内部で降下させて2.5Vとか1.8Vとか
作ってくれればいいのに。
さらに言えば、なんでROMも外部にあるの?
マイコンのように内蔵してくれればいいのに。
また、RESET ICを内蔵してくれればいいのに。そうすれば、

マイコンみたいに
+3.3V→→→→FPGAで終わりになるのに。
507774ワット発電中さん:2009/11/23(月) 12:14:51 ID:1pUixvv9
コア電圧が必要でROMレスのプロセッサと比較すれば同じ手間かと
508774ワット発電中さん:2009/11/23(月) 12:22:34 ID:1pUixvv9
そういえばMAXIIってコア電圧用のレギュレータや
ユーザが利用できるフラッシュメモリって内蔵でしたっけ?

あとFusionにもフラッシュメモリが入ってたような気がした。
509774ワット発電中さん:2009/11/23(月) 13:46:26 ID:6Zx3jslP
マイコンだけ使いたいならこんなところ来なきゃ良いのに・・・
510774ワット発電中さん:2009/11/23(月) 18:35:26 ID:6r3cr2mD
>>509
FPGA使いの人は、スグにそう言うんだよね。
511774ワット発電中さん:2009/11/23(月) 19:37:02 ID:qZn6F0ut
業界再編 秒読み開始か・・・・。
512774ワット発電中さん:2009/11/23(月) 19:53:00 ID:IygJvkjT
>>511 くわしく
513774ワット発電中さん:2009/11/23(月) 20:54:12 ID:uZThtuvj
latticeがactel買収とか?
514774ワット発電中さん:2009/11/23(月) 21:09:41 ID:vynz6dpi
Spartan-3ANのDDR2の使い方わかる人いますか・・・。
英語のマニュアル見てたらゲロはいた。マジで。orz
515774ワット発電中さん:2009/11/23(月) 21:43:28 ID:IygJvkjT
LatticeといえばLucentも買ったよね
落穂ひろいだ

とはいえ、第3の有力勢力が生まれるのは歓迎
AとXだけでも競争してるけど、もっと価格下げて欲しいし
516774ワット発電中さん:2009/11/23(月) 22:36:01 ID:XhQG/DSH
>>514
Xilinxのスターターキットの回路図と、サンプルプロジェクトをそのまま使うと良い。
517774ワット発電中さん:2009/11/23(月) 23:06:56 ID:IM7Ma9Dh
ゲロ吐くほどのマニュアルってどれだよw

ちなみにDDR2のことを知りたいなら
エルピーダに日本語のものがあるぞ。
518774ワット発電中さん:2009/11/23(月) 23:17:21 ID:dHIdYGRg
エルピーダのDDR2の資料はDDRとの差分しか書いてないからわかりにくいぞ
519774ワット発電中さん:2009/11/23(月) 23:23:41 ID:IM7Ma9Dh
DDR->DDR2とステップアップしてきた身だから、むしろ差分の方が楽でして。
520774ワット発電中さん:2009/11/23(月) 23:45:09 ID:qZn6F0ut
日本国内の再編
521774ワット放電中:2009/11/24(火) 00:13:15 ID:GANrNHo6
>>506
それはI/Oインターフェース用の電源でしょ。
基本はCore電源とI/O電源で最低2種類必要。
複数必要なのはCPUも一緒でしょ。
PLDは手軽さが売りなのでMAX2やACTELなんかはIO電源3.3VでCore分も降圧器
内蔵してるけど・・・(ACTELのA/Dコン内臓は魅力的)
大規模デバイスは消費電流が多いので分けるしかないし、チャージポンプ
内蔵するスペースも無いと思うけど。
522774ワット発電中さん:2009/11/24(火) 01:37:02 ID:qm2mDKTa
>>521
>複数必要なのはCPUも一緒でしょ。
マイコンは、殆どが炭田源。

>(ACTELのA/Dコン内臓は魅力的)
内蔵な。

>大規模デバイスは消費電流が多いので分けるしかないし、
ていうか、なんで1.8Vとか低いの? 3.3Vに統一とかしてくれれば、使いやすいのに。
「FPGA様」のために1.2V, 2.5Vの3端子レギュレータをご用意奉らないといけないのは、
なんかいやだ。

だいたいFPGAって、威張ってる感じがしてならない。
そのソフトやっている人も、なぜかマイコンを見下しているような感じ。
でもFPGAソフトのことはよく知ってるけど、ハードのことは全然知らない。
ていうか「おれはハードはわかんないし」とか言って、一線を引いてる。
それっぽく使えるのは、テスターの電圧と導通くらい。

単一電源で、A/D内蔵で、FlashROM内蔵で、JTAG OKで、パッケージがDIP40ピンからあって、
Xilinxで、そんなFPGAないかな。
523774ワット発電中さん:2009/11/24(火) 01:59:01 ID:L+O1UQOo
その人がマイコンを見下してるんじゃなくて、
愚痴っぽいお前を見下してるってのなら、
その人のことは理解できそうだ。
524774ワット発電中さん:2009/11/24(火) 02:41:39 ID:6WivZHbq
>ていうか、なんで1.8Vとか低いの?
そりゃ消費電力抑えたいしね。
大雑把に言って電圧1/2で消費電力1/4
525774ワット発電中さん:2009/11/24(火) 02:48:23 ID:5tFIaFvG
>>522
比較するのならば製品グレード、土俵が違うと思う。
マイコン(H8)          <===> PLD(MAX、クールランナーとか)
CPU/DSP(x86、TI-C64系) <===> FPGA

じゃないか?
526774ワット発電中さん:2009/11/24(火) 02:59:57 ID:6WivZHbq
>マイコンは、殆どが炭田源
そのマイコンってまさかとは思うけどPICとかの小規模なやつのこと言ってる?
527774ワット発電中さん:2009/11/24(火) 03:21:38 ID:5L+75EdP
PICやクラシカルな8bitマイコン程度まででしょう。DIPしか使えないみたいだし。
(あ、この辺のマイコンがどうこうじゃなくて。この辺も楽しい。)
今日日、マイコンもちょっと多機能な石を使うと複数電源になるよね。
この前使ったチップは1.8Vと3.3Vって構成だった。
528774ワット発電中さん:2009/11/24(火) 08:30:53 ID:nmpAED8h
>1.2V, 2.5Vの3端子レギュレータ
FPGA用の3出力のDC/DCを使うのだ。
529774ワット発電中さん:2009/11/24(火) 10:35:27 ID:/4m+ke0R
質問です!

Xilinx の Spartan3AN を使おうと思っているのですが、
内蔵フラッシュへの書き込み方法が分かりません。
JTAG で見ると、Spartan3A コアとフラッシュの2つが
見えて、フラッシュへ書き込めばいいのでしょうか?
530774ワット発電中さん:2009/11/24(火) 11:03:30 ID:IocwRgxQ
他のコンフィグROMに書込むのと同じ
Generate PROM file でMCS作ってフラッシュに書込み
531774ワット発電中さん:2009/11/24(火) 11:49:06 ID:HInOH9LY
>>529
普通に別々のやつは やったことあるの?
532774ワット発電中さん:2009/11/24(火) 12:21:43 ID:/4m+ke0R
>>530 >>529
ただのSpartanなら使ったことあります。
コンフィギュレーションは、XC18を使いました。
3ANのJTAGが中でつながっているのか不安だったので。
JTAGピンをライターにつなげばいいんですね。
ありがとうございました。
533530:2009/11/24(火) 16:21:24 ID:IocwRgxQ
スマン、ANだとちょっと違うね

JTAGチェインで見えるのはFPGAのみで、内蔵フラッシュは見えない。
iMPACTのメニューでFPGAに書込むか、内蔵フラッシュに書込むか選択できる
わざわざMCS作らなくてもbitだけで内蔵フラッシュに書込みもおk
534774ワット発電中さん:2009/11/24(火) 19:45:46 ID:GXvKXUAX
Spartan3AN+iMPACTだと同時に内蔵FLASHとFPGA両方書けたりもする
535774ワット発電中さん:2009/11/24(火) 20:13:53 ID:C2o2Y4rZ
そうなんですか。
内蔵FLASH と FPGA コアの接続の説明が載っていないので
どうなっているのか分からなくて・・・
まさか、SPI用のピンが出ているのかとかいろいろと考えてました。
JTAG つなげば大丈夫そうですね。
重ね重ね、ありがとうございます。
536774ワット発電中さん:2009/11/24(火) 21:59:15 ID:6xPl6/CZ
http://d.hatena.ne.jp/junnneko/

軽石先生ご光臨です。
毒舌吐く奴が現れtたら、それは嫁の重石。
537774ワット発電中さん:2009/11/24(火) 22:40:34 ID:e8YnOEQi
>>473

ttp://www.altera.co.jp/literature/hb/cfg/stx2_sii52007_j.pdf

の 2-104、2-36図をじーっと見て、何か忘れているものない?
538774ワット発電中さん:2009/11/25(水) 09:29:58 ID:93pesX3N
安いんだから評価ボードで試したほうが良いよ
俺なんかここでレスするために買った
539774ワット発電中さん:2009/11/26(木) 02:29:51 ID:UZmRnv4j
>>473
あ〜あ、やっちまったな・・・

ボード作り直しか・・・

JTAGロジック修正して費用10万、フィルム代40万、部品取り外して載せ替え代・・・
うはっ!今年はボーナス無しだな

俺も過去複数の仕事を平行して仕事してたことがある。
月の残業時間が400時間オーバーを超え毎日朝の5時帰宅、
9時出社ということを数ヶ月繰り返した時期もあったが
JTAGが見れないというベリーイージーミスはやったこと無いぞ!
540774ワット発電中さん:2009/11/26(木) 03:44:35 ID:d8oxW9iS
>月の残業時間が400時間オーバーを超え毎日朝の5時帰宅、
>9時出社ということを数ヶ月繰り返した時期もあったが
すげぇ。すごいですね。
(24+5)-9=10 1日20時間勤務
20時間 × 30日 = 600時間/月
600 - 8 × 22 = 424時間    すげぇ。

体大丈夫でしたか? ちょっと心肺です。

541774ワット発電中さん:2009/11/26(木) 05:26:29 ID:FJnrNOe/
>>539
>月の残業時間が400時間オーバーを超え毎日朝の5時帰宅、
能無しですね。
542774ワット発電中さん:2009/11/26(木) 13:36:08 ID:+Ibw8BIs
>>523
523の意見にハゲハゲハゲしく同意

コイツ普段の生活もウジウジしてそう。
543774ワット発電中さん:2009/11/26(木) 13:38:53 ID:+Ibw8BIs
ところで473の続報は?
544774ワット発電中さん:2009/11/26(木) 14:08:29 ID:G814z+f1
実際どこを間違えてるんだろうね?
545774ワット発電中さん:2009/11/26(木) 18:30:47 ID:YicEKbNC
>>541
オーバーを超え、とか言ってるもん。もう何もかもが能無しだよ。
546774ワット発電中さん:2009/11/26(木) 19:35:27 ID:AzhC7iwB
まぁ「残業」なんだから、サラリーマンで、休憩時間や仮眠の時間もあるんだろう。
547774ワット発電中さん:2009/11/26(木) 20:24:13 ID:fX8vrwo8
残業自慢=無能
548774ワット発電中さん:2009/11/26(木) 23:09:13 ID:f5cthYJZ
>>542
他人は自分を映す鏡。
その人は見下すようなことはしてないと思う。
彼がねたみの目でその人を見てるからそう感じてるだけじゃないかな。
549774ワット発電中さん:2009/11/26(木) 23:56:08 ID:vNv1DMEF
まあ、同じ穴の軽石だな。
550774ワット発電中さん:2009/11/27(金) 01:16:25 ID:pRa4aOWS
>>548
でも、ときどき
「あー、マイコンで処理ですか。ご苦労様です」とか
「あいつにはマイコンがお似合いだ」とか
「なんでマイコンなんかで ちんたらちんたら やってんの?」とか
「いまさらマイコンなんか アホらしくてやってらんねーよ」とか
言うFPGAソフト屋さんって、社内にいません?
551がつつ:2009/11/27(金) 01:25:43 ID:t8hB15BY
FPGAやってるのってなんかお高いんだよなぁ。ハード屋から見ると。
すぐ電源がおかしいとか、クロックのジッタで誤動作するんだとか、測定器使わずに言うんだよなぁ。
波形見てびっくりだよ。
クロックとデータが全く同じ位相だよ。
Fast Output Registeで出力してるからそんなはずないって、それだとほぼ一緒の位相になるの当然でしょ。
四の五の言わずにクロック反転してくれ。それだけで休出0にできるよ。
高級なCPU扱ってる人間もおなじだぁ。
回路図とリアルな信号見ておくれ。疑う時は証拠もつけてねっと。
552774ワット発電中さん:2009/11/27(金) 02:54:11 ID:4GlQz7Js
>>551
なんで素人にFPGAやらしてるの?って思うけどなぁ。
ハード出身者がやるのが多いんじゃない?
ましてや、ソフト出身者にやらせるなら教育しないと。
553774ワット発電中さん:2009/11/27(金) 03:38:20 ID:d0H1KbOM
>>551
激しく同意。

FPGAのソフト屋さんって、測定器使うのを嫌うよね。チップスコープだけでデバッグしてる。
ていうか、それしかできないんだろうな。
なのに「俺はハードウェア設計してる」とか平気で言うの。あんたのはソフトなの、ソフト。
FPGAのピンから期待通りの信号が出てきてナンボだと思うのに、
チップスコープではちゃんと動いているから云々・・・。
チップスコープがどういう結果を出そうが、そんなん知らないよ。
ピンから出てくる信号が、ロジアナやオシロでちゃんと観測できたら、初めて信用するよ。

半田ごてとかで泥臭く仕事するのがイヤなんだろうな、FPGAソフト屋さんは。
キーボード1つで、さわやかに、トレンディードラマのように華麗に決めたいんだろうな。いつもそう思う。
黙って聞いてりゃ、PC1台あればハワイのビーチでも仕事できる、って言い出しそうだ。

ハード屋の独り言ね。
554774ワット発電中さん:2009/11/27(金) 04:37:07 ID:g8E+mvxI
とりあえず、時間も遅いから、今日は寝よう!
555774ワット発電中さん:2009/11/27(金) 08:51:39 ID:6miUnjJS
軽石がヒューマンデータの掲示板で開陳してたけど、初期値は信号宣言のところでやって、globalなリセットもなしなんてやる人たちだからなぁ。
どういう回路が合成されてるかイメージしてないのね。タイミングレポートも読めてないし。
chip scope,signal probeは曲者だ。現実”ぽく見えるから。”
556774ワット発電中さん:2009/11/27(金) 09:13:28 ID:w3UUQhYH
FPGAならグローバルなリセットはなくてもいい。
絶対に必要と思ってたら馬鹿。
557774ワット発電中さん:2009/11/27(金) 11:49:09 ID:YW4odlUX
技術の腕うんぬんより人間性に問題があるのが多いからな
558774ワット発電中さん:2009/11/27(金) 11:53:02 ID:uJFPe3M7
 中途半端に似たような仕事しているのに、お互いの仕事の中身は
理解できていないから、どうしても反発する事になる。
 人間性の問題なら対メカ屋の方が多くのトラブル原因になることが多い。
559774ワット発電中さん:2009/11/27(金) 12:08:24 ID:pRa4aOWS
>>555
>chip scope,signal probeは曲者だ。現実”ぽく見えるから。”
その通り。1票。

>>553
>なのに「俺はハードウェア設計してる」とか平気で言うの
言えてるね。ちょっとFPGA使うだけで、よく言うよね。
「HDLの設計をしてます」ぐらいにして欲しい。

560774ワット発電中さん:2009/11/27(金) 12:51:32 ID:jOXkYNAS
>556

ASIC屋さんの古き良きお作法だと、リセット時に初期値が入るように記述するし、simでもX伝播があるのでリセットは入れてたな。
端折るメリットってよくわからない。
FPGA内にもリセット用のリソースが用意してあるのに。
軽石と同じ記述してる?
561774ワット発電中さん:2009/11/27(金) 12:55:51 ID:7l0yytek
HDL の設計できたらすごくね?

シミュレータと合成ツールも作らなきゃ。
562774ワット発電中さん:2009/11/27(金) 14:47:17 ID:pRa4aOWS
>>561
わかったわかった、「HDLを書いてFPGAを使っています」でどうよ?
563774ワット発電中さん:2009/11/27(金) 15:10:24 ID:g8E+mvxI
>>560
ちょっとマジで聞きたいのだが
たとえばRTLで記述された表引きテーブルが256バイト必要だとする。
表引きテーブルなので、必要な値を最初に入れる必要がある。

そのテーブルへの値代入は
constant := で確定してしまうか
リセット部分で代入するかどちらが理想的だとおもいますか?
564560:2009/11/27(金) 15:17:59 ID:g8E+mvxI
ROMとして使うように設計した場合は
constant := で初期化してやるのが相応しいと思うが間違いだろうか。
565774ワット発電中さん:2009/11/27(金) 17:49:55 ID:0ZTqcsq9
>「俺はハードウェア設計してる」とか平気で言うの。
俺はハートで設計してるぜ


てかてか
566564:2009/11/27(金) 18:42:23 ID:g8E+mvxI
すまぬ、>>564は、>>563の発言です。
567774ワット発電中さん:2009/11/27(金) 18:44:22 ID:pRa4aOWS

大丈夫ですよ、自作自演は2chの華ですから。
568774ワット発電中さん:2009/11/27(金) 21:00:09 ID:zBmktQH6
自分が影でどんなこと言われてるかも分からず仕事をする人・・・
そんな人がいるから、おれは波風立てないように生きてる
569774ワット発電中さん:2009/11/27(金) 21:55:07 ID:Ge+TtTi0
流れをぶった切って申し訳ないが、
今話題になってるFPGAのソフトって何?

FPGAのエミュレーションをするマイコンが出来たとか?
570774ワット発電中さん:2009/11/27(金) 22:48:07 ID:+ajOXZke
アーほんとに話ぶった切るな。
ソフト屋崩れが書くソースの事だよ。
WinCEから堕ちて来た軽石が象徴的存在。
571774ワット発電中さん:2009/11/27(金) 23:12:31 ID:t8hB15BY
FPGAが動く環境と、FPGA屋の納期遅れの言い訳のネタを提供するのがハード屋だからね。今日日。
五感で”電源が不安定だ”って言える神経がすごいわ。
PCの自作みたいに、相性悪いからレギュレータ変えろとか言い出しそう。
572774ワット発電中さん:2009/11/28(土) 00:19:57 ID:f78yD2ph
このレギュT
573774ワット発電中さん:2009/11/28(土) 00:28:16 ID:UGrFrZge
>>560
リソース消費が減るし、動作周波数が上がるよ。
実際はASICの時に困るから両方に対応できるように書いてるけど。
574774ワット発電中さん:2009/11/28(土) 01:06:09 ID:f78yD2ph
でもFPGAがらみのハードウェア設計ってどれも似通ってる気がする
よほど特殊な設計で無い限り、慣れてしまえばそんなに難しくないと思う。
575774ワット発電中さん:2009/11/28(土) 01:24:48 ID:sXOQEVTk
XILINXのSpartan-3Aスターターキットを使ってMicroblaze開発をしてみようと思うんだが
サンプルプログラムのコンパイル、ダウンロードまではマニュアルをみて出来るようになったものの
C言語の組み込み関数一覧が見あたらないのでそれ以上のことができません
英語サイトも含めてかなり探しましたが関数リファレンスのようなものはないものでしょうか?
詳しい方よろしくお願いします
576774ワット発電中さん:2009/11/28(土) 07:48:14 ID:ju0s0ENE
やることはいつもおんなじ
577774ワット発電中さん:2009/11/28(土) 11:26:30 ID:3JAPRrzz

FPGAのソフトというのは、みなさんが毎日書いているHDLなことですよ。

VHDLやVelilogを書くだけで、ハード設計してると宣う(のたまう)みなさん、
HDL書きはハード屋ではなくて、ソフト屋さんですよということね。

マイコン上がりの人が書くHDLのことではないです。念のため。


578774ワット発電中さん:2009/11/28(土) 11:32:25 ID:37pMB89d
>>575
EDKは入ってるよね?
EDKのDocディレクトリにある
sa_oslib_libxil_stdc.pdf
oslib_rm.pdf
579774ワット発電中さん:2009/11/28(土) 12:02:45 ID:zSdOcNGk
FPGAはハードウェアロジックだっていうんだけど、
VerilogHDLとかで書いた情報を読み込んで、
うにうに・・・って回路がつながるの?
580774ワット発電中さん:2009/11/28(土) 12:43:34 ID:FAVRxLFg
>>579
中の小人さんが電子ブロックみたいにつなげます。
581774ワット発電中さん:2009/11/28(土) 12:45:51 ID:R2gQEW7X
>HDL書きはハード屋ではなくて、ソフト屋さんですよということね

そんな感じだね、今日日。
582774ワット発電中さん:2009/11/28(土) 12:54:06 ID:UJ28tMYw
外ピンのsetup/holdもちゃんとしてほしいなぁ。
fast output regiterだから大丈夫って、それだから駄目なんだぞ。
何階言ったらわかるのか。自分で波形を見てみろよと小い(ry
583774ワット発電中さん:2009/11/28(土) 13:34:21 ID:r0KqJ0LW
波形を見ないのはHDL書きに限らんよ。
回路図で設計してた頃のASIC設計屋でもやっぱりそうだった。
奴ら現実の波形なんかロクに見てるとは思えない。

同じ社内の癖に、動作がおかしいから波形を見に来いと言っても、そんなことはありえないと言って決して来やがらない。
証拠を突きつけてやっとこ修正させても、1箇所直せば新たに2箇所おかしくなる、そんな世界。
奴らの世界では3アウトまでセーフなんだろ、とか陰口をたたかれていたものだ。
584774ワット発電中さん:2009/11/28(土) 14:19:21 ID:KdXHW8Cs
俺がいた会社は恵まれてた方なんだな。
設計してる連中はみんなオシロとかロジアナとかとお友達だったよ。
585774ワット発電中さん:2009/11/28(土) 16:04:09 ID:IWntx+GG
いい職場だね。
一人で路地穴とお城を独占できるのは、たまにしかなかったな。
ローテーションで融通していたから、ロジアナがない時には、オシロのプローブ4本でがんばったよ。
手間はかかるけど、工夫すればそれなりに何とかなるんだよね。

>551
FPGAに入るとき、出る時それぞれ、クロックで同期化するのは基本だろう。
IBUFなどで同期化していれば位相が一致する事はない。
内部レジスタで同期化されているために発生しているとすれば、IBUF指定すれば直るはず。
おかしいからクロック反転してくれってのは、年寄りエンジニアがその場しのぎで叫ぶ根拠のないおまじない。
内部配線が変わるとディレイタイミングが変わってまた同じトラブルに出会う事になる。
お宅のFPGAやっている奴もたいしたことないけど、おまいもたいした事ないぞ。
586774ワット発電中さん:2009/11/28(土) 16:23:41 ID:wgvz+Bcm
AC特性の図とSTAのレポート持ってこいやー
587774ワット発電中さん:2009/11/28(土) 16:54:50 ID:ZNLzAyBs
>580
「電子ブロック」はどちらかというと ASIC寄りで、
FPGAは 同じ学研の 「マイキット」の方が似てると思う。

まあ多分 >579は「電子ブロック」や「マイキット」を知らない世代だろうけど。
588774ワット発電中さん:2009/11/28(土) 17:01:17 ID:q3ZBqH+0
FPGAソフト屋なら自分でロジアナとかつくればいいじゃんて思う
589551:2009/11/28(土) 17:11:14 ID:nCOzB9Fg
> おまいもたいした事ないぞ。

たいしたことない奴らに、毎度同期回路の基本を教えるのに疲れた。

> 内部配線が変わるとディレイタイミングが変わってまた同じトラブルに出会う事になる。

念のため、制約もよろしく。

珍しく回路図のこと聞きに来たと思ったら、またFPGAのPinアサイン間違いだよ。
聞き方が”回路図間違ってるようですが”

氏ね、疲れた、もう帰る。
590774ワット発電中さん:2009/11/28(土) 17:14:52 ID:f78yD2ph
>>589
半月ほど休暇取ることをオススメします。
ご自愛ください。
591774ワット発電中さん:2009/11/28(土) 17:15:10 ID:nCOzB9Fg
あと、配置配線で制約が守れなかったら、warningじゃなくて、errorで止めてくれ。。
現実世界ではerrorだ。そんな不完全なものリリースするなと。
592774ワット発電中さん:2009/11/28(土) 17:26:14 ID:wgvz+Bcm
それ配置配線以前に、論理と合成で勝負ありだから

毎回同じトラブルって、、対抗のデバイスのデータシートも持ってない?
593774ワット発電中さん:2009/11/28(土) 17:36:00 ID:nCOzB9Fg
あの人たちが、そんなもの見るわけないでしょう....。
HDLの教科書だけですよ。
594774ワット発電中さん:2009/11/28(土) 18:34:14 ID:zSdOcNGk
なんか、Warningがいっぱいでるんだよねぇ。wireだとかregがうんたらかんたらって。
よくわかんね
595774ワット発電中さん:2009/11/28(土) 18:48:02 ID:KTo32Hgi
削除しちゃえば出なくなるよ。
596774ワット発電中さん:2009/11/28(土) 19:38:44 ID:IWntx+GG
>>589
何回も教えないとだめなのは相手が無能なのかもしれんが、教え方が悪いんだろう。

制約以前に、おまいの指示(クロック反転)が間違えているんだから救いようがない。
環境がわからない状態じゃおまいも教えられないだろう、聞く時には必要な情報くらい書けボケ爺。

>珍しく回路図のこと聞きに来たと思ったら、またFPGAのPinアサイン間違いだよ。
>聞き方が”回路図間違ってるようですが”

この件だけは半分だけ同情してやるよ。
ただし仕様書が回路図通りになっていたとしていた場合だけどね。
仕様書と回路図と違っていたら回路図描いた奴のミスだ。
土下座してFPGAのピンアサインを変更してもらえ。
逆だったら、相手を罵倒して直させるのを許す。
597774ワット発電中さん:2009/11/28(土) 20:06:42 ID:f78yD2ph
(・A・)サツバツイクナイ!!
598774ワット発電中さん:2009/11/28(土) 20:52:17 ID:W9p8DsUm
やっぱ、何回言ってもわからない奴が問題だと思うよ。
珍しくオシロの使って見てるなーと思って覗くと、リセットの波形がおかしいって。
それは電源の立ち上がりで、あんた用のは800ms後だよと。


>596

ゴクロー。あなたみたいな人がFPGAサイドのリーダーだったら救われるよ。
貴重な人材だ。健康には気をつけて。
FPGAの連中に文句言うのやめて、Cypressのロボクロック君にお願いするよ。
専守防衛。制約の指導とか、中まで踏み込みません。
仕様書のSetup/Holdももういいです。
599774ワット発電中さん:2009/11/28(土) 21:22:34 ID:OTgO/8KM
いまはFPGA部隊のほうが数多いからなぁ。
しかも外注やら派遣だし。
まったくもって、FPGAのソフト屋。

>589

よくわかる。今日はゆっくり休んで、明日の出勤に備えて。
でしょ。日曜だけど。

工程後半はつまんねー火の粉を払うのと
600774ワット発電中さん:2009/11/28(土) 21:32:05 ID:f78yD2ph
論理回路設計とソフトウェアという180度違う分野が
コーディングという手法で解決できてしまうのが問題?
教科書が絵に描いた餅すぎる?
口出しスマヌ
601774ワット発電中さん:2009/11/28(土) 22:14:06 ID:OTgO/8KM
FPGA周りのタイミング調整。本来は、今でもタイミングは”設計”なんだけど、
602774ワット発電中さん:2009/11/28(土) 23:03:21 ID:oBQmuzdC
>>598
審議ちう(以下略
603774ワット発電中さん:2009/11/28(土) 23:07:44 ID:blofeLpB
ひょっとして、仕事のグチを2chにかいてるのか?
・・最低
604774ワット発電中さん:2009/11/28(土) 23:17:39 ID:UGrFrZge
ム板なら、マ板に書け、で済むんだよなぁ。
ここだと、氏ね、としか言いようが無い。
605774ワット発電中さん:2009/11/29(日) 00:07:15 ID:+W63xUkc
>600
タイミングは、ロジック石で組む場合ははっきりと見えるけど、
論理式で設計すると見えにくい。
だからか、ロジック石経験者はタイミングに気を遣うけど、
ソフトから入った人はぞんざいに扱う傾向がある。

クロックの↑と↓のどっちのエッジでデータが有効になるか、なんていう
基本中の基本すら気にしないなんて設計者としてどうかしてると思うけどな。
606774ワット発電中さん:2009/11/29(日) 00:26:00 ID:caLr97Oq
唐突に国語のレッスンするあたりここのスレの人間って変わってるな
607774ワット発電中さん:2009/11/29(日) 01:19:17 ID:Nk/5OZZO
> 、ロジック石経験者はタイミングに気を遣うけど

気を使うというよりは、ディレイラインで強引に辻褄合わせてたから、うちのオサンらはPLLで調整するもんだと思ってるみたいね。
崩壊寸前の多相駆動システムになってる。
リソースがきつくなってくると破綻して、seedsを変えてコンパイルしまくりで対処....。
ちゃんと動けば、究極チューンともいえるけど、もはや非同期システム...。
608くり:2009/11/29(日) 04:26:32 ID:WSQ5tBFE
シロウトうざいよ
609774ワット発電中さん:2009/11/29(日) 07:36:05 ID:7kyNCm9R
自称プロのグチ合戦ですかw
610774ワット発電中さん:2009/11/29(日) 07:46:04 ID:jqOJCO69
動けばよくね?
ってソフト業界だと9割以上がそんな感じだけど、ハードにも似たようなもんがあるのね・・・・。
611774ワット発電中さん:2009/11/29(日) 09:57:22 ID:zaJ0hIvD
>ディレイラインで強引に辻褄合わせてたから
昔はとにかく遅かったから1クロック単位で遅らせていたらとんでもなく
無駄時間が増えてしまうしでね
そういう感覚というのはなかなか抜けないかもな

そういやXilinxでもCLBを一つ一つ手作業でつないでいた時代の製品は
ちょっと変更する度にあちこち調整しまくらないとどうにもならない
位遅かったっけね
612774ワット発電中さん:2009/11/29(日) 10:00:11 ID:jqOJCO69
Spartan-3ANのキットもってるかたでわかったら教えてください。

クロックにCLK_AUXを使おうと思ってるんですが、CLK_AUXは133MHzとあるんですけど、

always @(CLK_AUX)
begin
   処理
end

とやれば、266MHzでいくのかなぁと思ったんですけど、だめなんでしょうか?
613774ワット発電中さん:2009/11/29(日) 12:35:47 ID:/f/ATcgO
まさに『動けばよくね?』って感じだな
614774ワット発電中さん:2009/11/29(日) 13:28:04 ID:jPfDzRw9
>>612
その記述だと順序回路じゃなくて組み合わせ回路が出来上がるよ → Verilogの勉強したほうがいい
エッジトリガのフリップフロップは立ち上がりor下がりのどちらかでしか動作しない → 同期回路の勉強をしたほうがいい

どうしてもデュアルエッジ動作させたいならこんな方法もあるけどおすすめできない
レジスタが倍要るし、タイミングアナライザがちゃんと理解してくれるか不安
実際にハードマクロのDDRメモリ駆動回路はこんな構造になってたりするけど、内部記述に使うべきではない
例:カウンタ(出力:cnt)
always@(posedge CLK_AUX) cnt_p<=cnt_n+1;
always@(negedge CLK_AUX) cnt_n<=cnt_p+1;
assign cnt=CLK_AUX?cnt_p:cnt_n;
615774ワット発電中さん:2009/11/29(日) 15:20:57 ID:jqOJCO69
>>613
本格的に学んでもいないし、語学力も無くアホなのでトライ&エラーでやるしかないのです。
とりあえず動くものが、まともにできるようになったら、基本に戻ろうかと。
じゃないと挫折する。

>>614
指摘のとおりですた。(T.T)
always @(CLK_AUX)だと一回しか動かんみたいです。
616774ワット発電中さん:2009/11/29(日) 16:10:21 ID:HK6Zwybg
266MHzクロックがほしいならDCMで倍クロックにすればいいのでは?
617575:2009/11/29(日) 16:52:27 ID:73FgXeZh
>>578
それは気づきませんでした。ありがとうございます
618774ワット発電中さん:2009/11/29(日) 17:20:30 ID:b7ysQySJ
>>607
多相クロックは、別々のクロックで駆動される信号の同期化をきちんとやらないと、
まともに動かない回路になるから嫌だよね。

619774ワット発電中さん:2009/11/29(日) 17:55:47 ID:jqOJCO69
>>616
倍にできる技があるんすか・・・?
やりたいことは、DDR2 SDRAMをいじりたいんです。
というより、BMP画像を読み込んで、VGAに表示してみようか。
BMPのフォーマット、VGAへの表示はできるので、それでは、画像がでかい場合を想定して、
512Mbitも要領あれば動画もいけちゃうな。げへげへ。と妄想したので、DDR2 SDRAMを勉強しようかなと・・・。
私のでたらめ翻訳では、125MHzが最低でも必要ってなったので、266MHzなら余裕かなぁと。
そしたら、動かないでやんの(T.T)

土曜日に国際ロボット展行ってきて、感動したから感動の薄れぬうちにと思ったけど、、、
620774ワット発電中さん:2009/11/29(日) 18:08:18 ID:rW1Otai/
そのBMPはどこに、入ってるの?
621774ワット発電中さん:2009/11/29(日) 18:23:03 ID:jqOJCO69
>>620
RS232-Cでやろかなと。
当初はSDカードに入れようかと思ってたんだけど、DDR2 SDRAMで躓いたから(T.T)
RS232-CでパソコンとSpartan-3ANでつないで通信しつつ、DDR2 SDRAMにWRITEして、
書き込みが終わったら、DDR2 SDRAMからREADして、VGAに表示する予定です。
JPEGとかは、VerilogHDLがまだまだわからないことだらけ&不慣れなので・・・単純なBMPにしよかと。
622774ワット発電中さん:2009/11/29(日) 18:45:50 ID:cUUdfakx
最低が125MHz なら 133MHzを使えばいい。
本当に 266MHz(DDR2-533)で動かせたら逆に凄いぞ。
623774ワット発電中さん:2009/11/29(日) 20:51:00 ID:jPfDzRw9
>>619
スパルたんは良く知らんけど、DDR用のIOモジュールないの?
LatticeXP2は内部クロックの2倍のクロック(4倍のデータレート)のDDRを扱えるIOブロックになってる
624774ワット発電中さん:2009/11/29(日) 21:14:46 ID:jqOJCO69
>>623
たぶんある。
けど、そこはそれ。なんとなく全部作ってみたくなるのも世の常・・・。
625774ワット発電中さん:2009/11/29(日) 21:55:54 ID:rW1Otai/
>>623
Coding以前に設計仕様書を書け
最初に静止画をSRAMぐらいから始めたほうがいいと思うよ。
626774ワット発電中さん:2009/11/29(日) 23:14:00 ID:HK6Zwybg
>>623
Spartan3A(またはAN)に両エッジで取れるIOはある。
しかしデータリード時にDQS(データストローブ)を半波長ずらすような
機能はないので、地道にディレイをいれつつ低スキューにする工夫がいる。
(アプリケーションノートにLUTでディレイライン作る用例がある)

Spartan6だとDDRコントローラのハードIPがあるのでだいぶ楽になるらしい。
627774ワット発電中さん:2009/11/30(月) 22:51:54 ID:x2eFanbE
今日はSPIインターフェースのシリアルフラッシュのリードステータスの応答がないから見てくれとの問い合わせ。
メモリ出力がLぱなしなんだとか。
実機で見ると、出力になんかパルスが見え、入力はL。ん?。

FPGDI
628774ワット発電中さん:2009/11/30(月) 22:54:33 ID:x2eFanbE
FPGAのDIとメモリのDI,、DOとDOつないでたあよ。自分で見つけてくれ、頼むわ。

でも、回路図のネット名難しいね。INとかOUTにしちゃうと。
629774ワット発電中さん:2009/11/30(月) 23:08:58 ID:7yGi4Qz6
ほんと、ピンの外のことはお構いなしだよね。
リアルワールド見りゃ一発なのに根。

DIとDO,とかTDI,TDOの悲劇はよく聞く話だね。
630774ワット発電中さん:2009/11/30(月) 23:43:37 ID:LtJPktF1
簡単なルールを作ればミスはへらせる
がんば
631774ワット発電中さん:2009/12/01(火) 00:03:09 ID:uvL7ERzH
>>608
>シロウトうざいよ

 おい、コラ。人のコテハン、勝手に使うな。大体、本人ならこの手の
煽り文句、軽く30行は書くから、成りすましたいなら、もっと頭使いな。
632774ワット発電中さん:2009/12/01(火) 00:10:57 ID:mRjJevQW
>631

読点(、)使いすぎ。
633774ワット発電中さん:2009/12/01(火) 00:18:55 ID:iiYdiB/Q
>>632
>読点(、)使いすぎ。

それが、本人の特徴。
634774ワット発電中さん:2009/12/01(火) 00:35:10 ID:znBMCloM
>読点(、)使いすぎ。
低脳で、あれって?文しか、作れないって、ことニダ
低脳、何やっても、駄目って、見本だな
つまりだ、HDLであれ、プログラムであれ、あとは、お前ら、分るよな
お ナ 時
635774ワット発電中さん:2009/12/01(火) 00:46:32 ID:iiYdiB/Q
>>634
>つまりだ、HDLであれ、プログラムであれ、あとは、お前ら、分るよな

 うーん、おしい。あと30%ほど増量すれば、もう少し本人のレベルに近づけ
ると思うぞ。
636774ワット発電中さん:2009/12/01(火) 01:13:40 ID:/+/ywC2G
HDL、は、ハード、じゃ、ない。あれ、は、ソフト、だよ。
637774ワット発電中さん:2009/12/01(火) 02:50:36 ID:LeyUlssC
>>636
まあ、そう言うなって。
ここの人たちの多くは、半田ごても握らない、ICのデータシートの読み方さえ知らない、
「自称ハード設計者」ばっかりなんだから。
638774ワット発電中さん:2009/12/01(火) 03:20:17 ID:2FU321ki
エッチングとかいうと別のことを想像しそうな連中ばかりだな
639774ワット発電中さん:2009/12/01(火) 04:47:20 ID:TxomASUY
>>613
本格的に学んでもいないし、語学力も無くアホなのでトライ&エラーでやるしかないのです。
とりあえず動くものが、まともにできるようになったら、基本に戻ろうかと。
じゃないと挫折する。

>>614
指摘のとおりですた。(T.T)
always @(CLK_AUX)だと一回しか動かんみたいです。
640774ワット発電中さん:2009/12/01(火) 08:09:18 ID:dV8Kp1aX
>>637
激しく同意
641774ワット発電中さん:2009/12/01(火) 11:24:14 ID:EtZY4OVf
まちこ先生
642774ワット発電中さん:2009/12/01(火) 12:23:40 ID:M/Lfx4oz
>>637

まったく。
もっとも、ハードの人と、FPGA屋さん?HDL屋さんは別の扱いの方がいいかもね。
Winアプリの人と、ファーム屋さんは別人種だし。
抽象度が高いほど、中傷対象。
643774ワット発電中さん:2009/12/01(火) 12:45:44 ID:dV8Kp1aX
だよな。
ハードが原因かHDLが原因なのか切り分けもできないのに、
俺はハード設計屋だって言うなよ。
ハード屋ではなくてHDL書き屋です、と言えばいいのに。
ハード屋は、
・ハンダ付けが一人前に出来ること
・測定器がサッと使えること
・電子部品の知識があり、データシートが読めること
・ハードウェアの故障が直せること
・簡単なケーブルは、自分で作れること
・チップスコープだけでなく、ロジアナやオシロで期待する波形が出ていることを確認できてHDL作成終了と心得ること
このくらいの要件は備えているべき
644774ワット発電中さん:2009/12/01(火) 12:48:49 ID:ZpbgRncW
>>643ができないFPGA屋さんなんているの?
どういう会社なんだろ。IP屋さん?
645774ワット発電中さん:2009/12/01(火) 12:51:42 ID:mm/0xmU/
職業としてのハード屋だよな?
だったら、そんな新人レベルのことじゃなくて、
ボードの開発設計ができるとか、
そういうことだと思うけどな。
FPGA/PLDに限れば、
仕様設計〜実動作確認までできるとか。
646774ワット発電中さん:2009/12/01(火) 16:26:49 ID:e4zHnthz
>>644
そういうのを蔑称で「HDL屋」と呼ぶ
HDL(だけ)屋

ソフトの人でも一部の人は >>643 くらいはできるけど、
大体はエディタとデバッガしか使えない。
こういうのは「ソフト(だけ)屋」
647774ワット発電中さん:2009/12/01(火) 16:54:40 ID:dV8Kp1aX
ははは、だけ屋さんね。
648774ワット発電中さん:2009/12/01(火) 17:43:53 ID:EtZY4OVf
643が無能なだけじゃないの?自分じゃ何も出来ない文句「だけ」
649774ワット発電中さん:2009/12/01(火) 17:51:01 ID:uwxbednz
文筆業と呼んでくだしあ。
650774ワット発電中さん:2009/12/01(火) 18:24:41 ID:mxWU1dLT
だけ屋〜さおだけ〜
651774ワット発電中さん:2009/12/01(火) 18:43:35 ID:QljLR80d
玉無しですね。
652774ワット発電中さん:2009/12/01(火) 19:30:50 ID:/YyoOQEg
ハード設計屋でも簡単なソフトのプログラムぐらい出来ないとエンジニアじゃないよな
プログラム作成っていまやエンジニアなら当然出来る基礎技能だろ
FPGA/ハード屋でもプログラム全くできないでは仕事できないだろ
お前らもアルゴリズム開発時になんかのときはソフト屋してるだろ
653774ワット発電中さん:2009/12/01(火) 19:40:56 ID:DobvZRCL
>>643
自称ソフト屋の俺でも出来るww
654774ワット発電中さん:2009/12/01(火) 20:11:52 ID:M/Lfx4oz
会社の規模と、スキルの幅は反比例するのココロ。
655774ワット発電中さん:2009/12/01(火) 20:16:23 ID:ZpbgRncW
会社の規模が大きくてもちょっとした作業なら
自分で色々するでしょ・・・

それはまあ正式なものはしかるべき部署に
依頼する必要はあるけどさ・・・
656774ワット発電中さん:2009/12/01(火) 20:32:47 ID:M/Lfx4oz
なので、大きなところはちょっと窮屈だったなぁと。
半田ごてはおろか、唯一のアナログテスタが電池切れ...。
なんてところにいたこともあるし。

いまは機構から何でもござれです。良くも悪くもw。
給料とスキルは反比例....かも。
657774ワット発電中さん:2009/12/01(火) 21:13:46 ID:SFgbjfd7
>653
俺もソフト屋だが、>643にある事は一通り出来る。
昔いた会社は、ソフト屋の俺にIFボードの製作から始まって、
回路の設計確認、量産試作基板組み立て(チップ部品有り)、
オシロ使って各部波形確認までやらせてくれたよ。
658774ワット発電中さん:2009/12/01(火) 21:50:48 ID:LeyUlssC
>>657
>波形確認までやらせてくれたよ。
多くの人が「波形確認までやらされた」と言うのに、
やらせてくれたと思えるのは、>>657はいい人だね。
そういう人ばっかりだと いいのにね。
659774ワット発電中さん:2009/12/01(火) 22:05:10 ID:7flqNbDs
>657

もはや、ソフト屋じゃなくてよろずや(敬称)でしょう。
660774ワット発電中さん:2009/12/01(火) 22:09:34 ID:LeyUlssC
>>656
FPGAのHDL書きの人でも、仕様打ち合わせから設計、PG、デバッグまで、
頭からしっぽまでやらせてくれる会社にいることを、幸せに思っていいと思う。
大会社の人はスグに「俺はソフト屋だから」「ハードわかんないから」という線引きをして、
近づこうともしない。そんなことでは良いソフトすらできないと思うんだけどね。

僕は、子供の頃からハード一色で、会社でもソフトはやれなかった。趣味でPICで遊ぶ程度。
で、それがイヤで独立して、一人自営してる。
打ち合わせから納品まで、全部自分一人でやらないといけない。
やりだした最初の頃、ソフトは悲惨だった。右も左もわからず、徹夜の連続。
ボロボロのソフトを納入した。FPGAにしても同じで。マイコンと作法が全然違うので、
またまた徹夜の連続でした。まだまだ勉強が足りないです。
でも、会社にいて「ハードだけ」でやっているより、仕事をシステム全体として見ることができるようになり、
自分にとって、とてもプラスになった。

せっかくどちらもやれるチャンスがあるのに「ハードはわからない」とか
「ソフトはやらない」と言っている人を見ると、
その人個人として、何か とてももったいないことを しているように思う。
661774ワット発電中さん:2009/12/01(火) 22:14:08 ID:LeyUlssC
連投すまん。

だけ屋 に よろず屋。 今日はいい言葉を見つけたような気がする。

662774ワット発電中さん:2009/12/01(火) 22:51:56 ID:mm/0xmU/
器用貧乏にならないようにな。
663774ワット発電中さん:2009/12/01(火) 23:00:20 ID:KIJxEwC8
>>660
うらやましいね。
実力もない香具師でも仕事が取れる時代っていつ頃の話なんだろうか?
最近じゃ、同じ位いできる人でも仕事がない。
664774ワット発電中さん:2009/12/01(火) 23:26:54 ID:mm/0xmU/
技術的に経験がある=仕事ができる、じゃないからなぁ・・・。
ないよりあったほうが良いけど。
それ以外にも重要なことはたくさんある、ということだろう。
665774ワット発電中さん:2009/12/02(水) 00:01:36 ID:nWQaVXVL
>>663
さすがに今年の不景気は大打撃だよ。
まだFPGAは弱いけど、それ以外は広く浅くできる(と思われている)ので、
ソフト、ハードの改造とかは、それなりに来ている。
新規設計製作はなく、改造改造が多いね。
666774ワット発電中さん:2009/12/02(水) 00:12:26 ID:e1FENteu
>>663-664
経験、知識もろくにないところによく仕事出だしたなと俺は思ったよ。

俺的には技術者も会社の戦略なんかで言われる"(技術の)選択と集中"の時代なんだなと思うよ。
対象分野に関する知識・技術一流でかつハードもソフトも一流にできます
になれるなら良いが。 もし、たいしてソフト技術要らないハード屋のお前らのところにハードもソフトも
"2流"にできるの来たらどうよ?
667774ワット発電中さん:2009/12/02(水) 00:21:11 ID:rx+ygCDi
ソフトのわからんやつが、いいハードをつくれるわけないやろ!
668774ワット発電中さん:2009/12/02(水) 00:24:42 ID:esaCMTNA
>>666
それが所謂器用貧乏でしょ。
ハード1流で周辺分野は3流あたりを目指せば良いと思う。
669774ワット発電中さん:2009/12/02(水) 00:33:04 ID:gcFYV8lA
> " もし、たいしてソフト技術要らないハード屋のお前らのところにハードもソフトも2流"にできるの来たらどうよ?"

日本語が二流なのは困る。
なにが言いたいのか判らん。
読点よろしく。軽石さん(^。^)。
670774ワット発電中さん:2009/12/02(水) 01:43:37 ID:PS7jSppn
ところで
受託なり派遣でFPGAって
10年くらい前は1人月100万円くらいだったが、
今もそんな相場は維持出来てるの?
671774ワット発電中さん:2009/12/02(水) 08:49:14 ID:esaCMTNA
うちの会社は150万だよ。
というかそのくらいにしておかないとくだらない話が
舞い込んでくるからフィルタ代わりらしいけど
672774ワット発電中さん:2009/12/02(水) 10:44:40 ID:C7ISheCH
>>670
それ元請けの単価だろう。
その金額でFPGAの設計だけはうちの周りではないな。
80万で、ブロック仕様設計+コーディング+デバッグだな。
その金額だと、取りまとめとかやる人の単価です。

>>671
話聞くだけでも意外と楽しいと思うけどね。
難易度高いのが多いならそれくらいはするかもしれんが、それだけ出す価値のある仕事ってなんだろう?
よほど仕事が速く、確実でないと頼めんな。
673774ワット発電中さん:2009/12/02(水) 22:17:55 ID:dLlz10H+
>>670
自社の社員すら仕事無くて辛いのに、派遣に100万払う余裕は無いよ

>>671
残業も見込むなら妥当な額だと思うけど、今そのレベル出してくれるところは少ないorz
くだらない話って、小規模開発とか短期開発とかのことかな

>>672
元請けだと、80万で仕事受けたら、普通に赤字になる
残業無しでも、100万くらいは無いと辛い
でも、仕事無いから結構ギリギリの案件も取ってるよ
そいで残業無しでこなせとか、厳しい仕事になるんだけどね
674774ワット発電中さん:2009/12/02(水) 22:19:22 ID:CBjIV36F
優越感に浸ってんじゃねーぞオッサンども
675774ワット発電中さん:2009/12/02(水) 22:34:42 ID:BOy6xaJ4
>674
なにもできねぇガキは、テスターかってこい。
話はそれからだ。
676774ワット発電中さん:2009/12/02(水) 22:53:18 ID:H5VSoGL1
>>671-673
下請けの会社なの?
下請けでも、受けたのを開発・製造ぐらいしているよね
それとも、設計だけ(設計だけ屋会社)?
自社製品を開発して売っていないの?
677774ワット発電中さん:2009/12/02(水) 23:00:34 ID:DoClI700
FPGA自体を論理回路シミュレータ上で作ってさらにそれ用の合成プログラムとか作ってる人いますか
678774ワット発電中さん:2009/12/02(水) 23:02:37 ID:esaCMTNA
>>676
受託と製品の両方
受託の場合は試作までかな。
679673:2009/12/02(水) 23:22:20 ID:dLlz10H+
>>676
基本的に設計請負だけですよ
自社製品なんて無いし、基盤や筐体、製造は他の会社に出している
そいで仕事が減ってきたからって、自社製品開発をとか無理な話が持ち上がっているような状況orz
680774ワット発電中さん:2009/12/02(水) 23:36:41 ID:BnthUJW6
>>676
うちも基本設計請負で、自社製品が少々。
設計請負が激減したので空いた時間で自社開発をやっているが、まだ金にはならない。
自社製品も時々注文があったが、最近はめっきり落ちてしまったので、自己資金の枯渇する日も近い。orz
681774ワット発電中さん:2009/12/02(水) 23:42:17 ID:/KYAb2h+
ソフト屋さんでも請負->自社商品という話を聞いたが
やっぱり状況は似たようなかんじ。

ソフト・電気屋・メカ屋....工業全滅?
682774ワット発電中さん:2009/12/02(水) 23:48:47 ID:dLlz10H+
>>681
うちの主とするLSI、ファーム系ソフト、回路、筐体は厳しい。契約更新打ち切られたりしてる
請負元の経営が危うくなってるとこもあって、ひやひやだよ
ボーナスまともにでるのかな・・・管理職じゃないから、酷いことにはならないとは思うんだけどw

それでもソフト屋は、次の職を見つけやすい
ハード屋は、次が中々見つからない
683774ワット発電中さん:2009/12/03(木) 00:08:51 ID:CQRSVPtB
でもハード屋って、後輩が育たないよね。
PCを使ってこなす仕事だと、スグに覚えるのに。
オシロ、ハンダごて、ケース加工、ハーネス作り、基板製作、
部品実装、部品調達、
感電、発煙、部品破裂、赤熱、過熱、ノイズ、誤作動、怪我、出血、

いいこと何もないか。
684774ワット発電中さん:2009/12/03(木) 00:21:35 ID:tiMrc/RL
おまけに基板ができれば濡れ衣着せられるし。
685774ワット発電中さん:2009/12/03(木) 00:34:41 ID:rEBhlxM+
しかもやたらに試験やら書類やら多いし。
故障率・化学物質・部品の承認図・安全規格・作標.....
温湿度・振動・梱包・絶縁/静電気・電波.....

ISOのおかげで不具合対応の時に技術の人間が現場入って
改修とかもやりづらいし。また書類と判子ですよ(怒
686774ワット発電中さん:2009/12/03(木) 00:44:38 ID:88q+6GBu
スレタイ読めないキチガイ(藁)どもは出てけよ。
687774ワット発電中さん:2009/12/03(木) 01:53:43 ID:eB6/zmKH
金が無いなら、国の助成金を使って仕事すればいい
経費の半分を補ってくれる、FPGAボードを2000万で作れば1000万だしてもらえるw
実費の1000万は自己負担だけど・・・領収書なんてどうにでも・・・w
688774ワット発電中さん:2009/12/03(木) 03:32:13 ID:P//54SVp
>>685
すごいね、そんなに覚えることがあるんだ。ハード屋って大変だな。
やっぱりFPGAのソフト(HDLのこと)の「だけ屋」の方が、楽だね。
ノイズ関係ないし、温度関係ないし、振動も承認図も関係ないし。
689774ワット発電中さん:2009/12/03(木) 08:00:34 ID:tiMrc/RL
>685
FPGAだけ屋の指導もあるぞ。
紆余曲折の成れの果てにFPGA担当だれもぽかーんでクロック反転しろなのに、お前の指導が悪いとか言ってる連中だ。
指導されるのは恥じだぞ。
690774ワット発電中さん:2009/12/03(木) 11:51:20 ID:DckgFo+w
やっぱ、HDLの上で ソフトウェアテクニックを磨いてるだけのほうが楽だな。

動かないときは、ハード屋に見てもらおう
691774ワット発電中さん:2009/12/03(木) 14:08:55 ID:CQRSVPtB
だけ屋 発見。
692774ワット発電中さん:2009/12/03(木) 17:13:20 ID:u5JfgMwV
> ソフトウェアテクニック

所詮回路図の置き換えなので、そのうち破たんするとおも。
スクリプトとかに長けた方がいいよ。
simでstimulus生成するとか、条件入れるとRTLがにょろって出てくるとか。
693774ワット発電中さん:2009/12/03(木) 19:54:27 ID:9Vi/N9R3
どなたか、マルツパーツのMFPGA-SPAR3E基板と
特電回路のjwriterを組み合わせて使っている方は、いらっしゃいませんか。

JTAG接続すると、
TCIだけはずす⇒jwriterのLEDは消灯(待機状態)
TCIをつなぐ⇒jwriterが赤点滅(Vref検出できず)
となり、接続できません。
694774ワット発電中さん:2009/12/03(木) 19:56:23 ID:9Vi/N9R3
訂正 TDIじゃなく、正しくはTCIです
695774ワット発電中さん:2009/12/03(木) 21:30:21 ID:CQRSVPtB
>>693
で、特電さんは何と言ってるの?
696774ワット発電中さん:2009/12/03(木) 22:56:18 ID:S3h9dYb4
>>693
前半の使いがいるかと後半の接続できませんとどう関係するニダアルか?
ウリはあまり日語できないニダアルから?ニダよ
恥ずかしがって重要なこと言わないのイクナイニダね

>>694 TDIなんて>>693に出てないニダよ
ウリと同様、日語だめアルネ。日語學習加油ニダ
697774ワット発電中さん:2009/12/03(木) 23:02:37 ID:Fb//N7M5
なんでシナとニダが混じってるんだw
698お祭り好きの電気屋:2009/12/03(木) 23:18:13 ID:Ppil0wHB
呼んだ?
699774ワット発電中さん:2009/12/04(金) 11:11:24 ID:2rl8yZMg
>>689
泣いて逃げ出したと思ったけど、まだいたのか。

困った時のクロック反転てのは馬鹿のやる事。
クロックの同期化をちゃんとやれば、反転クロックなんてトリッキーな小手先の対応なんて使う必要はないんだよ。

そうそう、思い出したよ。
ちょっと前に時々おかしくなるロジックがあって、全部のロジックを見直していたら1箇所だけ反転クロックを使っている所があったんだよ。
原因はその場所だった。
入力信号が多かったので、ディレイが大きくて本人は苦肉の策としてクロック反転したのだろうけどやってはいけない典型的なパターンだった。
ちゃんとすべてを同じクロックでたたくようにしたら、その後は全然問題なく動いてくれたよ。
不具合になる頻度が1時間に1回とかだったので本人の確認ではたまたま動いているように見えただけだったみたい。

ま、爺の相手したFPGAだけ屋のレベルも対した事がなさそうだけど、指摘しているやつも同列だから愚か者同士バグをなすりつけ合えばいい。
クロック反転させても不具合は改善していないだろうからね。
直っているように見えても一時的なもの、1日くらいランニングテストすればボロが出るよ。
700774ワット発電中さん:2009/12/04(金) 12:23:54 ID:D44++iBQ
> クロックの同期化をちゃんとやれば、反転クロックなんてトリッキーな小手先の対応なんて使う必要はないんだよ。

ちゃんとできないFPGA馬鹿が多いっての。

> 時々おかしくなるロジック

ちゃんとタイミングレポートみろよと。
ロボクロックなんか使わせるなと。
ハード屋がお膳立てしてやってるのに気がつかない不遜なFPGAだけ屋。

つーても、ここはFPGAだけ屋のスレだったな。
701お祭り好きの電気屋:2009/12/04(金) 12:32:58 ID:iGM6gDk5
そうそう、ほかのはデータシートもあって、”設計”できるんだけど、FPGAは外で調整地手やんないといけないんだよな。
中は首突っ込まないが、入りと出だけはしっかりしてほしいもんだぜ。
ランニングテストなんか頼るなよ。自分じゃやらねーだろうし。
わかってる?>699の口だけ坊や。
702774ワット発電中さん:2009/12/04(金) 13:05:22 ID:VYDwWw9D
まぁ、そんなどうでも良い話題はおいといて。

ロジック中で信号を遅延させる場合ってVerilogだとどう書くのが正解?

入力されるクロックとデータバスのタイミングが際どいのでクロックより少し遅いタイミングでデータを取り込みたいんだけど。
703774ワット発電中さん:2009/12/04(金) 13:29:11 ID:D44++iBQ
>699

逃げずに指導的模範解答よろしく。
私は経過を見守ろう。
704774ワット発電中さん:2009/12/04(金) 14:06:24 ID:uVX8pnas
ダミーゲートで外だし中いれ
705774ワット発電中さん:2009/12/04(金) 15:26:46 ID:2rl8yZMg
>703
答え書いているけど理解できないんだね。

もう少し判りやすく教えて上げないとダメなんだね。痴呆老人さん。

FPGAから出る出力される信号をクロックに同期させるだけだよ。
出力する信号でセレクターを通したり、反転やANDやORが必要な場合はその信号もクロックで叩いてから出力する。
1クロック分特定の信号が遅れないようにその信号に関係ある信号もクロックで叩けば問題はない。

そうそう、あんたが見ていた信号が間違っているって事もあるから回路図見直しもやる事だね。
仕様書と回路図のチェックはちゃんとやったかな?
706774ワット発電中さん:2009/12/04(金) 15:28:38 ID:D44++iBQ
>703
702に模範解答してね。
707774ワット発電中さん:2009/12/04(金) 15:54:16 ID:2rl8yZMg
早くレスしろよ>703 D44++iBQ
自分で言った事の責任取れよ。
708774ワット発電中さん:2009/12/04(金) 16:29:14 ID:D44++iBQ
>707
根本的原因は、日本語の理解能力の問題だな。
一朝一夕に解決しないのがよくわかったw。
709お祭り好きの電気屋:2009/12/04(金) 16:47:57 ID:Znb7PocP
FPGAは痛い奴が作ってるんだな。
すき放題なはずだわ(呆
710774ワット発電中さん:2009/12/04(金) 16:48:20 ID:uSEXNn3b
ご参考 一朝一夕 = いっちょういっせき と読みます。



711774ワット発電中さん:2009/12/04(金) 17:47:47 ID:S8jQsnbd
>>710
どうでもいいことだが、IDがwww
712774ワット発電中さん:2009/12/04(金) 19:09:20 ID:2rl8yZMg
>>709
使えない爺どもは早く引退してほしいね。
ただでさえ席が減っているのに、化石化した爺連中が席から動きゃしねぇ。
ルールで一度は席を立たなきゃいけないのに、居座ったままだからとっと田舎で畑でも耕してくれ。
713774ワット発電中さん:2009/12/04(金) 19:56:54 ID:D44++iBQ
> 使えない爺どもは早く引退してほしいね。

実力で取り返せって。

> ロジック中で信号を遅延させる場合ってVerilogだとどう書くのが正解?
> 入力されるクロックとデータバスのタイミングが際どいのでクロックより少し遅いタイミングでデータを取り込みたいんだけど。

↑こういうのを指導してくれ。俺は本来担当外。
余計な仕事させないでくれよ。
714774ワット発電中さん:2009/12/04(金) 19:57:48 ID:5ZNjIt8B
匿名掲示板で責任て
715774ワット発電中さん:2009/12/04(金) 21:56:22 ID:Kmqk8qKy
>705
いろいろまちがっとるな。配置配線未経験の論理屋か。
んで、アスペルガー患ったり、FPGAだけ屋も、それなりに大変なんだな。
お大事に。

>710
ID素敵杉
716774ワット発電中さん:2009/12/04(金) 22:57:43 ID:lpcZySaL
安易に1clk叩いて同期化とか言えちゃうような対策が出来るレベルなら
それで済ませた方がいいよなぁ。

お気楽だわな(w
717774ワット発電中さん:2009/12/04(金) 23:35:48 ID:G/QhragD
>>715
ASIC屋から"FPGAの配置配線ってなんじゃこりゃ、これで配置配線なのか"って言われたことあるよ。
論理屋が配置配線するFPGAの世界ってまだのどかな世界だよな。
718774ワット発電中さん:2009/12/04(金) 23:52:09 ID:6AsZ09lQ
0.18μm世代以降のASIC設計からIPコア開発を経てFPGAに移ったが、
確かにFPGA一筋っていう人の設計や、0.35μm世代以前の半導体をやっていた人の
クロックの取り扱いやタイミング同期に対する考え方は、
未だに凄い大冒険をしているように見える。

719774ワット発電中さん:2009/12/05(土) 00:07:59 ID:Fvxvutpb
>>717
「これで配置配線なのか」というより、
「これがFPGAの配置配線」なんじゃないかなぁ。
どっちも大変だし。

とはいえ、FPGAはリソース使用率が低ければ、
あまり考えなくてもいいけど。
720774ワット発電中さん:2009/12/05(土) 00:26:23 ID:ZflREOOu
>>717
ASIC屋さんの言いたい事もわからんではないが、
メタルで直接繋げるのと、配線スイッチを設定する事
しか出来ないFPGAを比べられてもなぁと思う。

電子ブロックとマイキットくらい違うぞ(w
721774ワット発電中さん:2009/12/05(土) 00:28:31 ID:EPVpvtRx
FPGAの使用率が上がってくると、ディレイが大きくなってアウトになるケースあるよね。
しかも配置配線の時間がだんだんとかかるようになってくる。
そのうち、配線は出来るけど、Setup/Holdタイムが要求仕様を満足できなくなってくる。
仕方がないのでスロット回してよさそうな配線を探すはめになる。

最後は運頼みをするしかないのが、最近の最先端のFPGA開発。orz
722774ワット発電中さん:2009/12/05(土) 01:25:48 ID:I5cZTnkD
それは入れ物の選択の時点で設計ミスしとる
もしくはピン振りがいい加減

つまりお前が悪い
723774ワット発電中さん:2009/12/05(土) 01:31:57 ID:ZflREOOu
パッケやダイを好きなように選べるとは!
なんとウラヤマシイ環境!!(w
724774ワット発電中さん:2009/12/05(土) 04:07:07 ID:bsT3LYh9
マルツのspartan3eボード(2007年DWM付録同等にフラッシュ実装)に
parallel-3互換自作ケーブル(74HC367使用)接続してJTAG自動認識
するとunknownデバイスが22個も出て来てまともなの1つもない状況
になるのですが、これってケーブルの問題でしょうか?
ケーブル供給電源2.5V、3.3Vでも同症状。XC9572XLでは実績あり。
725774ワット発電中さん:2009/12/05(土) 05:03:49 ID:5e7TjKrg
>>724
はい、そうです。
どうしたら良いですかは質問してないので答えませんが
それでは可哀想なので超お勧め方法だけ教えます
Xilinxの純正ケーブルを使ってください
726軽石:2009/12/05(土) 06:12:19 ID:vCUS1Pme
まず、ゴーグル先生で調べましょう(^。^)
http://www.nahitech.com/nahitafu/dwm200501/spartan3.html
727774ワット発電中さん:2009/12/05(土) 10:18:25 ID:HDQIfh57
なんでみんな純正を使わないのかな。
不安がゼロなのに
728774ワット発電中さん:2009/12/05(土) 11:27:17 ID:bosgete8
純正も持ってるが自作ケーブルで十分。純正でも駄目な時は駄目。
729774ワット発電中さん:2009/12/05(土) 11:48:21 ID:cDDcHBTJ
日本アルテラ株式会社との代理店契約解除について
当社は、このたび、日本アルテラ株式会社の代理店戦略の変更に
より、同社との間で締結していた 日本国内における販売代理店契約
を、平成 22 年 3 月 31 日をもって解除することで合意しましたの
で お知らせいたします。
お問い合わせ先: 丸 文 株 式 会 社 広報室
730774ワット発電中さん:2009/12/05(土) 14:26:46 ID:Vtd3XuHn
アルテラは何がやりたいんだ?
731774ワット発電中さん:2009/12/05(土) 14:43:02 ID:a4Ay8ihx
ActelよりLEが多くて小さいパッケージっていうと
やっぱspartan6の8x8mmくらい?
732774ワット発電中さん:2009/12/05(土) 17:53:00 ID:ul6XInAD
LEって何?
ラッチイネーブル?
ロジックイネーブル?
ラッチエレメント?
733774ワット発電中さん:2009/12/05(土) 17:53:19 ID:r41N3UG+
ところで、Spartan3 と Cyclone3 だと、Cyclone3 のほうが
FF が多いような気がするけど、間違いないですか?
734774ワット発電中さん:2009/12/05(土) 19:36:02 ID:QlaQF7Ci
スパルタンもサイクロンもピンキリなんだから比較条件がないことには…
同ピン数とか同価格とか、シリーズ最大容量とか。
735774ワット発電中さん:2009/12/05(土) 19:46:03 ID:el1JUdwF
>>732
なんで4つ目の組み合わせを書かないんだよw
736774ワット発電中さん:2009/12/05(土) 19:52:25 ID:OEcoa4WI
なんで釣られるんだよw
737774ワット発電中さん:2009/12/05(土) 20:14:50 ID:6kcmUPjz
先生達の会社は、純正(または保証のあるサード製)のダウンケーブルだけではなく、普通に自作ケーブルも使って開発してるの?
趣味だったら自作ありと思うけど、開発に必要な数の純正・サード製すら用意できないぐらい貧困会社って多いの?
そんな貧困だと開発PCも中古購入してるのかな。で、もっと貧困になると、請負先から開発機材一式提供してもらってるの
738774ワット発電中さん:2009/12/05(土) 20:43:17 ID:Au4E6ptf
そうです。 PCも開発ツールも居室も測定器も全部客先がそろえて
くれます。
なんせ殿様商売ですから。

739774ワット発電中さん:2009/12/05(土) 21:25:06 ID:BQSxklMl
いや、USBの使ってる。
740774ワット発電中さん:2009/12/05(土) 21:30:18 ID:el1JUdwF
とりあえず純正はコネクタ大き過ぎる。
あとQAや外部の会社と情報を共有するために、
ケーブル一本だけでテストプログラムが走る仕組みが必要だろ。
741774ワット発電中さん:2009/12/05(土) 21:35:27 ID:SZBp4qOE
考えてもみろよ。
お前は、回路まで公開されている簡単なダウンロードケーブルさえ
動作の怪しい物しか作れない奴が作った装置なんか信用できるか?
742774ワット発電中さん:2009/12/05(土) 21:47:24 ID:ul6XInAD
>>741
5拍手
743774ワット発電中さん:2009/12/06(日) 00:18:08 ID:H2Ab2WT5
>>737
だいたい開発費で買って、その都度処分してるよ
何かあったときに純正で無いことによるものが原因だったら、責任おえないしね

使う物だし、出来れば経費で数個買いたいんだけどねぇ・・・・
744774ワット発電中さん:2009/12/06(日) 03:06:01 ID:KkhttaHA
>>737
能力の無い所はメーカーのお膳立てが無いと何も出来なかったりするね。
データーシートを読む実力があれば自分が保証すれば良いのだから自作ケーブルでも全く問題ない。
そもそも純正使った所で、メーカーは保証なんてしないし、何本も純正ケーブル買うなんて愚の骨頂。
そんな無駄金あったらFPGAのランクを上げたり設計時間に当てたりした方がまとも。
745774ワット発電中さん:2009/12/06(日) 07:23:45 ID:wx9+kKtA
724です。ググってみると低電圧での速度が問題で低電圧でも高速で動作するロジック品種
を使用、出力コンデンサは外す、あたりが一般的対策のようです。
TC74VHC244が秋月にあったのでそれで再製作、しっかりデバイス認識できました。
746774ワット発電中さん:2009/12/06(日) 07:44:21 ID:wx9+kKtA
ググってみると、というか726リンク先で十分でした
747774ワット発電中さん:2009/12/06(日) 11:04:32 ID:BNWp21B2
軽石も役に立つのか。つか偽者だろうけど。本物なら役に立たない。
748774ワット発電中さん:2009/12/06(日) 13:04:23 ID:H2Ab2WT5
>>744
自作ケーブルのコスト > 純正品のコスト

工数&製造考えたら、純正品の方が安くない?
749774ワット発電中さん:2009/12/06(日) 13:47:50 ID:+pROwUH2
自作が安いのは確かだけど、純正品も言うほど高くないと思うんだが
750774ワット発電中さん:2009/12/06(日) 13:54:09 ID:BuZN+NQS
>>744
>そんな無駄金あったらFPGAのランクを上げたり設計時間に当てたりした方がまとも
そんな無駄金あったら
FPGAのランク上げるって、なぜSP3をSP6とかに無駄金あるとするの?
そんな無駄金あったら
設計時間に当てたり、なんで無駄金が設計時間に化けるの? 
能力のある人の言うことは、超飛躍してて低脳には理解不能なんですけど,orz
751774ワット発電中さん:2009/12/06(日) 14:00:57 ID:1ttm3LlR
>>750
設計を外注しているんじゃない?
752774ワット発電中さん:2009/12/06(日) 14:19:38 ID:VuMIPY/B
>>744
>そもそも純正使った所で、メーカーは保証なんてしないし、
そうそう、何も保証はないよね。
だけど「デバイスに書込めることを確認している」ところが、自作品と異なるのよ。
Spartan3
Spartan3E
Spartan3A
Spartan3AN
Virtex2
Virtex2pro
Virtex4    などなど
これらをメーカーが「ちゃ〜んと書き込めるね、よしよし」つて、確認してくれるわけだけど、
自作ケーブルで、それができる?
「○○くん、君の自作のダウンロードケーブル、Spartan3ANに書けるかな?」
「行けると思いますよ、いつもSpartan3Eではノーミスですから」
そんな未確認なケーブルで書けたとしても
「ホントに大丈夫???」と聞かれたとき
「いいと思います。だって動いてるじゃないですかぁ〜」としか言えない。
753774ワット発電中さん:2009/12/06(日) 14:24:46 ID:B33JP1px
時間より金が大事なら自作もありだと思うけど
一本くらいはリファレンスとして純正を持っておきたいよね
754774ワット発電中さん:2009/12/06(日) 15:07:57 ID:BuZN+NQS
>>752
>>744さんのケーブルは自分保証付きですよ(ただし作成者本人が使う分にはだと思うけど)
これは自分が作ったから動作しないらその責めを自分が負います(自分で自分の尻はぬぐいます)って
自分保証するってなんて素敵なことでしょう。
755774ワット発電中さん:2009/12/06(日) 15:33:11 ID:KkhttaHA
>>748
基板起こすついでに端に色んなツールの基板を作りこんでカットしてあるので、書き込みツールの基板ストックは現在数十枚ある。
ちょこっとしたロジックを載せれば使えるので部品代はしれてるし、工数は数十分。
勿論、自作じゃ無理な場合もあるので純正も使ってるよ。だけど、一人一個、純正を配るより
若い奴に自分で使うツールは自分で組み立てる様に指導してるので、たとえ組み立てに1時間かかったとしても
半田付けの練習を兼ねて生きた金の使い方だと思う。
756774ワット発電中さん:2009/12/06(日) 15:34:02 ID:KkhttaHA
>>750
>低脳には理解不能なんですけど,

仕方ないじゃん。人間には向き不向きがあるし、これが理解できなきゃ生きていけない訳でもあるまい。

>>752
>「いいと思います。だって動いてるじゃないですかぁ〜」としか言えない。

しょうがないね。所詮その程度の実力。
そんなに使いもしない種類を無意味に上げてるのは、実は自作ケーブルで書けるかどうか教えて欲しいと見た。
それ位自分で調べなw
757774ワット発電中さん:2009/12/06(日) 18:15:32 ID:FELm0mFW
>「いいと思います。だって動いてるじゃないですかぁ〜」としか言えない。

そんな馬鹿な社員はクビだよ
758774ワット発電中さん:2009/12/06(日) 18:21:13 ID:VuMIPY/B
>>756
>そんなに使いもしない種類を無意味に上げてるのは、
>実は自作ケーブルで書けるかどうか教えて欲しいと見た。
>それ位自分で調べなw
オッサン、オレは純正使ってるよ。USBのね。でなきゃ商売にならないの。

759774ワット発電中さん:2009/12/06(日) 18:44:59 ID:H2Ab2WT5
>>755
そう言う意味合い加わってくると、微妙になるな
ただ、その基盤の予算がどこから出るのかが問題
うちは請負だからってのもあるけど、数万程度でどうにかなるのなら客先に買ってもらって仕事毎に廃棄
何かあったときの責任の所在、不具合解析の工数を考えると、自作しても良いことがないし・・・・
760774ワット発電中さん:2009/12/06(日) 18:48:09 ID:KkhttaHA
>>758
良かったねぇ

>「いいと思います。だって動いてるじゃないですかぁ〜」としか言えない。

なんてほざいてるゆとり世代でも仕事にありつけて。
うちの若い奴らはダウンロードケーブルなんて無くてもFPGAの仕事が出来るまでになってるよ。
761774ワット発電中さん:2009/12/06(日) 19:47:36 ID:i7FFOt5a
量産しない一品ものみたいなことなら、動けばいい、でいいんじゃない。
メーカではそんなのありえないけど。
762774ワット発電中さん:2009/12/06(日) 19:56:33 ID:fyykJvUJ
ダウンロードケーブルなしに、FPGAに書き込む方法を 教えてください。
763774ワット発電中さん:2009/12/06(日) 20:11:28 ID:FjU6gQQI
StratixとVirtexとARMが載ってる基板だったらどの純正品を使うのか見もの。
764774ワット発電中さん:2009/12/06(日) 20:20:08 ID:FjU6gQQI
>>762
基板上に回路を作りこんでUSBケーブルだけでやる。
ハードもソフトもQAもこれでやってる。
765774ワット発電中さん:2009/12/06(日) 20:35:31 ID:KkhttaHA
>>759
冶具の基板なんて数センチ角だから、製品基板の横につけても値段は変わらないね。
定尺からの切り出しだからある寸法までは、ほぼ同じ値段で済む。
FPGA用のケーブルに限らず、色んな冶具のパターンを暇な時に作ってあるので
基板発注時に値段が変わらない程度コピペして作る事にしてる。
ケーブルなんてオシロやPCと同じで、持ってて当たり前の機材だからうちは見積もりには載せないな。
一人あたり2〜3個作ってるから、調子の悪い時は交換して見る事も出来るし
766774ワット発電中さん:2009/12/06(日) 20:36:22 ID:KkhttaHA
(おかしい事は滅多に無いが)
純正だって壊れる時は壊れるんだから、手元に一個しか無い状態で開発するのはそれこそ不安だ。
それに、自分で作って自分専用にすると愛着が湧いて道具を丁寧に扱うようになる。
共有するオシロのプローブなんて見ると一番よくわかる。

お約束だが、PCBは基板と書く。
767774ワット発電中さん:2009/12/06(日) 22:36:21 ID:EOJmdPRZ
>「○○くん、君の自作のダウンロードケーブル、Spartan3ANに書けるかな?」
とか聞かれたら
「心配なら純正品買ってください。」
って返すのがいいんじゃないか?
768774ワット発電中さん:2009/12/06(日) 22:53:33 ID:VuMIPY/B
>>763
そういうときは、別々のJTAGにするんだよ。同じJTAGチェーンに乗せて
やれないこともないだろうけど(オレはできない)
769774ワット発電中さん:2009/12/06(日) 23:06:31 ID:95kULQp0
>>765
製品基板(量産基板)の傍らに治具基板も入れているって
俺にところからすると超驚きだ。
製品基板の生産担当は開発部門ではなく、生産部門(工場)だろ
それを生産部門がOKって言うのか?
6層の製品基板の傍らに豪華6層のダウンロード基板(内層に全面電源、GND層有、純正よりすごいよ)
世の中色々な会社あるからな

>>767
おまえ世渡り上手だな
770774ワット発電中さん:2009/12/06(日) 23:10:31 ID:95kULQp0
てっきり、>>765は開発の人と思い込みしてたが生産部門の人の可能性あるよな。
771774ワット発電中さん:2009/12/07(月) 00:39:17 ID:m4mna6bC
>>765
> オシロやPCと同じで、持ってて当たり前の機材

うぅ、みんなリース品だ......
772774ワット発電中さん:2009/12/07(月) 09:49:28 ID:vchQWCRt
よろず屋自慢の次は純正ケーブル自慢かよ…
くだらねぇな
773774ワット発電中さん:2009/12/07(月) 10:42:07 ID:z7k2zEK4
>>772
まあまあ、そう言うなって、だけ屋さん。
774774ワット発電中さん:2009/12/07(月) 10:58:42 ID:fUX8gO1t
>>769
だから、たぶん量産しない製品だよ。
1k,10kで生産する板には載せられないよ。
775774ワット発電中さん:2009/12/07(月) 12:05:57 ID:ulHXS1bi
>>769
そんなに驚く事かな。
捨て基板も含めて設計するのだから基板の工場の意見なんかに左右されないだろ。
実装屋に、捨て板取っといてね。とメールするだけで済む。
776774ワット発電中さん:2009/12/07(月) 14:17:10 ID:HSVTMBxH
>>752
純正を否定するわけじゃないけど、問題になった基板は、純正ケーブル
とも相性が悪かったはず。
777774ワット発電中さん:2009/12/07(月) 15:30:28 ID:V1sdnCMn
>>771
減価償却とかあるし、会社ならリースでいいと思う
778774ワット発電中さん:2009/12/07(月) 17:38:47 ID:aWyH2tOl
>772

ツマンネと不平を言うよりも、進んで火を放ちましょう。
779774ワット発電中さん:2009/12/07(月) 20:12:30 ID:bH+lW7yT
最近でたシリコンブルーってどうなの?
使ったことある人いる?
780774ワット発電中さん:2009/12/07(月) 21:34:41 ID:yrrb4M3x
>>771
うちもリース。ある程度の期間で、新しいのに変えられるから、リースの方が好き
ただ最近コスト気にして買うことが増えてきてるかな。PC含めリースが減ってる
校正費用も馬鹿にならないと思うんだけど、何が得なんだろう・・・・

>>779
最近何か新しいのでたっけ?
営業さんとは、展示会で話したことしかない
LatticeやActelだって使わないし・・・・
781774ワット発電中さん:2009/12/07(月) 22:07:54 ID:VVQVKbGL
○ブンオワタ・・・。
782774ワット発電中さん:2009/12/07(月) 22:14:38 ID:VVQVKbGL
○ブンオワタ・・・。
783774ワット発電中さん:2009/12/07(月) 22:34:47 ID:mftdNhM3
自作ケーブルでもベリファイOKなら
OKなんじゃないの?

やりとりはあくまで情報なんでしょ?
昔のROMライターとは違って。
784774ワット発電中さん:2009/12/07(月) 23:16:03 ID:CnYKhAfE
アスペル君が釣れるかな。
785774ワット発電中さん:2009/12/08(火) 01:05:04 ID:D2bTWGB6
>>762
SDカードから書き込むってのをどっかで見た気がするが、見つからん。
このスレじゃなかったかな。 単価1000円とかってやつ。
786774ワット発電中さん:2009/12/08(火) 01:08:45 ID:cyRWo59N
罪だとSystemACEかな
787774ワット発電中さん:2009/12/08(火) 11:09:45 ID:xPHlVEid
VHDLのダイレクト・インスタンシエーションって使ってる?
788774ワット発電中さん:2009/12/08(火) 13:31:30 ID:a7uI12qk
>>780
それ税法が変わって、固定資産の償却がしやすくなったからだよ。
金額や会社の規模によってだけど、リースの節税メリットが無いことが
多くなった。
789774ワット発電中さん:2009/12/08(火) 15:00:47 ID:V2Vnhzfm
そろそろ2.5V I/Oに移行しなきゃダメかなぁ
790774ワット発電中さん:2009/12/08(火) 16:22:14 ID:PJK5VpGv
えー!!!?
3.3Vがいい!
791774ワット発電中さん:2009/12/08(火) 20:06:26 ID:MkXLuZah
>>790
でもさぁFPGAの大口って通信屋でしょ
2.5V I/O多いんだよねぇ
それとプロセス的に3.3Vは耐えられない
領域になってきてるしさぁ
792774ワット発電中さん:2009/12/08(火) 20:34:01 ID:y50Ex4RK
LatticeのispLEVER8.0が出てたから更新してみたけど相変わらずWin7でIPExpress起動できないんだな。
Win7出たあとのリリースだからいい加減対応してるだろうと思ったのに。
イチイチjava落としてきて上書きするのめんどくさい。
793774ワット発電中さん:2009/12/08(火) 23:08:48 ID:J5aJ/HI+
>>791 じゃなくても良いんだが
通信屋、なんで2.5V I/O多いんだ?
で、よ、なんで3.3Vなんて妙な電圧が超標準なんだ?
俺的には区切りの良い3Vとかになんでしないんだろなんだが
教えて、おっさん!
794774ワット発電中さん:2009/12/08(火) 23:26:02 ID:cyRWo59N
LVDS
795軽石:2009/12/08(火) 23:38:49 ID:5933BB1P
>3.3V
バイポーラトランジスタの特性によるみたいです。
なので、1.8V、2.5Vはシーモスみたいです。
796774ワット発電中さん:2009/12/09(水) 00:11:08 ID:FvaIAFfw
>>788
そんなのことが、あったのか
ただの節約だと思ってたorz
3年リースの方が良かったんだけどねぇ

>>791
統一して欲しいな・・・いや無理でも頑張れよ >大手

>>792
起動できないのって、エラーで落ちるの?警告メッセージがでて終了するの?
797774ワット発電中さん:2009/12/09(水) 00:18:12 ID:tHVGbBxX
>>795
>バイポーラトランジスタの特性による
バイポーラトランジスタのどの特性から3.3という電圧になるの? 3.1でも2.9でも
いいような気がする。なんで3.3Vなんでしょ
798774ワット発電中さん:2009/12/09(水) 00:25:22 ID:c/8yfP6Q
たぶん5Vを2/3して3.3Vだね。
何故2/3なのかと聞かれたら・・・「キリが良かったから」程度の理由ではないかと。
799774ワット発電中さん:2009/12/09(水) 00:56:38 ID:3JVEV9k9
俺がロジック電圧決める権利があったら5Vの次は√2で割って3.5Vにするね。
800774ワット発電中さん:2009/12/09(水) 01:20:06 ID:sBa7lyC7
乾電池2本直列だと3.3Vくらいだからじゃないかしら?
初期電圧一本1.5V丁度でなくて1.65Vくらいなかったかなあ?
801774ワット発電中さん:2009/12/09(水) 01:26:21 ID:tHVGbBxX
なんで初期電圧にしなければいけないの?
802774ワット発電中さん:2009/12/09(水) 01:39:09 ID:XKs2wtTf
>>793
耐圧の関係から3.3Vじゃなくて3Vでやってねというデバイスもある
803774ワット発電中さん:2009/12/09(水) 01:44:47 ID:lOLUW1Ap
今ほど低電圧化が当たり前じゃなかった頃にTTLレベルとCMOSレベルで
繋ぐのに丁度よかったから…とかだったりして
804774ワット発電中さん:2009/12/09(水) 02:10:52 ID:tHVGbBxX
ということで、なぜ3.3Vなのかは、謎に包まれたまま・・・・。

3.3/5 = 2/3 2/3とは66.666%。 何かこの変にわけがあるのかも、
805774ワット発電中さん:2009/12/09(水) 03:37:30 ID:IIV43797
なんで33MHzがあるんだろう
806774ワット発電中さん:2009/12/09(水) 03:44:12 ID:ebZ2ej2t
+5V動作を前提にしたときに当時のCMOSロジックデバイス(74HCxx)とかの
VIHがだいたいVdd電圧の2/3弱だったから,Vddを3.3Vにしておけば
3.3V系から5V系にはレベル変換無しで接続できるというのも利点だったかな
807774ワット発電中さん:2009/12/09(水) 04:20:22 ID:keiQWazV
0.65 * 3/2 = 1
1 * 3/2 = 1.5
1.5 * 3/2 = 2.2
2.2 * 3/2 = 3.3
3.3 * 3/2 = 5
808774ワット発電中さん:2009/12/09(水) 10:06:59 ID:dNab2V/A
>>805
LPなんだろ(w
809774ワット発電中さん:2009/12/09(水) 11:33:35 ID:gySUyjO3
あれは33と1/3 MHzだな
810774ワット発電中さん:2009/12/09(水) 13:00:45 ID:nLa+FP4P
>>806
お〜〜、それっぽい内容、感服しました。
でも、それホントですか? よそで離しても大丈夫な情報でしょうか?
811774ワット発電中さん:2009/12/09(水) 14:36:51 ID:TV5ijVgu
基板の余白に入れられるって事は小ロットだろ。
812774ワット発電中さん:2009/12/09(水) 22:35:41 ID:OU+UJA+C
なんでだよ。
813774ワット発電中さん:2009/12/09(水) 23:42:41 ID:XJaBGuBb
そうだそうだ。
814774ワット発電中さん:2009/12/10(木) 01:53:21 ID:gjBvQNlH
What is your favorite tools for compile/pnr? In korea we use synplify ise.....--;
815774ワット発電中さん:2009/12/10(木) 14:36:07 ID:aM3p/zVO
Synplify は、シノプになってから殿様商売でイヤになった。
だいたい、合同会社って何だよ!
816774ワット発電中さん:2009/12/10(木) 21:35:59 ID:8fiC+MV4
>>814
This is a pen.
817774ワット発電中さん:2009/12/10(木) 23:28:21 ID:e/fT9+fE
>>814
We love Quartus2
818774ワット発電中さん:2009/12/11(金) 00:37:57 ID:NX+cd54n
>>815
Certainly synopsys tools are too expensive......--; but there is no other tool like design compiler.
819774ワット発電中さん:2009/12/11(金) 00:50:28 ID:pRU+680U
>>818
韓国得意のパクリでtoolを作るニダ
名前、Kynplify、design Kompilerにするニダ
820774ワット発電中さん:2009/12/11(金) 00:55:28 ID:NX+cd54n
>>819
Sorry. But it is too hard to use japan/korea tools. If you or I make design kompiler or samurai p
821774ワット発電中さん:2009/12/11(金) 01:08:14 ID:bX4Zp/to
Omaera kokoha omaera no nikki ja naindazo?
Kudara nai koto wo res suru hima ga aruno nara
tamatteiru simulation demo yattoke ya!

822774ワット発電中さん:2009/12/11(金) 01:49:29 ID:+6hEvzEB
日本語でおk
823774ワット発電中さん:2009/12/11(金) 13:25:31 ID:pSOdiEd7
教えてください。

ISE10.1を使っています。
シンセシスが終わってから、View RTL Schematicで、回路を確認しています。
表示された回路図は「F7」と「F8」のキーで拡大縮小できます。

ここで質問です。

見たい部品をクリックすると、その部品が青くなります。
その状態で「F7」や「F8」で拡大縮小をすると、画面拡大/縮小はするのですが、
選択した「青く表示された部品」が画面外に行ってしまいます。
その青く表示された部品を中心に拡大縮小されると便利なのですが、
ISEにそんな気持ちは無いのでしょうか?

選んだ部品を中心に拡大縮小することはできないのでしょうか?
824774ワット発電中さん:2009/12/11(金) 14:04:07 ID:GSylvgAP
>823
うちの ISE WebPACK 8.1.03i だと選択した部品は赤くなるんだが…

F11(Zoom to Selected、アイコンだと「赤い四角を捉えた虫眼鏡」)で
選択部品を画面中心に拡大表示するから、それから F8/F7 で
適当に拡大縮小するのはいかが?
825774ワット発電中さん:2009/12/11(金) 14:47:11 ID:pSOdiEd7
>>824
ありがとう。

確かにF11は、便利な機能なんだけど、
その部品(module)が画面一杯に表示されてしまうので、
見たいのが「他のmoduleとの入出力接続関係」という場合、
画面一杯に表示された選択部品を、「小さくな〜れ〜」とF7/F8を押すわけです。
ところが、縮小の場合も、僕の選択した部品はなぜか無視で、
何か違う点を中心に縮小されます。
で、僕の選択した部品は画面外に出て行ってしまう。(無視かよ!)

なんでこんな操作仕様になってるのか、不思議でならない。
選択した部品を中心に拡大/縮小してくれるだけでいいのに。
なんかISEって、使っててムッと来ることが多い。
826774ワット発電中さん:2009/12/11(金) 14:59:19 ID:pSOdiEd7
わかりました。
拡大/縮小をすると、
・現在カーソールポインタのある位置を次回の中心にして、
・かつ拡大縮小をする
みたいです。
カーソルポインタの位置を反映しなくてもいいから、
・ユーザーが選択したものがあるときは、
  ・それが見たいんだな、と解釈してそれ中心で拡大縮小
・選択が無いときは、
  ・現在の画面中心で拡大縮小
してほしい。

Xilinxにメールしといたろ。






英語できんから、やめた。
827774ワット発電中さん:2009/12/11(金) 15:06:15 ID:GSylvgAP
>825
確かに縮小していくときにセンターからずれていきますが、
画面から飛び出すまで酷くなることもあるんですね。

試してみると、部品Aをクリック、シフトかCTRLを押しながら部品Bを
クリック で多重選択できるので、その後 F11はどうでしょう

>なんかISEって、使っててムッと来ることが多い。
私は専ら FPGAエディタで回路確認するのですが、そちらの画面
デザインも酷いです。 Lattice の ispLEVER よりはマシですが。
828774ワット発電中さん:2009/12/11(金) 18:06:42 ID:5wZmviSj
回路図化して合成結果を確認するという話が出たので、ふと思ったのですが、規模が大きい回路だと回路図をチェックするのも大変なので、ASICなどで使われるフォーマルベリフィケーションツールの、FPGA向けってあるのでしょうか?
829774ワット発電中さん:2009/12/11(金) 20:07:46 ID:Yj33K8cf
>>828
なんとか360ってなかったっけ?
ドイツ製だかの
あー思い出せない
830774ワット発電中さん:2009/12/11(金) 20:26:41 ID:1mWhepo5
ノートン360


ってのはウソ。
フォーマリティをかける意味が未だに分からないけど、
FPGA なんだから、動作確認すればいくね?
831774ワット発電中さん:2009/12/11(金) 20:31:34 ID:Yj33K8cf
というか、
合成結果をそれほど怪しむということは、
非同期設計とかソレ系の入れ込みも混ざってるのかな?
なんか関わりたくない臭いがw
832774ワット発電中さん:2009/12/12(土) 00:56:57 ID:oABMdxHQ
>>831
>怪しむ
なんで? HDLが自分でイメージした回路になっているか確認するでしょ。
そういうことよ。なんで「怪しむ」になってしまうの?

833>>831:2009/12/12(土) 01:25:21 ID:QkytozKc
>>832
ASIC(スタセル)ならわかるけど、
FPGAだったらLUT+FFへのマッピングだしなあ  とか普段は思ってるんで
回路をまんま意識しないんだよね。
遅延は気になるから、LEなりスライスの段数を気にするのなら同意するが。

ん?怪しむ気があるからフォーマル〜の話が出てるんじゃないの?
834774ワット発電中さん:2009/12/12(土) 01:40:50 ID:XINiqOza
イメージした回路って、FPGAの場合、回路よりは正しく機能が実現できているかの確認に主眼を置いたほうが良いんじゃないか
必要なら等価ロジック図で確認で良いんじゃね。
835774ワット発電中さん:2009/12/12(土) 01:47:33 ID:/0MN6Qk9
>>833
そうだよね。
FPGA の中の配線を見ても、LUT につながってるだけで
AND/OR になってるわけじゃなさそう。
836774ワット発電中さん:2009/12/12(土) 08:02:20 ID:Xd6SNKBK
>>828
あのね、マジレスすると合成結果はチェックポイントだけを確認する。
チェックしやすいようにRTLを書くのが基本。
837774ワット発電中さん:2009/12/12(土) 08:26:33 ID:XlW5YRaG
小野真弓も笑顔だけでよくここまできたよな
ブレイク前の写真見るとまるで別人だからな
838774ワット発電中さん:2009/12/12(土) 10:21:15 ID:6/oOOOwq
小野真弓の素顔を怪しんでRTLビューアで確認すれば良いのか?
839774ワット発電中さん:2009/12/12(土) 11:00:13 ID:l2LZz6+H
どう合成されてるかわからんからな。
どれどれおぢさんがぁ。
840774ワット発電中さん:2009/12/12(土) 11:58:44 ID:zmtlGppf
>>839
おじちゃん、数100万する合成鶴じゃないと信頼できないよね。
100万以下の合成はフォーマルベリフィケーションを絶対するべきだよね
やっぱり、FPGA開発鶴でも数千万ぐらいかけなければ駄目だよね
841774ワット発電中さん:2009/12/12(土) 13:50:08 ID:oABMdxHQ
>>834
何か勘違いしてないか?
>>823は「View RTL Schematic」を見ると言っているから
>必要なら等価ロジック図で確認で良いんじゃね。
それをやってるんじゃないのか?
842774ワット発電中さん:2009/12/12(土) 18:17:29 ID:/wv0yOTg
合成した結果、組み合わせ回路か順序回路かどっちになったのか念のため確認したいときにはブロック図見られると便利だね。
センシティブリストが足りなくて順序回路になってしまったことを確認できる。
843774ワット発電中さん:2009/12/12(土) 23:28:50 ID:0BZynx+C
>>842
その手の事なら合成結果のログに、「ラッチが生成された」とか警告が出ると思うのだが?
844774ワット発電中さん:2009/12/12(土) 23:50:40 ID:+W+Cpc6p
出ようが出まいがエラーじゃなきゃゴー。評価部隊よろしく。
発覚まで暫し寝ます。
845774ワット発電中さん:2009/12/13(日) 04:10:53 ID:33I75pYP
>>844
羨ましい会社だね。
846774ワット発電中さん:2009/12/13(日) 08:57:01 ID:tiwoZF4I
Verilogで~busと書くと、1でビット拡張されるんだね
RTLビューワで確認しなきゃ気づかなかったよ
Latticeの開発環境じゃ見られないからわざわざQuartusに合成させて確認した
847774ワット発電中さん:2009/12/13(日) 10:04:44 ID:XNIZvtGQ
最近はじめたばかりの初心者なのですが
VHDLでのwait for 10nsはシミュレーションだけで遅延するものなのですか?
オシロで図ってみたら遅延してるように思えなくて。
848774ワット発電中さん:2009/12/13(日) 13:27:07 ID:8JnwAWuj
そう。合成した時は無視されるよ < wait for

(記入無しで)合成した現物で10ns遅れてたら、wait for 10ns と記入しとけば
シミュレーションでもそう遅れるようにシミュしてくれる、という風に使うもの。
849774ワット発電中さん:2009/12/13(日) 16:58:39 ID:XNIZvtGQ
>>847
ありがとうございます
すっきりしました。
850774ワット発電中さん:2009/12/15(火) 02:03:34 ID:CjpGzLHP
個人的趣味としてCPLDを学び、用いたいです。
以下が適切な手順か否か、アドバイス頂けないでしょうか。

1) まず動作を体験したい。手元のノートPCにパラレルポートが無いので、USBな評価ボードで、
かつUSBダウンロードケーブルとして使えるMAX II Micro Kitを購入する。(EPM2210F324)
http://solitonwave.shop-pro.jp/?pid=14040797
第一歩で余計なところでつまづきたくないので、この時点で自作はしたくない。

2) デバイス単品(PLCC)や、MAX2 CPLDボードなど購入し、1)を用いて書き込み、自作回路に組込む。
http://optimize.ath.cx/max2/index.html

所詮趣味なので、結局小規模なデバイスを主に使うことになると思いますが、
この様な場合でも 1) のキットを有効利用できるのでしょうか?
オーバースペックってだけなら問題無いでしょうが、不適切なのであれば、他を検討せねばなりません。

ご助言頂けますと幸いです。宜しくお願い致します
851774ワット発電中さん:2009/12/15(火) 07:22:20 ID:HUsBkNgY
趣味ならもっとましなことがあるだろうに。
852774ワット発電中さん:2009/12/15(火) 08:20:50 ID:+OYFM5rj
釣りとかゴルフとかだな
853774ワット発電中さん:2009/12/15(火) 08:31:54 ID:fQwhEo/W
趣味でつまづきたくないって言ってたらダメだろ。
趣味ってのはつまづき成長する過程を楽しむもんだ。
854774ワット発電中さん:2009/12/15(火) 09:25:42 ID:hin+bukc
>>850
予算が許すならそれでいいんじゃない?
学生か教育関係者なら同様にUSB-Blasterとして使えるFPGAボードのDEなんとかのアカデミック版が1万くらいで買えた気がするからそっちの方がいいかも。
855774ワット発電中さん:2009/12/15(火) 10:47:24 ID:Z0Sq902+
>>850
手っ取り早く使いたいなら、同じMAXIIを使ってる
ttp://optimize.ath.cx/cusb_fx2/index.html
の方が良いかもね。
856774ワット発電中さん:2009/12/15(火) 17:02:49 ID:jkoqzitQ
>>853
>趣味ってのはつまづき成長する過程を楽しむもんだ。
いいこと言うね。深〜く同意。
仕事も同じで、つまづいて成長するのだと思うけど、
つまづくのがイヤな若者の多いこと。
20代は失敗してナンボだと思うけど。
保身/護身ばかりみたいだね。
857774ワット発電中さん:2009/12/15(火) 17:06:41 ID:nJ1pAVEi
手段と目的を分けて考えて、目的以外のつまづきを回避するのは
それはそれで必要な力ですよ。
858774ワット発電中さん:2009/12/15(火) 18:47:38 ID:hin+bukc
>>850
DE0について書いたけど、外部への書き込み機能があるかどうかわからん。

本当に大規模のデバイスを使うつもりがなくて自作はありえないなら書き込み用はこれでいいんじゃない?
http://csun.co.jp/SHOP/200901025.html

>>855
他のCPLDへの書き込み機能が使いたいって言ってるからそれじゃダメじゃないか。
859774ワット発電中さん:2009/12/16(水) 03:33:49 ID:gPB47ruI
>つまづくのがイヤな若者の多いこと。

躓いて半年間も缶詰ですが、、、
860850:2009/12/16(水) 08:15:21 ID:RoOsg36A
>>853-859
幾多の御助言、まことに有難うございます。大変参考になります。
いまご紹介頂いた製品を眺めてまたアレコレ考えております。

自作に関するご叱咤恐れ入ります。ただまずは信頼のできるものを
手元に用意したい次第でして・・・
861774ワット発電中さん:2009/12/16(水) 15:09:51 ID:xURQuOgi
>>860
>ただまずは信頼のできるものを
そうだね。最初はそれがいいかも試練。
でも、そのまま評価ボードだけで終わってしまうことの無いように
自分で何かI/Oでもつないで、何か作ってみてね。
FPGAはソフトを変えればいろいろと動くので、ソフトだけに注力とていると、
FPGAソフトだけ屋が出来上がってしまうから。
862774ワット発電中さん:2009/12/16(水) 18:03:26 ID:d6X01MvU
>>860
大人だね。なんか>>856よりはるかに大人ってかんじだな。
最初は全く実力ないからつまずき要素の少ないのでやるって正しいやり方と思う。

>>856
>保身/護身ばかりみたい >20代
>>850の評価、プロファイル?
俺、>>850の文章(2chらしくない文におよと思ったが)・内容からして20代のうぽぽんじゃないと思うんだよな
社会人経験十分な香具師だと思うんだが...
863774ワット発電中さん:2009/12/18(金) 03:59:34 ID:6yGpZ1I1
>>793
CISCOが2.5Vで行くって言っちゃったから
個人的には2.5Vすっとばして1.8Vか1.2V
が良かったけどね
XのV系はそこそこ前からシングルエンド
は2.5Vが最良の特性になってるよ
AはX程極端に作って無かったが
Lから下のグループはもういいでしょ
864774ワット発電中さん:2009/12/18(金) 04:08:13 ID:08PoqYh9
>>815
プロトタイピングが欲しかっただけだからな
あんな高価な電子ブロックにはかけらも興味
わかないが
そのうちFPGA Compilerに集約するんじゃね
865774ワット発電中さん:2009/12/18(金) 04:10:09 ID:08PoqYh9
>>817
早くVertexとSpartanサポートしてくれ
866774ワット発電中さん:2009/12/18(金) 04:15:50 ID:/U7YoQWF
>>826
そんな難しい事は望まない
希望は一つGUIで直ぐ落ちるのを治せ
CUIでバッチ処理しか安定しないなら
GUIの開発は諦めろ
867774ワット発電中さん:2009/12/18(金) 04:25:16 ID:Zy6f4/TE
>>836
それが残念な事にASIC前提だとかの
RTLだとチェック用の論理とかクロック
ゲートとか作りやがる
STAで何とかするって考えてるから
FVとSTA欲しいなぁ
まぁアホなRTLの記述排除出来れば
一番いいんだけどさぁ
868774ワット発電中さん:2009/12/18(金) 23:08:29 ID:GvxVMd26
>>867
ASICの場合はバックエンド工程で支障が出るから、
RTLでのクロックゲートを禁止する所もある。

俺の経験上は、FPGAの方がクロックゲートを
受け入れやすいというイメージがあるけど、
FPGAでもクロックゲートは好ましくないのかな。
869774ワット発電中さん:2009/12/18(金) 23:33:47 ID:dqslUZjW
タイミングレポートが見にくくなるから、やらないに越したことなしですね。
870774ワット発電中さん:2009/12/19(土) 05:25:24 ID:0eATk15L
ヨコからコメントだけど
FPGAの場合、クロックゲーティングはイネーブルつきDFFへ置換するんじゃないっけ?
なので本物のクロックゲーティングにはならないのでは?
等価な動作にはなるのだろうが
871774ワット発電中さん:2009/12/19(土) 09:02:07 ID:Xp7/bcy/
それってゲーティッドクロックの事?
FPGAでやったらタイミング制約がまともにかからなくて大変な事になる。
ASICは消費電力の抑制のために普通にやる。
872774ワット発電中さん:2009/12/19(土) 15:18:36 ID:2IGr0CZd
verilogで
module(input A, output [3:0] B);
と書けるにも関わらず、
module(A,B);
input A;
output [3:0] B;
などと冗長な記述をしている例が多いのはなぜ?
873774ワット発電中さん:2009/12/19(土) 16:04:45 ID:zLj+QeLX
>>872
moduleをインスタンス化するときに、下のように書いてあれば、
module Name(A
B);
この部分をコピペして、少し修正すればそのまま使える。
874774ワット発電中さん:2009/12/19(土) 16:05:34 ID:CTKyIxFN
習慣の違い
875774ワット発電中さん:2009/12/19(土) 17:22:35 ID:cPVFHWKy
それができるようになったのは Verilog-2001 から。
Verilog-2001に対応してない合成ソフトだとエラーになるから
876774ワット発電中さん:2009/12/19(土) 21:34:15 ID:BOdW9x+e
>>872
ISEだったかな、modelsimだったかな。
2001設定でも受け付けてくれなかった。
2年ぐらい前に経験。
877774ワット発電中さん:2009/12/19(土) 22:07:37 ID:1+FPp9mT
>>872
オレはISEだけど、バリバリに使ってるよ。

module ツリー (
  input  wire RESET,     // リセット
  input  wire clock,     // クロツク
  output wire [15:0] LED_赤  // 赤16個
  output wire [15:0] LED_緑  // 緑16個
  output wire [15:0] LED_青  // 青16個
);

こんな感じね。
878774ワット発電中さん:2009/12/19(土) 22:45:10 ID:5fy7noAJ
> LED_赤
とか、日本語の信号名とはすごいな。
879774ワット発電中さん:2009/12/19(土) 23:09:10 ID:dz1fizBj
くおーたす2は、日本語が表示はできても入力ができないので
メモ帳にはってコピペするというめんどうなことをしてるのは俺だけかな
880774ワット発電中さん:2009/12/19(土) 23:42:17 ID:1+FPp9mT
>>878
えっ? 日本語出来ないんですか?
881774ワット発電中さん:2009/12/20(日) 00:47:28 ID:hMxFJbwY
module 回路 (
  input  wire 初期化     
  input  wire 時計     
  output wire [15:0] デジタルバス
);

always@(posedge 時計) begin

  if (初期化== 1'b0) begin
...

  end else begin
...
end
end

こんな感じな。
882774ワット発電中さん:2009/12/20(日) 01:16:27 ID:84nvR5lF
module(input [buswidth-1:0] bus);
parameter buswidth=8;
こういう場合だとエラーになるツールもあるね
883774ワット発電中さん:2009/12/20(日) 03:17:03 ID:lTH7cyzX
module 回路 (
  入力 線  初期化     
  入力 線  時計     
  出力 置数 [15:0] デジタル風呂
);

always@(正縁の時計) なら
  もし (初期化== 1'b0) なら
...
  ですが、 そうでない なら
...
  です。

  常設 デジタル風呂 = { 計数[3;0], 計数[7:4] }; // swap

  常設 有効旗 = (計数[5] == 1)? `男 : `女;

ここまで
884774ワット発電中さん:2009/12/20(日) 10:44:43 ID:rgf9Jkig
なにやら勢いづいてるぞ、近藤豊広@善部町。
885774ワット発電中さん:2009/12/20(日) 14:14:17 ID:Y/dAmH+s
>>879
あれほんとだ。MSゴシックに設定すると見えるけどATOKで入力できない。
前は入力できてたと思うけど。
外部エディタだから知らんかった。

>>883
デジタル風呂ワラタ
886774ワット発電中さん:2009/12/21(月) 04:35:27 ID:5N1WHeA8
泣いて逃げ出したと思ったけど、まだいたのか。

困った時のクロック反転てのは馬鹿のやる事。
クロックの同期化をちゃんとやれば、反転クロックなんてトリッキーな小手先の対応なんて使う必要はないんだよ。

そうそう、思い出したよ。
ちょっと前に時々おかしくなるロジックがあって、全部のロジックを見直していたら1箇所だけ反転クロックを使っている所があったんだよ。
原因はその場所だった。
入力信号が多かったので、ディレイが大きくて本人は苦肉の策としてクロック反転したのだろうけどやってはいけない典型的なパターンだった。
ちゃんとすべてを同じクロックでたたくようにしたら、その後は全然問題なく動いてくれたよ。
不具合になる頻度が1時間に1回とかだったので本人の確認ではたまたま動いているように見えただけだったみたい。

ま、爺の相手したFPGAだけ屋のレベルも対した事がなさそうだけど、指摘しているやつも同列だから愚か者同士バグをなすりつけ合えばいい。
クロック反転させても不具合は改善していないだろうからね。
直っているように見えても一時的なもの、1日くらいランニングテストすればボロが出るよ。
887774ワット発電中さん:2009/12/21(月) 06:49:00 ID:MI4cQBsD
デジャブか
888774ワット発電中さん:2009/12/21(月) 09:15:28 ID:LGiQySv3
クロック反転が何でトリッキーなんだ。 FPGAはクロックラインがいっぱい
あるじゃん。
889774ワット発電中さん:2009/12/21(月) 11:47:27 ID:2HbI7k++
クロック反転が万能ではないことは認めるが、
それで済む設計も存在する。
分かった上での使い分けだよな。
890774ワット発電中さん:2009/12/21(月) 12:52:21 ID:0kbLVN6B
意図して反転クロック使うのと、
反転クロック使ったら、よくわからんが動いたからおk、
の違いだろう。
891774ワット発電中さん:2009/12/21(月) 12:57:11 ID:UACr6qPe
参考までに教えていただきたいんですが、
反転クロックで動くようになるワケはなに?
892774ワット発電中さん:2009/12/21(月) 13:50:48 ID:q3Vim1U1
setup/holdのタイミング違反をたまたま回避できるから?
893774ワット発電中さん:2009/12/21(月) 22:13:45 ID:UoWP1MWh
>>892
それもあるね
tcoとclockのtpdが逆転してるとか
基板上だとよく起きるからね
STA前提RTL時の逃げには使うなぁ
多相clockとか残ってるしSRAMや
剰余算器だけじゃなくDelayLineも
欲しい時有るなぁ
894774ワット発電中さん:2009/12/21(月) 23:29:55 ID:FoEjH+cL
クロック倍速にしたらだめなの?
895774ワット発電中さん:2009/12/22(火) 01:57:18 ID:7Pmvk0Vr
横槍すみません。教えてください。

某社のSPRTAN3評価ボードを使ってFPGAの勉強しています。
ボード上に載っている18.432MHzと48MHzの水晶クロックを使って、
48MHzを使ってテストデータを作って、18.432のclockでUARTを駆動して
PCにデータを送っています。
だいたいうまく行くんですが、たまにデータが化けることがあります。
たぶん、48MHzと18.432の両clockが非同期なので、データの受け渡しの点で
しくじっていると考えています。

このように、全く非同期のデータを受け渡しをするときの作法、注意点を
教えて頂けないでしょうか。
僕の予想では、どんな周波数を使おうとも、非同期だと いつか必ず「変なタイミング」
が来ると思います。そのときのセットアップとホールドの各時間が守られないと
データが化けると思うのです。違っていますでしょうか。

宜しくお願いします。
896774ワット発電中さん:2009/12/22(火) 02:24:00 ID:dmTOq3Zs
>>894
余計に全体のマージンが減る。

>>895
非同期FIFO使ってくれ。
897774ワット発電中さん:2009/12/22(火) 02:32:23 ID:aGigLLaM
>>895
単体のUARTもクロックに非同期でCPUから書き込まれて何の問題もなく
動くんだから、普通に作れば安定に動くと思うが。
テストデータとUARTのシフトレジスタの間にバッファがあって両クロック
間のタイミングの違いを吸収するんじゃないの。
898774ワット発電中さん:2009/12/22(火) 10:59:14 ID:9Ghvx1IC
>>895
18.432MHz側と48MHz側に1段づつデータをためておく部分を作って
それぞれの受け渡しの信号は2回叩いて同期化
但し信号が変化する周期の2倍のクロックで叩く必要があるので
そのあたりは回路設計時に工夫と確認

ちなみにこういう方法って表示回路とかだと普通にしていると思うよ。
899774ワット発電中さん:2009/12/22(火) 11:01:36 ID:9Ghvx1IC
×それぞれの受け渡しの信号

○それぞれのデータを相手に受け渡す為の信号

ちょっと文章がわかりづらかったね。スマソン
900774ワット発電中さん:2009/12/22(火) 11:48:02 ID:ELjV/fkL
>>895 は一人で勉強中かな?
非同期信号の受け渡しの解決策って経験しないと分からないノウハウみたいな
部分が大きいから一人だとつらいかもね
901774ワット発電中さん:2009/12/23(水) 04:02:25 ID:egjtiaRg
そんなもの、ノウハウじゃないだろ。どこにでも書いてあるのに。
902774ワット発電中さん:2009/12/23(水) 06:13:04 ID:YcODMW9z
結局、破たんする。
903774ワット発電中さん:2009/12/23(水) 10:06:02 ID:fIzQTN4u
>>901
どこに書いてある?
教えてクレクレ
904774ワット発電中さん:2009/12/23(水) 10:14:38 ID:Lrnfh60G
「非同期信号の同期化」で検索すると出てくると思います。
ttp://www.cqpub.co.jp/DWM/contents/0067/dwm006701160.pdf
905774ワット発電中さん:2009/12/23(水) 12:41:49 ID:VZqrSd0m
シンクロナイザの検証は大変だよね
FPGAのアーキありきで書いたRTLはいいけど
FV,CDCなんかやりたい時は有るなぁ
906774ワット発電中さん:2009/12/23(水) 12:46:32 ID:DGbPJWSf
っていうか48MHzでUARTを駆動すればいいんだけどね。
UARTの許容できる周波数誤差は10%ぐらいあるから無問題

同期化回路の研究しているんなら別だけど
907774ワット発電中さん:2009/12/23(水) 13:05:00 ID:PmL2jd43
>同期化

アスペルガー召喚の呪文
908774ワット発電中さん:2009/12/23(水) 15:18:49 ID:A/3WupGT
>>906
スタートビットのセンターから10ビット目で±0.5ビットとすると
±5%でアウト
909774ワット発電中さん:2009/12/23(水) 15:48:02 ID:R0YBXNC+
相手もズレることを想定するなら±2%くらいには抑えたいね
48MHzからの分周ならよほどボーレートが高くない限りそのくらいの精度は余裕で出せると思う
910774ワット発電中さん:2009/12/23(水) 16:09:06 ID:ZFDCzMYx
分周しても10%は10%、2%は2%だが・・・
911774ワット発電中さん:2009/12/23(水) 17:50:58 ID:yQvCRSwM
根本的な解決にはなりませんが、
データビット長を7bitにしてはどうでしょうか?
912774ワット発電中さん:2009/12/23(水) 18:10:28 ID:R0YBXNC+
>>910
48MHzから分周した場合、元のクロックが高いからボーレートの分解能が高くできて、その結果±2%くらいなら容易に達成できるという意味で書いたんだが
913774ワット発電中さん:2009/12/23(水) 21:27:48 ID:7ib6RtXT
お前らなら>>895の問題解決は超簡単じゃないのか
UART+USB付きマイコンでどんなことやっているかぐらいは初級知識だろう。
工業中学校レベルぐらいの知識か
最終学歴尋常小学校の俺は中学出てないから知りませんよ
UART+USB付システムを1水晶で作るって超普通だよな
914774ワット発電中さん:2009/12/23(水) 21:44:07 ID:Lrnfh60G
>>913
>>898で解決しませんか?
915774ワット発電中さん:2009/12/23(水) 22:25:37 ID:DGbPJWSf
残念ながらそれでは出来ません。
916774ワット発電中さん:2009/12/23(水) 22:42:47 ID:Lrnfh60G
>>915
そうなんですか・・・
表示回路ではわりと自分がよく使う手法なんですが
まだまだ勉強不足というわけですね。
もうちょっと勉強します。
スレ汚し申し訳ありませんでしたm(_ _)m
917774ワット発電中さん:2009/12/23(水) 22:53:49 ID:R0YBXNC+
FPGAのクロックとは独立した同期シリアルの受信ならやったことあるけど。
ちゃんとした作法もわからなかったからこんな感じにやったけど、取りこぼしもデータ化けも起きてない。

1.シリ→パラ変換とバイトクロックを生成
2.バイトクロックの立ち上がりで一時レジスタ(バイト幅)にラッチ
---以上は外部クロックに同期/以下はFPGAシステムクロックに同期---
3.バイトクロックをシステムクロックで叩いて同期化
4.バイトクロックの立ち上がりで一時レジスタから取り込み
918774ワット発電中さん:2009/12/23(水) 23:09:09 ID:DGbPJWSf
>>916 非同期FIFOでぐぐっとけ
しかし、基本的にFPGA内部だけで非同期FIFOを作ることは出来ないので、
外部に非同期FIFOのICをつけたりするけどね。
もしくは、相手クロックの位置を検出する回路を外付けしてその信号に従って
内部の同期FIFOを駆動する方法もある

>>917
十分にクロックが遅ければこれでも出来るけどね。
もっと簡単に作るなら、シリアルとそのクロックをFPGA内部のクロックでラッチしなおして
入力部分で同期化させてしまうって方法もある
非同期のクロックを別のクロックでラッチして同期化してしまうと言うところがミソです
919774ワット発電中さん:2009/12/23(水) 23:49:43 ID:DGbPJWSf
ちょっと思いついたんだけど
低い周波数のクロックを高い周波数でたたき直すことはできるので、
>>898をヒントに48MHz系のデーターをパラレルのまま十分低い
周波数例えば1MHzぐらいまで一旦落して、18.432MHzでさいラッチすれば
乗り換え出来そうな希ガス
920774ワット発電中さん:2009/12/24(木) 10:08:52 ID:9D43WO7e
>>918
917の方法だとバイト幅でクロックの乗り換えをするから、
システムクロックより速いビットレートすら扱える。

>>895はデータを同期化しようとしてスキューで化けちゃってるんじゃないかと思うんだけど。
違うクロックドメインからdata[7:0]とwrite信号が渡されるとして、
always @(posedge CLK) begin
data_1 <= data; data_2 <= data_1;
write_1 <= write; write_2 <= write_1;
end
こんな感じに同期化したwrite_2とdata_2を使おうとすると、CLKとdataの立ち上がり時刻が近いとスキューで化ける恐れがある。
921774ワット発電中さん:2009/12/24(木) 12:17:21 ID:pO+Q1+CE
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
>>895
非同期FIFO使ってくれ。
922774ワット発電中さん:2009/12/24(木) 14:33:15 ID:z/kmJXHg
非同期FIFOって 何ですか?
FPGAの中で作れますか?
923774ワット発電中さん:2009/12/24(木) 15:22:30 ID:veSDVmot
>>922
ISEのCoreGenerator機能を使って、デュアルポートRAMベースのFIFOを作れば良いと思う。
924774ワット発電中さん:2009/12/24(木) 16:22:14 ID:YcX6TB8L
>>918 によるとFPGAの中では非同期FIFOは出来ないらしい

>>923
小さくて良いから分散RAMベースでもいいんじゃね?
まあ、実務でやるんじゃないからどっちでもいいか
925774ワット発電中さん:2009/12/24(木) 16:34:50 ID:9q+l7YAT
その知識は古すぎる > FPGAの中では非同期FIFOは出来ない

いまどきのFPGAは非同期FIFOとかDDR3メモリコントローラとか
SERDESとか32bitCPUのハードIPとか、とにかく何でもあり
926774ワット発電中さん:2009/12/24(木) 18:42:07 ID:YcX6TB8L
Virtex4 のビルトインFIFOはバグありだったけどね
期待してたのに…
927774ワット発電中さん:2009/12/24(木) 18:58:06 ID:z/kmJXHg
教えてください。

FPGAの評価ボードを探しています。
自分で探せ!と言われそうなので、ちょっと探したのですが、
期待するものがなかなか見つからないので、知識の豊富な皆さんに相談したいです。

次のようなものを探しています。
・メーカー不問 (可能なら財リンクス)
・内部にPLL?を持っていて、300MHz〜400MHzくらいで動くFPGA
・50MHz超えのDAコンバータを積んでいるボード (はしご抵抗でなくて、ICのDA)

やりたいことは、
32bitくらいのシリアル信号を受けて、少し計算して、その結果を8bit程度のアナログ電圧で得たいのです。
DAがネックで、なかなか見つかりません。

何か調子のいいボードがあれば教えてください。宜しくお願いします。
928774ワット発電中さん:2009/12/24(木) 19:07:17 ID:MewC8N7m
>>927
そのシリアル入力は何M or Gbpsなんですか?
929774ワット発電中さん:2009/12/24(木) 19:48:54 ID:z/kmJXHg
ありがとうございます。
シリアル入力は、clock同期のmax300Mbps程度です。
930774ワット発電中さん:2009/12/24(木) 20:55:21 ID:5150oVIv
【レス抽出】
対象スレ:【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 12
キーワード:メタステーブル

抽出レス数:0
931774ワット発電中さん:2009/12/24(木) 21:01:05 ID:uAc7M9KN
LIFOってどのような用途で使うもんなんでしょうか?
932774ワット発電中さん:2009/12/24(木) 21:12:00 ID:9D43WO7e
スタック
933774ワット発電中さん:2009/12/24(木) 22:05:12 ID:9q+l7YAT
DAは別ボードにしてコネクタで接続ってわけにいかないのですか?
934774ワット発電中さん:2009/12/24(木) 22:58:44 ID:yJC9HakW
>>929
さっくりと探してみたらALTERAだけど見つかったよ。
http://www.ipros.jp/products/315961/006/

xilinx限定にしたらあった。
http://www.siglead.com/fpga/sp3921_hsadfpgaboard.html

>>927
最後に言っておくけど内部クロック300MHzは厳しいぞ!
ロジックが簡単だと200MHzくらいまではベンチマークで出たが、クロックが早くなるとタイミングエラーで使い物にならんかった。
935774ワット発電中さん:2009/12/24(木) 23:09:12 ID:NAne1Veo
んま打って渡し、打って渡し.....一段は4入力までに済ますとギリマデスピード出るけど。
936774ワット発電中さん:2009/12/25(金) 02:21:14 ID:AaBARtaT
横槍ですけど、おしえてください

次の考えは正しいでしょうか?
・200MHzくらいまでなら、タイミング制約など特にしなくても、動く。
・しかし、それを超えるとタイミングの制約をしっかり書いてやる必要がある。
  このタイミング制約が上手に使えるには、かなりの経験と熟練が必要。
・大規模デバイスよりも、XC3S50とかの規模の小さいチップのほうが
  高速動作には適している。
・300MHz程度の高速動作クロックは、DCMなどの逓倍器で生成するが、
  外部の低い周波数のclockを高次逓倍して300MHzを得るよりも、
  外部からなるべく高い周波数を入れ、低次逓倍して300MHzを得た方が、
  信号が安定して得られる。

どうでしょうか。宜しく添削ください。

937774ワット発電中さん:2009/12/25(金) 08:28:59 ID:UifzTA83
タイミング制約と言うより、それなりに高速に動くように
(ロジックの段数が少なくなるように)回路を作らないと
ダメだと思うよ。
938774ワット発電中さん:2009/12/25(金) 09:21:42 ID:ng48+B3h
>>936
ばっさり切るから死ぬなよ。

・使用するデバイスとロジック、占有率、ピン配置で動作周波数は決まる。
・タイミング制約はお約束なのでそれほど難しくない。調整作業などは理論が判ればそれほど難しくはない。
 良い先輩について数回経験して指導を受ければちゃんとできる。
・昔は小さいデバイスの方が早くて、大きくなるとディレイが大きくなったけど、最近は同じシリーズであれば変わらないみたい。
 占有率で配置配線長が長くなる傾向があるので、配線率が50%超えるようであればひとつ上のサイズを使う方が良いと思う。
・クロックは外とやり取りするクロックで決まるのが普通。内部の事を考えて決めるのはあまりない。
 早いクロックは入手性などの問題もあるので、あまり気にしなくていいと思う。
939774ワット発電中さん:2009/12/26(土) 09:49:12 ID:7YQYS4zT
VHDLですがこの意味をおしえてください。お願いします。

Function to_std_logic(X: in Boolean) return Std_Logic is
variable ret : std_logic;
begin
if x then ret := '1'; else ret := '0'; end if;
return ret;
end to_std_logic;
940774ワット発電中さん:2009/12/26(土) 14:49:15 ID:6nOcPKQ5
>>939
boolean型をstd_logic型に変換します。
trueだったら'1'、falseだったら'0'を返します。
941774ワット発電中さん:2009/12/26(土) 19:28:25 ID:7YQYS4zT
>940
ありがとうございます。なるほどそういう意味なのですか。
VHDLでファンクションというのはあまり使っているのを見かけません。
 もしロジックを作るのに使うことが出来たら非常に便利だと思う
のですが、そういう使い方は出来ない?のですね。
942774ワット発電中さん:2009/12/26(土) 21:22:56 ID:JietvtlR
そろそろマルチレイヤバス用に
何か工夫が欲しいな
マルチプレクサが馬鹿にならん
943774ワット発電中さん:2009/12/26(土) 22:01:22 ID:ku3MHgEt
>>942
配線SW素子をダイナミックリコンフィグして使ったクロスバとかをだな(ry
944774ワット発電中さん:2009/12/26(土) 22:19:53 ID:Lvl6SFNS
HyperTransportを内蔵してAMBAかOCPのI/Fを用意すればOK
945774ワット発電中さん:2009/12/27(日) 02:07:54 ID:O7da7VeD
スリーステートバスという便利なモノが(ry
946774ワット発電中さん:2009/12/27(日) 05:54:25 ID:89TrsWA8
>>815
一番むかついたのはsynopsysになったとたんに
更新料値上げしやがったこと。
947774ワット発電中さん:2009/12/27(日) 08:47:09 ID:sl/4NOTn
>>946
いやいや吸収アナウンス前に
新規デバイス対応による開発費増大
で2年後までの値上げ通知きてたよ
HAPSの買収で金欲しかっただけだと
思うけど
948774ワット発電中さん:2009/12/27(日) 11:00:11 ID:2fhxVwJG
>>945
外部でORすんの?
949774ワット発電中さん:2009/12/27(日) 15:15:55 ID:d4kaik74
トライステートのインターコネクト規格は実在するはず。名称は忘れたけど。ASICね。
950774ワット発電中さん:2009/12/27(日) 17:27:48 ID:w2RVdNvg
>>949
APB Rev.DとかAMBAの古いバージョンじゃない?
951774ワット発電中さん:2009/12/27(日) 22:07:44 ID:nOmUeqSw
>>941
>>939のって、ライブラリの中を覗いてみたんじゃないの?
952774ワット発電中さん:2009/12/28(月) 10:38:58 ID:qGhCBrWc
>>947
HAPS も gdgd で使いものになんないし
Virtex 6 まだ〜?
953774ワット発電中さん:2009/12/28(月) 11:56:23 ID:VJd7IB24
300Mbpsは32ビットの所だから内部で演算するのは300M/32=10MHz程度でしょ?
954774ワット発電中さん:2009/12/29(火) 00:50:16 ID:5A5XhhSR
>>952
でもHASP買っちゃうやついるのよねぇ
SoCのプロトとか騙されてさ
FPGA間の配線しょぼいのに
32bitAHB通すのも一苦労だろうに
955774ワット発電中さん:2009/12/29(火) 15:19:05 ID:rS9mOoBJ
SoCプロトタイピングにお勧めなボードってどこあたりがいいのかな?

海外:HAPS、
国内:アキュベリノス、日立の、ヒューマン

まあヒューマンだと規模がアレだけど
当方はアキュしか使ってないから比較は知らないんだけどね
956774ワット発電中さん:2009/12/29(火) 22:17:34 ID:iwvT3j1G
>>955
SoCに合わせて毎度作成が一番
アーキ検討で電子ブロックしたいなら
何処でもいいでしょう
後はエミュレータかなぁ
957774ワット発電中さん:2009/12/30(水) 01:32:17 ID:3CxAmy6A
いままでパラレルポートのダウンロードケーブルがThinkPadでは
全く動作しなかったけど、原因が判った。
ThinkPadの機能設定ツールで、パラレルポートを
「双方向」から「片方向」に設定するとちゃんと使えた。
抵抗3本+コンデンサ1個の簡易ケーブルも使えた。
既出だろうけど一応。
958774ワット発電中さん:2009/12/30(水) 01:42:46 ID:1YPsEaFx
>>957
逆じゃなくて? www
959774ワット発電中さん:2009/12/30(水) 01:43:51 ID:3CxAmy6A
>>958
「双方向」はプリンタを使うときのモードらしい。
ttp://moriyasu.seesaa.net/article/93253227.html
960774ワット発電中さん:2009/12/30(水) 09:35:06 ID:NR0XaVee
ダウンロードケーブルはデータラインは送信のみで、
受信にはステータスラインしか使っていないから
片方向モードでも通信可能
961774ワット発電中さん:2009/12/30(水) 09:36:43 ID:Xc8EmE89
パラレルポートのついたシンクパッドとかあるのか?
962774ワット発電中さん:2009/12/30(水) 11:54:46 ID:G0TmgJj4
ウルトラベース使うんだろう。俺も買おうか思案中。
963774ワット発電中さん:2009/12/30(水) 13:01:40 ID:0MFTVW8M
オレの ThinkPad230cs には付いてるけどなぁ・・・
もう液晶が変色してきてる。orz
964774ワット発電中さん:2009/12/30(水) 15:27:43 ID:PmFhXnZ7
>>961
あるよ。でかいやつ。
965774ワット発電中さん:2009/12/30(水) 20:04:43 ID:3CxAmy6A
パラレルはPenM世代辺りまでのThinkPadだと本体側にほぼ標準装備。
逆にシリアルはウルトラベースとかにしかない。
うちのX31はまだ現役です。
966774ワット発電中さん:2010/01/02(土) 10:03:18 ID:NO8qKomc
G40 いまだに現役。(Pen4-3GHz
持ち運びが必要なければいい機種だよ。
967774ワット発電中さん:2010/01/02(土) 23:25:24 ID:RvtrMYd9
いまだに570で2chにアクセスしてるわ。P2M-366MHz。
持ち運びにもいい機種ではあるんだが、もうバッテリがあかん。
968XC6SLX150T-2FGG676I:2010/01/05(火) 01:55:29 ID:ZJy+w/ZI

ちょっと早いけど、次スレ建てました。

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 13

http://science6.2ch.net/test/read.cgi/denki/1262623977/l50

969774ワット発電中さん:2010/01/05(火) 12:32:16 ID:ymyqYxCS
958って見込み無いよ。
プリンタポートを双方向で使うのには、ネゴがあるからお作法が合わないと機能しない。
昔のマンマなら動くモノでも再定義後の片方向モードでは動かないことはママある。

967
>バッテリがあかん。
殻割してセル詰め替えれば復活するだろ。

ベトベトさえ我慢すれば昔のチンコを凌ぐのては無い。
チャンコロチンコの初期不良は、徹底分解掃除、基板の最入浴を試せ。
その際、コンデンサのリスト作っておくのが良い。

970774ワット発電中さん:2010/01/05(火) 18:12:08 ID:zCPuDXMi
>>968
ちょっと早すぎじゃないか?早漏野郎。
971774ワット発電中さん:2010/01/06(水) 12:54:26 ID:Tyqsf18C
遅漏の>>970よりはいいと思うが
972774ワット発電中さん:2010/01/06(水) 17:37:15 ID:Tyqsf18C
初心者です。すみません教えてください。

FPGAの選定で、どのFPGAを選べば良いのかわからず、困っています。

やりたいことは、duty比の測定です。
FPGA入力には、測定対象のdutyパルス、システムクロックの2つで、
出力は、測定結果のバイナリ値が出ればOKです。

測定精度を高めるために、システムクロックをFPGA内部で逓倍したいです。
逓倍後の周波数をなるべく高速にしたいのですが、
FPGAのデータシートのどの項目を注目すれば高速/低速がわかりますでしょうか?

今わかっていることは、価格の高いFPGAの方が良いだろう、
という素人考えのみです。
宜しくお願いします。
973774ワット発電中さん:2010/01/06(水) 18:43:55 ID:JHhC5zSA
昼から夕方にかけてなにがあったんだよ。
ただの釣りか?
974774ワット発電中さん:2010/01/06(水) 20:38:22 ID:0PojbblZ
FPGAは初心者だが、あっちはもうすぐ100人切り、とかじゃねーの?
975774ワット発電中さん:2010/01/07(木) 07:59:05 ID:Aa6bfQ1F
>>972
DLLやらDCMやらクロック逓倍モジュールの仕様を見れば
最大周波数がわかると思う
976774ワット発電中さん:2010/01/07(木) 15:38:52 ID:QqSdONVm
FPGAのセレクションガイド

実行時の速さ命のやつは dynachipを選べ。バイポーラでECLだから速い速い。パソコン用の
CPUクーラーを改造してやれば安く済むぞ。

設計期間重視なら、quicklogicだ。ハードIPが特徴で、FPGAのダイに専用LSIが混載
されている。
977774ワット発電中さん:2010/01/07(木) 16:18:37 ID:Aa6bfQ1F
QuicklogicはFPGAじゃないぞ one-time書込みだし
配線遅延が小さいので意外と速い
978774ワット発電中さん:2010/01/07(木) 16:48:02 ID:RKF7Z/TF
>>972
この手の回路は最初数段のカウントスピードが肝心
979774ワット発電中さん:2010/01/07(木) 19:25:43 ID:xd6EtARi
悌毛したらさらに早くなりますた
980774ワット発電中さん:2010/01/07(木) 20:29:36 ID:VqUgIxtb
>>971-972
一人二重人格か。
981774ワット発電中さん:2010/01/08(金) 01:18:14 ID:Se1y5R9f
AB型じゃないか?
982774ワット発電中さん:2010/01/08(金) 03:31:00 ID:yeNuAA1A
特殊変態AB!
983774ワット発電中さん:2010/01/08(金) 18:09:06 ID:bmvPTG9Y
おはよう
984774ワット発電中さん:2010/01/09(土) 12:15:58 ID:BHHX8Olb
おはようさーん
985774ワット発電中さん:2010/01/10(日) 02:22:48 ID:1gLTiBt+
いいね 埋め立て
986774ワット発電中さん:2010/01/10(日) 03:34:41 ID:vOMStA5s
久しぶりに覗いてみたら懐かしい社名が。

>>976
ほう、Dynachipを知っているとは。専用ハードマクロをあらかじめ積んだ
というのはダイナチップが走りだな。
おまえさんとは良い酒が飲めそうだ。

クリがでてこなけりゃ仲間とともに書き込みもするんだがな。
987774ワット発電中さん:2010/01/10(日) 13:42:15 ID:OmoXmIMH
クリさんって、誰ですか?
FPGAをよく知っているのでしょうか?
988774ワット発電中さん:2010/01/10(日) 13:50:28 ID:9Uw7dMp4
ニッポンジンチガイマース
989774ワット発電中さん:2010/01/10(日) 19:56:40 ID:acYDTdMW
そろそろ早漏野郎乙、を貼っておかないと流れそう。

http://science6.2ch.net/test/read.cgi/denki/1262623977/l50
990774ワット発電中さん:2010/01/10(日) 23:27:43 ID:nrWub9BG
うむ、ここいらで早漏野郎乙。
991774ワット発電中さん:2010/01/11(月) 01:39:37 ID:2f1ahovP

992774ワット発電中さん:2010/01/11(月) 02:31:03 ID:S3yBGZz/

993774ワット発電中さん:2010/01/11(月) 15:46:40 ID:bx5fVG7b
994774ワット発電中さん:2010/01/11(月) 19:43:58 ID:SC/QJNjP
995774ワット発電中さん:2010/01/11(月) 20:42:47 ID:2f1ahovP
1000なら、明日バグが取れる
996774ワット発電中さん:2010/01/11(月) 20:50:35 ID:1eWz78xT
明日バグが取れるじゃない・・・昨日・・・昨日取ったはずなんだ・・・
997774ワット発電中さん:2010/01/11(月) 21:15:04 ID:GDNGIrNy
埋まる前に落ちそうなこのスレの流れのように、おおらかな人間になりたいです。

http://science6.2ch.net/test/read.cgi/denki/1262623977/l50

998774ワット発電中さん:2010/01/11(月) 21:56:51 ID:PYQdoxOp
>>998なら今夜中に配線が終わる。
999774ワット発電中さん:2010/01/11(月) 22:34:23 ID:bx5fVG7b
1000ならVirtex6でトリップ解析ツール公開
1000774ワット発電中さん:2010/01/11(月) 22:38:34 ID:CiZRpFAM
ume
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。