回路シミュレーション part2

このエントリーをはてなブックマークに追加
1774ワット発電中さん
回路シミュレーションを語りませう。

●前スレ
回路シミュレーション
http://science4.2ch.net/test/read.cgi/denki/1075597805/

●過去ログ倉庫
http://w3.quake3.jp/sushi-k/wiki/index.php?%B2%E1%B5%EE%A5%ED%A5%B0

●関連スレ
回路シミュレーション(シミュレーション板)
http://science4.2ch.net/test/read.cgi/sim/1019407526/
安い回路シミュレータ(シミュレーション板)
http://science4.2ch.net/test/read.cgi/sim/992963809/

●関連リンク
本家SPICE
http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/
OrCAD
http://www.cybernet.co.jp/orcad/
SwitcherCADIII/LTSpice
http://www.linear-tech.co.jp/designtools/softwareRegistration.jsp
IBIS
http://www.eigroup.org/IBIS/Default.htm

●Wiki(誰でも自由にお使いください)
http://w3.quake3.jp/sushi-k/wiki/index.php
2774ワット発電中さん:2006/01/17(火) 11:20:38 ID:6aUu4XSU
>>1 乙 ( ・∀・)っ旦~ オチャモドゾ
3774ワット発電中さん:2006/01/17(火) 14:46:47 ID:TUOuzlCT
私のことろも、便乗して貼らせて下さい。
http://www.d1.dion.ne.jp/%7Eriver_r/bell/
http://www.d1.dion.ne.jp/%7Eriver_r/bell/nlind2/nlind2.html
まずは前スレのお茶をを飲みほしてからね!
4774ワット発電中さん:2006/01/17(火) 18:09:38 ID:sB4uLY22
       ,..-‐−-、、
     /^`~",  :\  ,ィ":::::::::::::::::::;;;;;iii>;,、
   ,.-",   /......:::::i::l /:::::::::::::::;;;;;;;;iii彡" ::ヤi、
  ,.i  .|  :キ:::::::::::|::V::::::::::::;:"~ ̄     ::i||li
 / 、  | ,;:::::l:::::::::::マ,.-‐-、j'_,.ィ>、、   .:::iii》
 i、 ヘ  :\:::::::キ;:::::::(:::j::):...) `‐-‐"^{"^ヾノ"
  ヤ、 \:::::\,::::\:;;;:iゞ:-:;ィ     ,.,li`~~i    / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
.,;iiλ\.,,ィ^-‐'`ー",:::|::;X'::7、   ・=-_、, .:/   <   この>>1をさっさとつまみ出せ!!
";ii::i`ゝ、::;;;:、-‐-;;;;i‐'''|  .}'.ヘ    ''  ./     \__________________
.;ill;;:\::::::::::::::::;ノノl} ィ|、./:ー-`=‐-、、ノ
iilllllli;;:::`:‐-‐'":;ノ  │丶=‐-、,,_`l, ,.へ
llllllllllllii;;,,___;;;iill|||( `Д´) \ー=、7^ヾ'‐-、、
||||||||||||||||||||j'::::::U>>1U‐"、:::::\..::/   \  `ヽ
             U U
5774ワット発電中さん:2006/01/17(火) 20:09:07 ID:2Thp2AJt
前スレで未解決の課題を貼り付けますた。

IBISファイル−>SPICEモデルファイルの変換で、このようなトラブル状態です。
http://www.fpga.co.jp/cbbs/cbbs.cgi?mode=one&namber=2160&type=0&space=0&no=0

解決策わかりましたら、ご教示願います。
必死でやってますが、現在まで、ずーとお手上げ状態です。(;´Д`)ハァハァ
よろしくおながいしまつ。
6774ワット発電中さん:2006/01/17(火) 20:34:54 ID:CS+eMsOh
7774ワット発電中さん:2006/01/17(火) 23:01:57 ID:2Thp2AJt
>>6
価格表見てきました。$3995 仕事用によさげ。
http://www.spectrum-soft.com/price.shtm
先端ソフトはほぼ米国という印象がありますが
日本でがんばってるとこはないのかなぁ・・・orz

8774ワット発電中さん:2006/01/18(水) 14:10:24 ID:19Mx4gsW
職人気質。技術は欧米から輸入。そういう体質は、あまり変わっていないようだ。
9774ワット発電中さん:2006/01/18(水) 16:52:22 ID:xN0QzzeY
あれ? 前スレ落ちちゃったのかな。
10774ワット発電中さん:2006/01/18(水) 19:38:28 ID:rGV7wBGb
>>5

IBISファイルを、SPICE MODEL EDITORで編集しないといけないことが
わかりますた。これでは結構苦労しそうな悪寒。

ttp://www.orcad.com/documents/community.faqs/pspice/020021.aspx
から引用

Solving difficulties in IBIS models translated for PSpice

PROBLEM:
How do I solve difficulties encountered for IBIS models translated for PSpice?

SOLUTION:
Converting v2.1 models
Currently Model Editor contains a translator for IBIS version 1.1, but not for IBIS version 2.1.
It is often possible to modify a v2.1 model to make it v1.1 compatible.
The principal features of an IBIS model are the rise/fall time specifications, along with
the I-V curves (used in the controlled sources). Examples of both IBIS v1.1 and IBIS v1.2 models
can found found on National Semiconductor's web site.
IBIS file specifications can be obtained from www.vhdl.org/pub/ibis.

Here are typical changes that must be made to convert an IBIS 2.1 file to an IBIS 1.1 file:

1.Change the version to 1.1 - [IBIS Ver] 1.1
2.Comment out all lines containing Vmeas, Cref, Rref, and Vref. by placing a vertical bar | at the start of the line.
3.Remove the [Rising Waveform] section.
4.Remove the [Falling Waveform] section.
5.Comment out the [Temperature Range] section by placing a vertical bar | at the start of the line.
6.IBIS 2.1 adds some Model_type categories, I/O_open _drain, I/O_open_sink, I/O_open_source, Input_ECL, Output_ECL,
 I/O_ECL, Open_source, and Terminator. Model_type categories that are in v1.1 are Input, Output, I/O, 3-state, and
 Open_drain. If the output characteristics are the only feature of interest, then it is possible to translate an output model as either Output or Open_drain.
7.Comment out R_load by placing a vertical bar | at the start of the line.

11774ワット発電中さん:2006/01/18(水) 23:48:19 ID:rGV7wBGb

SPICEに関するML(活動しているもの)がありましたら、ご紹介お願いします。
無い場合は、どなかかMLを開設してくれないでしょうか?
12774ワット発電中さん:2006/01/19(木) 00:55:38 ID:vHSU8j7J
>>11
>>3 さんのところで紹介されてる米yahooのLTSpiceメーリングリストとか(英語だけど)
かなり活発だよん。

ML、要望が多ければ作るけど、閑古鳥が鳴きそうな。
13774ワット発電中さん:2006/01/19(木) 15:37:16 ID:YH9Gwk0w
>>12
LTspice/English ML教えてくれてありがと。

日本国内のSPICE ML開設もよろしくお願いします。
なかなかSPICE使える技術者はいないです。
デジタル回路設計者も少ないけど、
アナログ回路設計は周囲に誰も(一人も)居ない。
困っても周囲に相談できないのですねぇ・・・orz
14774ワット発電中さん:2006/01/19(木) 15:43:40 ID:1nPlMmHY
>>13
閑古鳥が鳴きそうだからなー。

というか、ここでいいんじゃないの。たぶんSPICE専門で
日本で一番活発に情報交換がなされてるのは、ここじゃないか
と思うよ(約1000レス/1年)。
ML作っても、ここにはかなわんでそ。
15774ワット発電中さん:2006/01/19(木) 21:22:14 ID:zS3iTnlC
>>14
同意。

というわけで、質問の際にはレスをどうぞよろしく。
16774ワット発電中さん:2006/01/21(土) 14:44:16 ID:ULy12IZR
>>10
http://www.intusoft.com/utilities.htm ここの
IBIS to SPICE converter は無料です。(名を名乗れ!とは言われるけど)

その前に、このくらい↓はご存知ですよね。
IBIS models do not model the functionality of the device as SPICE models do.
They simply model how the inputs and outputs switch, their inductance, capacitance,
and voltage and current characteristics. However, this has the advantage that
models can be created for devices that it would be completely impractical to
develop a SPICE model for - for example, the AMD K6 microprocessor!
17774ワット発電中さん:2006/01/21(土) 15:28:19 ID:tRuELXI7
>>16

>http://www.intusoft.com/utilities.htm ここの
>IBIS to SPICE converter は無料です。(名を名乗れ!とは言われるけど)

そこのフィルコンバータで、TIのIBISファイルをSPICE形式.libへ変換して出た現象が、
>>5 に書いたもので、その対策が、>>10であることが判ったところですが、
>>10をやると苦労しそうなので、止めたほうが良さそうな気がしています。

前スレからの質問でしたが、前スレが消えたので、いきさつが判りにくくなってしまいました。

>その前に、このくらい↓はご存知ですよね。
>IBIS models do not model the functionality of the device as SPICE models do.
>They simply model how the inputs and outputs switch, their inductance, capacitance,
>and voltage and current characteristics. However, this has the advantage that
>models can be created for devices that it would be completely impractical to
>develop a SPICE model for - for example, the AMD K6 microprocessor!

いえいえ。存じません。IBISを使った経験がありません。
IBISモデルもつい最近名前を知ったばかりです。
IBISモデルでは、ここの英文の文字を読むとSPICEモデルより劣っているよう読めます。
IBISを使うと、具体的にはどのようなシミュレーションができないといっているのでしょうか?
何が(どのような期待外動作が)起こるのですか?
18774ワット発電中さん:2006/01/21(土) 16:02:43 ID:ULy12IZR
>>17
> そこのフィルコンバータで、TIのIBISファイルをSPICE形式.libへ変換して出た現象が、
>>5 に書いたもので、その対策が、>>10であることが判ったところですが
これは失礼しました。経過をよく読んでいませんでした。


> IBISを使うと、具体的にはどのようなシミュレーションができないといっているのでしょうか?
逐語+意訳を書いておきます。

>IBIS models do not model the functionality of the device as SPICE models do.
IBIS models は SPICE models が普通行うような、デバイスの機能をモデル化したものではない。

>They simply model how the inputs and outputs switch, their inductance, capacitance,
>and voltage and current characteristics.
それら (IBIS models) は単に入出力 (端子) のインダクタンス・静電容量・電圧電流特性が、
(状況に応じて) どのように変わるかをモデル化した (記述した) ものである。

>However, this has the advantage that
>models can be created for devices that it would be completely impractical to
>develop a SPICE model for - for example, the AMD K6 microprocessor!
その利点は、AMD K6 microprocessor (や RAM/ROM 最近話題の強誘電体デバイス) の入出力特性を
記述できるところにある。

★ まあ相手が論理回路だったら、テキトーなゲート IC に置き換えて SPICE シミュレーションをする
のと同じことしかできませんよ。SPICE で SDRAM 使用基板のプリントパターンの話まではできませんしね。
(ちょっと表現が過激かも。「このような場面で IBIS model は有効・必要不可欠」とかいうのがあり
ましたら、ぜひお教え下さい。)
19774ワット発電中さん:2006/01/21(土) 19:41:40 ID:tRuELXI7
>>18

英文の意味はわかっていたつもりだったのですが、私の理解と異なる点があったので
確認したく以下よろしく願います。

>IBIS models do not model the functionality of the device as SPICE models do.
IBIS models は SPICE models が普通行うような、デバイスの機能をモデル化したものではない。

>They simply model how the inputs and outputs switch, their inductance, capacitance,
>and voltage and current characteristics.
>However, this has the advantage that
>models can be created for devices that it would be completely impractical to
>develop a SPICE model for - for example, the AMD K6 microprocessor!

>それら (IBIS models) は単に入出力 (端子) のインダクタンス・静電容量・電圧電流特性が、
>(状況に応じて) どのように変わるかをモデル化した (記述した) ものである。
>その利点は、AMD K6 microprocessor (や RAM/ROM 最近話題の強誘電体デバイス) の入出力特性を
>記述できるところにある。

・・・ここからは、このように拡張して解釈して良いですか?。

SPICEモデルは、IC内部の電気的等価回路をモデル化しているが、
ICのデジタルシミュレーションにはシミュレーション時間がかかり過ぎ
全くをもって実用的でない。

IBISモデルは、入出力の特性を単純化して”機能モデル”と呼ばれるものにしたものであり、
高速なデジタルIC/LSIなどのシミュレーションに向いている。
逆に言えば、IBISモデルは、ICの電気的等価回路では無いので、
アナログレベルのシミュレーションには使えない。

>(ちょっと表現が過激かも。「このような場面で IBIS model は有効・必要不可欠」とかいうのがあり
>ましたら、ぜひお教え下さい。)

今回の場合、TI社の論理IC(74LS,74HCなど)では、デバイスモデルとして、
SPICEモデルか、IBISモデルが公開されていますが、
それらの提供モデルはIBIS形式だけで、SPICEモデルが無い場合があります。

一方、PSpice/ORCADでは、SPICEモデルを受け付けますが、
IBISファイルは、同梱のSPICE MODELエディタを使用して編集しないと、
ライブラリに組み込めない、すなわち、IBIS形式が使えず、
要するにシミュレーションできない、という問題が発生しています。

デバイスメーカ(例 TI社)がIBIS形式のモデルを提供している場合、
PSpiceでは、そのIBIS形式ファイルを読み込めずエラーになり、
シミュレーションが出来ない・・・という状況に陥る場合があるのがわかりました。

さて・・・
実は、先に書いた私の拡張解釈は間違っている、と思っとります。

というのは、IBIS2SPICEは、IBIS形式->SPICE形式の
ファイル変換を行うソフトです。
もし、IBIS形式が単純化された”機能モデル”ならば、そこから等価回路の
情報を得られるはずがない。
よってSPICE形式は等価回路モデルではない。

故に、先の私の拡張解釈は根本的に誤りです。
私は、どこの理解を間違っているのでしょうか?


20774ワット発電中さん:2006/01/21(土) 20:20:46 ID:2FIB1FSL
>>19
たぶん「モデル」という言葉の使い方を、誤って解釈なさっているのだと思います。
SPICE でいう「モデル」と IBIS「モデル」 は、全くと言っていいくらい、別物なんです。

なかなか全部にスラスラと答えるのは無理ですが:

★> SPICEモデルは、IC 内部の電気的等価回路をモデル化しているが、IC のデジタル
シミュレーションにはシミュレーション時間がかかり過ぎ全くをもって実用的でない。

・全くの誤りではありません。しかし、アナログ回路なら機能モデル的な考え方を多用し、
デジタル部分なら XSPICE の機能 (LTspice でいう A デバイスのことです) を多用する、
といった方向でも、どんどん改良されていく過程にあります。

★> IBISモデルは、入出力の特性を単純化して”機能モデル”と呼ばれるものにしたものであり、
高速なデジタルIC/LSIなどのシミュレーションに向いている。逆に言えば、IBISモデルは、
ICの電気的等価回路では無いので、アナログレベルのシミュレーションには使えない。

・IBIS model と「SPICE でいう機能モデル」は全く別物です。決め付けずに、
 もう一度用語の意味を調べて、確認して下さい。
・IBIS モデルは、デジタル回路の機能を記述していません。もちろん機能はシミュレートできません。
 IBIS モデルは、アナログ回路の機能も記述していません。もちろん機能のシミュレートできません。
・昔の SPICE はともかく、最近の SPICE は「mixed mode simulatior + code model」という用語がある通り、
 アナログレベル・デジタルレベルとかいう区別を、ほとんど意識しなくてもいいように改良されています。

★> 今回の場合、TI社の論理IC(74LS,74HCなど)では、デバイスモデルとして、
SPICEモデルか、IBISモデルが公開されていますが、
それらの提供モデルはIBIS形式だけで、SPICEモデルが無い場合があります。
一方、PSpice/ORCADでは、SPICEモデルを受け付けますが、
IBISファイルは、同梱のSPICE MODELエディタを使用して編集しないと、
ライブラリに組み込めない、すなわち、IBIS形式が使えず、
要するにシミュレーションできない、という問題が発生しています。

ごめんなさい、今、なんという名前の SPICE シミュレーターを使っていて、74LS, 74HC のいずれで
困っていらっしゃるのか、よくわからなくなりました。(ここの旧スレを見るブラウザーは持っていません)

半分くらい答えたところでご勘弁を。 追加質問を、お待ち申し上げております。
そのほか、私の返答に対するほかの皆様の疑問質問点なども、どうぞご遠慮なく。
21774ワット発電中さん:2006/01/21(土) 20:39:29 ID:oSvkeAY0
>IBISファイルは、同梱のSPICE MODELエディタを使用して編集しないとライブラリに組み込めない

IBIS2SPICE の出力結果の spice model ファイルは ibis の V-I カーブグラフとそのガワで出来てる。
ただのテキストなんだから、ガワをシミュレータに合うように直せば良いだけだと思う。
中の折れ線グラフに対応してないような spice の場合は知らんけど。

それが出来ないってことなら、なんにしたって必要とするロジックのモデル(ibis にせよ spice にせよ)の
ついてくるシミュレータを調達してくる以外の道はない。


22774ワット発電中さん:2006/01/21(土) 20:45:40 ID:2FIB1FSL
>>21
あれ? 実数成分しかないんですか? まさか、そこまで手抜きはしていないでしょうに・・
23774ワット発電中さん:2006/01/21(土) 20:46:51 ID:6a+Al0/m
>>20
>>1 の過去ログ倉庫で過去ログは読めますよ
24774ワット発電中さん:2006/01/21(土) 20:48:41 ID:2FIB1FSL
さっき、書きかけて、メモ帳を消してしまったので書き直し。おそらく将来は:

デジタル回路 : 論理自動合成 + 論理シミュレーター
アナログ回路 : SPICE+++
伝送回路 : SPICE の TLINE, RES, RES2, URC + 電磁シミュレーター

これを全部統合できれば、最強とは言えずとも、かなり強いと思います。
2524:2006/01/21(土) 21:02:19 ID:2FIB1FSL
無理に統合することはないのかも。板金屋さんと話すときはそのように。
金型・鋳造屋さんと話すときはそのように。樹脂屋さんと話すときはそのように。
それも一つの方法か、とも思います。
2620:2006/01/21(土) 21:09:54 ID:2FIB1FSL
>>23 忘れてました。ありがとうございます。
27774ワット発電中さん:2006/01/21(土) 22:19:10 ID:oSvkeAY0
>22
そういう意味で言や laplace transform な表だったかどうかは忘れた。
表の実装まで興味なかったし。

28774ワット発電中さん:2006/01/25(水) 20:38:16 ID:UY0SBgDn
折れ線グラフ的な S パラメーター表現 (入出力抵抗終端回路) って、どういう
方面で便利に使えますか?
29774ワット発電中さん:2006/01/29(日) 19:33:12 ID:tc7xNl5Y
http://www.5spice.com/index.htm
これ使ってる人いますか?
30774ワット発電中さん:2006/01/30(月) 00:59:35 ID:zrqm6An4
ttp://www.5spice.com/faq.htm#FAQ2
無料版はかなりの機能制限がありますね。
3129:2006/01/30(月) 07:40:04 ID:Jn716gWG
かなり?ですかね
数千エレメントの問題で.Tranできるやつをさがしていてゆきあたったのです
回路規模の制限が無いので、目指す問題は会席できました。
登録しても $199 ですが、日本でつかっている人が居ないと
なにかとめんどうかと想って訊いてみました。
32774ワット発電中さん:2006/01/30(月) 11:29:22 ID:NxkFzKRE
>>31
ざっと目を通した感じ、SPICEにUIを被せただけだよん、みたいな
ことが書いてあるので、あまり使っている人は居ないんじゃないでしょうか。
回路規模の制限がないフリーのではLTSpiceの人気が高いようですよ。
33774ワット発電中さん:2006/01/30(月) 12:09:10 ID:zrqm6An4
>>31
WinSpice + 回路図エディターが 5spice なんですね。

http://www.winspice.co.uk/
>WinSpice iteself does not contains a schematic editor. However, 3rd part
>schematic editors can be linked to WinSpice. A schematic editor which uses
>WinSpice for its simulation engine is available at http://www.5spice.com/.

WinSpice/5spice には XSPICE の拡張機能 (デジタル・アナログ混在回路のシミュレーション、
event driven, mixed mode simulation, code model 機能) が無いようですが、いかがでしょうか。
3433:2006/02/03(金) 01:13:51 ID:XA0SuzIB
アナログ・デジタル混在回路のシミュレーションをどうするのか?
私の場合、興味分野はそのものずばり↑ ですので、混在回路のシミュレーションが
できないなんて、それだけで却下理由になります。

この条件 + free で、妙な制限なしに使えるのは LTspice と SpiceOpus です。
ほかにありませんかね〜?
35774ワット発電中さん:2006/02/03(金) 02:14:29 ID:0VTpGi3y
reeだとさすがにすくなさそうだなー

あと、IBISモデルとSPICEモデルに関する認識は大体以下の用に思ってる。

部品も含め工夫しだい(全部部品とみなす)で、伝送も解析できるのがSPICEモデル。
部品はブラックボックス化して、部品間の伝送を解析するのがIBISモデル。

これだけじゃなんだから
1.SPICEモデルは計算が大変になる。
まぁ、そのためのIBISモデルだしな

2.IBISモデルは部品の中身はしったこちゃない。
ピン単位でのVIZが基本。これは外部との伝送解析が主体で中身はしらん!
つまり、ピン相互間での影響とか中身の解析が重要な用途には不向き
36774ワット発電中さん:2006/02/03(金) 02:15:49 ID:z6Yg74Pi
(´-`).。oO(・・・・)
37774ワット発電中さん:2006/02/03(金) 02:34:18 ID:0VTpGi3y
>>36
いや、まぁ勝手に思ってるだけなんだけどね(・ω・)
実際のとこどーなんだろね。特にIBISモデル

38774ワット発電中さん:2006/02/03(金) 02:37:20 ID:z6Yg74Pi
>>37
(´-`).。oO(・・そうじゃなくて、言いたいのはsage進行ってこと)
39774ワット発電中さん:2006/02/03(金) 02:46:36 ID:0VTpGi3y
>>38
シツレイブッコキマスタ

FreeでなくてもいいならVisualSpiceはどうですかね。
ttp://www.islandlogix.com/
回路図エディターがいまいちなのがなんともですが・・
40774ワット発電中さん:2006/02/03(金) 02:53:19 ID:z6Yg74Pi
>>39
いえいえ・・
個人的にはやっぱり”アレ”が一番使いやすいと思います。
(といっても、沢山触ってないですが・・)

目的にもよるので一概には言えませんが・・
41774ワット発電中さん:2006/02/03(金) 02:59:45 ID:0VTpGi3y
>>40
”アレ”がどれかはわかりませんが(・ω・
もし”アレ”だとしたら、モンテカルロやワーストが無いのがちょっと・・
42774ワット発電中さん:2006/02/03(金) 03:01:27 ID:XA0SuzIB
まぁ、たまには上に上がって、太陽光線を浴びて、酸素も補給しておこうや。
43774ワット発電中さん:2006/02/03(金) 03:17:39 ID:z6Yg74Pi
>>41
そこを工夫でなんとか( ´・ω・)・・自由度が結構高い気がします。
ヘルプファイルとか、サンプルを1日中眺めると運勢が若干よくなるという・・
それにエディターは昔使ってたドングル付きのよりか良いかもしらんです・・
4429:2006/02/03(金) 07:38:28 ID:iMzuL2p5
たくさんのレス感謝です。
私の目的は
IBISでは再現できない現象を巨大な等価回路で表現して.Tranすることだったので
5Spiceでできてしまいました。
45774ワット発電中さん:2006/02/03(金) 08:40:11 ID:IGPeuySc
こりゃごめんなさい。

「巨大な等価回路」
>>44
いや、私だと、それらを「まあ小さな等価回路」の集合体に還元して・・ って、やっていました。

「巨大な等価回路」、そのままでやると、時間はかかるは、結果はホント?
悩みが大きすぎます。
4629:2006/02/03(金) 09:21:36 ID:iMzuL2p5
巨大な等価回路はSPICEとは関係ない あるツールが
生成します。
等価回路の妥当性は、本のデータと
生成された等価回路をそれぞれSPICEでいう .AC の解析をして
それぞれの結果を比較して評価します。
等価回路の妥当性が確認できたら、
その等価回路をいよいよSPICEに取りこんで.TRANするという手筈です
解析時間は微々たるものです。なにしろ等価回路には線形素子しか
含まれていないのです。

これはそもそもIBISが用なら無い
TEmnモードの伝搬とかを意識しなきゃならない
領域の話です

>45
悩ませてしまって恐縮です。
JPCAでたちんぼしますので、"あっこれか!"と想ったらつっこんでみて下さい。
47774ワット発電中さん:2006/02/03(金) 17:33:46 ID:mqQWFSna
>>46
ざっと言うと、プリント基板設計の話なんですか?
でもちがうのか? その辺がよくわかりませぬ。
48774ワット発電中さん:2006/02/03(金) 20:32:46 ID:mqQWFSna
論理シミュレーションの話?
49774ワット発電中さん:2006/02/07(火) 23:18:53 ID:0/MwCNRg
何かの伝送線路みたいなものを、集中定数部品と SPICE の
T. Lossless Transmission Line, O. Lossy Transmission Line
あたりに還元してシミュレートする話なんでしょうか?
50774ワット発電中さん:2006/02/08(水) 22:29:08 ID:fe7JeJNy
orcadライトエディションでトランジスタ等のモデルDL方法をご存知の方いらっしゃらないでしょうか?
51774ワット発電中さん:2006/02/09(木) 10:06:07 ID:0tKAS492
>>50
「(orcad | pspice) spice model download」 とかいったキーワードで Web 検索すると
いろいろ見つかります。例えば http://www.5spice.com/links.htm など。
52774ワット発電中さん:2006/02/10(金) 23:28:40 ID:V7d7z7TJ
見てみました。これ↓
ttp://newton.ex.ac.uk/teaching/CDHW/Electronics2/userguide/secA.html#A.5

実は、これと同じヤツを2〜3回見ました。UCB Spice の 説明書にもありました。
.tran して見ても、まああり得る結果は出てくるけど、Lossless Transmission Line を
どう使ったらいいのか、そのヒント・意味がもうひとつよくわからない。
エキスパートの方、参考書とか、Tutorial とかを御存知でしたら、ぜひお教えください。
53774ワット発電中さん:2006/02/12(日) 09:23:31 ID:fIv3xuhC
たとえば、地球温暖化を現す方程式を得たとする。
そりゃまあ、文句のつけようがないほど、よく考えて練られたもの。
で、その「方程式」には合わない現象が発見される。
じゃ、さっきの方程式とか、それを使ったシミュレーションは全部無効!
5453:2006/02/12(日) 09:27:54 ID:fIv3xuhC
間違えました。ごめんなさい。
「地球温暖化を現す方程式」
 ↓
「地球温暖化を表す方程式」
55774ワット発電中さん:2006/02/13(月) 05:00:31 ID:xhFDUlAM
宗教談義は好きではないが・・
>じゃ、さっきの方程式とか、それを使ったシミュレーションは全部無効!

そんなことはないだろう。予想されなかった現象がどうして出てくるのか、
話をそこまで戻してから、進みましょう。
56774ワット発電中さん:2006/02/16(木) 19:34:23 ID:XO1YVurx
シミュレーションが、順調に進まない場合の対処法の話です。
ttp://www.orcad.com/pspicead.aspx
あたりからだとって、PSpice では・・・ とかいう予告・警告・対処法のヒント
を、一度は見つけたのに、ブックマークを見失ってしまいました。
どこにあるのか、類似品でもいいから、ご存知の方、お教え下さい。
57774ワット発電中さん:2006/02/19(日) 11:13:19 ID:UHTBZaKb
>56 ですが、再発見しました。
これ↓の「Convergence and “time step too small errors”」のことでした。
ttp://www.electronics-lab.com/downloads/schematic/013/tutorial/PSPICEAD.pdf

関連して ttp://www.electronics-lab.com/downloads/schematic/013/tutorial/PSPCREF.pdf
これなんかも参考になります。
58774ワット発電中さん:2006/02/26(日) 11:09:05 ID:BmfLVkY1

LTspiceにて非反転増幅器のSIMをしてみました。
回路図
http://radio.s56.xrea.com/radio/src/radio0595.png

シミュレーション用ファイル
http://homebrew2004.hp.infoseek.co.jp/LT1498-Amp-test1.asc

A=10倍 の出力を期待していますが、増幅がされません。
何故でしょうか?
59774ワット発電中さん:2006/02/26(日) 11:26:43 ID:Akt39rDG
>>58
C2が悪さをしている。
60774ワット発電中さん:2006/02/26(日) 11:46:28 ID:BmfLVkY1
>>59
C2を取ると・・・

http://radio.s56.xrea.com/radio/src/radio0597.png

  ・・・・orz

何故なのですかねぇ?
61774ワット発電中さん:2006/02/26(日) 11:47:31 ID:AKaiBUhH
>>58
オペアンプの基本的な使い方を勉強してからやってね
62774ワット発電中さん:2006/02/26(日) 11:51:13 ID:fSYjW05F
R8 1k は不要・有害でしょう。+入力端子の DC バイアス回路がありませんが、
これは必要です。まとめるとこうなります。
ttp://radio.s56.xrea.com/radio/src/radio0598.png

データシートによると LT1494/1495/1496 は Slew Rate 1V/ms (ms!), GBW 2.7kHz (kHz!)
という遅さです。0 〜 5V 間の最大振幅を期待するなら、正弦波にして 50Hz くらいが限度です。
あれ、LT1492, LT1494 と LT1498 を混同していませんか? どれですか? 釣りですか?
6362:2006/02/26(日) 13:08:51 ID:fSYjW05F
きっと釣りではなくて、結果が思わしくないので OP アンプの品種を
とっかえひっかえしただけなんでしょうね。ごめんなさい。
64774ワット発電中さん:2006/02/26(日) 14:09:42 ID:BmfLVkY1
>>62
ありがとうございます。
こちらでも修正して実行してみました。50Hzで動いていることが判りました。
教科書どうりの知識では、応用では使えないことを痛感しています。
もちろん釣りではありません。

20Hz〜10KHz程度のオーディオ・プリ・アンプを作ろうとしています。
この増幅に向くオペアンプを選ぶには、データシートのどのパラメータを
見れば良いでしょうか?

実際は、この後にフォトカプラを入れて、さらにその後に
同様のオペアンプを入れる回路構成です。
仕事ではなく、自宅のデジタル・オーディオアンプのノイズ対策です。
フォトカプラで、1ビット・デジタルオーディオ出力のEMIノイズを絶縁して、
アナログアンプにつなぎ、高域雑音を除こうとしています。

Vcc5Vの10K/(190K+10K)分圧を入力するのは、オフセット調整と呼ばれるものですか?
そのRの決め方の考え方も、できればアドバイス願います。
教科書には、これも書いてありません。




65774ワット発電中さん:2006/02/26(日) 14:18:08 ID:BmfLVkY1
>>64
連投すみません。
GBWというパラメータと、入力する最大周波数fの関係式は
あるのでしょうか?
見ている教科書にはGBWの説明はありません。
6662-63:2006/02/26(日) 15:14:28 ID:fSYjW05F
>>64-65
やはり GBW とか Slew Rate とかの説明が書いてある解説本を2〜3読み、他の人が
設計した類似機能の回路をじっくり眺めて理解するのがよろしいかと思います。

簡単に答えられる質問に、簡単に答えておきます。
(1) Vcc 5V の10K/190K+10K) 分圧を入力するのは、オフセット調整と呼ばれるものですか?
いいえ。単電源動作 OP アンプの出力電圧が Vcc/2 になるようにするためのバイアス回路です。

(2) GBW というパラメータと、入力する最大周波数 f の関係式はあるのでしょうか?
増幅できる最大周波数の目安として GBW が使えます。実際には利得を決めないと
GBW と f の関係は定まりません。1 pole OP アンプの場合は単純な計算式で決まりますが、
2 pole 以上の OP アンプではずっと複雑な関係になります。これとは別に、高い周波数
での最大出力振幅に関係あるパラメーターとして Slew Rate が挙げられます。

ちょっと疑問なのですが、光ファイバー (デジタルオーディオ用光ケーブル) で接続する
方法では不都合なのですか?
67774ワット発電中さん:2006/02/26(日) 17:47:33 ID:BmfLVkY1
>>66
誠にありがとうございます。
大学の授業やオペアンプ入門程度の知識では
実応用で歯が立たないのがよくわかりました。
応用回路例も注意して見て見ます。
手持ちのトランジスタ技術のバックナンバでどこまでできるか調べてみます。
オーディオ用光ファイバはこれから調べてみます。
ありがとうございました。



68774ワット発電中さん:2006/02/26(日) 17:57:36 ID:PBsUotZo
>大学の授業やオペアンプ入門程度の知識では実応用で歯が立たないのがよくわかりました。

いや、どうみても学生のが悪いだろう、これは。
69774ワット発電中さん:2006/02/26(日) 18:06:38 ID:BmfLVkY1
>>67
http://www.atmarkit.co.jp/fpc/cableconnecter/sound_game/spdif_opt.html
こんな記事がTOPで出てきました。
世の中知らないところで進んでますね。
70774ワット発電中さん:2006/02/26(日) 18:08:46 ID:BmfLVkY1

カルアタマさんこことは軽蔑してますが・・・(・∀・)!
71774ワット発電中さん:2006/02/26(日) 18:24:52 ID:Xqa1Jonh
>見ている教科書にはGBWの説明はありません。


どんな教科書やねん
72774ワット発電中さん:2006/02/26(日) 19:43:40 ID:BmfLVkY1
>>61 >>68 >>71 悪党三人組ですか? カルアタマ?
Qより程度悪い。Qは悪態はつくが、気分の考えた情報を書き込むから参考になることも多かった。
おまえらは、頭も悪いし、性格も反抗的で、どうしようもない。

つられてみると、こんなとこで調べると、
http://robotics.me.es.osaka-u.ac.jp/~masutani/Opamp/node2.html
http://www.nahitech.com/nahitafu/mame/mame3/mame3.html
http://www.picfun.com/partops.html
http://www-nh.scphys.kyoto-u.ac.jp/~enyo/kougi/elec/node20.html
http://markun.cs.shinshu-u.ac.jp/learn/OPamp/whatop.html
実用応用の情報は、出てるとこはないんです。

誰でも自分の知ってることなんか専門内ですら狭いものですよ。
勉強もせず、知識も無ければ、考える努力もしない糞ども。
軽頭とか、最低の屑と思ってますよ。




73774ワット発電中さん:2006/02/26(日) 19:49:24 ID:BmfLVkY1
>>72
2chで勉強になるのは、社会の大部分はバカな人が殆どであることが見えてくること。
頭が悪いだけでなく、性格がすごく悪い。
そういう屑らと、どう向き合うか、社会での生き方で2chはとても勉強になるんだ。
74774ワット発電中さん:2006/02/26(日) 21:05:03 ID:2cixW5VR
>>67 >>72
「OP アンプ アプリケーション ノート」 とか 「op amp application note」 とかいった
キーワードで Web 検索するとかなり見つかります。但し英語だったりすることもあるけれど。
ところでこの板の OP アンプスレは、レスが 1000 を超えて消えてしまったままのようですね。
75774ワット発電中さん:2006/02/26(日) 21:33:54 ID:8qChMXb/
76774ワット発電中さん:2006/02/26(日) 21:59:36 ID:UuurbsH4
>70=>72=>73
恐ろしいほどにキレやすい香具師だな
お前に2チャンはムリポ
77774ワット発電中さん:2006/02/26(日) 22:41:59 ID:DW5CQg1U
2chanはふたばなんだが。
78774ワット発電中さん:2006/02/26(日) 23:03:27 ID:4U/yhp7m
>>76
こんなこと↓書いてる時点で、本人乙ということでしょ。軽への粘着具合といい。

>>72
>Qは悪態はつくが、気分の考えた情報を書き込むから参考になることも多かった。
79774ワット発電中さん:2006/02/26(日) 23:49:23 ID:BmfLVkY1
>>74
RESありがとう。なんか、変なのが湧いてきて、ぐちゃぐちゃにされてしまうのが残念です。
こちらでは透明あぼ〜んで対応します。湧いてくるのを退治しても、また執拗に湧いてくる。
80774ワット発電中さん:2006/02/27(月) 11:04:50 ID:3Vw7yeP0
勉強不足を指摘しただけで悪態たれられるとは理不尽な。
いったい何様だお前は >>79
81774ワット発電中さん:2006/02/27(月) 22:10:05 ID:Fusd5FdV
>>61の回答につきると思う。
>>79は、どうしても2ちゃんで質問したいなら初心者質問スレに行くべき
http://science4.2ch.net/test/read.cgi/denki/1139135849

折角の良スレを台無しにしないで欲しい。
82774ワット発電中さん:2006/02/27(月) 23:31:42 ID:tLkjaNEy
>>81
問題を起こしているのは>>61>>81などと思うが、>>61の自己弁護?
83774ワット発電中さん:2006/02/27(月) 23:40:39 ID:tLkjaNEy
>>82
回答ができている香具師は>>62, 66 しかいないし。
あとの回答になってない文句屋は、迷惑なだけ。
84774ワット発電中さん:2006/02/27(月) 23:41:24 ID:Jk/XqGNP
>>82
気にするな。
お前は他人より、人として劣っていた。
ただそれだけのことだ。
8581:2006/02/28(火) 00:08:49 ID:5/YtvAB/
>>83
親切に誘導してあげているのだから、速やかにスレ移動してください。

別に>>61を弁護している訳ではありませんし、そもそも弁護の必要がありません。
ここはオペアンプの使い方そのものを質問するスレではありません。
あなたの書き込みはスレ違いだと言うことです。
86774ワット発電中さん:2006/02/28(火) 06:52:07 ID:au9pi4RJ
回答が出来ない能力レベルで、かつ攻撃本能みたして満足するタイプの方々のようですね。
GBWの説明が出来るレベルなら主張の正当性を認められるますが、いまのところそうした事実は出てこないですね。
技術論で行くのが筋なのに、それが出来ないから、己の愚かさを指摘されたことで、反発してくるのでしょう。
培風館のアナログ回路の解説書も入手して確認中ですが、スルーレートの説明がかろうじてある程度で、
GBWの説明は見当たりません。
これは、一見簡単そうな質問が、ひとつや二つ程度の文献ではカバーできないレベルの内容を含んでいるある事実を示しています。
反発している彼らは、調べることもしないから、それすら判らないわけです。
以降は、反発発言を戴いても、情報価値が無いだけでなく、迷惑なので、無視させて戴きます。
87774ワット発電中さん:2006/02/28(火) 07:17:43 ID:au9pi4RJ
>>86
この不毛に見える醜いやりとりの中で学べるものは、なぜ世の中がうまくいかないのか、
虐め、喧嘩、抗争、そしてその究極の姿である戦争がなくならない理由の本質が見えてくることです。
技術者の間の職場がなぜうまくいかないのか、その理由も含まれています。

他山の石・・・これは一説には、よその山で採れた素性の悪い石であっても、磨けば光る
という教えです。そういう意味において、私は他山の石を磨く努力をせず、問題外として
産業廃棄物扱いにしている。そのあたりが、反発を招いている可能性があり、
検討の余地が無いわけではありません。ただし、可能性を考えると、殆ど期待できない
レベルの人材なのではないか、という予想です。
88774ワット発電中さん:2006/02/28(火) 07:28:37 ID:au9pi4RJ
ちなみに産業廃棄物も環境汚染を起こしえるので
廃棄にあたっては十分な遮蔽など、扱いが難しいのです。
産廃は、ひとたび問題を起こすと、神栖の毒ガス砒素中毒のように
長期間にわたり大きな公害問題・社会問題を引き起こします。
簡単に無視をするわけにはいかない。そういう意味で
危険性を持った人物の扱いの難しさを考慮しておく必要が
あります。
89774ワット発電中さん:2006/02/28(火) 07:50:39 ID:wMF6UjVN
('A`).。oO(つうかおまいらシミュレーションスレだぞ・・
仕事や職場でうまくいかないのは本題からどんどんそれるからじゃね?まあ良いけど・・

上のアンプの出力ってどんな回路につなぐの?)
90774ワット発電中さん:2006/02/28(火) 08:09:07 ID:au9pi4RJ
>>89
苦情はごもっとも。私も頭にきてます。

さて、アンプですが、刄ー変調のオーディオ装置のアナログ出力に
EMIノイズが含まれ、受け側で可聴領域の高い周波数の雑音(シャーという雑音)
があるため、フォト・カプラでそのアイソレーションアンプを作ろうとしています。
私の専門外で、仕事ではなく、趣味です。
91774ワット発電中さん:2006/02/28(火) 11:08:42 ID:GbX/22sv
http://radio.s56.xrea.com/radio/src/radio0598.png

こんなガキのお絵かきしかできん奴が暴れるんじゃねぇってんだ。
余所いけって言われてるだろ、糞が。
92774ワット発電中さん:2006/02/28(火) 11:10:37 ID:GbX/22sv
ポインタ張り間違えた
http://radio.s56.xrea.com/radio/src/radio0595.png
こっちだ。
93774ワット発電中さん:2006/02/28(火) 12:46:14 ID:z8pYATtv
>>90
アナログ入力、アナログ出力のアイソレーションアンプを HiFi オーディオ
の信号伝送に使おうという目論見ですか?
9493:2006/02/28(火) 14:41:40 ID:z8pYATtv
>>90
先回りして言っておきます。

>・・EMIノイズが含まれ、受け側で可聴領域の高い周波数の雑音(シャーという雑音)
があるため、フォト・カプラでそのアイソレーションアンプを作ろうとしています。

・装置のある箇所でグランドを分離すれば問題のノイズがなくなる (or 減る)、という
実験結果があるのですか?
(ライン入力、電池電源のヘッドフォンアンプなどを使って確かめることができます。)

・アナログアイソレーションアンプは計測用途などに使われていますが、通常の増幅器に
比べて一般に直線性が劣ります。(A/D 変換 → デジタル信号伝送 → D/A 変換をしない
場合、±0.1 〜 0.05% とかのレベルです。値は歪率でなく直線性誤差です。)
これを HiFi オーディオの音声信号伝送に使うと、「サウンドエフェクター」になって
しまうおそれがあります。

・オーディオ信号のグランド絶縁をするのなら、オーディオ用の 600Ω : 600Ω トランスを
使うのが最も簡単です。600Ω ドライブ用のバッファーアンプは必要になるでしょうが、
音質は評価済みです。この用途のための 600Ω負荷をドライブできるオーディオ用 OP アンプ
もあるくらいです。

板に OP アンプスレがあるなら、そっちの話題なんでしょうが、まあ行きがかり上。
95774ワット発電中さん:2006/02/28(火) 15:08:41 ID:z8pYATtv
>>86
なるほど、意外と説明がないものですね。
負帰還増幅器とかボード線図の説明などにも出てくる、増幅器一般に関する用語です。

ttp://www.engj.ulst.ac.uk/sidk/resources/texas_op_amp.pdf
これ↑ (OP アンプの仕様・規格解説) によると (page 22):
 GBW Gain bandwidth product:
  The product of the open-loop voltage amplification and the
  frequency at which it is measured.

とあります。しかし私が見聞きしていたのはもう少し制限があって、
オープンループ利得の周波数特性が、ほぼ -6dB/oct (-20dB/dec) と見なせる領域で、
利得と周波数の積だというものです。利得 0dB まで位相補正された OP アンプ等だと、
そこまで細かいことを考えなくてもいいのは確かですが。
9695:2006/02/28(火) 15:27:44 ID:z8pYATtv
追記。GBW については
ttp://www.engj.ulst.ac.uk/sidk/resources/texas_op_amp.pdf
の page 37 以降で、もっと詳しく解説されています。
9795,96:2006/02/28(火) 16:24:03 ID:z8pYATtv
>96 で紹介した GBW の説明、それほど詳しくはなかった。ちょっと罪滅ぼし。

ttp://radio.s56.xrea.com/radio/src/radio0604.png
この図↑で見て、オープンループ利得は 80dB @100Hz, 60dB @1kHz, 40dB @10kHz,
20dB @100kHz。対数を真数に直して積を計算すると、結果はどれも 1MHz ですね。
(増幅利得は比率なので無名数。無名数×周波数 の単位は周波数です。)

これが増幅器の高周波性能の大まかな目安になりまして、GBW と呼んでいます。
98774ワット発電中さん:2006/02/28(火) 16:26:21 ID:z8pYATtv
誰か OP アンプすれを立ててください〜!
99774ワット発電中さん:2006/02/28(火) 20:24:20 ID:k3GOJ0ZP
ID:au9pi4RJ
こいつなんかおかしいぞ

2chの初心者ということでよろしいでしょうか?
100774ワット発電中さん:2006/02/28(火) 20:38:56 ID:P5yV2xVP
>99 「はねっ返りの、屁理屈+小便+小僧」ではないでしょうか。
101774ワット発電中さん:2006/02/28(火) 23:47:21 ID:au9pi4RJ
>>95-97
ありがとうございます。
なるほど。OPアンプは、帰還無しで、その利得(対数)は周波数の対数軸に対して、
ほぼ直線的に減少する性質があるのですね。

GBWは、大雑把には、周波数と利得の積で一定。(ただし利得値は、対数による利得値を真数へと変換する)
よって、GBWが判れば、増幅目的周波数での利得が求まる。
逆に言えば、目的周波数と利得からGBWを求め、そのGBWでオペアンプをカタログ等から選別する目安とする・・・と解釈しました。
102 ◆Ci3UmwhFqY :2006/03/01(水) 00:51:03 ID:qOmudQFv
                 /:
                / :
               /  :
              /   :
          ∧∧ /    :
______ (,,゚∀゚)/____ :
          | つ/)     /| :
        〜| . |   / | :
          ∪∪ /   ,ノ   :
              /   ,ノ~    :
 ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄|  ,ノ~      :
              |.,ノ~
〜〜〜〜〜〜〜〜~
103774ワット発電中さん:2006/03/01(水) 02:07:31 ID:a/UNw/mp
>>102 さんは、>>101 さんの問いに対して、とても 10 行 20 行の「かきこ」
では答えられません、ということを逆説的に言っているのだと思いますよ。
まあ、肯定的・プラス思考で解釈しましょうね。
104774ワット発電中さん:2006/03/02(木) 00:34:41 ID:IelRXIWO
>>101 はわたくしさんかな?
アイソレーションがどうしても必要なケースはまれだよ、
まず両機器を接続し動作させシャーが出たら、
入力端子のホット側の接続を断ち替わりに駆動側インピーダンスのダミー抵抗を要れる
これでノイズが止まる様ならアイソレーションは不用だ、
これを先にやって見極めれ。
105774ワット発電中さん:2006/03/02(木) 01:37:53 ID:cOx+pAzs
>>102-103

     /V\
    /◎;;;,;,,,,ヽ
 _ ム::::(,,゚Д゚)::|
ヽツ.(ノ:::::::::.:::::.:..|)
  ヾソ:::::::::::::::::.:ノ  俺様がそんな釣りに引っかかるとでも
   ` ー U'"U'       思っとんかよ

106774ワット発電中さん:2006/03/02(木) 11:57:21 ID:h/cgjOqc
機器のフレーム Gnd ・信号 Gnd の扱いを間違えるとノイズが出ることは
あり得るが、たぶんハムノイズだろう。「シャー」ノイズは別原因だろうね。

オーディオでなく計測装置の話だが、ある機器のスイッチング電源が
コモンモードノイズを撒き散らしていて、そいつと信号 Gnd をつなぐだけで
ノイズフロアが持ち上がってしまうことがあった。
107774ワット発電中さん:2006/03/02(木) 19:18:31 ID:IelRXIWO
つなぐ側のGndの処理によってはかなり強くできる
108774ワット発電中さん:2006/03/02(木) 22:25:54 ID:cOx+pAzs
>>106

>機器のフレーム Gnd ・信号 Gnd の扱いを間違えるとノイズが出ることは
>あり得るが、たぶんハムノイズだろう。「シャー」ノイズは別原因だろうね。

文章の意味がわからなかった。

 多分ハムノイズだ。 <-> 「シャー」ノイズは別原因。

>オーディオでなく計測装置の話だが、ある機器のスイッチング電源が
>コモンモードノイズを撒き散らしていて、そいつと信号 Gnd をつなぐだけで
>ノイズフロアが持ち上がってしまうことがあった。

コモンモードノイズを撒き散らすの意味も不可解。
ノイズの伝わり方にノーマルモード、コモンモードがあるのであって、
ノイズそのものについて、コモンモードノイズを出すということがあるのだろうか?
ノイズが発生した時点で、コモンモードか、ノーマルモードの伝達経路は決まっていない。
あくまでノイズ発生後に、その伝達経路が出来、結果的にノーマルモードかコモンモードかが区別できると思う。

また、計測装置と測定対象の機器のFGが、地面あるいは地中を介して、グランドループを
形成していたのでは?  GNDをつなぐという、単線の接続だけでは、ノイズを流すループが
出来ないので、ノイズ経路の存在が説明できない。
例えば、それぞれの装置のACコンセントの3端子中のGNDが、地中グランドと接続され、
ノイズ経路のループを形成しているのではないだろうか。
109106では無いが:2006/03/02(木) 23:15:45 ID:e6vZ/rUL
>108的解釈で言うなら、
スイッチングレギュレータなどでは対地容量をループの一部とするようなノイズを出す。
中身は電磁放射で、コモンモードノイズとしてのみ観測できるので
観測上からも実態上からもコモンモードノイズを撒き散らすみたいな
言い回しをすることがあるな。
FG切っても出るので108最終段のような解釈やってたら物の役に立たん。
しかし完全にスレ違いだな。


110774ワット発電中さん:2006/03/02(木) 23:30:31 ID:cOx+pAzs
>>109
そういう他人を侮辱するものの言い方は良くないと思う。
また論理的に筋道を立てて考え、言葉で正確に表現する。
または少なくとも理論的解明・説明の努力をする。
・・・これは技術者・研究者の場合、大切な態度に思う。
111774ワット発電中さん:2006/03/03(金) 00:00:22 ID:e6vZ/rUL
理論と式はけっこう誤解なく定まっても、解釈や記法は色々ありうる。
ノイズ許容範囲を定量的にデザインする段階では>108のような
電流ループに還元して扱うのがいっとう楽で好きだが、
106のような嫌らしい現象を定性的に扱うには向かんという話。
110が遭ったことないノイズなら流せ。



112774ワット発電中さん:2006/03/03(金) 08:24:41 ID:K0wQKi6c
>>111
不快な文章は流すアドバイスに感謝します。
いやらしい(原因不明、目に見えない高周波/電子の振る舞い)こうした現象を
経験則だけにするのではなく、システマティックな理論解明をすることが望ましい
というのが私の考えの基本姿勢です。
その点で、スレ住人の一部と考え方が合わず、抗争が起こりえる環境があることを認識し、
憂慮したということです。流すという方法は、その解決を与える方法と思います。
設計者・研究者の実社会では、問題やさらに深刻で、まともな抗争に発展、それが継続的に
解決できないという経験をしていますが、2chでやりとりをすると、そうした抗争の根本原因の
本質が見えてきます。
113774ワット発電中さん:2006/03/03(金) 23:00:48 ID:Pl6ZQkev
>>112
>2chでやりとりをすると、そうした抗争の根本原因の本質が見えてきます。
そうなんだよ まったく同感だなー
114774ワット発電中さん:2006/03/03(金) 23:28:19 ID:KTyb6+K+
おまえら、スレ違いの話題を延々書き込んでいる、つまりルール違反をしているという認識はないのか?
偉そうなことを書いているが、やっていることは荒らしと同じだと思う。
2ちゃんだから何をやってもいいという訳ではない。
115774ワット発電中さん:2006/03/04(土) 19:18:57 ID:57f6bAGw
116774ワット発電中さん:2006/03/05(日) 17:27:15 ID:XYSW1Cuu
初歩的な質問で申し訳ありません

hパラメータを変数にした特性を取りたいのですが
Pspiceのcaptureでも可能ですか?
117774ワット発電中さん:2006/03/06(月) 05:03:32 ID:szbiDuog
>>116
.step param ・・ なんていうのは使えるが、それでは困ることがあるの?
118774ワット発電中さん:2006/03/10(金) 10:45:58 ID:TwtXw05i
>>116
等価回路を書き下す。(必要なだけ複雑にしてよい)
目的とする特性を h パラメーターで表す関数を求める。あとはその関数を表示するだけ。
119118:2006/03/10(金) 10:52:20 ID:TwtXw05i
あっ、過渡応答特性だったら話は別です。

>116 hパラメータを変数にした特性
「特性」って、具体的にどんな特性ですか?
120774ワット発電中さん:2006/03/10(金) 19:54:57 ID:mylm2avR
>>115 で紹介した、「電子回路のみならず、物体の加速などという運動学の側面も
シミュレートできる」ことの一例として、ステッピングモーターによる駆動の様相
をシミュレートしてみました。2相モーターで2相励磁あたりを想定しています。
http://radio.s56.xrea.com/radio/src/radio0620.png

最初、電子回路のほうもやってみたのですが、ほぼ定電流駆動でステッピングレート
が低ければ、コイルインダクタンス ≒ 0とみなして差し支えないという結果が
得られました。まずは簡略化して進みます。

停止状態からステッピングレート 1/5ms (200 step/s, pps) で正回転させ、
適当なところで急停止させます。
駆動していることを (角加速度) どう表現するか、ということについては、
まず B2 の int(4*(v(tm) - int(V(tm)))) 式でデジタル的な 0, 1, 2, 3, 0, 1V ・・
という階段波電圧を発生させます。(電圧はステッピングモーターの励磁状態を表します)

次に B3 の {k1}*sin(2*pi*(V(p)/4 - V(x))) 式で角加速度を計算します。
角加速度 vs ローター位置の様相は周期関数です。もっとも簡単な周期関数として
sin() で近似しました。k1 は加速度の大きさを決めるスケールファクターで、
もちろん実はローター + 負荷の慣性モーメントも含んでいます。
B4, B5 でローター角速度、位置 (角度) を計算します。位置は 4 step 角 = 1
に正規化しています。
B1 の limit() の上限が停止タイミングを規定します。

やってみたところ、どうも脱調しやすくて困ります。実におかしい! 考えてみると
無損失単振動のシミュレーションをしているのに等しいからだと判明。(← バカ!)
あわてて B6, B7, B8 を別に作りました。B6 式のうち ・・ - {k2}*V(v1) の部分が
角速度に比例する各種摩擦抵抗を表現しています。
k2 を意外に大きくしないと、なかなか振動が減衰しません。
おまけとして、モーター + 負荷の固有振動数が約 100Hz であることが確認できました。

参考資料: ttp://www.japanservo.jp/digital/general/pdf/C_technique.pdf

パルスモーターに関しては全くの素人ですので、とんでもない勘違いや考慮不足がある
可能性は大いにあります。(ご指摘いただけると幸いです。)
まあ、報告まで。
121120:2006/03/11(土) 00:03:23 ID:mylm2avR
訂正追記。
> k2 を意外に大きくしないと、なかなか振動が減衰しません。

これって、あたりまえなんですね。何かの比率を計算して、k2=22 くらいにすれば
10% くらいの振幅ダンプになりそう、とかやってみたのですが、それでは不足。
それで k2=50 にしたのです。
 ((220-22)/220)^5 = 0.59  ; k2=22
 ((220-50)/220)^5 = 0.276 ; k2=50
こうゆう計算をするべきだったのですね。まあ 5 乗 (5周期) で 0.6 とか 0.3
だもんね。当然の結果ですね。間違った印象を与えてしまったとしたら、ゴメンなさい。
122116:2006/03/11(土) 01:55:10 ID:ou1SMNHn
>>117>>118
言葉足らずで誠に申し訳ありません
Pspiceのcaptureを用いてhパラメータのうち
hfeとhieを変数とする負性抵抗特性

を取りたいのですが、やはりcaptureでは不可能でしょうか?
123774ワット発電中さん:2006/03/11(土) 02:15:57 ID:TVJBhSBJ
>>122
単純普通に BJT を使う限り、負性抵抗なんて出てきませんよね〜?
まずは、おっしゃっている負性抵抗を生み出す回路構成・パラメーター類の
一 例 を、ぜひお教えください。そのときの hfe と hie とかもよろしく。
124116:2006/03/11(土) 13:16:35 ID:ou1SMNHn
現在、水晶発振器に関する研究を行っており
発振周波数における負性抵抗特性を検討しています

回路としてはコルピッツ形水晶発振回路などです
今回、JBTにおけるhパラメータを変数とした場合の負性抵抗特性を
見たいのですが、どこの値を変えればいいのでしょうか
そもそもcaptureではそのようなシミュレーションは不可能ですか?
125116:2006/03/11(土) 14:22:24 ID:ou1SMNHn
JBTってなんだorz
BJTです。連カキコ申し訳ありません
12620の扉式に:2006/03/11(土) 14:36:18 ID:eHk4FPg8
>124
おまえさん実は「出来ない」というお墨付が欲しいだけなんじゃあるまいな?
問題の構造が微分方程式を解くだけというものである以上、
それは spiceで「出来る」か「めんどくさいけど出来る」のどっちかだ。

横軸がhパラだというのでないなら、単にモデル中のhパラ変数にして
グラフ描かせればよいだけだろう。
横軸をhパラにしたいというのだと、ちとめんどうになる。

モデル中のhパラってどれ?という話なら、そもそもhパラ変えるということを
きちんと定義しなおしたほうがいい。
hパラだけとっかえひっかえ出来るようなデバイスなんてないのだから。

hFE(直流増幅率)をいじりたいということなら、モデル中のBfがそうだ。
127774ワット発電中さん:2006/03/11(土) 18:43:19 ID:pCI09ifS
>>124
念のため Tr 一個のコルピッツ発振回路を実際にシミュレートしてみました。
過渡解析で Tr のコレクター電流波形を見ると、とてもリニアーなものではなく、
パルス状になっています。これが普通でしょう。

このような非線形動作をする回路について「負性抵抗」なる考えを適用するのは、
どうもふさわしくないように思います。
回路の損失を全て洗い出し、発振振幅から等価負性抵抗を計算することは可能で
しょうが、あまり実りない方法のように思えます。いかがでしょうか。

(AGC を使って Ic を連続波形に近づけることは可能かもしれませんが、試していません。
別形式の発振回路なら、ドライブ電流波形がかなり連続的なものもあるでしょう。
LCR 共振回路 + 負性抵抗という構成の発振回路なら、負性抵抗の解析は十分に
意味があると思います。)

追記1: 振り子時計の振子を振らせるメカニズムなどでは、速度が0となる点の付近で
極めて短い時間だけ振子に力を加えると振動周波数に与える影響が最小になって、
時計の精度が上がるそうです。

追記2: BJT の Is, Bf, Vaf, Rb 等をステップさせる具体的な方法は、使用している
SPICE によって異なるようです。
どんな SPICE であっても、これらのパラメーターをステップさせるのは不可能という
ことはありませんが、面倒ということはあり得ます。
128774ワット発電中さん:2006/03/11(土) 19:20:11 ID:pCI09ifS
>127 ですがちょっと訂正。全体の 1/3 くらいの所にある「発振振幅から」は削除します。
等価負性抵抗の値そのものとは無関係ですので。発振振幅は等価負性抵抗の印加電圧依存性に
関係します。
129127:2006/03/11(土) 19:45:01 ID:pCI09ifS
負性抵抗という話はちょっと脇に置いといて、BJT のパラメーターを変化させる方法には
Is, Bf, Vaf, Rb 等をステップさせる以外に、SPICE の BJT モデルの内部まで踏み込んで
対処する方法もあります。
要するに http://radio.s56.xrea.com/radio/src/radio0622.png のような回路を書き表して
素子の値、電流源の計算式のパラメーターを変化させよう、という考え方です。

ほかに ttp://ece-www.colorado.edu/~bart/book/book/chapter5/ch5_6.htm なども
参考になるでしょう。(でも本格的には本を読まないとダメみたいですね。)
130774ワット発電中さん:2006/03/11(土) 23:35:12 ID:pCI09ifS
BJT のパラメーターをステップしながらシミュレートする方法の話です。

LTspice の場合だったら、この辺にデバイスパラメーターをステップさせる具体的な方法が
紹介されています。↓
http://groups.yahoo.com/group/LTspice/files/%20Tut/Stepping%20to%20the%20max/
(これを見るには Yahoo USA にメンバー登録する必要がありますが、それは無料ですし、
うるさく住所氏名年齢職業を根掘り葉掘り聞かれたりするようなことはありません。)
131116:2006/03/12(日) 19:22:26 ID:jQnhnPWE
>>126>>127>>128>>129>>130
皆さんご指摘有難うございます
ご紹介して頂いたURLも含め改めて検証してみます
本当に有難うございました

>>126
確かに不可能と誰かに言って欲しかっただけかも知れません。
自分を甘やかしていただけだったようです。
スレ汚し申し訳ありませんでした。

>>127
わざわざシミュレーションまで回させてしまい誠に申し訳ありません。
水晶発振回路のシミュレートから負性抵抗対周波数特性を見る場合は
水晶振動子を交流電流源に置き換えAC解析を行い、縦軸を-R(V(R1:1)/I(I1))
と入力することで負性抵抗の波形を得ています。R1はベース抵抗です。
132774ワット発電中さん:2006/03/14(火) 18:44:18 ID:bPoKbeLp
>>131
いろいろ気付かなかったことを教えていただいてありがとうございます。
発振のしやすさ等については、小信号等価回路を応用した .AC 解析が役に立つでしょうが、
ひとたび発振してしまうと Tr は大振幅動作をします。パルス状に電流を流し、カットオフ
している期間の比率のほうが大きいでしょう。
このような回路を小信号等価回路に還元して扱っていいものなのでしょうか?

> AC 解析を行い、縦軸を -R(V(R1:1)/I(I1)) と入力することで負性抵抗の波形を得ています。
R1 はベース抵抗です。

「AC 解析を行って波形を得る」というのは、どうも解せない表現です。
結果としてグラフを描くことができた、という意味ですよね。
すいません。お使いの SPICE のグラフ指定書式をよく知らないのですが、-R(...) って
どんな意味を持っているのですか? 実数部 Real のことですか?
133774ワット発電中さん:2006/03/14(火) 20:02:12 ID:3btsxNVv

50Hz〜60Hzを通過帯域とするOPアンプでのBPFの動作を
LTspiceで見ようとしています。
・・・が意味不明のエラーになってしまいます。
次のファイルをUPしました。
http://homebrew2004.hp.infoseek.co.jp/RC-BPF.asc
右クリックで保存でDOWNLOADできます。

環境:LTspice SW Cad III
    WinXP Pro. SP2

OPアンプの設定あるいは、部品選択がいけないと推測します。
この周波数帯に合うOPアンプはどれを選べば良いでしょうか?



134774ワット発電中さん:2006/03/14(火) 20:08:04 ID:3btsxNVv
>>133
回路図の画像はこちらにUPしますた。
http://radio.s56.xrea.com/radio/src/radio0623.png
135774ワット発電中さん:2006/03/14(火) 20:18:22 ID:bPoKbeLp
>>133 >>134
Edit → Spice Directive を使って、「.include opamp.sub」または 「.lib opamp.sub」
を回路図に追記して下さい。

Help に「OPAMP: Ideal single-pole operational amplifier. You must .lib opamp.sub」
とある通りです。
まずは ・・SwCADIII/examples/Educational/ にある各種ファイルを眺めるのもいいかと思います。
136774ワット発電中さん:2006/03/14(火) 20:28:39 ID:3btsxNVv
>>135
お教えを誠に感謝!
ひとまず結果をUPしますた。
http://radio.s56.xrea.com/radio/src/radio0624.png
これで机上実験できそうでつ(・∀・)!
137774ワット発電中さん:2006/03/14(火) 20:37:49 ID:bPoKbeLp
>>133 >>134 >>136
>133 この周波数帯に合うOPアンプはどれを選べば良いでしょうか?
まずは「1pole」、「2pole」 あたりを使ってみるのをお奨めしときます。

参考:
1pole: 名前の通り 1 ポールの op amp です。電源端子があって、出力振幅はそれに応じて制限されます。
Avol=1Meg GBW=10Meg Slew=10Meg ilimit=25m rail=0 Vos=0 en=0 enk=0 in=0 ink=0 Rin=500Meg
がデフォルトですが、変更できます。

2pole: 名前の通り 2 ポールの op amp です。電源端子があって、出力振幅はそれに応じて制限されます。
Avol=1Meg GBW=10Meg Slew=10Meg ilimit=25m rail=0 Vos=0 phimargin=45 en=0 enk=0 in=0 ink=0 Rin=500Meg
がデフォルトですが、変更できます。

opamp: 1 ポールで電源端子がなく、出力電圧の制限がありません。".lib opamp.sub" と指定する必要があります。
Aol=100K GBW=10Meg がデフォルトですが、変更できます。

opamp2: 前記 2pole とほぼ同じですが、ライブラリー "LTC.lib" を指定する必要があります。

UniversalOpamp: (説明省略。Help を見てください。あまり親切ではないけれど。)
138774ワット発電中さん:2006/03/14(火) 22:43:19 ID:3btsxNVv
>>137
ご教示ありがdございまつ。
educational/ とhelpも目を通してみまつ。(・∀・)!
139774ワット発電中さん:2006/03/28(火) 20:52:36 ID:KE359gZI
abc
140774ワット発電中さん:2006/03/29(水) 09:26:22 ID:6yn5v73c
更新しました。「おぼえ書き」 の最後のほう、ほんの少しですが。よろしく。
http://www.d1.dion.ne.jp/~river_r/bell/
141774ワット発電中さん:2006/03/30(木) 00:56:15 ID:si/x+lnU
>>140
見たよ。
74hcのlibはアナログレベルにも対応?
142774ワット発電中さん:2006/03/30(木) 13:43:49 ID:O6k9YWTR
>>141
74HC4066 とか 74HC4051 とかはどうなっちゃってるの? という話ですよね。
トランジスターモデルなら自分で書いてもいいし、機能モデルなら SW を使えば
いいのです。
おもしろいですね。そこに問題を見いだす人は少ないようです。
http://www.standardics.philips.com/support/models/spice/ とかに、
一次情報はちゃんとありますよ。(でも、たどりつくにはちょっと苦労)
やってみて報告して下さい。
143774ワット発電中さん:2006/03/30(木) 14:14:24 ID:O6k9YWTR
追記。アナログ SW の ON 抵抗を問題にするような回路設計をする人は少ないのでは?
SW 時のチャージインジェクションは、もちろん皆気にしているけど、74HCxxx を使うのだ!
と決めてしまうと、単なるコンデンサーを接続しておくだけで、ほぼ合うのではありませんか?
144774ワット発電中さん:2006/03/32(土) 11:16:31 ID:tMXy3bn2
>>143
それはやってみたことの無い我々には回答不可能。
お主が検証してみる以外、正しさを言える香具師が居ない。
少なくともレスがついていない。
145774ワット発電中さん:2006/03/32(土) 11:18:21 ID:tMXy3bn2
>>144
今、気づいたが、日付が3月32日になっている。
4月ボケがヽ(`Д´)ノ ゴォラ
146774ワット発電中さん:2006/03/32(土) 11:26:04 ID:sYrFQVDu
>>144
このページで xhc4066 を探してみて下さい。(少しは試してみた人です。)
ttp://www.d1.dion.ne.jp/~river_r/bell/apll/apll.html
追求が足りないと言われれば、それまでですが。
147774ワット発電中さん:2006/03/32(土) 12:11:05 ID:6zAqzvXq
それは xhc4066というラベルのついたサーキットであってHC4066扱った回路じゃないだろ…。
Philipsモデルは入力段FETのゲインが本物よりかなり高く設定されていて
アナログ的にはよくそこにあるように「なんか綺麗すぎ?」的動作をしてくれる。

148774ワット発電中さん:2006/03/32(土) 14:53:47 ID:sYrFQVDu
>>147
ttp://www.standardics.philips.com/support/models/spice/
から入手した 74HC4066 のモデルは現実的でないという意味でしょうか。
そうなると、どこのモデルあたりが信用できますか?
149774ワット発電中さん:2006/04/02(日) 06:40:21 ID:eK2YxjIU
自分が使う条件で測定してその実測データにあわせたモデル
150774ワット発電中さん:2006/04/02(日) 08:37:00 ID:JyH3zmuz
ttp://www.standardics.philips.com/support/models/spice/
から入手した SPICE モデル (XHC4066 トランジスタモデル) をもう一度試してみました。
ttp://radio.s56.xrea.com/radio/src/radio0643.png

(1) LTspice で、hct.cir は使用せずに、hc_tnomi.cir を直接使いました。
(2) 特に +4.5V → (1/2)*Vdd へのスイッチ OFF 時、charge injection (電荷注入現象)
がはっきりと確認できました。(V(o1) および V(o2)) 
信号源抵抗や負荷容量を変えると応答波形が微妙に変わります。

また別に、HC04 と HCU04 の差がどのように見えるかも試しました。入力信号の
立ち上がり・立下りは 20ns にしてあります。
ttp://radio.s56.xrea.com/radio/src/radio0644.png

「実測すると、もっとリンギングが出るはす。波形がキレイすぎる。」
という意見もあるでしょう。.subckt INV0 や INV1 を見るとわかるように、
リード線のインダクタンス・浮遊容量も一応記述されています。

推測ですが、オシロスコープで実測した場合、どうしてもコモンモードノイズ成分が
加算されて観測されます。これをノーマルモードの信号波形と誤解しているのでは
ないでしょうか。200 〜 300MHz 程度の周波数帯域を考えて、実に注意深くテスト回路
を組んで測定・観測しないと、デジタル信号のリンギングの大きさを誤って評価して
しまうことがあるようです。IC の Gnd ・電源の共通インダクタンスも無視できない
場合が多いでしょう。

・ 前記の SPICE モデルは「トランジスタモデル」です。半導体 IC の内部構造にまで
立ち入って解析するので精密になる (なり得る) 利点はありますが、シミュレーション
速度は遅くなります。速度を重視する場合は機能モデルを使うのがいいでしょう。
151774ワット発電中さん:2006/04/02(日) 14:31:42 ID:JNrDW2CW
>>150
参考までに質問。
そのトランジスタモデル内で、トランジスタとダイオードのモデルに
どんなものを使ってますか。

(低速のTr、Diでは高速クロックにすぐ追いつかなくなる)
152774ワット発電中さん:2006/04/02(日) 15:29:45 ID:JyH3zmuz
>>151
ダウンロードして hc_tnomi.cir の中身を見るとわかりますが、次の3種の素子と
L, C を使っています。(*.cir はテキストファイルです)
.Model MHCNEN NMOS LEVEL=3 ...
.Model MHCPEN PMOS LEVEL=3 ...
.Model INT D
153774ワット発電中さん:2006/04/03(月) 20:43:45 ID:ra8yDrRL
>>152
漏れの場合は、74LS04データシートの等価回路を
トランジスタ 2SC1815
ダイオード 1S1588
で構成したところ、10MHzの低速クロック入力でも
出力が追従してくれず、高速Tr,Diでの
モデル設定の重要性を認識しました。

最初は簡単そうに思っていましたが、
やってみたら漏れには難しいのが判りますた。
154774ワット発電中さん:2006/04/04(火) 12:08:31 ID:6mz8Q44W
>>153
74LSxx ではないですが、7400 をトランジスタレベルでシミュレートした例があります。
ttp://groups.yahoo.com/group/LTspice/files/%20Lib/Digital%20SN74xx/
これを見るには Yahoo USA にメンバー登録が必要です。(無料)
155774ワット発電中さん:2006/04/05(水) 13:31:58 ID:D7AXpKHz
ちかごろ近所のスレで 1.8V → 5V 0.5A の DC-DC コンバーターがほしい、とか言っている
人がいます。似ている話題だけどちょっと違う話です。
白色 HB (high-brightness) LED とかいうのが注目を集めているようです。
例えば Luxeon 社の LXHL-BW02 などです。350 〜 400mA くらい流して使うんだそうです。

最低 1.8V のバッテリー電源でそれを光らせるのは結構大変。まずは 1.8V → 5V の
補助電源を作って、その後でいろいろ考えてみました。(LTspice を使用)
http://radio.s56.xrea.com/radio/src/radio0647.png
http://radio.s56.xrea.com/radio/src/radio0648.png
http://radio.s56.xrea.com/radio/src/radio0649.txt
(74hc.lib は ttp://groups.yahoo.com/group/LTspice/files/%20Lib/Digital%2074HCxxx/
から入手しました)

V1 Vs がバッテリーで、V2 +5V はさっき言った補助電源です。
ちょっと速めの OP amp (LM6152 あたりを想定) と 74HC14 で制御回路を作りました。
V3, V4 電圧源は、簡単に +5V 補助電源の電圧から抵抗分圧で作ってもかまいません。
V5 はあたかも負荷の I-V 特性が変わったかのように働くテスト用電圧源です。
電流安定性と、過渡応答を調べるために追加しました。 (実際には必要ありません)

R4 電流検出抵抗、L1 (Rser 13mΩ)、M1 (4mΩ) での損失を含めて効率を計算すると
91 〜 92% という結果が得られました。 (補助電源、制御回路、FET ドライブ電力、
R9 負荷電流検出抵抗での電力損失は含んでいませんよ、という意味です。)
あとは、補助電源が確かに立ち上がった ( >4V とか) との信号を受けて、動作を
ON/OFF すればよいでしょう。

この回路にはちょっと欠点があります。バッテリー電圧が変動するとスイッチング周波数が
コロコロ変わります。シミュレーションでは 2.4V : 138kHz、1.8V : 114kHz です。
EMI 対策には御注意を。

この回路形式の原型は ttp://www.d1.dion.ne.jp/~river_r/bell/swp1/swp1.html#A3
なんです。ずいぶん見かけは変わって見えますね。 (たいして変わらないか〜)
156155:2006/04/05(水) 14:18:25 ID:D7AXpKHz
あっと言い忘れました。ショットキーダイオード D1 MBRS130L での損失も、
効率の計算に含まれています。
157774ワット発電中さん:2006/04/05(水) 23:26:24 ID:9F/4e3st

昨年からPSpice評価版をトラ技解説と合わせ使い始め、
最近はLTspiceを使っています。
仕事の回路設計の部分機能検証、回路定数の最適化
の検討に使っています。

さて、工場現場の実機がデバッグでふさがっているので、
そういう待ち時間を使ってspiceのシミュレーションや
微分方程式を解く計算をやっています。

そこで実機の測定波形がシミュレーション結果とよく一致
しているところを上司に見せたところ、酷く辛く当たって
怒られました。

シュミュレーションなんか止めろ!
それに時間がかかりすぎるからだ。
はんだごてを現場にもっていって、コンデンサや抵抗を
付け替え、オシロで波形を見て最適値を決めろ!
spiceはバグだらけで使えないんだ!
・・・と激怒して言われています。

シミュレーションでなく計算でやれ!というので
微分方程式の解法とその解、そして実測結果の
一致を示したら、なぜこんなことをやった!
時間がかかるじゃないか! 
・・・と、また激怒して言われています。

僕はこの上司の命令に逆らっています。
なぜなら、シミュレーション時間は実機の空き時間に
3時間程度で終わっており、作業時間はむしろ実機より
大幅に節約されており、かつ、もし上司の指示に従ったら、
現場のデバッグ作業を全て止めねばなりません。
命令に従うと、多くの何人もの人に迷惑がかかります。

こういうケースではどうしたらいいでしょうか?

上司は電子工学科出身で、本来は電子回路に理解が
あるはずです。上司は、自分はががいいと思い込んでいて
自分に強い自信とプライドを極めて高くを持っています。
ですが、だんだんわかってきたのですが、上司は大学
で習ったはずのことを殆ど覚えていないのです。
ファンイン・アウトの計算すらできません。
上司は微分方程式の解法など到底わかっていないと思われます。

私は情報工学出身ですが、最近はソフトが外注になり、
仕事がないので、ハード基板を設計し、問題なく実働ています。
が、激しく激情した感情をぶつけられることが多く、
僕は酷く落ち込むようになっています。
158774ワット発電中さん:2006/04/05(水) 23:29:22 ID:9F/4e3st
>>157 訂正です
× 実機の空き時間
○ 実機がデバッグでふさがっていて、自分では実機を使えない時間
159774ワット発電中さん:2006/04/06(木) 00:15:48 ID:5KrkrNxQ
>>158 訂正の推敲です。

× 上司は、自分はががいいと思い込んでいて
  自分に強い自信とプライドを極めて高くを持っています。

○ 上司は、自分の頭がいいと言っていて、
   僕の3倍以上の知識があると言っています。
   また上司は、強い自信とプライドを極めて高く持っています。
   言い出したら、自分が誤っていても、絶対に訂正せず、言い張り、
   怒鳴ります。

160774ワット発電中さん:2006/04/06(木) 00:34:07 ID:iJGl3Y4s
↑まあ逆説的に聞こえるでしょうが、「人のふりみて我がふりなおせ」。
161155:2006/04/06(木) 00:45:53 ID:iJGl3Y4s
>155 ですが、あの回路のもうひとつの欠点は 74HC14 のスレッショルド電圧値 (UTP, LTP)
に依存しすぎていることで、個々の調整が不可欠になってしまうおそれがあります。
それを改善するには、抵抗を2つ追加して 74HC04 でシュミットトリガーを作る方法があり
ます。これなら補助電源の電圧値と 74HC04 のスレッショルド電圧だけで動作ふるまいが
ほぼ確定します。
162774ワット発電中さん:2006/04/08(土) 23:49:20 ID:mLAZ4RoD
>>157
なぜなら、シミュレーション時間は実機の空き時間に
3時間程度で終わっており、作業時間はむしろ実機より
大幅に節約されており、かつ、もし上司の指示に従ったら、
現場のデバッグ作業を全て止めねばなりません。
命令に従うと、多くの何人もの人に迷惑がかかります。


これをそのまま上司に言えば良いのでは?

遅いシミュレーションやバグだらけで使えないのは昔の話で
上司が若い頃に失敗した経験に凝り固まってる可能性あり
163774ワット発電中さん:2006/04/08(土) 23:52:09 ID:mLAZ4RoD
あとあれだな。
君が活躍しすぎると上司が失職するからそれを怖れて邪魔してるんだろう。
本人がそれを意識してるか無意識でそうなってるかは判らないけど。
164774ワット発電中さん:2006/04/08(土) 23:54:49 ID:mLAZ4RoD
>僕の3倍以上の知識があると言っています。

3倍程度なら対数とれば同じオーダーだよ。
知識の量を語るなら少なくとも10^3倍くらいないと。
165774ワット発電中さん:2006/04/09(日) 07:55:04 ID:bdcvsss/
>>157
>そこで実機の測定波形がシミュレーション結果とよく一致
>しているところを上司に見せたところ、酷く辛く当たって
>怒られました。

目的とした仕事の成果は出来たのか? 出来なかった言い訳ではないのか。
166774ワット発電中さん:2006/04/09(日) 11:03:29 ID:T3i1+Nih
>>162
人の話を聞く人じゃないです。
何度説明しても納得しないです。
また言えば、激情して言い返してきて、
怒鳴るの止めてくれといっても、逃げる漏れを追いかけ回し、
激情し怒鳴って文句を言ってきます。

彼の言う”豊富な経験”によると、シミュレータはバグがあって
正常な結果が得られないと言っています。

漏れは、それはバグではなく、回路モデルの設定が悪く
そうしたノウハウを習得していないからです、
と言っています。

そういうとまた、香具師は面白くないようです。
何か攻撃して言う機会をうかがっているようです。

>>163-164
それと、いわゆるハッタリというやつで、自分の能力が
低いのを隠すために利口そうに振舞っているのが見えてきました。
能力が非常に低い正体を見抜かれてるのが判らないようです。

>>165
先にも書いたように思いますが、目的基板は完成し、正常に動作しています。
しかし、その測定波形の横軸の単位が気に入らないとか、
縦軸の単位が気が入らないなど、いろいろ文句をつけ、
測定のしなおし(オシロ)を命令されている状態です。

その上司は、自分の上司には素直に従い、外面を良くするという
世渡りの美味さがあります。(正体は汚いが)
167774ワット発電中さん:2006/04/10(月) 00:39:07 ID:+naPY2t9
じゃあこんなところで管巻いてるんじゃなくて
上司の上司に相談して上司をクビにしてもらえよ
168774ワット発電中さん:2006/04/10(月) 17:46:57 ID:nCi/Rk8A
>>166
2-3段目
結局は正常な結果が得られるとは限らない、ってことだろ
PC上で正確なモデル作るくらいなら実機でカット&トライのほうがいいんじゃね?
169774ワット発電中さん:2006/04/10(月) 20:24:08 ID:TrA0TbhD
ふと思い出したのだが、IC 内部の回路設計に関して、SPICE がもしなかった
としたら、進歩・発展が とっても^2 遅くなっただろうと思うのだが、いかが?
170774ワット発電中さん:2006/04/11(火) 06:26:21 ID:lCoXmyKr
シミュレーションと実験は車の両輪。
171774ワット発電中さん:2006/04/11(火) 08:45:00 ID:3gIZKPNL
>>166
http://jibun.atmarkit.co.jp/lcareer01/rensai/career25/data25.html
なんかこのへんに挙がってる自己中上司に似てるな。

とりあえず上司以外を味方につけることから始めてみたらどうだ?
172774ワット発電中さん:2006/04/11(火) 16:51:18 ID:2O6edMAs
実はオマエが、そのジコチュウ上司なんだろ。ネタは割れ (バレ) てる。観念しろ。
173774ワット発電中さん:2006/04/11(火) 21:40:00 ID:MuzftIQF

ご意見・アドバイス dクス。
苦労してる人が多いのも知り、参考になりました。
香具師の人格障害の度合いと、度重なる被害の甚大さに関しては、参照記事より、
こちらのほうが相当に悲惨です。この問題は解が無いんですね・・・
Qちゃんのほうが遥かにかわいいですよ。マジで。
スレ違いになるので、この辺で辞めときます。
質問か、何かコメントあれば、雑談スレにて・・・。
http://science4.2ch.net/test/read.cgi/denki/1134872820/l50
174774ワット発電中さん:2006/04/12(水) 03:39:00 ID:uTYwM8Sa
LTspice を使っています。これ
http://radio.s56.xrea.com/radio/src/radio0657.png
http://radio.s56.xrea.com/radio/src/radio0658.txt
って、途中で進まなくなってしまいます。どうしたらいいのですか?
(いや、Philips のトランジスターモデルの HC4066 の使用をとりをやめれば、
すいすい動くことくらいは知っていますよ。)
175774ワット発電中さん:2006/04/12(水) 21:45:57 ID:uVEQ71ej

バイカッド型BPF
http://hagi.k.u-tokyo.ac.jp/local_manual/bpf/bpf.html
で,条件パラメータ
C=0.2uF
Q=200
f0=50Hz
Abpf=1
・・・を設定して、計算結果
R1=3183098.9
R2=15915.5
R3=15915.5
R4=3183098.9
・・・を得ます。

これをLTspiceでsimulateすると、f0=50Hzでの電圧利得が0dBになりません。

質問:
なぜ50Hzで利得が0dBにならないのでしょうか?。

計算結果
http://radio.s56.xrea.com/radio/src/radio0661.png

回路図+simulation結果
http://homebrew2004.hp.infoseek.co.jp/BPF-Type3-opamp.asc

simulation file (for LTspice)
http://homebrew2004.hp.infoseek.co.jp/BPF-Type3-opamp.asc

ご教示をよろしくお願いします。

176774ワット発電中さん:2006/04/12(水) 21:49:33 ID:uVEQ71ej
>>175
LINK訂正します

回路図+simulation結果
http://radio.s56.xrea.com/radio/src/radio0660.png
177774ワット発電中さん:2006/04/12(水) 23:43:17 ID:gCFvbrm5
ステップが荒いから。
自動的に調整するといっても、Q=200 なんて高 Q 相手じゃ限度がある。
TOOL から SPICE 選んで STEP を小さく設定しなおすか、
スイープする範囲をもっと狭くしてみる。
178774ワット発電中さん:2006/04/13(木) 00:41:13 ID:8DsKRnr2
>>177
ありがとうございます。
ご指摘の通りで解決しました。

simulate->Edit SI,ilation Cmd->AC Analysis
Number of points per octave を 10 -> 1000
へ変更したら期待どうり50Hzで電圧利得0dB
の結果になりました。

simulation画像です。
http://radio.s56.xrea.com/radio/src/radio0662.png

179774ワット発電中さん:2006/04/15(土) 12:44:27 ID:zTEyya1M
ダイオードのモデリングの話題です。

このスレ・レスで http://science4.2ch.net/test/read.cgi/denki/1111047176/519
ttp://asaseno.cool.ne.jp/germanium/Di_Forward_Log2.png
こんな↑ ダイオード静特性の実測値が紹介されていました。
IN60 とか 1SS108、鉱石検波器の DC 特性を SPICE の標準 Diode モデルでフィットできる
ものか、ちょっと心配になったので、おおまかに試してみました。

1N60 foward 特性の場合、上記グラフから次の電流‐電圧特性が読み取れます。
(1uA, 30mV), (10uA, 90mV), (100uA, 170mV), (1mA, 340mV), (2mA, 450mV), (4mA, 650mV)

http://radio.s56.xrea.com/radio/src/radio0664.png
I(B1) はこれの折れ線近似です。不連続点 (と端点) が指定した座標位置です。
電流源 B1 は回路図記号でなく Spice Directive で指定しました。
D1 は .model Dx D(Is=6.2e-7 N=1.2 Rs=98) で記述した 1N60 もどきです。
DC sweep グラフを見ると、なかなかよく合っています。ヤマカンと暗算でパラメーターを
指定しただけなので、まじめに計算すれば、もっとよく合わせられるでしょう。
180179:2006/04/15(土) 19:13:07 ID:Ypb1iIWh
さて、逆バイアス時の漏れ電流のほうはどうでしょうか。
両対数グラフで見て飽和する傾向と、800mV あたりから増加する傾向があります。
ブレークダウン様の振る舞いはさておいて、もっと小さい逆電圧領域を考えてみました。
http://radio.s56.xrea.com/radio/src/radio0665.png
これも param a, b と R1 の値を調整すれば、もっとよく合わせられそうです。
( I(B1) がグラフから読み取った値、I(B2) + I(R1) が計算した逆バイアス時の漏れ電流 )

普通はコンダクタンス一つで済ませてしまうダイオード逆電流ですが、それでは満足できない
人もいるだろうと考えて Bi 電流源を使いました。
ホントに使うときは、順バイアス時の場合を考慮して B2 の電流に制限をつける必要が
あります。(limit, max, min とかを使います)
181774ワット発電中さん:2006/04/16(日) 20:56:52 ID:7rUCZUBE
182774ワット発電中さん:2006/04/20(木) 00:09:46 ID:0DaiW1SA
プロテル99SEでオペアンプの反転回路のシミュレーション
(AC信号解析100k〜100MHz)を行ってみたのですが、実回路の
群遅延特性(ネットワークアナライザで確認)と正反対の特性
になるのですが、(※実際の特性は10MHz付近から+5nsecずつの
遅延していくのに対し、シミュレーション結果では-5nsecずつ
遅延)設定がミスしているのでしょうか?
(使用IC:LMH6714 マクロもメーカサイトから使用。)
183774ワット発電中さん:2006/04/20(木) 11:52:59 ID:71x7VhKB
↑そこまで言うなら、メーカーに聞いたら?
184774ワット発電中さん:2006/05/08(月) 19:09:18 ID:ihMy6jJl
JJY-PLL スレで、10MHz 程度の高安定水晶発振器を 40k/60kHz JJY 搬送波に PLL で
ロックさせようという話題があります。
http://science4.2ch.net/test/read.cgi/denki/1146399231/l50

PLL のシミュレーションって、周波数変換回路のシミュレーションと同じく、どうにも
困難な面がありますね。何といっても広い周波数範囲の信号を同時に扱わなくてはならず、
シミュレーション時間が長くかかりすぎるので困ります。

具体例を一つ。目的の前段として、数十 kHz 程度の VCO を、まずロックさせてみよう
という部分です。(ここに分周器を組み込んで、もっと高い周波数の VCO を使っても
かまいませんよ。)
A1 は AM 変調器 (長波 JJY 信号を模擬)、A2 が VCO、A3 は 4046 PC2 タイプの位相比較器です。
http://radio.s56.xrea.com/radio/src/radio0721.png
http://radio.s56.xrea.com/radio/src/radio0723.txt (LTspice の .asc ファイルです)

PLL のナチュラル周波数と信号波周波数に大きな開きがあるので、いっそのこと
搬送波の周波数が小さいとしてシミュレートしても、大雑把な傾向はわかります。
これであたりをつけておいて、それから信号周波数を正規の値に戻してシミュレート
すると、作業能率が大幅に向上します。
(具体的には .param Fc=50k を Fc=50k/50 などに変えます。Fc は搬送波の周波数。)
R4 1TΩ は、SPICE でときどき必要になる、おまじないの抵抗です。
グラフの V(o2)*V(q) は、ロックはずれを視覚的に確認するためのものです。

VCO 制御電圧 V(vc)、それを VCO 発振周波数と同じカットオフ周波数の一次フィルターを
通した信号 V(af0) などは、周波数偏差の単位に直して表示させています。(s^-1 := Hz)

そういえば、このように積分器を利用した PLL ループフィルターの設計法は見かけません。
私が不勉強なだけでしょうけど。初心者の方はワケワカラン状態になりませんかね〜
185184:2006/05/08(月) 19:29:24 ID:ihMy6jJl
次は、少し雑音を入れてみましょうか。
186774ワット発電中さん:2006/05/08(月) 21:33:55 ID:1TYUGyvD
>184
>そういえば、このように積分器を利用した PLL ループフィルターの設計法は見かけません。

つ 遠坂俊昭 『PLL回路の設計と応用』CQ出版

どーもPLLをシミュレーションで扱うには積分してAC一発で扱うしかないようで。
インパルス応答もダンピングファクタも陽には出てこない割り切り様。
187184:2006/05/08(月) 22:40:35 ID:ihMy6jJl
ちょっと反省。SPICE シミュ中、になりかけだよな。
188774ワット発電中さん:2006/05/08(月) 23:20:31 ID:ihMy6jJl
>>186
> どーもPLLをシミュレーションで扱うには積分してAC一発で扱うしかないようで。
> インパルス応答もダンピングファクタも陽には出てこない割り切り様。

人様のことは言えません。私の場合は、まず「ふつー」のリードラグフィルターから
類推して、これはきっと近いだろう・もっと進んでこれでも似たようなものだろ?
というようなカットアンドトライ手法を使ってきましたが、これはよくないかも。
理論的裏づけのある設計手法は、あってもいいのではないかと思います。
189774ワット発電中さん:2006/05/08(月) 23:24:07 ID:ihMy6jJl
>>186
>積分してAC一発で扱うしかないようで。
おもしろそうですね。その考え方と「過渡解析」結果がどのくらい違うか、
体感してみたいと思います。
190774ワット発電中さん:2006/05/12(金) 23:03:22 ID:eW1YvnvM
>189 ですが、かなりうまく簡略シミュレーションができたように思います。
ttp://radio.s56.xrea.com/radio/src/radio0726.png
ttp://radio.s56.xrea.com/radio/src/radio0727.png

当初うまくいかなかった原因は、使っていた位相比較器モデルの性能が十分で
なかったためでした。応答速度が遅く、位相比較のデッドゾーンが大きすぎます。
(CD4046 PhComp-II のつもりで作ったモデルかも知れません)
疑ってテストしてみればすぐにわかることなのですが、信じてしまった〜。
191190:2006/05/12(金) 23:12:58 ID:eW1YvnvM
追記。普通のラグリードフィルターに、さらに一次 LPF が追加されていますが、
これで位相ノイズ (ジッター) が少し改善できます。
192774ワット発電中さん:2006/05/18(木) 00:45:59 ID:vfoSmhol
水晶振動子など Q の高い LC 共振回路を過渡解析するとき、maxstep を小さくしないと
妙な結果が出てきます。一例として、maxstep = 1/fo/Q/10 ではやや怪しく、1/fo/Q/20
くらいでだいぶまとも、といった様子でした。これもシミュレーションに時間がかかるので
困ります。できることなら避けて通りたい。
193774ワット発電中さん:2006/05/28(日) 02:15:59 ID:cPJjRnP6
IBISシミュレーションを始めようと思っているのですが
ターゲットになっているデバイスの間に入ってるICソケットは
どのようにモデルを割り付ければよいでしょうか?
メーカーにも無くて、どうすれば良いか困っています。
194774ワット発電中さん:2006/05/28(日) 16:07:21 ID:kRCTE9jo
"IBIS model socket connector" で Web 検索すると少しはヒントがあるような気がする。
195774ワット発電中さん:2006/05/31(水) 13:17:53 ID:EINOoCCt
過渡解析でランダムノイズを入れたシミュレーションを行うことって工学的に意味ありますか?
また、どういうときに必要なんでしょうか。

PSpiceで使えるランダムノイズ源ってどうすればいいんでしょうか
196774ワット発電中さん:2006/05/31(水) 16:02:21 ID:PrRuZ1MG
>>195
ヲぃヲぃ、数値シュミレーションの有効性・妥当性の、哲学的問いですか?
数値演算をいくらしても、そのやり方で、××はわからない、ということを言いたいのかな?

>PSpiceで使えるランダムノイズ源ってどうすればいいんでしょうか
説明書に書いてないですか? 書いてないとすれば、別の説明書を探しましょう。
197774ワット発電中さん:2006/05/31(水) 21:31:12 ID:EINOoCCt
196さん、ありがとうございます。
システムにたとえば1V、1kHz の正弦波とか0-1Vのパルスとといったはっきりした
信号を加えて出力を調べるといったシミュレーションなら意義があると思いますが、
捕らえどころのないノイズを入力に加えて出力を調べても意味がないと思うのですが。
そんなことはないのですか。
198774ワット発電中さん:2006/05/31(水) 23:32:35 ID:PrRuZ1MG
↑あ、哲学的な問いだったのですね〜
199774ワット発電中さん:2006/05/31(水) 23:42:24 ID:PrRuZ1MG
>>197
「捕らえどころのないノイズを入力に加えて出力を調べても・・」

確かに変ですね。シミュレーションでは、ノイズは「確定」値として導入しています。
それで何か困ったことが起こりますか?
200774ワット発電中さん:2006/06/01(木) 21:41:13 ID:MZpax9hl
割って入ってすみませんがどうしてもわからない事があるので質問させてください(初心者です)

ブルーバックスについているcircuitmaker studentバージョンを使い始めたんですが、
無極性コンデンサを例えば、1000pFにするのと1uFにするのでシミュレーション結果が全く違うのはなぜなんでしょうか?
201774ワット発電中さん:2006/06/01(木) 21:43:52 ID:rBbIlIey
>>200
そりゃあたりまえだろ。
1000pF = 1nF != 1uF だ。
202774ワット発電中さん:2006/06/01(木) 22:22:30 ID:PU3s/IAe
199さん、ありがとうございます。

> ノイズは「確定」値として導入しています

というのが理解できません。
可能であれば、もう少しコメントお願いできませんでしょうか。
実際、199さんの場合、ランダムノイズのシミュレーションによってどのような
評価をされているのでしょうか。

しつこいようで申しわけない。
203774ワット発電中さん:2006/06/02(金) 08:53:29 ID:qmh2Hfkh
>>201 コンデンサの単位はpとuしか見たことがなかったからuの次がpだと勘違いしてました。
   すいません
204774ワット発電中さん:2006/06/02(金) 09:08:33 ID:sCNdm6yt
>>202
ちょっと抽象的すぎる答えかもしれませんが:

SPICE でのノイズ関連のシミュレーションには大きく分けて2つのアプローチがあります。

(1) .NOISE ドットコマンドを使い、AC 領域での解析をします。
ジョンソン・ショット・フリッカーノイズを計算します。結果はノイズスペクトラム密度/√Hz
です。指定した周波数帯域でこれを積分した rms ノイズも計算できます。
部品のフリッカーノイズの係数を指定することができます。(ダイオードなら Kf, Af、
BJT なら kfn, afn, bfn 。)

(2) .TRAN ドットコマンドで時間領域の解析をします。ホワイトノイズ発生源が
用意されていて、これを利用します。

もっと具体例を交えての説明がほしい、ということでしたら、SPICE の使用説明書に、
きっと例があると思います。それを説明の叩き台にしましょう。
205774ワット発電中さん:2006/06/02(金) 15:43:39 ID:fiDgKWrd
>>204様。詳しい解説ありがとうございます。
最初に質問したときのように、周波数領域(ACスイープ)ではともかくも
時間軸上(過渡解析)でのランダムノイズ印加のシミュレーション
の工学的な意義がわからないままです。

文献を調べて自分なりに考えてみます。
ありがとうございました。

206204:2006/06/02(金) 18:36:29 ID:sCNdm6yt
>>205
過渡解析でノイズをどう取り扱うかという話ですが、一つ思い出しました。

SPICE はアナログデジタル混在の Mixed mode simulation ができます。
(世の中にはこの機能が使えない SPICE もあるようですが、今では少数派。)
デジタル回路部分は .AC 解析できません。そこで過渡解析を行いますが、
やはりノイズ源が必要になることもあり、この目的のためにノイズ電圧・電流源が
用意されています。

例えばアナログ入力信号があって、それをコンパレーターに通します。
どのくらいのノイズが加わったら後続のデジタル回路が誤動作するか。確率は?
理論的に解ける場合もあるでしょうが、SPICE お得意の数値計算で済ませる方法も
便利に使えます。理論計算値の確認・検算にもなります。
(ごめんなさい。もっといい例を思いつかない。)
207774ワット発電中さん:2006/06/02(金) 23:51:07 ID:nP7DK52t
過渡解析についてのしつもんなのですが

.IC では電位’差’は指定できないでしょうか?
トランジスタのBEとかBCの電位差を指定したかったのですが
、どこがで見た気がしたものの、探してみてもぜんぜん見つかりません。
アドバイスお願いします
208774ワット発電中さん:2006/06/03(土) 09:53:11 ID:T0M6y22p
206さん
たいへんに貴重なご回答、たいへんありがとうございます。
すごく参考になりました。
これをもとにして私のほうも自分なりに考えてみたいと思います。
209774ワット発電中さん:2006/06/03(土) 18:31:14 ID:9BpepmjN
>>207
使用している SPICE によって少し違いがあるかもしれませんが、
.IC V(n01, n02)=1 と指定するとノード n02 に対して n01 の電圧初期値を 1V にします。
また、.IC I(L1)=1 と指定するとインダクター L1 に流れる電流の初期値を 1A にします。
BJT などの端子電圧でなく、コンデンサーの両端電圧や、コイルに流れる電流の初期値が
指定できます。
.TRAN に UIC を指定するか否かによって微妙な差がありますが、それは後ほど。
210774ワット発電中さん:2006/06/03(土) 18:34:01 ID:9BpepmjN
追記。ノード電圧のほうはかなり好き勝手に指定できますが、結局は無意味になって
しまうことはあります。やはりコンデンサーの両端電圧やコイル電流の初期値を
考えたほうがいいでしょう。
211774ワット発電中さん:2006/06/03(土) 21:07:06 ID:T0M6y22p
>>207
OrCAD Capture/PSpiceではIC2パーツが使える。
それにしてもBJTのB-E間に初期値を加えてどうする。
212774ワット発電中さん:2006/06/03(土) 22:06:51 ID:CxzqG3UR
>207
トランジスタのモデルを弄りたいって意味なのかな?
213774ワット発電中さん:2006/06/04(日) 22:00:32 ID:MfIMIvcR
<釣り> <煽り> もっと、ドンドンしましょうではありませんか。
214774ワット発電中さん:2006/06/04(日) 22:13:41 ID:IhHjSND9
サイリスタやダイアックが欲しいんですがどうしたらいいですか?
215774ワット発電中さん:2006/06/04(日) 23:13:00 ID:MfIMIvcR
>>214
普通の説明書 + FAQ とかにありませんかね?
どんな SPICE を使っているんだ?
216age:2006/06/04(日) 23:15:44 ID:Eae12I+p
さらし age
217774ワット発電中さん:2006/06/05(月) 12:49:54 ID:cRNZ88ty
近昔の昔、天文学者は天体の位置を計算するのに・・・
まあ、数値積分という方法もあるよ。それをあからさまに使っているのが
SPICE の .TRAN ではないのか。
「数値積分」は信用できない、とおっしゃるなら、別の板にどうぞ。
数学系のシミュレーション板とかで。
218207:2006/06/06(火) 00:51:14 ID:ONmKnOCS
遅れて申し訳ありません。
>>209
ありがとうございます。早速試してみます。
笑われてしまいそうですが、私はUNIXで3f5を
使っています。

>>211
ちょっと研究で回路の直流動作点の計算の収束性向上
をしていまして、詳しくは言えませんが
過渡解析をつかうもので、初期値に
Vbe=0.7,Vbc=0とかではじめると良いのです。
ありがとうございました〜
219355:2006/06/06(火) 21:41:31 ID:UkFPKDJc
CQ出版か発売されている PSpice入門編つかっているのですが
モデルエディタでパラメータ変更できるのはダイオードだけとなっています。
トランジスタのHfe変更とかは絶対できないのですか?
220774ワット発電中さん:2006/06/06(火) 22:18:51 ID:aASf1TbA
「絶対」ってことはないでしょ。
もしアンタにやる気があれば、
モデル定義ファイルのフォーマット解析して、ファイルを書き換えればいい。
221219です:2006/06/06(火) 23:14:41 ID:UkFPKDJc
>>220 *.lib の中みてみたらテキストエディタで書きかえれそうなので
がんばってみます。ありがとうございました
222774ワット発電中さん:2006/06/18(日) 00:01:49 ID:5wBEkkbA

カレントミラーでLEDいっぱい、のシミュレーションをしてみました。
http://radio.s56.xrea.com/radio/src/radio0800.zip

あとからLEDを増設できるように、でもトランジスタは同じロットで揃えたほうが
いいのかなぁ、という感じで、一部のLED部分だけオープンにした回路をシミュレーション
してみたんですが・・・つまり、「a」「b」などをオープンにした状態です。

各列だいたい20mA流れるはずが、うんと電流が小さくなってしまいました。
「c」もオープンにしてしまえば、ちゃんと20mA流れるようになります。
なぜ「a」「b」がオープンだと、電流が少なくなってしまうんでしょうか。

223774ワット発電中さん:2006/06/18(日) 00:57:09 ID:6eEsr6rD
>>222
Q4、Q5が単なるダイオードになるので
224774ワット発電中さん:2006/06/18(日) 01:43:16 ID:P1v3lEm+
>>222
せっかくシミュレーターを持っているのだから、それぞれの場合について
各部の電流を比較してみましょう。電流は途中で消えてなくなったりしません。
225774ワット発電中さん:2006/06/18(日) 13:26:54 ID:WWFITQnc
Q1もダイオードのような使い方ですから、すなわちQ1=Q4=Q5だから、
R1//R4//R5のような状態、という意味でしょうか?
実際に似たような測定値になります。

実際に製作する場合は、どうするものなんでしょう。
Q3-Q4間あたりでベースを切っておくんでしょうか。とくに定石は無い?
226774ワット発電中さん:2006/06/18(日) 20:23:38 ID:P1v3lEm+
>>225
>Q1もダイオードのような使い方ですから、すなわちQ1=Q4=Q5だから、R1//R4//R5のような状態、という意味でしょうか?

言い方が情緒的過ぎるので何とも〜〜。
Q2, Q3 のコレクター電流を見積もろうとすると、それらのベース電流が知りたい。
それには・・・、簡略化して R1//R4//R5 を考えるのは確かですね。

>実際に製作する場合は、どうするものなんでしょう。Q3-Q4間あたりでベースを切っておくんでしょうか。

必要のない部品は取り付けない、が基本でしょう。しかし電子部品をなるべく取り付けておきたい
のなら、「Q3-Q4 間あたりでベースを切っておく」ほうが、「C点」を切るより問題が少ないかも。

ところで、BJT は 「NPN」 でなく、実際に使う Tr に近いものに変更したほうがいいでしょう。
Ic max, Pc max を参考にテキトーに選んでもかまいません。(NPN は fT が無限大!なので困ることがあります)
また、R0 で消費される電力は全て無駄になります。ちょっともったいないような・・・
227774ワット発電中さん:2006/06/20(火) 00:58:40 ID:SFXensRE
すいませんが少し質問させていただきます。

今、L,C,R、電流源、伝送線路からなる回路を考えています。この系の過渡
解析を行いたいのですが、避けがたいいくつかの理由によりSPICEが使えません。
(非線形素子、負のインダクタンス等を考えねばならないので)

そのため自分で微分方程式を立ててどうにかしようと考えていますが微分方程式に
伝送線路を分布定数形式で組み込む方法がわからないので困っています。

集中定数でやることも考えたのですが、単位LCを10個くらいぶら下げないと
伝送線路のいい近似にならず、またそれだけ単位LCを増やすと計算時間が
途方もないものになってしまいます。

どなたか、伝送線路をうまく微分方程式に組み込む方法をご教授願います。
228774ワット発電中さん:2006/06/20(火) 05:53:38 ID:tLGoUdPp
>>227
「伝送線路 シミュレーション 微分方程式」といったキーワードで Web 検索すると
参考書籍類が見つかりそうです。英語サイトを探すと情報量はもっと多いでしょう。

ところで「非線形素子、負のインダクタンス等を・・」というだけで SPICE が
使えないとするのは早計です。負性抵抗・容量、負性インダクタンスも記述可能です。
http://radio.s56.xrea.com/radio/src/radio0806.png
これは IL = ∫VL dt を電圧制御電流源と積分用コンデンサーで記述したものです。
L1 は参照のための普通のインダクタンス、破線で囲った部分が 1H の負性インダクタンス
です。V2, V3 は電流プローブです。(こう書けば電流の向きを勘違いすることはないでしょう)
非線形素子もいろいろ表現できて、非線形負性抵抗なんていうのも可能です。
229228:2006/06/20(火) 06:14:13 ID:tLGoUdPp
訂正。「IL = ∫VL dt」 → 「IL = -∫VL dt」
230774ワット発電中さん:2006/06/20(火) 20:16:55 ID:SFXensRE
>>228
ご回答ありがとうございます。
SPICEでも工夫次第で負性インダクタンスを表現できるとは正直言って驚きでした!
電気回路の世界では常識なのかも知れないですが、私の専門分野ではなかったので
本当に知りませんでした。

大変参考になりました。ありがとうございます。
231774ワット発電中さん:2006/06/20(火) 21:04:20 ID:kuQgedfU
>>226
適当なトランジスタに変更しても、この回路では特に変化は見られませんでした。

> R0 で消費される電力は全て無駄になります。
なんか使い道ありますかねぇ。

実際の製作では、555で適当な発振回路作って、Dischargeピンをベースにつないで
点滅させるつもりです。これだとやっぱりR0は常に無駄電流を流し続けることになりますね。
大元でスイッチすれば、消灯時にはほとんど電流が流れなくなりますけど、C1815の
大袋買っちゃったんで、これで揃えたいし、部品点数が一番少ない構成ですし・・・・

そんな感じです。勉強になりました。
232774ワット発電中さん:2006/06/20(火) 22:43:15 ID:P7Xo/2OR
>>231
せめて 555 の OUT ピンに R0 の上側をつなぐ方法、そのくらいは考えてホスイ。
233774ワット発電中さん:2006/06/21(水) 00:41:25 ID:j/i1yPq7
>>229 ですが、ちょっと感想。
電流の向きはどっち。私なんぞには、地球の地理的北極に N 極があると信じている人を
決して非難できません〜。
まだあるね。SPICE の回路図エディターで、2端子の部品をくるくる回していると、
電流の方向がどっちになるのか、わからなくなってしまいます。

ところが、負のインダクタンスとかキャパシタンスはエネルギー源になるのです。
それを考えると、電流の向きが合っているか間違っているかも結局はわかるのです。
が、しかし、あからさまに負のインダクタンスとかキャパシタンスを考えようとすると、
その判別法も当てにならなくなる。実に困ったもんです。
234774ワット発電中さん:2006/06/21(水) 09:54:47 ID:X5yy2qDw
>>233
> SPICE の回路図エディターで、2端子の部品をくるくる回していると、
> 電流の方向がどっちになるのか、わからなくなってしまいます。

そんなことを考慮してCapture/PSpiceではAnalog_p.olbライブラリが用意されている。
この中にあるRやCにはそれぞれの端子に1、2の番号が付けられていて
極性がわかるようになっている。

235774ワット発電中さん:2006/06/21(水) 19:32:08 ID:6yh0s/nu
>>232
考えることにします。
236228:2006/06/21(水) 21:15:49 ID:+cVwNwV0
私は実にバカでした★★★。コイルのインダクタンスを負の値にするだけで済みます。
皆様ごめんなさい。
237774ワット発電中さん:2006/06/21(水) 22:12:28 ID:6yh0s/nu
235のつづき。

outを使った発振回路だったので、dischargeを使ったのに変えて、
点滅のON/OFFが反対になるのでpnpに置き変えて、
232提言の、outでR0(=定電流回路)をドライブする形にして、
226指摘の、電流がもったいないので、R1を47オームから470オームに
変更してみました。R0も併せて適当に変更しました。

1/3を占める点灯期間の定電流回路の消費電流は1/10程度、
2/3を占める消灯期間の定電流回路の消費電流はほぼゼロになりました。
238774ワット発電中さん:2006/06/22(木) 20:03:42 ID:kvFEdm5G
質問です。
PSpiceの場合.OPのコマンドで
outファイルにBIPOLAR JUNCTION TRANSISTORS
というトランジスタの情報(gmとかRpiとか)が出力されるのですが、
LTSpiceの.OPコマンドはバイアス点しか表示されません。
LTSpiceでもそのような情報を出力させる方法はあるのでしょうか。
239774ワット発電中さん:2006/06/23(金) 00:15:05 ID:KY6+0eUv
IC1、IC2とかCN1、CN2と言うのは何の事か解る方教えてください。
CN1はコネクターの種類で電池の単1、単2みたいに大きさの規格かと思うのですが。
CN1の8番とか言うのはどの部分の事を言ってるのかも良く解らないので
もし知っている方居たら教えてください。
240774ワット発電中さん:2006/06/23(金) 01:35:56 ID:850Ir95Q
単に回路中の部品を識別するためにつけた部品番号。
IC1、IC2はICの1個目、2個目、CN1,CN2はコネクタの1個目、2個目
などと言う意味しかない。
なお、ICとかCNとか別に決まりがあるわけじゃないので、IC1と番号が
付いていてもそれが必ずICだとは限らない。

それがどんな部品なのかは普通は回路図とは別に部品表があって
それにIC1はどのメーカーの何という型番の部品、IC2は・・・などが書かれている。

CN1の8番というのはCN1と部品番号がつけられた部品の8番端子という意味。
端子の番号はその部品のメーカーがつけた端子の番号を使うことが多いが、
世の中には自分で勝手に端子番号を付ける人もいる。
241774ワット発電中さん:2006/06/23(金) 08:39:16 ID:hfWzM3Br
とても解り易い説明をどうも有り難う御座いました。
242774ワット発電中さん:2006/06/24(土) 13:35:42 ID:aCasFnlr
>>238
(1) .TF を使う。http://radio.s56.xrea.com/radio/src/radio0817.png
しかし、あまり役に立つ場面を思いつきません。

(2) .AC と waveform arithmetic を使う。
http://radio.s56.xrea.com/radio/src/radio0818.png
第一のグラフは順伝達コンダクタンス、第二のグラフは入力インピーダンスです。
このように周波数特性もわかります。
243242:2006/06/24(土) 14:27:38 ID:aCasFnlr
第二のグラフは -V(in)/I(V1) でなく、V(in)/Ib(Q1) にした方が素直でした。
ごめんなさい。グラフを目で見てわかるほどの差は出ませんが。
244242:2006/06/24(土) 15:50:21 ID:aCasFnlr
おまけですが、このようにすると http://radio.s56.xrea.com/radio/src/radio0819.png
fT v.s. Ib (Ic) 特性もわかります。.MEASURE を使うと数値形式で fT を出力できます。
245774ワット発電中さん:2006/06/24(土) 17:04:58 ID:UUmIki0r
>>242
回答thxです。
やはり工夫次第なのですね。
246774ワット発電中さん:2006/06/29(木) 13:58:09 ID:d4PwsllP
とんちんかんなことを言っていたらごめんなさい。
発振器のIBISモデル化というのは、IBISの仕様的に可能なのでしょうか?
247774ワット発電中さん:2006/06/29(木) 17:24:40 ID:FrUnRUfB
↑発信器というからには、電源と出力しかないよね。とりあえず確認。
248247:2006/06/29(木) 17:26:17 ID:FrUnRUfB
ごめん、訂正。「発信器」→「発振器」
249774ワット発電中さん:2006/06/29(木) 23:46:04 ID:giLl0ZV3
>>246

そのモデルが機能モデルならは、アナログ動作のSimには使えないです。

前スレで習ったんですが・・・

Trモデルと機能モデルの説明
http://www.ecdl.tkk.fi/~cad/analog_sim/sim_models_en.html

250774ワット発電中さん:2006/07/03(月) 17:23:42 ID:EpK/bPAQ
>>246
質問の意味がもうひとつ不明です。
発振回路の回路動作のシミュレーションをするのなら IBIS モデルは使えません。
発振回路から出力される波形の詳細を調べるのなら IBIS モデルが使えます。
参考:
ttp://www.national.com/appinfo/lvds/ibis_home.html
ttp://www.national.com/appinfo/lvds/files/TLineStudy1.pdf
ttp://www.national.com/models/ibis/interface/clc001.ibs
251774ワット発電中さん:2006/07/05(水) 00:19:42 ID:RNmxvWNW
書き込みが途絶えてますね。
スイッチング電圧コンバーターの話です。
電気モーター回生ブレーキ (電気二重層コンデンサー使用) の前半部分、つまり
電気二重層コンデンサーへの充電部分を少しシミュレートしてみました。
http://radio.s56.xrea.com/radio/src/radio0839.png
http://radio.s56.xrea.com/radio/src/radio0840.txt

V2 がモーター = 発電機で、もちろん実際には発電電圧はダイナミックに変わります。
電圧 4 〜 12V くらいの範囲は普通に動作させるつもりです。
C1, R3 で、なんとか大容量電気二重層コンデンサーを近似シミュレートしています。
(本当に?Fものキャパシターを使うと、落ち着くまで時間がかかりすぎるので)
B1 がフィードバック増幅器で「回生エネルギー/時間 (J/s = W) を一定」に保ちます。
仕事率 = 瞬時電力は 60W 程度にしています。

まだやっていませんが、Vs 電圧に応じて I2 の電流を制御すると、スイッチング周波数
の変動を小さくできます。
これでいいかな〜? と思っていたら、出力コンデンサーの充電電圧が低過ぎる → 適正
への過渡期に SW 周波数が高くなりすぎることがわかりました。

まだ考えが足りない!
なお、I1 はフィードバックループの安定性を調べるため、また「弱ブレーキ」動作が
可能なことを確かめるために追加したものです。(連続制御可)

もっとスマートで賢いやり方はありませんかね? たぶん私はバカなことをやっているのだ
と思います。アドバイスのほど、よろしく。
252251:2006/07/05(水) 00:22:17 ID:RNmxvWNW
いい忘れました。LTspice を使用しています。SPICE の netlist を
ご希望の方は、そうおっしゃってください。
253京大生www:2006/07/05(水) 19:36:10 ID:t4QJVbJR
Circuit Makerの使いかたがわかりません。

とりあえず手始めに電源にコイル繋いだだけの回路特性を調べようかと思いました。
グラウンドとって、プローブつないでぽちっとな、エラーになりました。

これ面白そうなんだけどなー。いやいや電気って面白いですね。
254774ワット発電中さん:2006/07/05(水) 19:41:15 ID:mUVfmgqG
水野晴男かお前は
255京大生www:2006/07/05(水) 20:00:46 ID:t4QJVbJR
死ぬまで付き合っていくのに不足はありません。
オシロスコープは恋人ですね。
回路にプローブを繋いであげると彼女の気持ちを波形にして表現してくれます。
CH1 おれの気持ち
CH2 彼女の気持ち

増幅してる時はほんと、生きてて良かったなって思います。
256774ワット発電中さん:2006/07/07(金) 06:21:59 ID:0BB0M0k/
CH1をXに入れてCH2をYに入れるとハート型のリサージュ図形が
257774ワット発電中さん:2006/07/07(金) 07:57:32 ID:y4snuo9G
sin(t)*(1+cos(t)), -cos(t)*(1+cos(t)) で大まかにはいいんだけど、しっぽの部分を
つけたい。どうしたらいいかな? 円周の伸開線を2つとか?
258774ワット発電中さん:2006/07/07(金) 13:31:19 ID:L68gL21z
>>251 ですが、なんとかだいぶ思ったような動作をするようになりました。
.step param vs list 4 8 12 で3つのグラフを重ね書きしています。
http://radio.s56.xrea.com/radio/src/radio0841.png

入力電圧つまり回生電圧が 4 〜 12V まで変わり、さらに「弱ブレーキ」動作をさせても、
SW 周波数変動は ±15% 程度に収まります。(まだ少し大きめだけど)

この SW 電圧コンバーターは、主インダクタンスのピーク電流とバレー (谷) 電流を
決めることで動作を制御しています。ピーク電流のほうは瞬時変換電力を一定に保つ
ようにフィードバック動作をします。バレー電流のほうは、SW 周波数が一定になるように
制御します。バレー電流 (ウィンドウコンパレーターの LTP) の計算には V(Vs), V(o_), V(cv1) の関数
 ((f*L*Rs*V(o_) + f*L*Rs*V(Vs))*V(cv1) - Rs*Rs*V(Vs)*V(o_)) / (f*L*Rs*V(o_) + f*L*Rs*V(Vs))
を使いました。(f, L, Rs は定数)
こんな式をどうやって求めたか? それは数式処理プログラムのお世話になりました。
手でやっていたらきっと間違えて、結局は投げ出していたでしょうね。

現実に作るとき、こんな演算をアナログ回路でやる気力はありません。昔の人はやったの
だろうけど。デジタル処理をするとしても、20 〜 30μs に一回くらいの演算処理をすれば
十分間に合いそうです。これを確かめる方法はあって、V(p), V(o_) の直前に
サンプル&ホールド回路を入れます。(まだやっていません)

SW 周波数が一定であることを最重視するなら PWM 方式にします。試してみると、
動くには動くのですが、
 (1) 出力電圧が目標値以下のときに (電流制限のため、回生電力を目標値にできない場合)
  なめらかな動作をしない。
 (2) 入力電圧変化に対する過渡応答の変化が大きい。
などの問題があることがわかっています。
もう一つ、Ton 一定の FM 方式も試しましたが、こっちはもっともっと問題が山積み。
259258:2006/07/07(金) 13:44:32 ID:L68gL21z
LTspice の .asc ファイルも UP しておきました。
ttp://radio.s56.xrea.com/radio/src/radio0842.txt
260774ワット発電中さん:2006/07/09(日) 02:17:32 ID:VnqStAN/
>>256 >>257
思いついた。好みのハート形をまず決める。テキトー適切に座標を設定して
 (Px, Py) := ( cos(t) * f(t), sin(t) * f(t) )
を考える。f(t) はフーリエ級数。シグマ 1..n (sin(a_n * n*t) + cos(b_n * n*t)) ね。
n は好きなだけ増やしていいです。
261774ワット発電中さん:2006/07/09(日) 02:35:10 ID:VnqStAN/
シグマ 1..n (sin(a_n * n*t) + cos(b_n * n*t))
 は、
Σ 1..n ( a_n*cos(n*t) + b_n*sin(n*t) )
 に訂正します。バカでした。これからもバカやると思いますが・・
262774ワット発電中さん:2006/07/09(日) 03:41:26 ID:vzZLJV4e
http://www.nikonet.or.jp/spring/sanae/Curves/Curves.htm → カージオイド
を見たんだけど、
 x=acosθ(1+cosθ)
 y=asinθ(1+cosθ)
って、逆余弦関数、逆正弦関数かと考え違いして、頭が一時「・」になったよ。
263774ワット発電中さん:2006/07/09(日) 03:59:38 ID:vzZLJV4e
半分だけ解読すると、
 x=acosθ(1+cosθ) というのは
 x=a* cos(θ) * (1+cos(θ))
だろな。昔は紙と墨が貴重だったから、節約したのだろうな。ごくろうさま。
264774ワット発電中さん:2006/07/09(日) 08:15:39 ID:/CFNWKV3
x=a* cos(θ * (1+cos(θ))) ・・・
というのも面白いよ。やってみましょ。
265774ワット発電中さん:2006/07/09(日) 16:59:30 ID:fM3VP+t0
LTSpiceで回路の勉強しようと思っているのですが、
PWLで電圧源や電流源の設定をする場合に、1周期分のポイントを入力して
それを解析時間繰り返すようにするにはどうすればいいんでしょうか?
266774ワット発電中さん:2006/07/09(日) 18:38:14 ID:GSx2Eldc
LTspiceを最近使い始めた初心者です。
SWレギュレータICのMC34063のシミュレーションを
するためにオンセミからモデルをダウンロードしようと
しました。

そこで質問なのですが、ダウンロードできるモデルは
IsSpice, ORCAD, SPICEの3種類あるのですが、
LTspiceで使用するにはどれを使用するのでしょうか?

試しにORCAD用を使用してみましたがうまく動作してくれませんでした。
(エラーにはなりませんでした。べき乗記号を**に修正はしました。
もちろん単純な設定ミスの可能性もありますが)

私はどのSPICEでもそれほどライブラリは変わらないのかと
思ってましたが、そうではないのでしょうか?
ちなみに、ORCAD用とSPICE用のライブラリの中身を比較してみましたが
似たような書式のフォーマットですが、ORCAD用の方がなぜか倍ぐらい
記述内容が多かったです。

基本的なことですが、どなたか教えてください。
267774ワット発電中さん:2006/07/09(日) 20:36:16 ID:/CFNWKV3
>>265
やりたいことが、もう一つわからないんだけど、御参考までに。
http://www.d1.dion.ne.jp/~river_r/bell/sc3_memo/sc3_memo.html
ここで、PWL を文字検索してください。
268774ワット発電中さん:2006/07/09(日) 21:00:43 ID:/CFNWKV3
>>266
MC34063 に関しては、いろいろ議論があったようです。
http://groups.yahoo.com/group/LTspice/files/%20Examples/SMPS/ の
MC34063_buck_converter
MC34063A_plus_PNP
あたりを見てください。(yahoo USA へのメンバー登録要)
269774ワット発電中さん:2006/07/10(月) 08:14:25 ID:jXOEs47v
>>268
yahoo USAですかぁ。
英語苦手なんで、議論の内容が理解できるか不安ですが
とりあえず見てみます。

ところでMC34063についてはおいといて、
先の質問の繰り返しになりますが、一般的にモデルはORCAD用のとかでも
使えるもんでしょうか?
270774ワット発電中さん:2006/07/10(月) 23:20:35 ID:jXOEs47v
>>268
yahoo USAにメンバー登録して
教えていただいた部分にアクセスしてデータを取り出せました。
さっそく内容を確認して試してみようと思います。

たしかにyahoo USAにはいろんな情報があるようですねぇ。
英語ということで敬遠してましたが、私にでもいろいろ情報は
得られそうです。

269では、お礼を言うのを忘れてました、失礼しました。
とりあえず、ありがとうございました。
271774ワット発電中さん:2006/07/14(金) 06:28:28 ID:6iR07oVj
>>266 >>268
MC34063 にコンパレーターを一つ追加すると、動作が素直になる。
http://radio.s56.xrea.com/radio/src/radio0849.png
もっともこれはシミュレーション上でのこと。実際にはやってみないとね。
272774ワット発電中さん:2006/07/14(金) 06:31:12 ID:6iR07oVj
>>271 ですが、どうも考え違いがありそうです。出直して来ます。
273774ワット発電中さん:2006/07/18(火) 20:53:20 ID:txNmdA6f
>>258 ですが、もう少し整理しました。
回生ブレーキ用の電圧コンバーターです。今回は出力に 10F のコンデンサーを接続しました。
何とか発電機電圧 4 〜 12V でかつ電気二重層コンデンサーの電圧が 4 〜 12V であっても
破綻なく動くようになりました。グラフは入力・出力電圧が 4, 8, 12V つまり 9 種類の
場合を重ね書きしてあります。 http://radio.s56.xrea.com/radio/src/radio0857.png

V(brk) がブレーキパワーを指定しています。
(加速のパワーと言えばわかるけど、ブレーキのパワーって変な言い方かもね。)
制御方式は「主インダクターのピーク電流とバレー (谷) 電流を指定する」方法です。
まだ SW 周波数の変動が大きいのが気になっています。(最大最小の比が2くらいあり)
274774ワット発電中さん:2006/07/22(土) 23:20:05 ID:0mMq/jtu
>>273
回路シミュレータ(例 LTspice)では、
水晶や水晶発振器、あるいは、ラダー型水晶フィルタを
回路モデル化できますか?
可能であれば考え方を、
不可能であればその理由を教えてケロ。
275774ワット発電中さん:2006/07/25(火) 22:56:31 ID:/cBld10W
>274
http://www.d1.dion.ne.jp/~river_r/bell/devmodel/devmodel.html#A1
これなんか、どうでしょうか。副共振のことは無視していますが〜。

なお、Q がとても高い共振回路のシミュレーションは、maxstep を小さくしないと
ならないため、とても実行時間がかかります。 >>192 の言う通り。
276275:2006/07/25(火) 23:02:40 ID:/cBld10W
あっと、・・・www.d1.dion.ne.jp/~river_r/bell/devmodel/devmodel.html#A1
ではリンク先が見えないことがあるようです。
まずは http://www.d1.dion.ne.jp/~river_r/bell/devmodel/devmodel.html
に行って、「セラミック・水晶振動子とラダー型フィルター」という目次の文字列を
クリックして下さい。
277774ワット発電中さん:2006/07/25(火) 23:24:17 ID:/cBld10W
>>274 > 不可能であればその理由を教えてケロ。
>>275 >>192 > Q がとても高い共振回路のシミュレーションは、maxstep を小さく
 しないとならないため、とても実行時間がかかります。← それはなぜ?

SPICE は数値計算をしています。おおまかにいって積分を差分に置き換えている
わけです。時間刻みを大きくすると、真の積分との誤差が大きくなります。
この誤差を小さくする試み・算法・技法は星の数ほどいっぱいあるのですが、やはり
「無限」>「とっても^N 大きな数」という垣根は乗り越えられません。以上。
278774ワット発電中さん:2006/07/26(水) 00:02:41 ID:j9WGWehm
>>276
見ました。ありがとうございます。
ラダー型の水晶フィルタもずばりありますとは!
11MHzの水晶でもうまくSIMできるかな?
今日は夜も遅いので明日以降やってみまつ。
279774ワット発電中さん:2006/07/26(水) 11:49:37 ID:/1X4rP0H
LTSpiceでADのアナログスイッチモデルを使いたいのですが、
どうすればいいでしょうか?
回路にMisc-Dip16を配置して、ADG442とし、
C:\Program Files\LTC\SwCADIIIにADのサイトから落としてきた、
http://www.analog.com/Analog_Root/static/techSupport/designTools/spiceModels/adg442.cir
ADG442.cirを配置。.LIB adg442.cirも回路に記述したのですが
unknown Parameter vswitchというエラーで実行できません。

LTSpiceはvswitchをサポートしてないのでしょうか?
280279:2006/07/26(水) 11:54:31 ID:/1X4rP0H
うは。。。事故解決。。。お騒がせしてすいません。
ADのmodelはNCピンが記述されてなかったのでそれ足したらいけました。
281774ワット発電中さん:2006/07/26(水) 13:01:59 ID:EFklojVA
>>274-277
水晶発振器ですか〜
http://groups.yahoo.com/group/LTspice/files/%20Tut/
にある、general_crystal_osc.asc なんて、まあよくここまでやったね!という見本かな。
でも、あれを見ると、いろいろと教えてもらえます。(Yahoo USA へのメンバー登録要。無料)
そのほか、水晶発振器などに関連したシミュレーション案は多数あります。

helmutsennewald さんって、「図表なり .asc ファイルをがーんと示すぞ。やってみろ。」
そしてあとは自分で考えろ。という姿勢のお方のようです。それもアリだよな。
282774ワット発電中さん:2006/07/26(水) 21:53:04 ID:0qRtFN7M
Tina使ってる香具師いない?
283774ワット発電中さん:2006/07/28(金) 08:02:42 ID:YoX6/Edb
>>282
使ってまっせ。安いStudent版だけど。
284774ワット発電中さん:2006/07/30(日) 23:23:47 ID:vq5aQWrE
標準で入っているライブラリのデジタル素子(bufとかinv)とか
に任意の遅れを設定するにはどうしたらいいでしょうか?
285774ワット発電中さん:2006/07/30(日) 23:26:36 ID:1bluUsPO
↑どの SPICE の話ですか?
286284:2006/07/31(月) 00:10:06 ID:TjOTGkZ2
LTSpiceです。
回路図にTd = 10nとおいたのですが、missing nodesエラーになります。
287774ワット発電中さん:2006/07/31(月) 00:44:34 ID:8ie5kQJG
>>286
Help の説明によると、INV は、

INV Behavioral Inverter
Parameters:
Trise: Rise time, Defaults to 0.
Tfall: Fall Time, Defaults to Trise.
Td: Gate delay time.
Vlow: Low output level, defaults to 0V.
Vhigh: High output level, defaults to 1V.
Ref: Logic threshold, defaults to the average of Vhigh and Vlow.

では、Vhigh=5 Ref=0.5 Td=10n Trise=10n で使ってみましょう。
http://radio.s56.xrea.com/radio/src/radio0899.png
http://radio.s56.xrea.com/radio/src/radio0900.txt (ローカルにダウンロードして、
ファイル名を *.asc に変えると、そのまま LTspice で試せますよ。)
288774ワット発電中さん:2006/08/01(火) 18:40:16 ID:Wpe9fBu/
>>287
トンでる説明・紹介で。ごめん。どこかトンでるとは思うのですが、
どこがどのようにトンでるのか、説明に困ります。もすこし勉強してきます。(逝ってきます)
289774ワット発電中さん:2006/08/01(火) 20:49:59 ID:RgVs4OSJ
こんばんは。
Verilog-A(≒Verilog-AMS)に関することは、
このスレの範疇でしょうか?

アナログ回路自体や、
Verilog-Aを用いたアナログ回路のモデリングについて勉強したいと思っているのですが
おすすめの本があったら教えていただけませんか?

普段はデジタル回路というか
論理設計してVerilog HDLで回路記述するような勉強しかしていないので、
アナログ回路の勉強自体、ほとんどしておりません・・・
よろしくお願いします。
290774ワット発電中さん:2006/08/01(火) 20:55:19 ID:RgVs4OSJ
http://www.amazon.co.jp/gp/product/4789833607/
とりあえず明日
↑の本は読んでみようと思ってます。
291774ワット発電中さん:2006/08/07(月) 02:13:24 ID:ndMx1ciX
>>289-290
私も興味アリです。お役には立たないとは思いますが。
292774ワット発電中さん:2006/08/08(火) 22:36:07 ID:F3+/stMI
293774ワット発電中さん:2006/08/09(水) 17:20:24 ID:bzkYgr/9
>>292
私は初めて知りました。ありがとう。
JRC の日本語 HP top にも、ひょこっとメニューボタンがありますね。あれでは、なかなか
気づかないよ〜。あと、登録しないと教えてもらえない。まだ自信がないのだろうね。
294入門者:2006/08/13(日) 00:13:48 ID:L5kd4VXS
BBSPICEでTFTトランジスタの計算をしたいのですが
アドバイスお願いします。例えば
 @4端子MOSモデルに擬似的な等価回路をつけてTFTもどきにする
 A標準的TFTモデル・モジュールが公開されている
 B試用版の?SPICEでTFT使用できるとか。
295774ワット発電中さん:2006/08/14(月) 11:33:29 ID:CbVZ8leg
馬から落馬
296774ワット発電中さん:2006/08/14(月) 16:41:44 ID:F/sxG2MN
>294
こんな Web ページを見つけました。ttp://legwww.epfl.ch/ekv/index.html
297774ワット発電中さん:2006/08/14(月) 17:24:38 ID:F/sxG2MN
絶縁基板上の Si-MOSFET の SPICE モデル、BSIMSOI のサイトがあります。
ttp://www-device.eecs.berkeley.edu/~bsimsoi/index.html
298勉強中:2006/08/23(水) 19:02:21 ID:Cz/+uqVZ
質問です。

IBISモデルの中身がプルアップ、プルダウン、ランプアップ、パッケージ部等から構成
されることは確認したのですが、実際のバッファがどういった中身(トランジスタ等の回路構成)
で、プルアップ、グラウンドクランプ等はどういった特性なのかを確認したいと思っております。
良い参考書等はありますでしょうか?
299774ワット発電中さん:2006/08/23(水) 20:44:48 ID:AdFnOeep
>>298
>実際のバッファがどういった中身(トランジスタ等の回路構成)で、
>プルアップ、グラウンドクランプ等はどういった特性なのか・・

IBIS モデルをいくら調べてもその情報はありません。IC の内部等価回路 (データシート等
で公開されていることもある) あるいは SPICE モデルを調べなくてはなりません。

The core of the IBIS model consists of a table with current, voltage and timing information.
ttp://www.xilinx.co.jp/products/design_resources/signal_integrity/si_whyibis.htm
IBIS モデルの中核は、電流と電圧そして応答タイミングの対応表です。
300774ワット発電中さん:2006/08/24(木) 14:31:48 ID:u0MMNiql
>>299 はちょっと言いすぎかも。逆を考えて、こんな IC 内部等価回路を仮定すれば
(あるいは SPICE モデルを使えば) ターゲットの IBIS モデルにとてもよく合致する、
というのを漸近的に求める方法もあり得ますね。あまりお勧めできない方法だけど。
301774ワット発電中さん:2006/09/01(金) 20:21:23 ID:m53944Eo
教えてください。

LTSpiceを使っています。
任意の時間後にオンやオフができるスイッチに相当する部品は、標準で含まれていますか?
代替する方法でもいいです。
回路にスイッチが含まれていて、人が3秒後にオンして5秒後にオフする、とかを
シミュレーションに反映させたのです。
302774ワット発電中さん:2006/09/01(金) 22:12:30 ID:EAYHQGyZ
>>301
ttp://radio.s56.xrea.com/radio/src/radio0962.png
こんな風にします。周期的に ON/OFF するなら制御信号は PULSE(・・) でいいのですが、
非周期的に ON/OFF したいときは PWL(・・) を使います。
303774ワット発電中さん:2006/09/02(土) 03:10:18 ID:K961zW02
そう言えば、以前どこかでスイッチのチャッタリングをどうやって表すか、という話が
あったような気がします。とうとう具体例は出てこなかったみたいだけど。
2種類ほど作ってみました。 ttp://radio.s56.xrea.com/radio/src/radio0964.png

もっとやるなら、実スイッチの出力を PC のオーディオ入力で受けて .wav ファイルにして
おきます。これを LTspice で読んで直流分を再生し、別の .wav ファイルに書き出します。
使うときは、電圧源 Vxxx n+ n- wavefile=<filename> [chan=<nnn>] です。(これは LTspice 特有の機能です。) 
もちろん A/D 変換ボードを持っているなら、もっと簡単・正確に再現できるでしょう。
304774ワット発電中さん:2006/09/03(日) 14:44:29 ID:32xPxArY
>>302
ありがとう。できました。
ところで、.modelのカッコ内の意味って、HELPのどこかに載ってますか?
探したけど見つかりませんでした。
305774ワット発電中さん:2006/09/03(日) 20:05:49 ID:1QefKbH1
>>304
SW のモデルの説明なら、ヘルプの LTspice → Circuit Elements → S. Voltage Controlled Switch
にあります。
OR 論理や差動シュミットトリガーは Appendixes → Symbols → Digital にあります。
306774ワット発電中さん:2006/09/03(日) 20:15:22 ID:1QefKbH1
質問でも、答えでもないのですが、多重帰還2次 BPF をシミュレートしてみました。
CR 値の計算式がなかなか見つからない。最初にブチ当たったのは中心周波数 f0, Q,
利得 G0 とも目安にしかならないヒドイしろものでした。そこで、
http://focus.ti.com/lit/an/slod006b/slod006b.pdf
を参考にして連立方程式を数式処理プログラムで解きました。

solve(Q = %PI*f0*R2*C, R2);
 [R2 = Q/(%PI*C*f0)]
R2 : Q/(%PI*C*f0) $
solve(G0 = R2/(2*R1), R1);
 [R1 = Q/(2*%PI*C*f0*G0)]
R1 : Q/(2*%PI*C*f0*G0) $
solve(f0 = sqrt((R1 + R3)/(R1*R2*R3))/(2*%PI*C), R3);
 [R3 = Q/(4*%PI*C*f0*Q^2-2*%PI*C*f0*G0)]
factor(Q/(4*%PI*C*f0*Q^2-2*%PI*C*f0*G0));
 [Q/(2*%PI*C*f0*(2*Q^2-G0))]

これを SPICE 語に翻訳したものを次に示します。
http://radio.s56.xrea.com/radio/src/radio0970.png
V(o)/V(sn) は OP アンプのオープンループ利得、
V(i)/V(f) はフィードバック回路の利得の逆数、よって
(V(o)/V(sn)) / (V(i)/V(f)) はフィードバック量を表します。

AC シミュレーション結果は計算値より f0 が少し小さく、Q が少し大きくなっています。
50kHz で Q=10, G0=1 のフィルターともなると、GBW が 10MHz ではやや不足で、15MHz
くらいは必要なことがわかりました。
307306:2006/09/03(日) 20:24:45 ID:1QefKbH1
ちょっと反省。「フィードバック回路の利得の逆数」なんて、なぜそんなにヒネるのだ。
radio0970.png の2段目のグラフを描いたとき見やすいから、という理由だけです。
普通の人は素直に (V(o)/V(sn)) * (V(f)/V(i)) のグラフを描いて下さい。
308774ワット発電中さん:2006/09/05(火) 16:25:07 ID:uYDWTzRK
CadenceのspectreをCore 2 Duoで走らせてみた人いませんか?
トランジスタレベルの過渡解析がPentiumに比べてどれぐらい速いのか気になるのですが。
309774ワット発電中さん:2006/09/05(火) 22:18:36 ID:oKW6QcSj
>>305
見つかりました。精進します。
310774ワット発電中さん:2006/09/05(火) 23:40:41 ID:LDf8g+eQ
>309
精神論をいくら説いても、半導体 (の組み合わせ) は思ったようには動かない。
311774ワット発電中さん:2006/09/06(水) 20:08:31 ID:VlZFcmpl
え? 別に説いてはいませんが・・・・
「私が」精進します、という意味で書いたんですけど。
312774ワット発電中さん:2006/09/09(土) 16:52:28 ID:tbdYG0xD
悪かった。ごめん。
313774ワット発電中さん:2006/09/09(土) 18:23:09 ID:ilwvZzFd
誤読晒しage
314774ワット発電中さん:2006/09/09(土) 18:52:00 ID:CsHFFYhi
当たり前と言えば、当り前。 しかし技術屋さんは、手を抜くのよ。

理論通りに設計しても、シミュレーションと設計結果が合ってしまうと
動くと思うのよ。そこが最大の失敗。 現実の設計とシミュレーション
の食い違いを洗いざらい列挙して、その食い違いで何が起きるか予測する
ことが大事、それを完璧に行なうと失敗をしなくなる。

沢山失敗してきたひとりの技術屋として 時間がないから、最初は大雑把だけど
山場に差し掛かると手を抜くな、でしょうか?

低電圧、CMOSのλおよび短チャンネル効果は、無視できない。
特に短チャンネル効果の L の依存性は、充分把握しておく事ですな。
315774ワット発電中さん:2006/09/10(日) 13:00:07 ID:y2EgmMi/
CMOSのλ は、BIp のアーリ電圧に相当する補正項の係数のことですね
316774ワット発電中さん:2006/09/10(日) 18:01:46 ID:0N06ueZN
>314 さんは回路設計のことを言っているのか、半導体 IC の設計のことを
言っているのか、ちょっと不明。
317774ワット発電中さん:2006/09/10(日) 18:27:13 ID:W87sNTU3
質問です。
現在手元に100Ωの抵抗がありますが、
100Ωの抵抗の後、3本に分ければ33Ωになりますか?
318774ワット発電中さん:2006/09/10(日) 19:58:21 ID:ZmMvmeYM
そういえば、幅の長〜い表面実装抵抗器があって、好きに割って使う。
生産・在庫管理が超楽! というネタ話があった。
319774ワット発電中さん:2006/09/10(日) 20:02:09 ID:sW/11cOk
>316
 両方じゃないの?
320774ワット発電中さん:2006/09/10(日) 20:15:21 ID:sW/11cOk
>317
 100Ω を3本パラレルにつなげば、33Ω なるんじゃないの?
321774ワット発電中さん:2006/09/10(日) 20:15:22 ID:+6xDCEUF
>>317
100オームを並列につなげばいいよ。
小学校で習ったよ。
322774ワット発電中さん:2006/09/10(日) 20:15:30 ID:GPV/t9fY
>>318
ネタじゃなくマジレスすると、チップ抵抗をダイヤモンドやすりでトリミングして使った事有るよ。
10MΩのチップ抵抗を100MΩとか普通に出来る。
慣れると一段低い抵抗値を使ってトリミングして必要な抵抗値に合わせこみ、0.1パーセントでも追い込める。
323774ワット発電中さん:2006/09/10(日) 20:47:53 ID:ZmMvmeYM
>>322
明日、一ヵ月後、一年後、10年後くらいまでは、その抵抗値のままで
いてほしいものです。
324774ワット発電中さん:2006/09/11(月) 00:01:12 ID:f2sC5iza
>>322
詳細よろ
長文でもいいからkwsk聞きたいw
325774ワット発電中さん:2006/09/11(月) 19:08:22 ID:OtHXbeM5
>324
構造が http://www.cypress.ne.jp/f-morita/parts/register/r_chip.html みたいだとすれば、
┌─v─┐
│    │
└──-┘
上記 "v" のように削るんじゃなかろうか。
326774ワット発電中さん:2006/09/18(月) 14:14:43 ID:hvCHSWA8
327774ワット発電中さん:2006/09/19(火) 07:31:27 ID:CrzIo9yr
328774ワット発電中さん:2006/09/19(火) 12:55:48 ID:U7M4lKgr
329774ワット発電中さん:2006/09/19(火) 13:09:18 ID:U7M4lKgr
  ↑ こんなのもあったよ
http://www.txc.com.tw/download/TECH_PAPER/2004-SIAWDFMCS-1%20(English).pdf
330774ワット発電中さん:2006/09/19(火) 14:16:28 ID:WsuoKVwW
 ↑ 発振回路設計 非線形領域では困難なので線形領域で設計 振幅不足ならアンプ追加
http://www.epsontoyocom.co.jp/C_support/precautions/precautions_1.html
http://www.epsontoyocom.co.jp/C_support/precautions/precautions_2.html
331774ワット発電中さん:2006/09/19(火) 14:37:52 ID:WsuoKVwW
332774ワット発電中さん:2006/09/21(木) 20:17:07 ID:HkLNpEY+
今まで「FIR フィルターを SPICE で表現するのはメチャめんどう!」
だとばかり思い込んでいたのですが、こんな方法を思いつきました。
http://radio.s56.xrea.com/radio/src/radio1012.png

タップ数は 32 で、フィルター係数は sin(x)/x の形にしてあります。
文字が多いですね。決まりきった部分は別ファイルにして ".include" すれば回路図が
すっきりします。心配したシミュレーション所要時間も思ったより短いようです。
Maxstep をどのような基準で設定したらいいのか、それはまだ思案中です。
これは LTspice ですが、ほかの SPICE にも応用できます。
333332:2006/09/22(金) 09:44:30 ID:jUSB/Zbn
続報。Maxstep は、けっこうどーでもいいみたいです。この例での 1MHz (1us) の
サンプル&ホールド回路をシミュレートできれば、あとは 1us 以下の変化は無視して
いいわけですから。
V(in) を使っていなかった「おバカ」を改め、今度は (sin(x)/x)^3 の形の係数で
シミュレートしてみました。
http://radio.s56.xrea.com/radio/src/radio1015.png
http://radio.s56.xrea.com/radio/src/radio1016.png
334774ワット発電中さん:2006/09/23(土) 21:53:44 ID:IVlTtwxw
A/D 変換器用の 2次 ΣΔ (ΔΣ?) 変調器を試しています。あれまあ、6次くらいの
フィルターをつけただけで、結構まともな信号が得られます。ホントかな。
http://radio.s56.xrea.com/radio/src/radio1018.png
V(o3) は V(q) 信号を3次 LPF に通したものです。
V(o4) は V(o3) を別の3次 LPF に通したものです。これらの LPF はデジタルフィルターです。
3次デジタル LPF と言ってもいろいろあります。内容はまだ検討中です。
335334:2006/09/24(日) 13:43:11 ID:yRk8JxCm
デジタルフィルターはこんなのにしてみました。これは3次フィルターのユニットです。
B1, A3, A4 が一次フィルター、遅延線の周囲が2次フィルター (双一次型) で、
これらを継続接続しています。
http://radio.s56.xrea.com/radio/src/radio1019.png

全体ではこれを3個 + 一次フィルター1個で、10次相当になります。(fc や Q の
設定はいいかげんです) なんとか「18bit ADC」と名乗れる位の性能が得られました。
もちろん計算上の話ですが。データ帯域は DC 〜 1kHz、クロック周波数 100kHz です。
http://radio.s56.xrea.com/radio/src/radio1020.png

同じ種類のフィルターでなく別形式のフィルターをカスケード接続すれば、演算量が
少なくて済むかもしれません。「こんなフィルターはどうか?」と思いついた方は、ぜひ
お教え下さい。
(FIR 型は Fsample / Fcut-off が大きいので、タップ数が大きくなり過ぎるようです。)
336774ワット発電中さん:2006/09/24(日) 17:31:52 ID:RmG9h8jw
タップ数が多くて困るならケーキをタップリすればいいじゃない
337334:2006/09/25(月) 10:59:26 ID:EIlIr1vs
ちょっと発見。LPF にちょっとでもオーバーシュートがあると、それが段数倍されて
出力に出てきます。微小なノイズ源は私が作った LPF それ自身でした。
それを減らすと3倍くらい性能 UP できました。

一次フィルターの積分方法が、ちょっとショボイですね。あれって考えられる限り
一番簡単な方法。leap flog とかの方法も考えてみましょうか。そうした上で、
サンプル&ホールド1個と TLINE (遅延線・伝送線路) と電圧源で書けるかも。

現在のところ、デジタルフィルターの演算量は 1 サンプル周期ごとに
 V(d1) + k1*(V(in) - V(d1))
 V(d1) - A1*V(z1) - A2*V(z2)
 B0*V(z0) + B1*V(z1) + B2*V(z2)
これだけです。まだまだ余裕はあります。
338774ワット発電中さん:2006/09/25(月) 16:53:17 ID:lbR8gzpV
>>337 突っ込まれないうちに、洗濯しときます。
デジタルフィルターの演算量は 1 サンプル周期ごとに:
 V(d1) + k1*(V(in) - V(d1)) ← 4回
 V(d1) - A1*V(z1) - A2*V(z2) ← 3回
 B0*V(z0) + B1*V(z1) + B2*V(z2) ← 3回
これだけです。まだまだ余裕はあります。
339774ワット発電中さん:2006/09/26(火) 11:54:03 ID:1Bo/W9P0
ファンクションとして1ビットDACとして使っていると思うのだが、
こんなに減衰してよいの?
http://radio.s56.xrea.com/radio/src/radio1016.png
340339:2006/09/26(火) 14:09:14 ID:VEtjAyco
入力信号レベルがこうなのか? 誤解した。
http://radio.s56.xrea.com/radio/src/radio1016.png

実際の論理に落とした時の有効桁数を無視するなら使えそうだな。
サンクス それから気になったのは

>>334 は、good だが、>>335 は、bad だな。
341334-338:2006/09/26(火) 15:46:57 ID:yjDu+O3X
>>340
蟻さん10匹。

>入力信号レベルがこうなのか? 誤解した。
はい、その通りでございます。インパルスですので・・。

>実際の論理に落とした時の有効桁数を・・
それは結構簡単。V=floor(k*V(x)+0.5)/k を計算させます。int() はゼロ付近の振る舞い
が変ですので使いません。

> >334 は、good だが、>335 は、bad だな。
う〜ん。普通のデジタルフィルターはよくないのか〜。335 は無理に普通の
デジタルフィルターを使ったような面はあるけれど。
342334-338 :2006/09/26(火) 18:34:11 ID:yjDu+O3X
おとなしく 200 タップ以上の FIR を使う手はあるのだが・・・
343774ワット発電中さん:2006/09/26(火) 20:10:37 ID:7Md5itcf
こんばんは、LTspiceを使い始めたばかりなのですが質問があります。
抵抗の値をそのときその抵抗を流れている電流の関数にすることは可能でしょうか?
つまり抵抗の値は時々刻々と変化しある程度の時間がたつと収束するような感じになると思われます。
関数の変数に電流Iを用いることができれば
1.funcコマンドでIを含む関数の設定
2.抵抗の値を先の関数に設定
という手順で実現できるのではと思っているのですが手順1でつまずいております。
もしご存知でしたらぜひ教えてください。よろしくお願いします。
344334-338 :2006/09/26(火) 22:03:06 ID:yjDu+O3X
>>343
これじゃだめなんだろうね。
http://radio.s56.xrea.com/radio/src/radio1025.png

これは電圧源のほうに時間依存性を押し付けている。
抵抗器のほうに時間依存性を持たせると、それは周波数依存抵抗器であって純抵抗ではないね。
345774ワット発電中さん:2006/09/26(火) 22:16:07 ID:yjDu+O3X
>>343
これなら、どうですか?
http://radio.s56.xrea.com/radio/src/radio1026.png
346774ワット発電中さん:2006/09/26(火) 22:30:54 ID:MoMr4xwR
>343
「抵抗」が時間にそって収束性をもつようにしたいのか、電流の関数としたいのかはっきりしないが
後者が欲しいのなら、

I の関数 R(I) が与えられているとすれば、その「抵抗」とやらの両端の電圧 V(I) = I*R(I)
もまた I の関数。よって Current dependent Voltage source で
制御電流に自分自身の電流を指定した関数を書けばよかろ。
help で H の項を参照のこと。

時間の関数が欲しいなら時間にそって単調増加する電圧をもつ回路用意してその電圧を変数とした
Voltage dependent Current source 使って以下同じ。

347774ワット発電中さん:2006/09/26(火) 23:02:25 ID:0fcp6kOQ
>346
>制御電流に自分自身の電流を指定した関数を書けば

それをヘタにやると、「singular matrix, 行列式が0になってしまうので解けません」
とかいう意味のエラーにならなかったっけ〜。
348343:2006/09/27(水) 18:51:00 ID:E9ECASE7
>344-346
お返事ありがとうございます。
やはり現実にない素子(昨日は抵抗と言いました)をシミュレータで用いるのは難しいようです。
簡単に表すとR(I)=定数/電流I(t)というような素子を用いて最終的に一番よく電流が流れる
素子以外は抵抗がほぼ無限大までいくような回路を作りたかったのです。
わかりずらい説明で申し訳ございませんでした。
349774ワット発電中さん:2006/09/28(木) 00:43:38 ID:tI/U8zlZ
>>348
時間に比例する抵抗 (流れる電流が両端電圧に比例し、時間に反比例) なら
このように書けますが〜。
http://radio.s56.xrea.com/radio/src/radio1028.png
350774ワット発電中さん:2006/10/05(木) 15:42:31 ID:nloDqcAQ
LSI 内部の電源ノイズ、配線間クロストークを SPICE でシミュレーションする一方法

http://www.ednjapan.com/content/issue/2004/08/feature/feature01.html
351774ワット発電中さん:2006/10/05(木) 16:30:53 ID:bsMDarTD
突然すみませんが質問させてください。
PSpiceを使用しているのですが、その中に折れ線近似波というものがございます。
PWL(時刻1 電圧1 時刻2 電圧2 ……)というやつです。

HSpiceならさいごにRをつければ波形を繰り返してくれたのですが、PSpiceでの繰
り返しの仕方がわかりません。
ご存知の方おられましたらお願い申し上げます。
流れを遮って申し訳ないです。
352774ワット発電中さん:2006/10/05(木) 18:14:00 ID:OrEhzvM2
>>351
http://www.seas.upenn.edu/~jan/spice/PSpice_ReferenceguideOrCAD.pdf
ここなんかの page 145 〜 に PWL の使用例がいっぱい載っています。
動作を確かめてはいません。あしからず。
353IBIS:2006/10/05(木) 18:45:12 ID:MHzudeWo
一つお聞きしたいのですが、IBISモデルで周辺温度を考慮した解析ってできるのでしょうか?
[Temperature Range]って属性がIBISモデルにあるんですけど、これ解析に影響しないと思うんですが。
無理なら、やはりトランジスタレベルの記載にするしかないですよね?
354774ワット発電中さん:2006/10/05(木) 18:48:09 ID:R/JLHcvw
LSI 基板ノイズのシミュレーション解析

http://www.toptdc.com/d03research.html  
http://www.dsl.hiroshima-u.ac.jp/presen00/murasaka.pdf
355774ワット発電中さん:2006/10/07(土) 20:15:12 ID:soqlbzwo
>>353
よく知りませんが、できそうな感じです。PC 板 CAD 設計などに詳しい方にゆずります。
356774ワット発電中さん:2006/10/09(月) 08:43:48 ID:qTTC+j+O
>>352
わざわざお忙しい中お答えいただきありがとうございます。
すばらしい助言に大変助かります。
さっそく挑戦します!!
本当に感謝申し上げます。
351
357774ワット発電中さん:2006/10/14(土) 19:51:13 ID:pavOtHem
定電流回路(10mA)のつもりで書きました。
http://radio.s56.xrea.com/radio/src/radio1052.gif

Q2_VBEとR1の関係がキモで、これで回路の電流値が決まり、R2はQ1に電流を流す役目、
もしくはQ2がオープンコレクタになるからのプルアップするため、そんな認識です。
よって、R2は適当でいいと思ったのですが、実際にはかなり影響があるようです。

これはどういう理屈なんでしょうか。
358774ワット発電中さん:2006/10/14(土) 20:29:10 ID:/eDDQ/Ah
北極と南極の抵抗は何オームぐらいですか?
359774ワット発電中さん:2006/10/15(日) 05:27:28 ID:IUHllDRo
>>357
R2 (R5) は2段増幅器の1段目のコレクター負荷抵抗になっているので、
これを変えると直流増幅器としての動作点が変わります。

高ループ利得を期待するなら、R2 (R5) に流れる電流が Q1 (Q3) のベース電流の
2倍とか5倍とかになるように、R2 (R5) の抵抗値を決めます。以上棒読み。
360774ワット発電中さん:2006/10/15(日) 06:51:46 ID:M5VrHI7P
>>357
http://radio.s56.xrea.com/radio/src/radio1053.png
NPN Tr には、もう少し現実的なパラメーターを指定したほうがいいのではないかと思います。
.model で指定することもできます。
定電流性を望むなら、図のように R1 は直接 V1 電源に接続すべきでしょう。
SPICE では電流の検出用に、0V の電圧源を使うのが普通です。図の V2。
(Ic(Q1) を直接に指定することもできます。)
.dc ドットコマンドで V1 電源電圧をスイープして、結果をグラフに書けます。
361774ワット発電中さん:2006/10/15(日) 07:10:37 ID:M5VrHI7P
>>358
昔のフランス人は偉かった。なんせ地球の周囲の長さを実測して (+推算) 1m の長さを決めた。
それに習って、実測してみよう。
362774ワット発電中さん:2006/10/15(日) 15:44:58 ID:tBM1wXPw
>>359
二段増幅器とか直流増幅器とか高ループ利得とか言われて理解できるようになりたいです。 orz

>>360
> 定電流性を望むなら、図のように R1 は直接 V1 電源に接続すべきでしょう。
もともとCRDの代わりにできるモジュール(=2端子)のつもりで描きはじめたためですが、
動作内容を云々する場合はそうすべきでした。

同様の回路で100kのところを10kや1kに変えてみたのですが、8.5mA、9.5mA、10.5mAぐらいに
異なっていました。これはどうしてですか?
抵抗値が大きいほど、電源電圧が高くならないと定電流にならないのですが、
これはQ1のベース電流が足りないせいだろうと思います。十分電圧が上がったら、
同じ電流値になりそうな気がするのですが、ならないのはどういうわけでしょう。
363774ワット発電中さん:2006/10/15(日) 16:45:30 ID:M5VrHI7P
>>362
回路を2段直流増幅器、負帰還ありの形に書き直しました。
http://radio.s56.xrea.com/radio/src/radio1054.png

なぜと言われても〜 答えに困ります。上記の回路図で、
Ic(Q2) = hFE_Q2 * Ib(Q2)
Ib(Q1) = (Vcc - Vb(Q2)) / R1 - Ic(Q2)
Vb(Q2) ≒ 2*0.6 (概略値)
Ic(Q1) = hFE_Q1 * Ib(Q1)
Ib(Q2) = Is*(exp(Vb(Q2)/(Nf*VT)) - 1) (注. VT = K*T/q = 0.026V @ 27℃)
 (Is の典型値は 1e-14、Nf の基準値は 1.0)
Vb(Q2) = (Ie(Q1) - Ib(Q2)) * R2 (注. Ie(Q1) >> Ib(Q2) です)
Ie(Q1) = Ic(Q1) + Ib(Q1)

こんな連立方程式を解くと、Vcc や R1 と Ic(Q2) (または Ic(Q2) + I(R1)) の関係
がわかります。とは言っても、それを「数値的に」解いているのが SPICE なんですが。

情緒的・感覚的な「桶屋が吹くと風が儲かる」ようなたとえ話は、得意な方にゆずります。
364363:2006/10/15(日) 16:54:25 ID:M5VrHI7P
ごめんなさい。式が間違っていました。訂正。(Vb(Q2) → Vb(Q1) 2箇所)
Ic(Q2) = hFE_Q2 * Ib(Q2)
Ib(Q1) = (Vcc - Vb(Q1)) / R1 - Ic(Q2)
Vb(Q1) ≒ 2*0.6 (概略値)
Ic(Q1) = hFE_Q1 * Ib(Q1)
Ib(Q2) = Is*(exp(Vb(Q2)/(Nf*VT)) - 1) (注. VT = K*T/q = 0.026V @ 27℃)
 (Is の典型値は 1e-14、Nf の基準値は 1.0)
Vb(Q2) = (Ie(Q1) - Ib(Q2)) * R2 (注. Ie(Q1) >> Ib(Q2) です)
Ie(Q1) = Ic(Q1) + Ib(Q1)
365774ワット発電中さん:2006/10/16(月) 01:01:18 ID:NrnIZUX4
余談になりますが、低い電圧から電流が立ち上がる回路として、こんなものが考えられます。
http://radio.s56.xrea.com/radio/src/radio1055.png
366774ワット発電中さん:2006/10/17(火) 18:23:29 ID:iqNz2aEO
自己宣伝ですが、私の Web ページ http://www.d1.dion.ne.jp/~river_r/bell/ 
に、デルタシグマ A/D 変換 (2) の項を追加しています。
http://www.d1.dion.ne.jp/~river_r/bell/dsadc/dsadc.html
辛辣なご批判・ご感想など、大歓迎です。
367774ワット発電中さん:2006/10/18(水) 22:07:51 ID:DKoB/GvI
>>364
やっと時間が取れたので考えてみたんですが・・・・
コレクタ電流はベース電流のhFE倍、エミッタ電流はコレクタとベースからの合計、など
私の既知のものを省いて、代入できるものをしてみると、疑問はこの中にありそうです。

1) IbQ1=(Vcc-1.2)/R1-IcQ2
2) IbQ2=1e-14*(exp(VbQ2/0.026)-1)
3) VbQ2=(IeQ1-IbQ2)*R2 (注.IeQ1>>IbQ2)

expの計算ができないので、具体的な数値を代入しての計算はできませんが・・・・
まず3)は、Q2を駆動する電流以外がR2に流れてVbQ2が発生します。
2)は、発生したVbQ2の変化は、うんと激しいIbQ2の変化になると言っている気がします。
当然IcQ1もそれに比例してうんと激しく変化して、1)のIbQ1をうんと激しく制限します。

・・・・となると、R1の意味がなさそうなんですが。
2)の解釈が間違ってる?
368774ワット発電中さん:2006/10/19(木) 16:39:45 ID:M8d1L12y
>>367
VT = 26e-3
VbQ2 = IcQ1 * R2 (★ IcQ1 ≒ IeQ1 、IbQ2 << IeQ1 とした簡略式)
IcQ2 = hFEQ2 * Is * (exp(VbQ2/(1.0*VT)) - 1)
 上記を次式に代入します。
IcQ1 = hFEQ1 * ((Vcc - VbQ1)/R1 - IcQ2)
 すると、
IcQ1 = hFEQ1*((Vcc - VbQ1)/R1 - hFEQ2*Is*(exp(IcQ1*R2/26e-3) - 1))

exp() があるので代数的方法では解けず、右辺にも IcQ1 が出てきています。
逐次的に解くのなら、初期値を IcQ1 = 10mA 、VbQ1 = 2*0.6V と仮定して漸近計算をします。
SPICE はそのような計算をしてくれます。

http://radio.s56.xrea.com/radio/src/radio1070.png
問題の回路は2端子回路として扱い、R1 を 100k, 47k, 22k と変えて3本のグラフを書きました。
下段のグラフ d(V(a))/d(-I(V1)) は2端子素子の動作抵抗を示しています。
(理想定電流素子なら ∞Ω)

それとも、質問の真意は回路定数の決め方 (特に R1) でしょうか?
369368:2006/10/19(木) 19:43:01 ID:M8d1L12y
私はすぐ計算間違いをするので、ちょっと検算。
hFEQ1 = 300 : hFEQ2 = 300 : Is = 1e-14
Vcc = 12 : R1 = 47e3 : R2 = 56
VbQ1 = 1.33 : IcQ1 = 8.3622e-3

iR1 = (Vcc - VbQ1)/R1 = 0.00022702
iQ1 = hFEQ1*((Vcc - VbQ1)/R1 - hFEQ2*Is*(exp(IcQ1*R2/26e-3) - 1))
= 0.0083652 (IcQ1 の初期値とほぼ等しくなりました)
iQ1 + iR1 = 0.0085922 (2端子回路の電流)

SPICE で計算した値と比べると 20% も小さいのですが、これは Tr の Rb, Re
を考慮していないからでしょう。Rb, Re が 0 でないと、同じベース電圧を加え
ても Ic が少なくなります。同程度の Ic を流そうとすると Q2 のベース電圧を
大きくせざるを得なくなり、結果として回路電流を増やすように働きます。
370774ワット発電中さん:2006/10/19(木) 22:36:27 ID:v1hYf5FE
えーと・・・・

初めはトランジスタは両方オフで電流はゼロ。

0.65Vあたりを超えるとQ1が動き出して、電流がどんどん流れ出す。
グラフは立ち上がる。でもR1が大きすぎると、IbQ1がなかなか十分に
流れないから、グラフはなかなか立ち上がりきらない。

1.3Vを越えたあたりからQ2も動き出す。R2で発生する電圧とVbQ2が
同じ電圧になるように、Q2はIcQ2としてIbQ1を引っこ抜く。グラフは寝てくる。
どんどん電源電圧が上がると、Q2は無駄分をどんどん引っこ抜く・・・
抜きたいんだけど、たくさん流すためにはIbQ2を増やさないといけない。
増やすとVbQ2もちょっとだけ上がる。するとR2に発生する電圧もちょっとだけ
上がらざるを得なくなって、IcQ1もちょっとだけ増えてしまう。

「ちょっとだけ」の増分は、電源電圧とR1の大きさに依存する。
こんな感じでしょうか。
371774ワット発電中さん:2006/10/19(木) 22:52:05 ID:v1hYf5FE
「ちょっとだけ」を少なくするには、Q2がうんと増幅できればいいと思ったんだけど、
なんで変わらないんだろう。

2N3904→NPNx
.model NPNx NPN(Bf=1000000)
372368:2006/10/19(木) 23:47:11 ID:M8d1L12y
>>371
それじゃあまあ、こんなのはどうですか。(OpAmp は独立電源で動作)
http://radio.s56.xrea.com/radio/src/radio1071.png
動作抵抗のグラフ (下段) にギザギザが見えますが、まあ許してやって下さい。
Q1 のベース - Gnd 間に適当な容量のコンデンサーを接続すると、高周波での
定電流性能が向上します。(周辺の部品定数の設定を誤ると発振します)
373774ワット発電中さん:2006/10/20(金) 04:09:13 ID:YQRlw7/1
夢の中で呼ばれた気がしたので起きてきましたw

「ちょっとだけ」云々は、VbQ2とIbQ2(もしくはIcQ2)の関係ですね。
あのダイオードでおなじみの、ぐいーんと駆け上がるグラフ。
hFEをどうこうしても、IbとIcの関係が変化するだけで、VbとIcの関係には関係はない。
やりたいのは駆け上がりを急峻にすることで、それには素子単体では無理っぽい。
(exp云々がpn接合とかシリコンとか何か物理的な物性から来てるニオイがする)
で、TL431のモデルをもらってきてR2を調整してみたら、定電流域でのR1による差はなくなりました。
ttp://plaza.rakuten.co.jp/ftechworks/diary/20060612/

・・・・寝ぼけて解ったつもりになってるだけかもしれないけど。

>>372
オペアンプは、本から回路をコピペして使ってるレベルなのでよく解らないのですが、
たぶん0.6VとR2で発生する電圧とを比較してQ1をコントロールしているんでしょうね。
R1はオペアンプ内部でオペアンプの電源につながってる、ような感じでしょうか。

寝てる途中なので、とりあえずおやすまさい。
374774ワット発電中さん:2006/10/22(日) 22:55:49 ID:2rzKpTjK
N-ch MOSFET ×2 によるハーフブリッジ回路を試しました。100W とかそれ以上の電力を
考えています。ハイサイド MOSFET の gate はブートストラップ電源でドライブします。
約 10V スイングする Vgs の、ハイサイドドライバーが、なかなかまともに動かない。

http://www.intersil.com/product_tree/Power_MOSFET_Drivers.asp
ここの EL7212 データシートを読んで SPICE モデルを試すと、High Side のドライブがめちゃ変!

.sub ファイルの中身を見ると、「 g1 11 0 15 0 -940u 」なんて書いてありました。
えっとお兄姉さん、そこの「 0 」はグローバル Gnd なので・・ それじゃだめですよ。
「 g1 11 3 15 3 -940u 」に修正すると一応は動作しましたが。

ハイサイド ON 時 の dv/dt によって、ローサイドの FET が半端に ON して貫通電流が
流れてしまいます。その大きさが半端じゃないので、使用を取りやめました。
結局、このような単純な回路↓のほうが、けっこうまともに動きそうです。
http://radio.s56.xrea.com/radio/src/radio1086.png
Vs は G に対して 8 〜 12V に保ち、G 端子は FET のソースに接続します。
in 端子から 4 〜 5mA の電流を流し出すと out 端子に gate を接続した N-ch FET が ON します。
375774ワット発電中さん:2006/10/27(金) 00:54:42 ID:71GX7CHi
TEST
376374:2006/11/01(水) 20:51:56 ID:3zAE0Bmy
>374 ですが、補足。
使用をとりやめた EL7212 のことですが、用途によっては性能に文句はありません。
しかし N-ch MOSFET ×2のハーフブリッジドライバーとして使うとき (2個使用)、
OFF → ON 時のゲート dv/dt と、ON → OFF 時のゲート dv/dt を独立に制御できたなら、
もっと用途が開けたように思います。
しかし大昔の DS0026 (MH0026 かな) とピンコンパチブルにするという開発方針が
あったそうですから、そうそう勝手なことはできませんね。つらいところです。
377774ワット発電中さん:2006/11/03(金) 02:28:06 ID:G65yV548
 
∩_      
           〈〈〈 ヽ    
          〈⊃  }    
   ∩___∩  |   |   
   | ノ      ヽ !  
  /  ●   ● |  /   
  |    ( _●_)  ミ/  
 彡、   |∪|  /    
/ __  ヽノ /    
(___)   /     
  こいつアホ      
378774ワット発電中さん:2006/11/03(金) 21:01:28 ID:aS50VdZu
アホ言う前に指つないどけ
379774ワット発電中さん:2006/11/04(土) 16:45:14 ID:WHJ6i923
いやあね、こんなの↓も試してみましたyo。 ばかですね〜
http://radio.s56.xrea.com/radio/src/radio1119.png
380774ワット発電中さん:2006/11/11(土) 12:39:21 ID:uqpXIACl
           ∩_      
           〈〈〈 ヽ    
          〈⊃  }    
   ∩___∩  |   |   
   | ノ      ヽ !  
  /  ●   ● |  /   
  |    ( _●_)  ミ/  
 彡、   |∪|  /    
/ __  ヽノ /    
(___)   / 
 やりなおしてキメとくクマ!
381774ワット発電中さん:2006/11/11(土) 21:43:19 ID:w72LBbxh
外見はつながっても、神経まではなかなかうまくいかないらしいよ。
丸鋸で落とした人が言ってたから。
382774ワット発電中さん:2006/11/22(水) 21:04:44 ID:AltDRAHu
AC100VにつなぐLED照明を作ってみました。
抵抗、コンデンサ、LEDモジュール、を直列に接続しました。
LEDモジュールとは、「LED5直+整流用ダイオード」の組を、逆向き並列につないだものです。
LTSpiceを使っています。

Q1.
抵抗の消費電力を見たくて、I(R1)*(V(n002)-V(n001))などと入力しましたが、
いちいち式を入力しないですむ方法はありませんか?

Q2.
脈流になるので、消費電力も脈打っています。
ピーク電力に合わせると、余裕がありすぎる気がします。
平均値(実効値?)を見る方法を教えてください。
383774ワット発電中さん:2006/11/23(木) 01:13:00 ID:62oHdnaB
>>382
単純に電流制限抵抗をLEDにつないでんの?
万が一その方式だったら、電力->光変換効率が悪くなるよ。
LEDドライブ用ICが出てるからそれを使うと消費電力が減り、
LEDの高効率が出せるよ。
ICはMaxim社だったかな。
384774ワット発電中さん:2006/11/23(木) 01:44:33 ID:iGeHei8n
>>382
Help → Waveform Viewer → Trace selection のまんなかあたりで、
 Yet another schematic probing technique is to plot the instantaneous
 power dissipation of a component. To do this, hold down the Alt key and
 click on the body of the symbol of the component. ・・・
などと、画像入りで説明されています。(scad3 Version 2.19i の場合)

このあと Ctrl キーを押しながらトレース名 (式) を左クリックすると、
平均消費電力と区間積分値が求まります。区間積分値から真の RMS 消費電力が計算できる
でしょう。くり返し波形の場合は、表示区間をくり返し周期の整数倍に設定します。
短く書きすぎた気もします。追加質問して下さい。

>>383
直列に抵抗とコンデンサーを入れているそうですよ。それでも力率が悪いのは確かだけど。
385384:2006/11/23(木) 01:56:18 ID:iGeHei8n
最新の scad3 (LTspice) は Version 2.19k です。最近すごい速さで細部が改定されている模様。
386774ワット発電中さん:2006/11/23(木) 13:21:14 ID:42RndnmG
>>384
うまく表示できました。

>>383-384
シミュレーションしてみると状況によって6kAとか流れたんで、
2k?の抵抗を入れました。60mAぐらいに収まっています。
試しに電源直後に0.1?をつけると300A程度に収まります。
実際にはこのぐらいなんでしょう。

LEDに流れるピークは11.5mA程度、Averageは3.0mA程度、RMSは5.3mAほどでした。
半波で脈流なので平均3mAは分かるのですが、RMSのほうはどういう意味なんでしょうか。
LEDに流す値としては、どちらを目安にするものなのでしょうか。
387774ワット発電中さん:2006/11/23(木) 14:06:32 ID:42RndnmG
>>386の「?」は「オーム」です。
?は表示できないのか・・・Ωもダメかな?

考えてみれば、ダイオード2本増やして、
抵抗、コンデンサ、ブリッジダイオードで整流、LED10直、の方が
似たような回路だけど4倍明るいですね。一度に倍の数、倍の周期で光るわけですから。
消費電力もたいして変わらないし。
効率アップしたこの回路でも、全体の45%が抵抗で熱になるようですが。
388774ワット発電中さん:2006/11/24(金) 01:14:46 ID:1DSK0fcG
簡単なおぺあんぷ回路のトランジェント解析で電源投入とともに発振にいたるのをシミュレーションするにはどうしたらいい?
389774ワット発電中さん:2006/11/24(金) 15:34:34 ID:TofliMoG
それには>>388に実行力と、若干の創意工夫力を加えればできるんじゃない。
390774ワット発電中さん:2006/11/26(日) 03:12:06 ID:X/OQSGwr
>>382
ごめんなさい。>384 の
「・・区間積分値から真の RMS 消費電力が計算できるでしょう」
のあたりは間違っていました。どちらでも真の電力が求まるようです。
http://radio.s56.xrea.com/radio/src/radio1158.png
このようなのを実際にやって、順番に確かめていくべきでした。失礼しました。

>>386-387
シミュレーション回路等を具体的に教えてもらったほうが話が早いと思います。
391774ワット発電中さん:2006/11/26(日) 19:45:10 ID:O0wQN1HD
>>386は、よくある半波整流の電流波形と同じで、測定結果のピークとアベレージとRMSの意味が
解らないというだけの話です。見た目からすれば、均せばアベレージぐらいになりそうだけど、
RMSってどんな有意な数字なんだろう、と。Wikiとか見てもようわからん。

>>387は、もう実際に作り変えちゃいました。追加部品はダイオード2本と
電流倍増のために容量追加用のコンデンサ。一度に倍の数、倍の頻度、倍の電流で、
都合8倍に明るくなるはずだけど・・・・まぁそれなりに明るくはなりました。
抵抗は1kΩ1/4W直2、コンデンサは0.22μF400V並2でやってます。

やっぱり直流定電流にしないと目一杯イケないよなぁ・・・と、チョッパ式の
定電流回路に挑戦してます。廃品利用のディスクリートで。
コイルは空芯で10μHぐらいなら巻けるから、何とかならないかなぁ・・・・と。

発振の原理がまだ飲み込めません。 orz
適当にシミュレーション掛けると、シリーズレギュレータになってます。 orz
392774ワット発電中さん:2006/11/27(月) 12:21:10 ID:aGRkRxbF
>>391
>・・測定結果のピークとアベレージとRMSの意味が解らない

ピーク=尖頭 は問題ないでしょう。残り2つについては、こちらの
「時間波形統計計算」中の「平均値」「実効値」を見てください。
http://www.onosokki.co.jp/HP-WK/c_support/tech_term/cf_fft/cf3_3.htm
(表現が離散データ向きになっていますが、元は連続波形に対する定義です。)

LTspice での実例は:
http://radio.s56.xrea.com/radio/src/radio1160.png
まずは全波整流電流波形の平均値です。
∫_0~π sqrt(2)*sin(x) dx = 2*sqrt(2) (区間 0 〜 π の定積分)
2*sqrt(2)/π ≒ 0.90031 = 900.31mA --- (*1)
RMS 値は、電流の向きを無視してよいので正弦波の場合と同じ 1A 。

半波整流の場合の平均値は (*1) の 1/2 で、900.31mA/2 ≒ 450.16mA 。
RMS 値は、正弦波の場合と比べて電力が半分、つまり電流は 1/sqrt(2) と
計算されますので、1A/sqrt(2) = 707.1mA 。

半導体回路設計ではピーク電流と平均電流は重要ですが、RMS 電流は
あまり使う場面を思いつきません。
393774ワット発電中さん:2006/11/27(月) 21:20:22 ID:Z8ChHCXo
> 半導体回路設計ではピーク電流と平均電流は重要ですが、RMS 電流は
> あまり使う場面を思いつきません。

そうですか・・・あまり有意でもないわけですね。

LEDは電流と明るさがほぼ比例しますが、脈流のときは平均値が明るさに
比例と考えていいんでしょうか。
だとしたら、もうちょっと流したいなぁ・・・・ピークがオーバー気味になるけど。
394774ワット発電中さん:2006/11/27(月) 22:30:07 ID:BQDOiwki
>>393
>脈流のときは平均値が明るさに比例と考えていいんでしょうか。

それは生理学の分野じゃないかな。30Hz とか 50Hz の点滅だと、ピークを
捉えることができるかも。いや、もっと低い周波数での点滅周期の応用は
実際にあるね。ストロボ灯台とか、自転車の「ここにいます」ライトとか。

>だとしたら、もうちょっと流したいなぁ・・・

LED 素子の限界まで使いたいなら DC 電流 (+ 空冷 or 水冷) しかないでしょう。
もちろん SW 定電流源は使えますョ。
395774ワット発電中さん:2006/11/28(火) 00:21:30 ID:Xtch1TCf
>>394
赤外線リモコンのLEDでは、瞬時ではあるが、かなり定格を超えた電流を
流さないと十分か距離まで赤外線リモコン受信が効かない・・・という噂は本当?
396774ワット発電中さん:2006/11/28(火) 00:33:18 ID:X4EyyJc1
>>395
その赤外線リモコンって、室内用の模型飛行機の制御とかの話ですか?
そりゃまあ、3倍5倍くらいの電流・電力でも、ちょっとの間だったら平気ですよ。
でもねえ、1年も3年も10年も動かしたいんだったら、定格は守ってくださいね。
397774ワット発電中さん:2006/11/28(火) 00:37:33 ID:Xtch1TCf
>>396
TVやVTR等の家電リモコンの赤外線LEDは定格電流内で
動作しているのですか?
398774ワット発電中さん:2006/11/28(火) 01:14:01 ID:t4LDL8GF
マトモな設計なら当然です。
399774ワット発電中さん:2006/11/28(火) 07:22:47 ID:X4EyyJc1
>398 さんの回答にワロタ。まあ2chなんだから、といって逃亡するオレだもんね。
400774ワット発電中さん:2006/11/28(火) 11:37:01 ID:X4EyyJc1
>>399 ですが、設計は至極マトモ。結果は「わかんないよ」。ごめんね。
401774ワット発電中さん:2006/11/30(木) 14:15:33 ID:90bSZt/q
>>396-400
LEDの定格電流は連続通電ですよね。
7SEG LEDなんからはダイナッミック点灯で、パルス電流で駆動しますが、
そのパルス上側の電流まで定格にすると光が暗くなり過ぎたりしませんか?

同じように赤外線LEDも瞬時のパルス電流なので・・・
光度が暗くてリモコンが効かない・・・ということはありません?
402774ワット発電中さん:2006/11/30(木) 15:27:59 ID:HP7IVcr9
>>401
ちゃんとしたデータシートには DC 順電流の最大定格と、パルス順電流の
最大定格が書いてあるけどな。
http://www.semicon.toshiba.co.jp/docs/datasheet/ja/Opto/TLN238(F)_ja_datasheet_040805.pdf
403774ワット発電中さん:2006/11/30(木) 17:29:07 ID:90bSZt/q
>>402
連続で100mAもIdが流せ、100Khz 1%duty比で1Aもの
大電流を流せる赤外LEDの紹介 乙です。
赤外リモコンの赤外LEDは
瞬時的に大きな電流を流している噂は本当かも?
404774ワット発電中さん:2006/11/30(木) 19:51:29 ID:HP7IVcr9
>>403
page 3 の後ろのほうにある、IFP ? Pw、相対IE ? IFP のグラフもぜひ見てください。
ほかに、周囲温度 50℃ 時の許容順電流は 65mA 強であること、
「放射強度は、赤外LED への通電により、時間とともに低下する経時変化が起こります。
放射強度の経時変化量を十分考慮し、回路設計してください」にもご注意。
405774ワット発電中さん:2006/12/01(金) 00:32:04 ID:HD+dO91s
横浜のヤクザ林一家林組は、経営しているカラオケ屋バンガーローハウス中華街店で、
カラオケをしている時に機械を使い脳に電波ではいり、人をもて遊んでいる
だれにもばれないとおもってやりたい放題。そして気づかれないように思考盗聴、自殺、突然死、、マインドコントロール、誰かをずっと好きにさせるなど。
痛みやいやがらせや声を聞かせることもできる。
406774ワット発電中さん:2006/12/01(金) 11:43:14 ID:DypUPdNN
いまHSPICEを使用しているのですが、PLOTで出力を表示させると小数点以下が3桁しか表示できません。
3桁以上表示させる方法はありますか?
407774ワット発電中さん:2006/12/01(金) 16:13:05 ID:mZCrxlPW
>>406
HSPICE は使ったことがないけれど、この辺に参考になることが書いてありそう。
http://www.ece.uci.edu/eceware/hspice_docs/hspice_and_qrg/hspice_2001_2-46.html
http://www.ece.uci.edu/docs/hspice/hspice_2001_2-52.html
何のことはない、「"hspice" plot number of digits」で Web 検索しただけです。
408774ワット発電中さん:2006/12/02(土) 17:54:11 ID:nQ1aAjye
http://radio.s56.xrea.com/radio/src/radio1171.png
3種のカレントミラー回路の AC 応答です。なるほど、グラフを見ると理由が
わかるような気もするけど、ここまではっきりとは知りませんでした。
(緑:2N2222, 青:2N3904。LTspice 使用です。)
USA Yahoo の LTspice user group の投稿ページは最近荒れてます。
http://tech.groups.yahoo.com/group/LTspice/message/13514 ← こいつが発端。
409774ワット発電中さん:2006/12/03(日) 00:55:43 ID:K1GuBOq5
SwitcherCADが使いやすいので時々使っているんだけれど、国産の
トランジスタのライブラリが無いのが難点。
みなさんどうしていますか?
わたしはネット上で見つけたスパイスモデルを使って、一個ずつ登録する
など、地道にやってます。
だれか、そういうのを公開しているサイトとか無いのかな?
410774ワット発電中さん:2006/12/03(日) 07:52:48 ID:MRNVA8/R
>>409
シリコンバイポーラ接合トランジスタ (BJT) は枯れた技術です。
小信号・中電力・大電力くらいに分類して、fT や Rb, Rc, Re を気にしていれば、
どんなモデルを使ってもシミュレーション結果はほとんど同じでしょう。
逆に、そのような差が問題になる回路は設計がよくない、とさえ言えるかも。

シミュレーション結果の理解のしかたの差もあるのでしょう。今使っている BJT モデル
は fT 高め/低め、Rb, Rc, Re 大きめ/小さめ だと知っていて、その分を差し引けば
現実にかなり合うと思います。fT は Cjc, Cje の大きさで微調整できます。
411774ワット発電中さん:2006/12/03(日) 11:20:25 ID:eMSdNBM2
>409
国内産だと Spice and model かね。

ttp://www.madlabo.com/mad/edat/spice/

実際には SPICE model index とかから似たの拾って地道に調整することのほうが多い。

ttp://homepages.which.net/~paul.hills/Circuits/Spice/ModelIndex.html

って、Spice model index も Spice and model もテンプレに無いってどういうことよ。


>410
汎用トランジスタでは凡庸な結果しか出ないとき、そっちに特化した
トランジスタを使うということは珍しくない。高hFE、低Vec(SAT)、超低Rbb、etc.
一方を立てればどこかにデメリットが出てくるはずであり、そのデメリットの出方を
みたいという時には>410の考え方ではどうにもならなかったりする。

というわけで uAオーダの洩れ電流があるような超低Vfショットキダイオードのモデル、どっかに転がってない?
普通にダイオードモデルで設定すると発熱のつじつまが合わねぇ。



412774ワット発電中さん:2006/12/03(日) 12:49:25 ID:F/1r1hTs
>>409
この辺から似たのを捜して使う。どうにも気になるのならパラメーターを調整すればいい。
ttp://www.nxp.com/models/index.html

>>411
漏れ電流による消費電力の値が実際と合わないという意味? 非線形抵抗を並列接続したらいいのでは。
413774ワット発電中さん:2006/12/03(日) 15:20:39 ID:EzER0HAk
LTspice で BJT の fT 対 Ic のグラフを簡単に描く方法はないでしょうか?
http://radio.s56.xrea.com/radio/src/radio1172.png
.op とか .ac + .meas で試しているのですが、簡単にはできません。
scad3.exe を外部プログラムから起動すれば可能なことはわかっているのですが。
414774ワット発電中さん:2006/12/03(日) 16:09:21 ID:gQK/fQBP
インダクタが分からないのでLTSpiceで簡単な回路で試したのですが、この結果は正しいのでしょうか。

電源にvoltageを使い、1s幅50Vの単発方形パルスを発生させます。
負荷は1Hのインダクタだけです。
インダクタの電流は、一次関数的に増えて0A→50Aとなります。ここまでは想像どおり。
そして電源が0Vになったあとも、インダクタには50A流れ続けます。
一次関数的に50A→0Aに減らないのですか?
415774ワット発電中さん:2006/12/03(日) 16:30:05 ID:F82NCcNg
そりゃ超電導磁気エネルギー貯蔵だな
416774ワット発電中さん:2006/12/03(日) 19:00:34 ID:EzER0HAk
>> 414
初心者質問スレ か 理論・回路の質問 の領分のようですが、
VL = d IL dt  インダクターの両端電圧は、流れる電流の時間微分。
∫VL dt = IL  インダクターの電流は両端電圧の時間積分。
417774ワット発電中さん:2006/12/03(日) 19:45:15 ID:eMSdNBM2
>>411 漏れ電流による消費電力の値が実際と合わないという意味?

そう。たとえばスイッチング電源のスイッチに使うとすると、オン時とオフ時での消費電力が
同じくらいになるデバイスなのでオフ時のモデルの出来が効率評価にひびく。
シミュレーションコストに響く部品なので出来ればダイオードモデルの中でなんとかしたいのだけど、

>非線形抵抗を並列接続したらいいのでは。

これくらいするのがバランスとれてるのかしらん。

418774ワット発電中さん:2006/12/03(日) 20:32:34 ID:q0ADU6PB
> インダクターの両端電圧は、流れる電流の時間微分。
> インダクターの電流は両端電圧の時間積分。
微積は意味不明な公式どおりに計算問題をやった程度でよく分からんのですが、
試しに電流源で0A->50A->0Aをやってみたら、+50V->-50Vの方形波になりました。
電圧源でこの逆も確認できました。
傾き(変化量)が電圧になるってことですか。自転車操業みたいで落ち着かないですねぇ・・・・

うーん、じゃぁその大きめのインダクタに電流をがーっと流して、切って、
何秒か経ってから触ると、飛び上がったりするんでしょうか。
コンデンサでは体験あるけど、でっかいインダクタってないし・・・・
419774ワット発電中さん:2006/12/03(日) 20:52:29 ID:EzER0HAk
>>417
http://www.nxp.com/models/spicespar/BAS101.html
これみたいに SUBCKT 化すればいいと思います。この場合の R1 1 3 1.2E+10 というのを
非線形抵抗に置き換えれば・・
420419:2006/12/03(日) 20:59:48 ID:EzER0HAk
必ずしも SUBCKT 化する必要はありませんね。折れ線近似とかの非線形抵抗を
陽に並列接続したほうがシミュレーション速度の点では有利かもしれません。
421774ワット発電中さん:2006/12/03(日) 21:10:34 ID:EzER0HAk
>>418
昔、お菓子の箱の形をした「ビックリおもちゃ」を見たことがある。時代・見かけから
考えて純正の USA 製だったと思う。中に電池一本とリレー (ブザーかな) が入っていて、
箱を持ち上げると子供がギャッと叫んで喜ぶ程度に感電するようになっていた。
422774ワット発電中さん:2006/12/03(日) 21:17:05 ID:q0ADU6PB
>>421
リレーと聞くと脊髄反射で逆起電圧が出てきますが・・・・
電池で感電させるとしたらそれですよね?
>>414の「50A流れ続ける」のと関係があるんでしょうか。
423774ワット発電中さん:2006/12/03(日) 21:26:08 ID:EzER0HAk
>>422 あルンですよ。
424774ワット発電中さん:2006/12/04(月) 03:02:55 ID:znsaM+hE
>>418
止まっているダンプカーを人力で動かすと凄い反作用の圧力で手が痛くなる。
また、ニュートラルで動き続けているダンプカーは永久に同じ速度で進み続ける。

そんなイメージでいいのでは?ダンプカーじゃなくて水でも良いけど
425774ワット発電中さん:2006/12/04(月) 05:26:00 ID:uJ86Ibtl
もはや実は何か笑える要素が有るんじゃないかと一連のレスを必死に読み返してる俺が居る
426419,420:2006/12/04(月) 11:56:23 ID:R/h2EfTP
>>417
417 さんはダイオードの bv, ibv, nbv というパラメーターを既に御存知で、それを
調整してみたけれど満足がいかない。そのように私は思い込んでいました。

あまり自由にはなりませんが、bv, ibv, nbv というパラメーターで逆降伏特性を
調整できます。 http://radio.s56.xrea.com/radio/src/radio1175.png
427426:2006/12/04(月) 13:28:02 ID:R/h2EfTP
パラメーターがあと2つ見つかりました。これは今まで知らなかった。
IBVL low-level reverse breakdown knee current [A] 0.0
NBVL low-level reverse breakdown ideality factor [] 1

ttp://eesof.tm.agilent.com/docs/iccap2002/MDLGBOOK/7DEVICE_MODELING/2DIODE/PUBLICATIONS/ADS_docu.pdf
ttp://faculty.evansville.edu/ar63/courses/Tutorials/Diode_Modeling_1.html
428417:2006/12/04(月) 17:17:55 ID:ZK4b4sbI
>426
いや、さすがにそれは知ってる。
例で1N5817やってるけど、これもわりと洩れの多いタイプで、
これでいうなら 20V で 1mA のまま、4V を 0.4mA にしなきゃいけない。
nbvでどうにかなる気がしないっしょ。

でもibvl,nbvlは知らなかったのでちょっと試してみる。ありがとう。
429774ワット発電中さん:2006/12/04(月) 17:57:19 ID:R/h2EfTP
>>428
残念ながら、逆電圧の小さい領域には無力のようです。
http://radio.s56.xrea.com/radio/src/radio1176.png

逆電圧の小さい領域の漏れ電流は消費電力にあまり影響しないから、また、その領域に
とどまっている時間比率は小さいから・・・ えいやっと並列抵抗一本追加で済ませるか。
さもなくば並列の非直線抵抗 (コンダクタンス) を式・関数・table 指定するか。
悩むところでしょう。
430774ワット発電中さん:2006/12/04(月) 19:15:57 ID:R/h2EfTP
>>428 >これでいうなら 20V で 1mA のまま、4V を 0.4mA にしなきゃいけない。
http://radio.s56.xrea.com/radio/src/radio1177.png
こんなんでよければ、BV=20 Ibv=500u nbv=2000 ibvl=500u nbvl=20 とかでできそうです。
431430:2006/12/04(月) 22:25:03 ID:R/h2EfTP
言い忘れた。ibv と ibvl の配分比率を変えると、だんだん思ったような値に近づきそうですね。
432774ワット発電中さん:2006/12/05(火) 00:10:27 ID:sD1aBHNu
話がデカくなりすぎるのは承知の上で紹介します。
http://ddb.libnet.kulib.kyoto-u.ac.jp/tenjikai/2003/zuroku/pdf/4000.pdf
なんかも、おもしろいですね。

数式で与えられた曲線(面) を、パッと把握できますか? 私にはできません。
それじゃあグラフを描いてみよか。それには数値計算が必要・・
433774ワット発電中さん:2006/12/05(火) 01:35:18 ID:wx6Q2z7v
俺も何か笑える要素が有るんじゃないかと必死に読み返してみる
434774ワット発電中さん:2006/12/05(火) 01:47:06 ID:sD1aBHNu
>>433
口上は聞いた。本題に入れや。
435774ワット発電中さん:2006/12/05(火) 21:19:05 ID:/XH2q3Wz
>>424
なるほど、動き続けるんですか・・・・初めは、車軸が錆びついてて
押すの止めるとそのうち止まっちゃう気がしてたんですよ。
436774ワット発電中さん:2006/12/06(水) 01:18:57 ID:3AYwNlZx
そうそう。
ちなみに動いているダンプカーを瞬時に止めようとしたら
無限大の事故になるから気を付けてくれ。

明日、大型自動車免許の卒検だ。眠れん
437774ワット発電中さん:2006/12/06(水) 05:42:36 ID:/lQLqERj
○ = F かける T 。うそ〜。T をどんどん小さくすると、F は無限大になりそう。
438774ワット発電中さん:2006/12/06(水) 05:54:37 ID:/lQLqERj
>>437
そこで運動量とかいうのを思いついた。スレ違いすまそ。
439774ワット発電中さん:2006/12/06(水) 20:05:09 ID:p/jCL3J2
無限大までいかないけど、インダクタでいろいろテキトーにシミュってたら、
-6.3ジゴワットぐらいのパルスが出ました。
ドクに少しだけ近づけたかな、と嬉しくなりました。
そういえばこの間、パーキンソン病を患ったマーティを、用津辺で見ました。
440774ワット発電中さん:2006/12/07(木) 12:14:07 ID:Em7Ueqmb
おお、とか思うよね。回路の中で電圧不定の箇所を作っちゃったりしてもジゴワット体験できるyo
441774ワット発電中さん:2006/12/07(木) 22:06:36 ID:RqdrL8Ww
> 電圧不定の箇所を作っちゃったりしても
つまり、あふぉ回路組んでんじゃねーよバカ、という話ですね。 orz
442774ワット発電中さん:2006/12/08(金) 21:21:22 ID:qTjs2gxs
G回路(ガンジー回路 無抵抗回路)ってどのような回路なのか分かられる方
いらっしゃいますか?
スレ進行中にスマソ。。。
443774ワット発電中さん:2006/12/09(土) 00:55:44 ID:NTHVevUI
マルチタップな真空管用出力シングルトランスのモデルってどこかに落ちてないでつかー?
7k-5k-3k:8-4 みたいな。
444774ワット発電中さん:2006/12/10(日) 11:35:39 ID:xbnHlIGD
>>443
例えばこのような Web ページがあります。
http://ayumi.cava.jp/audio/toei/toei.html
UL 接続するのでない限りどれか1つのタップだけを使いますから、
無理にマルチタップにして回路規模・制約を大きくする理由はないのでは?
その他 Web キーワード検索でいろいろ見つかります。
445774ワット発電中さん:2006/12/10(日) 15:27:58 ID:i1l4dVqo
LM317をLTSpiceで使いたいんですが、どうしたらいいですか。
spiceモデル(?)は見つけたのですが、それをどうしたらいいのか分かりません。
ttp://www.industrycommunity.com/myforum/moazzam_mahmood_next1/messages/48.html
これにはシンボルの記述はないですよね?
446774ワット発電中さん:2006/12/10(日) 19:03:09 ID:xbnHlIGD
>>445
一般に、そのような場合は自分で「シンボルファイル」を作ればいいのですが。
LTspice user group の http://tech.groups.yahoo.com/group/LTspice/files/%20Lib/LM317/
で紹介されています。シンボルファイル (*.asy) もあります。テスト回路 (*.asc) もあります。
447774ワット発電中さん:2006/12/10(日) 20:06:37 ID:xbnHlIGD
LTspice の場合、回路規模に事実上の制限はないので、いずれは .SUBCKT や階層化回路を多用する
場面に出くわすでしょう。「シンボルファイル」は、File → New Symbol あるいは、テキトーに
回路図を選択した状態で、メニューの Hierarchy → Create a New Symbol で作成できます。
その後、Edit → Add Pin/Port、Edit → Attributes、Draw などを使用してシンボルファイルを作ります。
448774ワット発電中さん:2006/12/10(日) 21:39:46 ID:xbnHlIGD
SPICE 標準の .SUBCKT や、"LTspice 独自の階層化回路" を LTspice の回路図上で自在に
取り扱うにあたっては、シンボルファイル (*.asy) を使います。
具体例を1つ挙げましょう。
・・\SwCADIII\lib\sub\opamp.sub という .subckt を記述したテキストファイルがあります。
これを LTspice の回路図上で使うには ・・\SwCADIII\lib\sym\Opamps\opamp.asy
というシンボルファイルが必要なのです。ユーザー独自定義の subckt/symbol や 階層化回路/symbol に
ついても同じやりかたを踏襲しています。ほとんどソフトウェア風の表現になってしまいましたが〜
449774ワット発電中さん:2006/12/11(月) 00:11:28 ID:nA2W/fVl
>>445
あ、言い忘れた。めいっぱい抽象化して、定電流源 (+クランプダイオード + 並列抵抗)
くらいで済ませる方法もあります。この方法にも利点があることをお忘れなく。

全体の回路のどの部分のどのような性能・性質をシミュレートしたいのか。それを
忘れたシミュレーションは単なる「数値計算遊び」だと、この際 めいっぱい 辛口に
言っておきましょう。
450774ワット発電中さん:2006/12/12(火) 00:24:56 ID:DD9dpkV4
>>444
残念、そのUL接続を試したかったのですよ。そう書けばよかったですね。

超大雑把でいいのなら2次側インダクタンスを半分にして適当なトランスを直列接続すれば
いいのではないかと思いますが、できればすっきりモデル化できないかなあと。
451774ワット発電中さん:2006/12/12(火) 08:08:44 ID:NuWQznP3
>>448 の続き。
*.asy ファイルのサーチ順序、.include, .lib のファイルサーチ順序ってのがあるんです。
Help の .INCLUDE, .LIB の項を見てください。

Q> 自分で作った *.asy ファイルをどこに置いたらいいのか?
A> 回路図 *.asc と同じディレクトリー (フォルダー) に置くのがいいと思います。

しかし scad3.exe のカレントディレクトリーと、回路図のディレクトリーは、必ずしも
同じではありません。

まじめに親切に答えようとすればするほど、話がわかりにくくなる。悪いソフトウェアマニュアル
の典型みたいですね。
452774ワット発電中さん:2006/12/12(火) 08:13:21 ID:NuWQznP3
>>450
その、まさかの場合の話だったんですか〜。
一次側 L (直列抵抗・並列抵抗・並列キャパシターあり) を、
(L1, Rs1, Rp1, Cp1) と (L2, Rs2, Rp2, Cp2) の直列回路
で表現するのが無難かと思います。L1 と L2 の結合係数は 1 でいいと思いまつ。
453774ワット発電中さん:2006/12/12(火) 21:00:43 ID:cCWClbfI
asy、modファイルを作って、asyファイルの設定をして、適当なフォルダに置いて、
回路図上に使う所まではできました。が、動きません。以下のエラーダイアログが出ます。

---------------------------
SPICE Error
---------------------------
Unknown subcircuit called in:
xu1 0 0 0 xlm317.mod
---------------------------
OK
---------------------------

作ったasy、modファイルはこれです。
ttp://radio.s56.xrea.com/radio/src/radio1184.zip
454774ワット発電中さん:2006/12/13(水) 01:27:04 ID:4RUhoRub
>>452
ttp://ayumi.cava.jp/audio/pow/node6.html
なるほどこんな感じですね。
どうもありがとうございます。
455774ワット発電中さん:2006/12/13(水) 18:48:36 ID:1orv4XBh
>>453
http://radio.s56.xrea.com/radio/src/radio1186.zip
これを試してみてください。XLM317.mod, XLM317.asy のいずれも小修正してあります。
基本の電圧レギュレーターとして動作させると、出力電圧がやや高めに出ますね。
456455:2006/12/13(水) 19:46:38 ID:1orv4XBh
LTspice (scad3.exe) の起動のしかたにご注意。起動中ならいったん終了させ、Explorer で *.asc
ファイルをダブルクリックして LTspice を起動します。すると、カレントディレクトリーは *.asc
ファイルのあるディレクトリー (フォルダー) になります。(複数選択 → 右クリック起動も可)
この状態なら *.lib, *.sub, *.mod, *.asy ファイル類を全て *.asc ファイルと同じディレクトリー
の置いて使えますから、ファイルの管理が容易です。階層化回路を使うようになると、このほうが
便利であると実感できるようになるでしょう。

新たに作った *.asy ファイルのシンボルを回路図に取り込むにはどうしたらいいか。
「F2」または Edit → Component クリックで、上のほうに Top Directory: [ ] という
選択ウィンドウが出てきます。右端の▼ボタンを押すと、カレントディレクトリーの *.asy
ファイルを指定できるようになります。

XLM317 の出力電圧が高めの件ですが、理由の1つは分圧回路の抵抗値が大きすぎたためです。
標準では240Ω+・・ でしたね。しかしそれを直してもまだ大きめです。対策法は:
・ほかのモデルに乗り換える。
・ADJ 端子と直列に電圧源を入れて調整してしまう。これは *.mod ファイルの中に書いてもよい。
・本格的に XLM317.mod ファイルの記述を解析して抵抗値を調整する。
457774ワット発電中さん:2006/12/13(水) 21:07:49 ID:eqFSpMBg
>456
いちいち立ち上げなおしてんの?

ひとつcirをテスト中にちょっと別のcirをシミュして比較するとき困る。
モデルファイルを管理できない。ディレクトリ掘るたびに結構な数の
ファイルをコピーすることになる。

素直に lib/sym/ の近くに置けばよかろ?
458457:2006/12/13(水) 21:10:45 ID:eqFSpMBg
あぅ、訂正...
s/cir/asc/g

lib/sym/ の近くに置くのは、モデルファイルとasyファイル。
ascファイルまで置いてもしゃーない。
459774ワット発電中さん:2006/12/13(水) 22:15:01 ID:1orv4XBh
>>457
シンボルファイルはともかく、モデルファイルは *.asc を置くディレクトリーからの
相対パスで指定する方法はある。"../" を使うのも便利。これなら共用がスムース。
結果をバックアップ保存する場合も、ディレクトリー1個を圧縮して保存すればいい。
ファイル保存漏れの恐れが減る。(必ずしも圧縮する必要はないが)

関連する *.sub, *.lib, *.asy, *.asc ファイル等をまとめて人に送るときも便利。
受け取ったほうも、ファイルを再配置する手間が省ける。
LTspice User Group の Helmut Sennewald さんもこの方法を推奨している。
このへんは好みもあるから、これが最良というわけではないが。
460774ワット発電中さん:2006/12/13(水) 22:59:45 ID:eqFSpMBg
>459
asc からの相対にしたら asc を別のディレクトリに動かすことができなくなるじゃん。
つーかそもそもモデルファイルを asc の中で陽に指定してんの?
どうせ asy は対応するモデルを知っていなければならないんだから、
asy で指定すれば asc 側では手間入らず。

もともとに付属するモデルとシームレスに扱えるほうが便利。
461774ワット発電中さん:2006/12/13(水) 23:43:33 ID:1orv4XBh
>>460
>asc を別のディレクトリに動かすことができなくなる
単純にそういうわけもないでしょう。
┣ models ━ model1.lib model2.lib model3.lib ・・ (asc1, asc2, asc3 で共用)
┣ asc1 ━ asc1.asc hier11.asc hier12.asc ・・
┣ asc2 ━ asc2.asc hier21.asc hier22.asc ・・
┗ asc3 ━ ・・
asc4, asc5 と増やしてかまわないし、移動させるときは一段上のディレクトリーごと移動させればよい。
保存等も一段上のディレクトリーを指定すれば全部間違いなく保存できる。

>どうせ asy は対応するモデルを知っていなければならないんだから、asy で指定すれば asc 側では手間入らず。
シンボルとモデルを1対1対応させる必要はないし、LTspice 自体のシンボルファイルs もそのやり方は使っていませんね。
また 「ユニバーサルなシンボル」 の使用を妨げることになります。このようなヤツです。(シンボルファイルの節約になる)
Version 4
SymbolType CELL
RECTANGLE Normal -96 -64 96 64
WINDOW 0 0 -80 Center 0
WINDOW 3 0 -43 Center 0
SYMATTR Prefix X
SYMATTR Description Universal Voltage Regulator
SYMATTR Value NAME
PIN -96 0 LEFT 8
PINATTR PinName IN
PINATTR SpiceOrder 1
PIN 0 64 BOTTOM 8
PINATTR PinName ADJ
PINATTR SpiceOrder 2
PIN 96 0 RIGHT 8
PINATTR PinName OUT
PINATTR SpiceOrder 3

まあいろいろなやり方があって、それぞれ利害得失があるのは確かです。
462457:2006/12/14(木) 20:23:11 ID:VDX3B7i1
>シンボルとモデルを1対1対応させる必要はないし、

一対一になるのはシンボルファイルとモデルファイル。
モデルファイル内にモデルは複数書ける。もちろん asc に置いたあとで選択できる。
こんな感じ:

* NEC transistors.
*
.SUBCKT 2SC3356 1 2 3
X 3 1 2 q2SC3356_v11
.ENDS
.SUBCKT 2SC3357 1 2 3
X 1 2 3 q2SC3357_v13
.ENDS
.SUBCKT 2SC5338 1 2 3
X 1 3 2 q2SC3358b
.ENDS

****
.lib NEC/2SC3356_v11.cir
.lib NEC/2SC3357_v13.cir
.lib NEC/2SC3358b_v09.cir
****

レギュレータだとむしろ一対一でもたいして困らんし LTspice 本家では一対一だが、
サブサーキットとして構成されるようなトランジスタや FETではこうしないと死ぬる。

何にしてもシンボルを作った時点で、呼び出されるモデルのノード順が決まってしまうから
汎用のつもりで描こうとも結局は

正当なノード順をもつようなモデルがどれであるか人が覚えておくか、
同じノード順になるようにモデルを書き換えるか、
ラッパーモデルを用意して統一するか、
シンボル-モデル対応を一対一にするか、

しか選択肢はなく、人各々だろうが俺が「人が覚えておく」方法を選ぶことはない。
100 を越えるオペアンプモデルのノード順なんぞ覚えてられん。
463457:2006/12/14(木) 20:25:05 ID:VDX3B7i1
>461
>LTspice 自体のシンボルファイルs もそのやり方は使っていませんね。

LTspice自身に付属するシンボルファイルは3種類ほどの書き方を使い分けている。
1pole.asy等ではシンボルファイル内に普通にモデルファイルを指定してる。

つか、そういう意味では大部分のシンボルファイルでは何気なくモデル隠蔽用の
特殊な書き方してるので真似るとハマる。
464461:2006/12/15(金) 15:37:37 ID:zEdmdP/C
>>462-463
どうも話がかみ合っていない部分があるようです。
結局は、どのような利点を重視するかによるんでしょうね。

(A.) 関連ファイルをまとめてバックアップ保存・送付するのが容易。
送付した場合、受け取った人がファイルを移動させる必要がない。
(ファイルの移動のしかたやファイルサーチ順序のことを説明する必要がない)
回路図に .lib などと書いてあるので、このファイルを使っていることが一目瞭然。
(この記述が長くなりすぎると思うなら複数の .subckt を1つのファイルにまとめればいい。
.inclue のネスティングも可能かも。)

(B.) LTspice 付属の *.sub (*.lib, *mod), *.sym ファイルと限りなく同じ扱いになる。
回路図に .lib などと書く必要を無くすことができる。

参考: http://tech.groups.yahoo.com/group/LTspice/ → Files → FAQ → Symbol_types.txt
(Yahoo USA へのメンバー登録が必要です。無料。)
465464:2006/12/15(金) 15:40:24 ID:zEdmdP/C
訂正。 .inclue → .include のまちがいです。
466774ワット発電中さん:2006/12/15(金) 21:47:54 ID:Y0Nnd2h3
LM317の質問をした者ですけど・・・

上記の拾ったSpiceModelでは、In/Adj/Outが1/2/3と番号が振ってあります。
実際のデバイスのピン接は「あおい」なので、3/1/2にしたいのですが、
asy、modとも書き換えて揃えても、正しく動作しなくなります。なぜですか?
1/3/2とか3/2/1とかに変更した場合は、正しく動作するようです。
467774ワット発電中さん:2006/12/15(金) 23:08:12 ID:zEdmdP/C
>>466
現実の IC のピン番号とか並び順と、SPICE での pin list の順番は別物ですから、
無理に変える必要はないのですがね〜。IC もパッケージによってピン順序が違ったり
しますよね。

でもまあ SPICE で in:3 adj:1 out:2 とできないわけではありません。
XLM317.mod と XLM317.asy の両方を小変更しました。
http://radio.s56.xrea.com/radio/src/radio1187.zip

.SUBCKT XLM317 2 3 1 ・・
.subckt ピンリストの1番目は adj にしたいのだから .subckt 内部で言うところのノード2。
ピンリストの2番目は out だから .subckt でいうノード3。
ピンリストの3番目は in だから .subckt でいうノード1。(よって 2, 3, 1)

SPICE のネットリストの「ノード番号」は、実は番号ではなく、名前なのです。なんて
言うと混乱しそうですから、今日は黙っておきます。
XLM317.asy を開いて View → Pin Table を選択すると、ピンテーブルが表示できます。
(シミュレートしてみると、かなりの温度係数ですね)

LTspice (scad3.exe) の起動のしかたにご注意。起動中ならいったん終了させ、
エクスプローラー (Windows Explorer) で *.asc ファイルをダブルクリックして
LTspice を起動します。(半角カタカナを含むパス、デスクトップ等には置かないように)
468467 つづき:2006/12/15(金) 23:17:05 ID:zEdmdP/C
>>466
>1/3/2とか3/2/1とかに変更した場合は、正しく動作するようです。
それは、もしかすると勘違いがあるかも。

radio1187.zip で、電源電圧が少し低すぎたかも。6V くらいは欲しいところでしょうか。
469774ワット発電中さん:2006/12/15(金) 23:53:11 ID:vvcL/RUa
あぁーなるほど。
件のモデルの冒頭は、こんな感じで書いてあったら誤解せずにすんだんだろうなぁ・・・・

*LM317 TI voltage regulator - pin order in this file: '1'=In, '2'=Adj, '3'=Out
470774ワット発電中さん:2006/12/16(土) 00:32:04 ID:xtfsMmsW
>>469
>*LM317 TI voltage regulator - pin order in this file: '1'=In, '2'=Adj, '3'=Out

それはちょと違うナ。ピンテーブルの番号・ピンリストの順番と、「ノード番号 (名)」は別物。

とある .SUBCKT のピンリストの順番が、2, 3, 1 とか 3, 2, 1 とかいうとかいうのは あり得ない。
順番とは、書いた順番のこと。いつでも 1, 2, 3, 4 ・・・。
前者の場合、1番目は .subckt 内部で言うノード2、2番目がノード3、3番目がノード1に対応する。
後者なら、一番目は .subckt 内部で言うノード3、2番目がノード2、3番目がノード1に対応する。

「ノード番号」を考えずに、「ノード名」を使うと混乱が少ないかもしれない。
でも、SPICE の歴史は長いので、ノード名が番号のように見える/思える .subckt の資産が
たくさんあるので、いまさら全部を更新するわけにはいきません。
471774ワット発電中さん:2006/12/16(土) 02:42:46 ID:6iJdLe1e
そんなのは、プログミング言語の、関数の引数の書き方の順序の規則と
同じわけ。何も秘密などなし。
SPICE も元は FORTRAN で書かれていた・FORTRAN を基本に発展してきた
らしいですね。そのような痕跡が今でもたくさん見つかります。

グローバル パラメーター (変数・定数) とか、シンボルのインスタンスとか、
ソフトウェアの用語をどんどん使っています。特定の SPICE / LTspice ばかりに
こだわっていると、見通しがむづかしいかも知れません。
(しかし C++, Objective C, java, MFC などは死にそうですね。)
472774ワット発電中さん:2006/12/16(土) 02:49:02 ID:6iJdLe1e
>471 ですが、「変数のスコープ」のことも追記しときます。
473774ワット発電中さん:2006/12/16(土) 03:14:41 ID:6iJdLe1e
「変数・定数のスコープ」。普通のプログラミング言語と SPICE では逆のような
気がします。普通のプログラミング言語では「子孫・継承者」の意見を尊重する。
しかし SPICE では .subckt とかにパラメーターを渡す (override, over-write する)
考え方のほうが普通みたい。おもしろいもんです。
474774ワット発電中さん:2006/12/16(土) 03:22:25 ID:6iJdLe1e
だいぶ荒らしてゴメン。
>>466-467 この辺に戻りましょう。
475774ワット発電中さん:2006/12/16(土) 04:25:49 ID:6iJdLe1e
荒らしもう一つ。
>普通のプログラミング言語では「子孫・継承者」の意見を尊重する。

SPICE の subckt とか model は、子孫でなく、ご先祖様。そう考えれば
違いはなく、逆思考でもなく、同じなのではないかと思い至ったょ。
476774ワット発電中さん:2006/12/16(土) 13:12:43 ID:tfhR56e9
FORTRANは大学でちょっとだけ習った。i、j、k…が整数ってこと
くらいしか覚えてないな。あとはBASICに似てる程度の印象しかない。。
477774ワット発電中さん:2006/12/16(土) 14:26:27 ID:TJ93u9p8
オレの場合、COBOL とか、そいつの苦し紛れの使い方、FORTRAN の苦し紛れの拡張言語
とか、LISP とか、いろいろ使ってみた経験はある。(今では普通の C で満足)
しかしまあ、人間にわかる/使える コンピューター言語っていうのは、むづかしい。
めんどくさいので、(人間/今ぴゅ太ー) の共通言語を考えようという努力もあるらしいね。
478774ワット発電中さん:2006/12/16(土) 23:33:10 ID:TNeX/GAX
>>470
英文として意味不明という意味だったら、自分でも解ってないので勘弁してください。
説明された意味は理解したから「ちょと違うナ」ということはありません。

*LM317 TI voltage regulator - このファイル内ではInは'1', Adjは'2', Outは'3'と記述してるよ


なお、>>468を読む前に>>469を書いたので、
>1/3/2とか3/2/1とかに変更した場合は、正しく動作するようです。
については、再検証はしていません。勘違いに決まってるし orz
479774ワット発電中さん:2006/12/17(日) 00:40:20 ID:JVQ6cPdd
>>478
まあ言っておきます。

>*LM317 TI voltage regulator - pin order in this file: '1'=In, '2'=Adj, '3'=Out
 これと、
>*LM317 TI voltage regulator - このファイル内ではInは'1', Adjは'2', Outは'3'と記述してるよ

これは、違うんです。 「pin order」っていうのが曲者ね。
「このファイル内ではInは'1', Adjは'2', Outは'3'」、そりゃいいけと、外とのつながり具合はどうなってるの?
480774ワット発電中さん:2006/12/17(日) 12:49:43 ID:cq15MGWH
asyで振った番号順に記述するわけでしょ?
481774ワット発電中さん:2006/12/17(日) 15:53:30 ID:CQ0+FwCj
482774ワット発電中さん:2006/12/18(月) 22:41:44 ID:L17OpA8G
>>481
ありがとう。ざっと見ると in adj out というノード名を使っている以外に:
・Q8 コレクターの接続先が違う。R8 の接続先が違う。
・Q11 に off というパラメーターが追加されている。
( OFF indicates an (optional) initial condition on the device for the dc analysis.)
・Q22A, Q22B, Q23 の AREA が 1/10 になっている。
・.model QNL, QPL にパラメーター IS, NF 指定が追加されている。
(ファイル比較プログラムを使用したが、徹底的に修正変更して同じになるところまでは
やっていないので、見落としがあるかも。)

元のモデルが正規なものでなく、データシートの回路図を書き写してパラメーターを
推測したような気がしてならない。そもそも半導体メーカーがトランジスタモデル
を公開するのはまれ。機能モデルで表現すると思う。
483774ワット発電中さん:2006/12/19(火) 18:46:26 ID:uDDM1p4A
LTspice User Group から入手した .subckt LM317MOT2 を回路図化しました。(LTspice)
http://radio.s56.xrea.com/radio/src/radio1192.png
http://radio.s56.xrea.com/radio/src/radio1193.txt (Draft1.asc.txt。名称変更して下さい)
上のほうで紹介されている LM317 のモデルs とは細部が異なります。

これを選んだのは、以前の版をふまえた改良版であるらしいことと、 BJT の area の数値が
単純だったからです。しかし NPN の EG を指定しているのはちょっと解せないところです。
ファイル比較プログラムを使って .lib ファイルと SPICE netlist を注意深く比べて違いを
つぶしたので、まず誤りはないはずです。ご参考までに。
484483:2006/12/19(火) 22:57:31 ID:uDDM1p4A
少しいじっていました。
・Q19 の area は {k} とし、.param k=6.9 。出力電圧の温度係数が小さくなります。
・R14=12.05k R18=130 R19=370 。NS 社のデータシートに合わせました。
・.MODEL QNL の EG 指定を消去。
・負荷電流を約 100mA にする。

LM317 は定電流回路として使われることが多いので、その出力抵抗をプロットしました。
http://radio.s56.xrea.com/radio/src/radio1194.png
(100mA 定電流回路) う〜ん。思ったより出力抵抗が小さく、周波数特性も悪いですね。
素直であるのが救いです。
485774ワット発電中さん:2006/12/19(火) 23:37:28 ID:w/Pc0ZS2
屋上屋の妄想乙。
486484:2006/12/20(水) 20:06:01 ID:EIffuSuw
>485
屋上屋すまそ。
http://radio.s56.xrea.com/radio/src/radio1195.txt (R18=160 R19=170 に戻してある)
サーマルシャットダウンが起こらない。R10 を {4.1k - 1.2k} に変えると temp=288.5
あたりでシャットダウンが起こった。(温度高すぎか?) ヒステリシスもあるはずなんだが〜
勝手に NPN の Eg 指定を消したのがいけないのかも。詳しい方、よろしくお願いします。
487774ワット発電中さん:2006/12/20(水) 20:55:06 ID:rB98u73v
思うんだが、Q3 と Q5 の area 比は1ではないのかも。もしかすると Q3 のほうがデカイ。

別件だけど、NS 社の LM117.pdf の Output Impedance v.s. 周波数のグラフを見ると、1kHz 弱
から出力インピーダンスが増加していく (定電圧回路接続)。これは radio1194.png のグラフでも
まあ似た傾向 (逆数と考えて) だから、あのグラフはそれほど間違いではないのかも。
(但し、少し周波数応答性能が良すぎるかも。)
488774ワット発電中さん:2006/12/20(水) 21:28:21 ID:rB98u73v
追記。Q3 のほうをデカクするのはヘン。これはむしろ温度測定器なんだろうから。
Q3, Q5 の AREA は変更しなくても、R10 を {4.1k-3.3k} にしてみたら、下記のような
温度ヒステリシスが求まった。(青線が温度上昇、赤線が温度下降。逆みたいでごめん)
http://radio.s56.xrea.com/radio/src/radio1196.png
R10 を小さくしないとシュミットトリガーが期待された動作をしないみたい。
489774ワット発電中さん:2006/12/27(水) 04:41:27 ID:tsamIW8+
http://tech.groups.yahoo.com/group/LTspice/message/13937
これ↑を見て気づいたのですが、LTSpice (scad3.exe) の File メニューにあった、
LTC 社の SWPS 製品のセレクターガイドとか自動設計がなくなりました。旧式化したので、
という理由のようです。 (Changelog.txt)
 12/06/06 Removed the obsolete synthesizer.
 12/06/06 Removed the obsolete .app circuit browser.
ほかに、LTC 製品に特化しているという印象を和らげる意味もあるのではないでしょうか。
490774ワット発電中さん:2007/01/09(火) 02:19:04 ID:1IPWXJl7
AC100VでLED点灯回路考えてるのですが回路シミュレータ勧められてLTSpiceを使い始めました

交流電源を作る時はvoltageのサイン波で100V60hzと設定していますがこんなやり方で正しいのでしょうか?
それとブリッジダイオード等の部品が見つからないので標準では登録されていないのだと思うのですが
検索方法が悪いのかなかなか見つかりません
どんな風に探してきたらいいのでしょうか?
491774ワット発電中さん:2007/01/09(火) 12:46:15 ID:E/qQPe8d
>voltageのサイン波で100V60hzと設定しています

関東は50Hzだね。

>ブリッジダイオード等の部品

ダイオードを4つ使うと良いよ
492774ワット発電中さん:2007/01/09(火) 20:55:45 ID:znq0ul6x
> voltageのサイン波で100V60hz
141Vとするんじゃなかったっけ?
493774ワット発電中さん:2007/01/09(火) 21:16:21 ID:E/qQPe8d
ああ、そだね
494774ワット発電中さん:2007/01/09(火) 21:21:04 ID:E/qQPe8d
マジレスしとくと

>ブリッジダイオード等の部品が見つからない

とおっしゃっているあたりから推察するに、回路シミュレーターを使っても
ほとんど得るところはないと思われますので、
まずは正攻法で電気の本などを読むことをお勧めしまつです
495774ワット発電中さん:2007/01/10(水) 03:56:05 ID:ZYYftJ6U
490です
>>491
>>492
参考になります

>>494
ブリッジダイオード等の中身も含めて回路を作ってシミュレーションしていくというのが回路シミュレータの趣旨ということでしょうか?

とりあえず参考にした回路図と似たような結果が出るようになりました
ありがとうございました
496774ワット発電中さん:2007/01/10(水) 11:13:51 ID:6I1fEUb1
知識がともなっているのなら失礼しますた、だけど
回路シミュレーターは回路設計から試作までの
知識と経験があった上で利用しないと意味がない。
まったく知識や経験を持たない人がいじくり回しても、
単に誤解が誤解を招くだけという結果になりかねない
497774ワット発電中さん:2007/01/11(木) 09:07:31 ID:N+ON00sM
パスコン等の部品が見つからないので標準では登録され(略
498774ワット発電中さん:2007/01/11(木) 12:47:29 ID:mk0c7Fj0
>>497
LTspice の話なら、コンデンサーを適当に置いて、
右クリック → [Select Capacitor] 選択で、寄生素子つきのキャパシター
(メーカー型番あり) を指定できますよ。
499774ワット発電中さん:2007/01/11(木) 19:34:12 ID:mk0c7Fj0
>>496
>・・まったく知識や経験を持たない人がいじくり回しても、単に誤解が誤解を招くだけという結果になりかねない

そりゃ私も、そうだとは思うけど、そのままでは何も進展しない。

初心者が (いいかげんに) 現実の回路を作ると、素子を破壊してしまったりして、でも、
それに気づかずに進んで、結局「わけわかんない」状態に陥るだろうね。

いろんな Web ページを見ていると、Tr (FET) を何個飛ばした、なんて、まるで武勇伝みたいに
言っている人がいるけど、整合が取れていない高周波電力増幅器を一度にパッと動かすみたいな
ことをしているからね〜。あとは寄生発振かな。

微積分回路から始めて、1tr 増幅器・・・ とか、シミュレーションと実測の両方を、一歩一歩
やってみるのが、実は最短コースなのかも。「王道はない」ってことかな。
500774ワット発電中さん:2007/01/11(木) 21:39:42 ID:8Ri6I0/n
FETは上級者の部品
501774ワット発電中さん:2007/01/11(木) 21:56:58 ID:mk0c7Fj0
>500 してその心は?
502774ワット発電中さん:2007/01/12(金) 04:21:22 ID:DLBBUJcA
使用者を選ぶ
503774ワット発電中さん:2007/01/13(土) 08:57:24 ID:NacRYY+Y
>>502
FET のほうが使っているヤシを選ぶんじゃないのか? 「こんなヤツには使われたくないゾ!」
504774ワット発電中さん:2007/01/13(土) 11:56:17 ID:65apsxRj
>>502>>503 は同じ事を言ってると思う
505774ワット発電中さん:2007/01/13(土) 11:57:27 ID:GbspapG+
FETを使う場合に気をつけることを教えてください
506774ワット発電中さん:2007/01/13(土) 12:07:29 ID:XC87Xq0y
>>505
回路シミュレーションスレ的には、特に無い
どんな無茶な使い方をしても、現実世界の部品が飛ぶ訳でも
誤動作する訳でもないので安心して無茶な使い方をして無問題
507774ワット発電中さん:2007/01/13(土) 12:19:39 ID:KI6xRRxa
>>505
バイポーラ Tr と比べて、特別に扱う必要はない。・・って違いを列挙すれば・・・ いっぱいあるよ。
どちら (BJT, FET) でも、うまく動く領域はあるし、逸脱すれば「破壊」するよ。それは同じだね。
508774ワット発電中さん:2007/01/13(土) 22:50:17 ID:xcbcU75R
シミュ的には、
2SC1815が1GHzで有用に働くことはないが、
2SK30が1GHzでなんか動いたりするあたりはとっても気になった。

使える範囲では使えるのは当然だけど、使えない範囲でも
使えるようにみえてしまうことが多い気がする < FET
509774ワット発電中さん:2007/01/15(月) 00:53:03 ID:+Y/GjH5s
LTSpiceでLFSRをやろうとD-FFを数珠繋ぎにしてみたんだけど、どうも信号が伝播してくれません。
Q出力をD入力へつなぎ、CLK、CLR、PREは基本的に共通です。一つ目のFFのPRE(以下PRE1)のみ、
初期値設定のために別になっています。クロックは1kHzなので、特に速いわけではありません。
起動直後にPRE1='1'パルスを与えてQ1='1'にし、次のクロック↑で2番目のFFに移るはずなんですが
Q2='0'のままです。
setup/hold timeの関係でしょうか? 回避方法は?
510774ワット発電中さん:2007/01/15(月) 01:42:54 ID:WDpM7PFx
ああ、よくありますね。時間分解能あげないと。
511774ワット発電中さん:2007/01/15(月) 23:08:29 ID:zdPu1ufV
Maximum Timestepを0.1nsとかにしてもダメでした。
512774ワット発電中さん:2007/01/15(月) 23:30:01 ID:tTRTKxYz
>>510-511
D-FF の伝播遅延時間と出力の遷移時間を指定したらいいだろう。例えば
Td=10n, Trise=10n 。(デフォルトで Tfall=Trise になります。)
513512:2007/01/15(月) 23:33:26 ID:tTRTKxYz
追加。建前上 A ロジックデバイスは イベントドリブン なので、この場合
maxstep をやたらに小さくする必要はありません。
514774ワット発電中さん:2007/01/16(火) 21:18:09 ID:lborOMWX
>>512
D-FFを右クリックして出たダイアログのValue(またはValue2)に
td=10nsを書いたらうまく動きました。

んー、しかし、td=0(がデフォルトかな?)でQ2以降が'0'のままってのが
どうにも理解できない・・・setupタイムがマイナス!?
515774ワット発電中さん:2007/01/17(水) 00:06:12 ID:RBGjJv/4
>>514
意地悪に考えることなしに、Td, Trise に適当な値を設定すれば、
シフトレジスターは思ったように動きます。
516774ワット発電中さん:2007/01/18(木) 21:33:15 ID:LsggoUBz
LTspiceで2SC1815、2N3055をモデリングする方法を教えてください。
517774ワット発電中さん:2007/01/19(金) 00:13:57 ID:ld3DpsD5
一番簡単なのは

1.ググる
2.モデルを拾ってくる
3.使う

おれで桶
518774ワット発電中さん:2007/01/19(金) 00:16:47 ID:ld3DpsD5
>>516
http://w3.quake3.jp/sushi-k/wiki/index.php?LTSpice%20FAQ#e6a78d25
とか、ここのトップページにあるリンクも参照
519774ワット発電中さん:2007/01/19(金) 21:45:52 ID:H3Cx+9pU
>>518
http://www.geocities.jp/ltspice_swcadiii/japanese_device.html
日本製半導体素子ライブラリの組込み
・・・2SC1815はここからたぐって
http://www.madlabo.com/mad/edat/spice/model/index.htm
・・・ここで見つけました。
2N3055がまだ見つからず。
以前、誰かがここにpostしてたかも
520774ワット発電中さん:2007/01/19(金) 22:04:20 ID:GSCBmifX
つか普通に 2N3055 spice でググると出てくる訳だが。
521774ワット発電中さん:2007/01/20(土) 10:36:54 ID:fRIBXTOL
>>520
LTspiceにも入っていました。見落としsorry orz

TITLE:回路シミュレーション 前スレ667にも既出でした
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E1%B5%EE%A5%ED%A5%B0&openfile=denden_20060117snap.html
522774ワット発電中さん:2007/01/22(月) 11:53:46 ID:GKZ18gjj
LTspiceの日本語にしてみた
nihongo
shichi23129.zip
http://vegetarianism.o0o0.jp/pochi/upload.html

523774ワット発電中さん:2007/01/23(火) 01:08:49 ID:AxFMgDua
消えてるな。
524774ワット発電中さん:2007/01/23(火) 15:51:41 ID:BpMjza+4
【電気】理論・回路の質問・雑談【電子】 Part4
http://science5.2ch.net/test/read.cgi/denki/1163243916/272-276
に質問をお書きしました。わかる方ございましたらRESよろしく。
525774ワット発電中さん:2007/01/23(火) 18:05:42 ID:2Xvd6S68
お書きしましたって、、、
526774ワット発電中さん:2007/01/25(木) 00:47:16 ID:sWUN/puM

http://ja9ttt.homedns.org/hamf/myexp/jpgf/KCS_Gen_JA6GZH.JPG
このようなSSB ジェネレータ、IFアンプで、IFT(中間周波トランス)、水晶振動子はLTspiceで入力できますか?
527774ワット発電中さん:2007/01/25(木) 12:33:39 ID:UKGPx+Ym
>>526
できます。やったことがあります。但し全部いっぺんにやろうとせずに、
ブロックごとに分割してシミュレートしたほうが身のためです。
528527:2007/01/25(木) 12:40:37 ID:UKGPx+Ym
追記。全部いっぺんにシミュレートするなら、トランジスタモデルでなく
機能モデルを使う方法を、まずはお勧めしときます。
529774ワット発電中さん:2007/01/25(木) 20:20:49 ID:sWUN/puM
>>527
わかりますた。とりあえず何も聞かず、まずTRYしてみまつ。
530774ワット発電中さん:2007/01/25(木) 20:41:09 ID:sWUN/puM
>>528

http://science5.2ch.net/test/read.cgi/denki/1106919148/526
に子供だましみたいなものですが、1Trアンプを載せました。

531774ワット発電中さん:2007/01/26(金) 17:49:28 ID:wR2uvIuo
こんばんは。質問があります。よろしければご教授ください。
私はLTSPICE使っていて過渡解析をしているのですが電流が定常状態になるまでのシミュレーションを行いたいのです。
そこで.tranコマンドのオプションにsteadyがあることがわかりましたが「定常状態をどう検出すればいいのかわからない」
というようなエラーがでます。
helpのsteadyの項目を読んだのですがいまいちわかりませんでした。どのように定常状態の指定をすればよいのか教えてください。
自分の過渡解析を行っている回路は単純化すると以下のようなものです。
I1 N001 0 2
C1 N001 0 10
R1 N001 0 10
.tran 0 10 0 steady
.backanno
.end
532774ワット発電中さん:2007/01/27(土) 01:14:04 ID:3DGg6Nc0
>>531
HELP に「Steady state detection is written into the SMPS macromodels.」
と書いてありますよ。 (HELP で Search タブを使うと語を検索できます)

しかし SMPS マクロモデルの仕様は公開されておらず、LTspice User Group でも
その話は "御法度" になっています。
要するに LTC が提供している LTC\SwCADIII\examples\ 中の SMPS や apps に
入っている *.asc, *.app でしか "steady" は使えません。

一般の回路で似たようなことをやるとするなら、途中でシミュレーションを終了
するのは Halt ボタンしかありません ・・ というか知りません。テキトーな基準を
設けて「定常状態になったよ」という意味のデジタル信号を作ることはできますが。
あしからず。
533774ワット発電中さん:2007/01/27(土) 22:26:34 ID:tbEiDxyF
LTSpiceで波形データファイルを読み込ませることはできますか?
べつにLTSpiceである必要はないんだけど、だーっと計算した結果を
波形にしてFFTかけてみたいんです。
534774ワット発電中さん:2007/01/27(土) 22:42:47 ID:kkRJH4vW
>>533
http://www.d1.dion.ne.jp/~river_r/bell/sc3_memo/sc3_memo.html
ここに行って、"PWL" をワード検索して下さい。その少し先、
 ファイルから読み込んで、・・・
 読み込ませるファイルの内容は・・・ 。

ほかに *.wav ファイルを読み込ませることもできます。(まるで LTspice の宣伝マンだな。カネオクレ)

● LTspice を使わなくても FFT 演算はできます。あまりにも方法が多すぎて、紹介に困るくらい。
535774ワット発電中さん:2007/01/28(日) 01:40:54 ID:Y+/fkzAZ
>>534

>カネオクレ

Googleを利用した広告サイト記事で、1ヶ月90万円の稼ぎを
広告収入のみで得ている人がNHK特集で紹介されてました。
WEBページが著名になると、訪問者が広告をクリックする度に
お金が自動的にはいるというのも副業としてかなりいいと思いますた。
536774ワット発電中さん:2007/01/28(日) 16:36:22 ID:2QSvbNRx
>>534
できました!
HELPでexportはあったので、importとか検索してたんですが・・・

でも、冷静に考えると、だーっと計算もLTSpiceでできますよね。
そのほうが手間もかからなさそうだし・・・やり方が分からないのですけど。

こんなことをやりたいんです。
 x=0.1  '0<x<1
loop:
 if x<0.5 then
   x=x+2*x**2
 else
   x=x-2*(1-x)**2
 end if

 V1=x
 wait 1ms
 goto loop

wavファイルを読み込む方法はHELPで見つけられました。
ただ、動きはじめるまでに結構時間がかかりますね。
537774ワット発電中さん:2007/01/28(日) 23:53:10 ID:m06hGE2V
>>536
一種のカオス力学系かな?
オレなら、C プログラム + gnuplot か、MAXIMA (+gnuplot) でやるだろう。

LTspice なら、B 電圧(電流) 源と A デバイスの「サンプルアンドホールド」を使う。
やってみて、困ったことがあったら報告して下さい。
538537:2007/01/29(月) 00:07:03 ID:L3DKaqFe
追記。LTspice の「サンプルアンドホールド」、あれが「レーシング」を起こす。
で、一段あたり2つの「サンプルアンドホールド」を使って、2相クロックを使う・・・
だんだん いやになってきます。やっぱり向いていない方面と得意な方面はあるんだから、
それをうまく使い分ければいいのでしょう。

「これで何でもかんでもやるんだ!!」とか思い込んでしまうと、袋小路に入ったり、
無駄に時間がかかります。 ← コレ実社会では致命的なことですネ。
539774ワット発電中さん:2007/01/29(月) 06:29:19 ID:AlRNNUis
なぜ MAXIMA ... そこは octave か scilab と言うところだろ。

540774ワット発電中さん:2007/01/29(月) 08:13:24 ID:FzkXTIOW
maximaでいいじゃん
541774ワット発電中さん:2007/01/29(月) 11:05:16 ID:HPDIlQWN
MAXIMA はかなり高級な数式処理プログラムで、しかも無料。← ココが好き (^^)
542531:2007/01/29(月) 14:59:42 ID:e9HOtKod
>>532
教えていただきありがとうございました。

>テキトーな基準を設けて「定常状態になったよ」という意味のデジタル信号を作ることはで
>きますが。
このことについて興味がわいたのですが例えば「どこそこの電流が一定になったら信号を出
す」ようなことも可能なのでしょうか?

SPICE3f5のマニュアルも軽くですが目を通したのですが回路の状況によって過渡解析のシミ
ュレーションの継続判定をする方法はないようでした。
自動で時間刻みを決めるからできないのでしょうかね。
543774ワット発電中さん:2007/01/29(月) 18:47:39 ID:HPDIlQWN
>>542
http://radio.s56.xrea.com/radio/src/radio1236.png
例えばこんなのだったら、V(a) の微分を作って、その絶対値が < ε とかすれば
いいでしょう。シミュレーションの初期に問題があるなら、
「& time > xxx 」 とかを加えます。
そうだ、根本を言い忘れていた。B 電圧源 (式が書けるヤツ) を使います。
544543:2007/01/29(月) 18:58:29 ID:HPDIlQWN
いいかげんに書いてごめんなさい。
「その絶対値が < ε とか」・・そのままだと、ぱたぱた応答するデジタル出力に
なってしまいますね。さらに積分回路を通さなくてはなりませんでした。失礼。
545774ワット発電中さん:2007/01/29(月) 21:49:49 ID:QJqUa1Ci
>>537
> 一種のカオス力学系かな?
間欠カオスとかいうらしいです。
「1/f」とかその辺をぐるぐるググってたら見つけたもの。

「LTspice の宣伝マン」さんに*.txtファイルの読み込み方法は教わったので、
表計算ソフトで3万余回計算して、スペース区切りのcsvにしてLTSpiceに突っ込めました。
ただ、式があまりに簡単なので、SpiceDirectiveとかにさらっと書いてできるんじゃないかと思ったり・・・
546774ワット発電中さん:2007/01/30(火) 00:03:37 ID:yXd8BU4h
>>545
>表計算ソフトで3万余回計算して

まさか! とは思っていたのですが、やはりそれだったのですか〜
表計算 BASIC は便利なようでいて、遅くて制限があまりにも大きすぎるので、
私はとっくに使うのをやめました。いや、私個人の場合だけ・私個人の意見です。
547774ワット発電中さん:2007/01/30(火) 00:10:44 ID:yXd8BU4h
>546 舌足らずだったので、もひとつ追記します。
>制限があまりにも大きすぎる ← のおまけ
使っている「表計算ソフト」の version が変わったりすると、以前のようには
動かなかったりするのはよくあること。ほんとですョ。
548774ワット発電中さん:2007/01/31(水) 23:06:54 ID:yi6DWP02
>>546-547
この件に関しては、単に式書いてだーっとコピペすれば計算できますよ。
549774ワット発電中さん:2007/02/01(木) 19:55:45 ID:rfKVRESo
>>548
「単に式書いて」

だからそれをちゃんと書いてみろや。話はその後。
550774ワット発電中さん:2007/02/01(木) 20:06:15 ID:rfKVRESo
>>549
別人ですが、私の場合、書けばかくほど、うそっぽくなる。ごめん。
551774ワット発電中さん:2007/02/02(金) 17:02:26 ID:kIG3ngBv
>>550
それでいいんじゃないか。そもそもアリストテレスとかニュートンさん
だって、けっこうあやしいダロ。
552774ワット発電中さん:2007/02/02(金) 17:10:30 ID:kIG3ngBv
「そういうふうな」側面で、ズバッと切ってみせれば、そのように見える。
それだけかもしれないね。でもまあ、それを最初にやって見せた、その
功績はすご。
553774ワット発電中さん:2007/02/02(金) 19:37:41 ID:kIG3ngBv
ニュートンとライプニッツの争い、もう一度やってみてね。
554774ワット発電中さん:2007/02/02(金) 19:46:42 ID:qdbujlTn
最速降下曲線の問題が有名だね。
ライプニッツがベルヌーイそそのかして代理戦争しかけたときの問題。
ニュートンは一晩で解いた、といわれているけど現役時代なら
即座に解いただろう、それだけ時間がかかったのは歳をとってい
たためという話もあるみたいだね。
555774ワット発電中さん:2007/02/02(金) 19:49:55 ID:kIG3ngBv
考え方はニュートン。
書き表し方はライプニッツ。
困るか? 大丈夫、そんなには困らない。
556774ワット発電中さん:2007/02/02(金) 19:57:37 ID:dxbg/acF
>>549-553
自作自演劇場は他所でやってくれ。
557774ワット発電中さん:2007/02/02(金) 22:01:05 ID:kIG3ngBv
>>556
わるかった。ごめん。
558774ワット発電中さん:2007/02/02(金) 23:59:42 ID:S8epqo55
__________
    <○√
     ‖ 
     くく

しまった!ここは全レススレだ!
559774ワット発電中さん:2007/02/03(土) 21:14:37 ID:3XmnyV+m
spice3f5に温度ノードを追加して,MOSFETのself-heatingを表現
したいのですが,どのようにすればいいのでしょうか?
デバイスモデルは独自のものを使っています.
初心者でspiceの内部構造は全くわかりませんがよろしくお願いします.
560774ワット発電中さん:2007/02/04(日) 23:34:09 ID:eonbqnCR
モンテカルロ解析の例が次にあるのですが、パラメータ表現の意味を
つかみとれずに考えこんでいます。
C:\Program Files\LTC\SwCADIII\examples\Educational\MonteCarlo?.asc

とりあえず、最も簡単な例として
2SC1815の1石アンプ2種の電気的安定性の比較をしてみたいのです。
http://homebrew2004.hp.infoseek.co.jp/fcz-1tr-amp.asc
http://homebrew2004.hp.infoseek.co.jp/afamp-1tr1.asc
先のFCZアンプはオリジナルはエミッタのコンデンサはありません。
モンテカルロ解析の具体的パラメータ指定方法を教えて欲しく
よろしくお願いします。
561774ワット発電中さん:2007/02/15(木) 21:51:30 ID:i99V8YEj
LTspiceはver.3から4に上がったのですか?
562774ワット発電中さん:2007/02/16(金) 10:32:12 ID:CTmRxhBG
ためし
563774ワット発電中さん:2007/02/16(金) 12:52:09 ID:51gHxqf/
>>561
ん? 変わってないみたいだけど。
564774ワット発電中さん:2007/02/16(金) 21:45:28 ID:4U9Mjsu1
>前スレが上がったので、ここは当分凍結。みなさま、よろしくお願いします。

2ch専用ブラウザを使えば”人大杉”は表示されず、
読み書きできますよ。
私はGikoNaviを使ってます。特に動作に問題なくソフト品質も良好です。
565560:2007/02/16(金) 22:02:32 ID:4U9Mjsu1
モンテカルロやってみました。後でUPします。
566774ワット発電中さん:2007/02/17(土) 00:30:11 ID:yjmVUIPU
GikoNaviはあなたの個人情報をもれなくひろゆきにアップします
567774ワット発電中さん:2007/02/17(土) 01:20:46 ID:dEYReBnk
>>566
Norton internet security 2007 はspywareとは検出してません。
F/Wもおかしなログはなし。
568774ワット発電中さん:2007/02/17(土) 13:02:34 ID:dEYReBnk
>>560について
ひとまず、FCZ 1石マイクアンプのほうのモンテカルロ解析をしてみました。
RCの値の誤差を例に真似て±5%としました。

LTspice用シミュレーションファイル
ttp://homebrew2004.hp.infoseek.co.jp/1Tr-AmpMonte.asc

AC解析結果
ttp://homebrew2004.hp.infoseek.co.jp/fcz-1tr-amp-freq-vs-gain.jpg

過渡解析結果(入力800Hz、振幅10mV)
ttp://homebrew2004.hp.infoseek.co.jp/fcz-1tr-amp-tran.jpg

20Hz以下の人間の耳に聞こえない領域で利得が下がるのは意外でした。

またエミッタ抵抗を10Ωまで小さくすると、不帰還が小さくなるため利得が上昇し
てきますが、100Hz以下の低音の利得低下が目立って見えます。
回路でバイアスのかけ方が簡易型なので不安定さはどうか関心がありましたが
それほど問題にならないようです。
569774ワット発電中さん:2007/02/17(土) 13:08:09 ID:NYekzA6p
746 名前: FM 投稿日: 2007/02/10(土) 13:46:59 ID:1v6kTVEm
昨日、仕事の帰りにインド人がやってるカレー屋に行ったんだけど、
カレー注文したらスプーンがついてこなくて
「あ、本格的な店なんだ」とか思って手で食ってたら、
半分くらい食ったときに、インド人の店員が奥から
すげー申し訳なさそうな顔してスプーン持ってきた
570774ワット発電中さん:2007/02/17(土) 13:12:08 ID:dEYReBnk
>>568
× 不帰還
○ 負帰還 

エミッタ抵抗Reとすると
Vbe=Vbias-Re*Ie
で、負帰還電圧は -Re*Ie で、エミッタ抵抗が小さいほど
負帰還が弱くなり、アンプの利得が(どんどん)あがる。
571774ワット発電中さん:2007/02/17(土) 16:30:19 ID:dEYReBnk
以前、安定化電源回路でよく使われた2N3055を使って
定電圧回路のシミュレーションをしています。(LTspice使用)

シミュレーションファイル(LTspice用)
http://homebrew2004.hp.infoseek.co.jp/Regu-2n3055Monte.asc

この定電圧回路は、ある方からネットで教わりました。

過渡解析結果
http://homebrew2004.hp.infoseek.co.jp/2n3055-regu-test1.jpg

ここで、V1の電圧が低下してくると、この条件下で最大0.8Aものコレクタ電流が
V2->V1方向へ流れてしまう結果に見えています。
2N3055のベース電流に0.8Aも流れたら、2N3055はそうした条件では壊れてしまうと
思ったのですが、どうでしょうか?そんなことは無いですか?

572774ワット発電中さん:2007/02/18(日) 10:29:02 ID:LY8OYORQ
>>571の質問の仕方が悪いので回答が無いと思うので、補足説明します。
その2N3055による定電圧回路は、次の考え方により構成されています。

(1)入力電源V1は、DC 24VくらいのDC電源を想定している。
(2)出力電圧は、安定化されたDC 12Vが出力されることを意図している。
(3)ベース電圧を決める電源V2は、DC12V安定化電源を使用し、基準電圧=ベース電圧12.6Vを与えている。
(4)出力電圧であるエミッタ電圧は、ベース電圧より0.6V低い12.0Vが出てくるTrの特性を利用しようとしている。
(5)入力抵抗1Ωは、両端端子電圧を電流測定用に使用しようとしている。

上記のアイディアは私のものではなく、前述のようにネットで教わったものです。

私の持っている疑問

(1)入力電源の電圧が、基準電圧V2=12.6Vを下回る場合に、大きなベース->コレクタ電流800mAが
  逆流してきている。これは2N3055の絶対定格を越え、2N3055は恒久破壊に陥るのではないか。
(2)電流測定用1Ωの実現が、発熱のために困難なのではないか。
  たとえば24V入力で5A出力時、(24-12)V*5A=60Wも電力が無駄に消費される。
  この時、抵抗1Ωでの消費電力は、1Ωx5A^2=25Wにもなる。

573774ワット発電中さん:2007/02/18(日) 10:47:49 ID:LY8OYORQ
>>572 つづき
2N3055のデータシートが見つかりました。
http://www.mospec.com.tw/pdf/power/2N3055.pdf
これによるとベース電流Ibの絶対定格は実に7Aもあります。
ということは800mAごときの電流でこのTrが壊れることはない・・・・この判断で良いでしょうか。
574774ワット発電中さん:2007/02/18(日) 14:47:48 ID:cSBF28iA
くだらん。 
12Vの安定化電源が用意出来るんだったら12V以下の解析自体が無意味。

本気で2系統用意する気だったら逆流防止のダイオードが必要。
あと1Ωの抵抗を挿入するんなら出力電流はせいぜい0.1Aな。
A単位で電流を取る場合は0.1Ω以下にしれ。
575774ワット発電中さん:2007/02/18(日) 16:42:06 ID:LY8OYORQ
>>574
アドバイスありがとうございます。
私もその案に納得してしまうのですが、教えてくれた方は本気で、
教えてくれていますので失礼の無い態度でならない(教わる)立場で
ご理解いただけますと幸いです。

1Ωのシャント抵抗として大きな値をとりたいときは、パワーTRと安定化
電源を2個用意せよという提案を頂いております。
12V末端の負荷はマイコン+大変重い誘導性のものらしいです。

SPICEを解析に使った理由は、このTrによる定電圧特性を調べるためです。
教科書に出ているTrのベース接地回路の等価回路の計算式、
エミッタ接地回路の等価回路の計算式は、そのいずれもこの応用回路に
該当せず、私には手計算ができず、spiceの力を借りています。

電流を計る目的なら、私自身はmΩ程度の高精度のシャント抵抗と
オシロスコープが良いのではないかと思っています。

私の職場には、電子式プローブC結合の電流測定装置があり
最小1mAも高精度で測定できます。もともとの質問者の方は
そうした測定器の存在も知っておられますが、職場では
高価な測定器は用意できないとのことでした。


576774ワット発電中さん:2007/02/18(日) 21:21:07 ID:MdUpn1ea
回路というならディジタル回路も当然含むよな >>1
577774ワット発電中さん:2007/02/19(月) 01:52:00 ID:aZ8MHGK9
>教えてくれた方は本気で

もしや軽石?
578774ワット発電中さん:2007/02/19(月) 09:19:47 ID:QpvFpm8f
どんな変わった回路かと思って開いてみたら、安定化電源の説明で2番目に出てくる
ツェナーとトランジスタと抵抗を1個ずつ使ったアレじゃねーか。
たしかに軽石かも。
579774ワット発電中さん:2007/02/20(火) 18:52:56 ID:5v3WKsJz
>>577-578
この定電圧回路をそう馬鹿にしないで計算してみてください。
私の電気の教科書に出てくるTr等価回路モデルは計算につかえませんでした。

エバース・モルモデルを使うとしても計算は楽ではないと思いますよ。
http://www.tetras.uitec.ehdo.go.jp/download/GinouGijutu/199502/19950216/19950216_main.html
の図3参考図。計算式はトランジスタ技術2006/7月 P120

定性的な知識だけで言ってる分には簡単そうですが、定量的に計算しようとすると、
わずか1石と言え、それほど簡単じゃないですよ。

580774ワット発電中さん:2007/02/20(火) 23:02:31 ID:MF//QuBp
なんで図と式わざわざjavascriptで開かせるんだろ?
581774ワット発電中さん:2007/02/24(土) 11:14:05 ID:aI2m+uWY
>>579
ここの第2章で紹介されているモデルが実際には広く使われているようだね。
http://www.intusoft.com/lit/WkwModels.pdf
582774ワット発電中さん:2007/02/24(土) 17:20:19 ID:+3lWoSZC
>>581
dくす。よさげな資料づら。
583774ワット発電中さん:2007/02/24(土) 17:24:52 ID:aI2m+uWY
LTspice (scad3.exe) の version が 2.20 になりました。
モンテカルロシミュレーションで使う mc(val, tol), flat(x), and gauss(sigma) 関数が
追加されたりしています。 LTC\SwCADIII\Changelog.txt 参照。
追加分の簡単な解説: http://tech.groups.yahoo.com/group/LTspice/message/14863
             (コレ↑を見るには Yahoo USA へのメンバー登録が必要です。無料。)
584774ワット発電中さん:2007/02/24(土) 22:08:56 ID:aI2m+uWY
>>583 の続き。
LTspice の version が 2.20 になって、examples\Educational\ 中の2つのファイルが
更新されています。MonteCarlo.asc と stepnoise.asc ですが、まずは stepnoise.asc から。

The resultant plot of V(inoise_density) vs. R shows a minimun noise
with a tail resistance around 16k ohm.
とか言っているのですが、最初は何を言っているのかわからなかった。
どうやら、こういうことをやってみろ、という意味らしい。
 http://radio.s56.xrea.com/radio/src/radio1267.png
(縦軸の単位は V/√Hz ですが、文字化けしています。許してね。)
測定周波数 10kHz の入力換算ノイズ vs {R} のプロットのようです。.NOISE ... <list>
のパラメーターの書式は教えてくれるのですが、その意味がまだよくわかっていません。
ご存知の方、よろしくお願いします。
585584:2007/02/24(土) 22:23:17 ID:aI2m+uWY
追記。 .NOISE ... <list> の list とは、横軸を {R} にしろ、という意味です。
.AC でも "list" を同じように使いますので。
586584:2007/02/25(日) 16:11:06 ID:aIQXj5Mn
.noise <out> <src> list <Freq1> [<Freq2> ... ] 書式の件ですが、
<Freq2> を書くと横軸が周波数になってしまいます。 (範囲指定になる)
{R} を横軸にとりたいのなら、やはり <Freq1> 一点のみを指定します。
http://radio.s56.xrea.com/radio/src/radio1268.png
{Rg} を変えて2回解析してみました。それなりに納得できる結果です。
587584:2007/02/25(日) 16:23:50 ID:aIQXj5Mn
あっと、{R} を対数的に変化させているのにグラフはリニア目盛り。これは変ですね。訂正。
http://radio.s56.xrea.com/radio/src/radio1269.png
588584:2007/02/25(日) 17:49:23 ID:aIQXj5Mn
MonteCarlo.asc に話を進めます。この例で使い方はわかるでしょう。ちょっと心配
なのは、mc() の結果は等分散なのかガウス分布なのか? ということです。
flat() と gauss() が使えれば LTspice でも容易に区別がつくのですが、実は
これら2つの関数は現在のところ使えません。

結果を ltsputil で export して、外部プログラムでヒストグラムでも表示させれば、
グゥの音も出ないほどはっきりします。(でもめんどくさいな)
人間のパターン認識能力に期待して、こんなことをやってみました。
http://radio.s56.xrea.com/radio/src/radio1270.png
mc() の戻り値は等分散のようです。
589584:2007/02/25(日) 18:17:03 ID:aIQXj5Mn
話が少し前後しますが、
http://radio.s56.xrea.com/radio/src/radio1268.png, radio1269.png について追記。
なにか {R} つまりコレクター電流値に対してものすごく敏感のように錯覚するかもしれません。
しかし、縦軸の値をよく見てください。せいぜい1割くらいの違いです。
極端な値に設定しない限り、入力換算ノイズはそれほど変わりません。むしろ信号源
インピーダンスによる影響のほうが多いですよね。(BJT の性質)
以上。
590571:2007/02/25(日) 23:55:31 ID:5mTU8ICK
>>571の質問者です。

定性的には極めて当たり前に知られていますが、>>571の入力電圧は出力電圧より
数V高い電圧でないと、一定電圧(12V)を出力できない。

私が趣味で中学時代に作った電源は、723CEやTA7089Mを使ったAVRでした。
その基本構成は、

3-2.安定化回路
http://www.kikusui.co.jp/knowledgeplaza/powersupply1/powersupply1_j.html#anteika

・・・これと同じのわけですが、この3.2のフィードバック制御の図を見ると、
出力電圧が一定電圧から下がったら制御部は昇圧動作をするように帰還制御するみたいに
当時の中学生の目に見えていたんです。

実際は、比較部となるコンパレータの電圧入力は出力電圧が反転する領域では
使わないんですね。だから電圧降下動作はしても昇圧動作はしない。

その後、3端子レギュレータICが増えて、この回路構成を使わなくなったのですが
3端子レギュレータの入力電圧も出力電圧より数V高くないと、安定化電源として動かない。
この動作は、>>571でシミュレートした結果と原理は同じなんですね。

なんか今頃わかってきましたが、コンパレータで比較部の回路組めと言われたとしたら
やっぱり悩んでしまうのです。別にこだわる必要はないんだけど、なんか心の隅に
ひっかかりのもやもやがあるんです。


591774ワット発電中さん:2007/02/26(月) 11:09:21 ID:kv0+/wtJ
>>590
>コンパレータで比較部の回路組めと言われたとしたらやっぱり悩んでしまう

ttp://www.kikusui.co.jp/knowledgeplaza/powersupply1/fig04.gif
の「比較」部には、いわゆる「コンパレーター IC」を使うわけではありません。
使うとしたら OP アンプか、単純な Tr 増幅器です。比較出力は A*(Va - Vb) の形で、
リニア増幅器ですよ。

>>588
LTspice は ltsputil を使わなくても、シミュレーション結果の簡単な export はできます。
グラフを選択した状態で File → Export を使います。もちろん ltsputil ほどの
高機能はありませんが。Gnuplot あたりを使えばヒストグラムの表示もできそうです。
592591:2007/02/26(月) 18:09:42 ID:oGJU/fUk
>Gnuplot あたりを使えばヒストグラムの表示もできそう

一応調べて、ちょっとやってみました。私が持っている gnuplot では
それはできなかったり・・ (version が古いのか?)
やはり自前でプログラムを作って全部計算してから gnuplot に
渡すのが確実なようです。おそまつでした。
593591:2007/02/26(月) 18:19:38 ID:oGJU/fUk
追記。
しかし、いわゆる batch mode ("-b") では、そのような export 方法は
できません。やはり ltsputil のお世話にならなくては。
あ、ほかに .meas の結果つまり *.log ファイルを読み出す方法も使えます。
けっこう便利ですよ。Batch mode でも同じように使えるし。前処理されて
いますので、後の処理が簡単になります。
594774ワット発電中さん:2007/02/26(月) 21:45:12 ID:wJ2qahQ2
ごめん。
>>590 >>571 さん、さあどうぞ。
595774ワット発電中さん:2007/02/26(月) 21:53:00 ID:wJ2qahQ2
>>590 >>571 さんへ

>591 の前半を見てね。
回路シミュレーション ≠ 回路設計なんだけど、今それを言ってもわからないだろうと思う。
それだけは言っとく。
596774ワット発電中さん:2007/02/26(月) 23:15:30 ID:oTDreYqf
>>591
>比較出力は A*(Va - Vb) の形で、 リニア増幅器ですよ。

なるほど。
A>0、A<0 のどちらで、値はいくつに決めればいいですか。

それからA*(Va-Vb)が制御電圧ということは、
利得Aを決めるオペアンプの抵抗を可変させても
出力電圧を変えられることを意味しますよね。

(同様に、基準電圧、検出電圧を可変させても、出力電圧を変えられる。)

Va、Vbと、基準電圧、検出電圧の対応関係をよろしく教えて下さい。

今、参考にしている回路です。
http://bbradio.hp.infoseek.co.jp/psupply13/psupply13.html
中学の時は、TA7089Mで作ったので、もっと安定化性能はよさげかな?
(723CEの製作記事例が多かったです)
597774ワット発電中さん:2007/02/26(月) 23:18:31 ID:oTDreYqf
>>595
回路設計が先にあり、検証がspiceですか?
さらに実験で確認。こんな感じですか?
598774ワット発電中さん:2007/02/26(月) 23:24:02 ID:oTDreYqf
>>597に追記
spiceで、実験に代行できるくらい、spiceは良くなってきているのかな?
と思ってます。
アナログ回路設計は仕事ではほとんど無いのであまり切実ではないですが、
どうかすると回路を部分的に取り出して過渡解析に使ったりしてます。
もともとソフトが得意です。
599774ワット発電中さん:2007/02/27(火) 00:03:04 ID:n0WlS2uT
>>596
それは回路設計のやり方はどうするの、という問いではありませんか?
ここは回路シミュレーションのスレです。
もちろん、懇切丁寧に教えられるくらいの知識・経験はありますが、
それば別スレでやりましょうね。あしからず。そんなスレが無いとしたら、
自分で立ててみてください。
600774ワット発電中さん:2007/02/27(火) 00:29:44 ID:n0WlS2uT
>>597
> 回路設計が先にあり、検証がspiceですか?
> さらに実験で確認。こんな感じですか?

現実実際はループになっているので、鶏と卵のどっちが先? とか言っても始まりません。
相補う関係にあるのです。

>588 2007/02/25(日) 17:49:23 ID:aIQXj5Mn
> (中略)
> 結果を ltsputil で export して、外部プログラムでヒストグラムでも表示させれば
> グゥの音も出ないほどはっきりします。(でもめんどくさいな)

ソフトウェアが得意だったら、上記の「でもめんどくさいな」の部分を実際にやって
見せてくれませんか? みんな、それなりに感激すると思いますよ。
皆を納得させられるデータを、こういう方法で作りました。という経過報告が大切でしょうね。
601774ワット発電中さん:2007/02/27(火) 22:59:52 ID:qERiP5ie
>>584
flat()とgauss()は動いてるみたいだけど。
mc()はflat()と同じで平坦分布だな。ヒストグラム書かなくても、.measでrms計算してもらって比べると簡単。
602774ワット発電中さん:2007/02/27(火) 23:00:16 ID:zyomDjsp

電源回路故、軽頭乱入か。華麗にスルー。
603774ワット発電中さん:2007/02/28(水) 00:49:09 ID:TIXjQInY
>>601
>flat()とgauss()は動いてるみたいだけど。

そうなんですか、じゃあ私ももう一回ダウンロードし直してみます。
ありがとう。
604774ワット発電中さん:2007/02/28(水) 00:59:51 ID:TIXjQInY
>>601
>ヒストグラム書かなくても、.measでrms計算してもらって比べると簡単。
それを、もう少し説明してくれませんか? アホな私にもわかるように。
よろしくお願いします。
605774ワット発電中さん:2007/02/28(水) 06:24:17 ID:3n3wZSeA
>>599
spice応用から自然派生した時術的話題の議論、検討を制限したり
妨害するのをやめること。
情報価値の無い役に立たない記事を書かないこと。
これらが守れないなら2chを去ること。
606774ワット発電中さん:2007/02/28(水) 08:35:43 ID:TIXjQInY
>>605 さんは自滅だな。
607774ワット発電中さん:2007/02/28(水) 10:48:02 ID:2i3Bq2LJ
>情報価値の無い役に立たない記事を書かないこと。

基本的に2ちゃんは役に立たない記事であふれかえってるわけで
役立たず規制したら2ちゃん消滅みたいなもんだけどな。
608774ワット発電中さん:2007/02/28(水) 11:35:19 ID:OsA9Og8G
いま研究されているのは、ノイズばかりの記事の中からいかに自分がほしい記事を探し出すかということでしょ。
609774ワット発電中さん:2007/02/28(水) 17:01:18 ID:4Vht73IN
>いかに自分がほしい記事
その通りだけど、すごく「オレ・私」フィルターがかかっているよね。
ほかの人と話をすれば、もっと「オレ・私」が低減するよ。
610774ワット発電中さん:2007/02/28(水) 18:21:55 ID:OsA9Og8G
>>609
言ってる意味がわかんない
611774ワット発電中さん:2007/02/28(水) 18:41:48 ID:/Mvz+UWK
>>610
世の中には、いろんな情報・記事がある。
さあ、オマエの好きなヤツだけ、取り出して見るわけだ。いいだろ。
でもちがう。オマエが捨てたヤツにも、しっかり情報があるってことさ。

まだ言葉足らずだと思う。もっとたくさん・たくさん質問していいと思うよ。
612774ワット発電中さん:2007/02/28(水) 19:21:59 ID:OsA9Og8G
>>611
> オマエが捨てたヤツにも、しっかり情報があるってことさ。
「私が棄てた情報の中に少なくとも一つの有用な情報が存在する」が真だと仮定して、
その情報をなるべく少なくするのが技術的課題だ。
613774ワット発電中さん:2007/02/28(水) 21:20:57 ID:/Mvz+UWK
>>612
ありがとう。
614774ワット発電中さん:2007/03/01(木) 02:03:56 ID:vAVKtbrx
「いいところ」の周辺をまわって、結局なにもできなかったヤツは、ゴマンといるからな。
615596:2007/03/03(土) 02:06:01 ID:kkM28XJ4
>>596の自己レス

安定化電源の安定化条件の計算

ツェナーダイオードの安定電圧=Vz
オペアンプの増幅度=A
TrのVbe=0.6[V]とおくと

A*(R2*Vout/(R1+R2)-Vz=Vb...(1)
Vb=Vout+0.6 ...(2)

(1)式のVbへ(2)式のVbを代入すると
Vout+0.6 = A(R2*Vout/(R1+R2)-Vz ...(3)

(3)式よりVoutを求めると

Vout = (A*Vz+0.6)/{(A*R2)/(R1+R2)-1} ...(4)

(4)式で 安定化電源出力電圧 Vout=12.0[V], Vz=5.6[V]
を仮定すると、
12 = (5.6*A+0.6)/{(A*R2)/(R1+R2)-1}
= (5.6A+0.6)(R1+R2)/{-R1+(A-1)*R2} ...(5)

R1について式(5)を整理すると

R1 = {(6.4*A-12.6)/(5.6*A+12.6)}*R2 ... (7)

(7)式で, R1≧0, R2≧0 より
 (6.4*A-12.6)/(5.6*A+12.6)≧0 ... (8)

(8)式を場合分けして
i) (5.6*A+12.6)>0の時...(すなわち A>-12.6/5.6=-2.25の時)...(9)
 (6.4*A-12.6)>0
 A>12.6/6.4=1+31/32...(10)

(9)と(10)のアンド条件を採って、
 A>1+31/32...(10)'

 ここで A = 2 を仮定すると、(7)式から
  R1 = {(6.4*2-12.6)/(5.6*2+12.6)}*R2
=(0.2/23.8)*R2

ここで R1 = 10k[Ω]を仮定すると
  R2=84.0[Ω] を得る。

  すなわち、オペアンプの増幅度2.00,R1=10k[Ω],R2=84.0[Ω]の条件にて
  安定化電源出力電圧Vout=12.0[V]を得る。

ii) (5.6*A+12.6)<0の時...(すなわち A<-12.6/5.6=-2.25の時)...(11)
オペアンプの反転増幅(A<0)は単電源では行えないので、(11)式の条件は実現不可能。

iii)(5.6*A+12.6)=0の時...(すなわち A=-12.6/5.6=-2.25の時)...(12)
 式(7)でR1≧0, R2≧0の条件は成立しない。
 よって(12)式の条件は実現不可能。

spice検証は次回に。
誤り指摘やコメントをよろ。
616774ワット発電中さん:2007/03/03(土) 02:14:41 ID:kkM28XJ4
>>615
A*{R2*Vout/(R1+R2)-Vz}=Vb...(1)式を訂正
以下後で。寝る。
617774ワット発電中さん:2007/03/03(土) 09:56:47 ID:4QAAVgtO
>615
そもそも概念構成から間違ってるから。
591の「比較」はオープンループでの話なので A は 100000 とかそんな感じ。
R1 と R2 がどこから出てきたのか知らないが、出力電圧を決める分圧抵抗の
ことだとすれば、クローズドループにしなければ式中に出てこないし、
その場合には式は Vout = (1 + R1/R2) * Vz のような形になる。

シミュとか以前のレベルなので電源スレか初心者スレ逝ってき。

590の人だと思うが、.590の構成自体は昇圧回路のものも含むので
昇圧するように思えるのは正しい。3端子レギュレータの類の現実の実装が
昇圧できないのはあくまで制御部の実装上の制限。

シリーズレギュレータってのは要するにオペアンプの非反転入力にツエナ電圧を
入れた単なるバッファで、入力端子というのはオペアンプの電源のこと。
入力-出力電圧差がある程度要るというのは制御用オペアンプが
Rail-to-Rail入力でない、というアンプの仕様を反映しているだけ。
618774ワット発電中さん:2007/03/03(土) 16:19:42 ID:kkM28XJ4
>>617
今日、午前中、今一度計算過程をチェックしました。
式(7)で合っていると思っています。

比較回路は、リニア増幅という説(A=const.) >>591の情報が
計算の考え方のベースになっています。

>「比較」部には、いわゆる「コンパレーター IC」を使うわけではありません。
>使うとしたら OP アンプか、単純な Tr 増幅器です。比較出力は A*(Va - Vb) の形で、
>リニア増幅器ですよ

この情報から、>>617の言うオープンループ(A=十分に大きな数)ではない、
増幅度A=定数となる差動アンプの使用を計算の仮定に置いています。
この回路の計算式は教科書から持ってきたものではなく私が独自に考えたものです。

間違いがあれば引用文を含め、正しをお願いします。
( >>617のご助言は、>>591の引用文の考えも完全否定されています。)

一方LTspiceに入力しましたが、出力電圧が0Vで、期待するようには動作してません。
ttp://homebrew2004.hp.infoseek.co.jp/RegTest1.asc
(LTspice用simulationファイル)
どこかに間違いがあるのでご助言に期待しています。

専門外ゆえ初心者であることは自認していますが、スレッドへの寛容さを要望します。

619774ワット発電中さん:2007/03/03(土) 17:00:19 ID:TVK3od9j
どうでもいいけど寛容さを要望しますってエライ高飛車さにワロタ
620615:2007/03/03(土) 17:49:38 ID:kkM28XJ4
>>615でR1とR2の値が逆に書かれているミスがありました。

R1=84Ω、R2=10KΩ、A=2とすると、
式(4)から、Vout=12Vが得られ、求まったR1,R2,A値から
Voutを逆算しても、計算値に矛盾が起こりませんでした。

>>617さんの言う誤りが発見できません。
どこが誤りなのか具体的に教えて下さい。
621774ワット発電中さん:2007/03/04(日) 00:09:53 ID:9oZ8FHqg
えーと、回路に致命的な間違いが3箇所かな。こんなんで動く筈がない。 
>618の回路を画像で↓に置いとくので具体的な突っ込みは他の方に任せた。
ttp://vista.jeez.jp/img/vi7293407569.png
622774ワット発電中さん:2007/03/04(日) 00:39:42 ID:S5o0XFJw
>>621
質問者です。
Q1 2N3055がONしないと、オペアンプの電源が供給されず、
オペアンプは増幅を開始しない。
そのため、2N3055のベース電圧は生成されず、
2N3055はOFF状態を続ける。
結果、出力電圧は出てこない。
ここまでは考えました。
ここからどうするかは、今のところわかってません。 
623774ワット発電中さん:2007/03/04(日) 03:14:29 ID:me3NhmiA
>>621
あまりにアナーキーでどこから突っ込んだらいいものか...
ある意味才能だな。
624774ワット発電中さん:2007/03/04(日) 09:08:04 ID:Z0wMopvw
「底なし沼に落ちたホラ吹き男爵が、自分の靴ひもを引っ張って沼から這い上がった」
っていう話を思い出した。
625774ワット発電中さん:2007/03/04(日) 10:31:16 ID:S5o0XFJw
>>623-624に回答のための思考のできないレベルが妨害してきていますが
スルー(読み飛ばしして無視する)でお願いします。
>>623-624の彼らに、物事を論理的に考える力はありません。
考える力があれば彼らは既に答えています。
答えられないから邪魔してくるのです。
626623:2007/03/04(日) 10:59:15 ID:CiOh4Mpk
論理もへったくれもあるかってーの。TrをOP-Ampに置き換えてる時点で終わってるよ。
Op-Ampの電源を出力から取っているために2N3055のベースに必要な電圧が与えられないとか、
負帰還の掛け方が根本的に間違ってるとか、そういう問題が問題と感じられないくらい、モデルの立て方自体がおかしい。
Op-Ampに置き換えた根拠を「論理的」に説明してみ。これで思考してるつもりなのかね?

自分理論を暴走させるより、教科書を一字一句読み返して見た方が有益だな。
馬鹿がいくら実験やシミュレーションをやっても馬鹿な結果を量産するだけだ。
627774ワット発電中さん:2007/03/04(日) 11:49:47 ID:S5o0XFJw
>>622 を改造しました。
http://homebrew2004.hp.infoseek.co.jp/RegTestV2.asc

2N3055ベース〜コレクタ間に1KΩのバイアス抵抗を加え、
ベース初期電圧を与えることで、強制的に2N3055をオン
させる試みです。

結果、約12Vの出力が出てくるようになりました。

しかし、これは10KΩ等、負荷が軽い場合だけで、
負荷が重くなると、Voutは低下し、この回路構成では
その低下するVoutを、一定にするようにフィードバック制御できるような
動きにはならないことが判明。
ここからどうするかは未だわかりません。
628774ワット発電中さん:2007/03/04(日) 12:00:43 ID:S5o0XFJw
>>626
>教科書を一字一句読み返して見た方が有益だな。

教科書は持っていません。学生時代からこの分野はずっと専門外で、
こうした応用回路の基礎教育は受けていません。

先に書いたように、回路構成の考え方は、 
>>591で教わった情報をベースに独自に考えています。

すなわちこれ

>「比較」部には、いわゆる「コンパレーター IC」を使うわけではありません。
>使うとしたら OP アンプか、単純な Tr 増幅器です。比較出力は A*(Va - Vb) の形で、
>リニア増幅器ですよ

この情報を仮定して、回路構成を考えてます。
この引用部には正しいのですか? 誤りがあるのですか?まず、そこを教えてほしいのです。

フィードバック制御を正しく動かすには、Voutの電圧降下時に、アンプの出力電圧が
上昇するような動きでないとだめですが、Vb=A*(Va - Vb)の式で、
A=constならば、当然、Vaの低下で、Vbは低下、結果Voutの低下が引き起こされます。
629774ワット発電中さん:2007/03/04(日) 12:08:44 ID:S5o0XFJw
>>628
教わる立場で逆らうな、といわれる雰囲気ですね。
先人の既存回路を真似るなら簡単でしょう。
でも、それでは新しいことをやろうとしたら通用しないじゃないですか。
そういう創造行為や思考過程を、暴走とか馬鹿と呼ぶのは、教える以前に
その方の人間性に疑問を感じます。
教わるべき相手ではないと思います。
630774ワット発電中さん:2007/03/04(日) 13:04:49 ID:CiOh4Mpk
>629
いや、あんたのやろうとしてる事、全然新しいことじゃないし。古典的なシリーズレギュレータの解析で躓いてるだけだろ。
基礎教育を受けていないなら、なおさら素直に教科書読めよ。新しいことにチャレンジするのはその後でも遅くない。

>621の回路を最大限生かすなら、
U1の電源をQ1のコレクタ側から取って、R3〜R6撤去、D1の電圧をU1の+inに、R2をとりあえず10kΩにして-inに。
これで多分D1の2倍の電圧が出るだろうから、R1/R2を12Vに合うよう適宜修正。
って書くと余計わかりにくいな。つまり、D1を基準電圧として、2N3055エミッタフォロワ付きOp-Ampで非反転増幅回路を組めってこと。

知らないだろうから >624の言ってることを説明しとくと、ブートストラップっていう自分の出力に電圧を積み上げるような回路があるのさ。
その語源がホラ吹き男爵の話なの。これは回路の教科書には大抵のってる話。
で、>621の回路は自分の出力から自分の回路制御に必要な電源(U1の電源)を取っているので、ホラ吹き男爵なわけ。
この回路じゃ自分の足を引き上げるのに失敗してるけど。
LT1494はレールtoレールであっても電源電圧以上の出力電圧は出力できない→12V電源では高々12Vまで→2N3055のB電圧も高々12V
→E電圧はそれよりも低い電位→LT1494の電源電圧がさらに低く→・・・なわけでOP-Ampは全く働いていない。

一応動作しているように見えるのは、D1の電圧が、R6→R5→2N3055のBという経路で伝わってツェナー+Trのシャント電源と同じ動作になっているものと推測。
631774ワット発電中さん:2007/03/04(日) 15:41:05 ID:S5o0XFJw

追加テスト結果

>>630案に基ずくテスト結果
そのsimulation file
http://homebrew2004.hp.infoseek.co.jp/RegTestV4.asc
安定化電圧出力効果は見られるが、
・11Vを越える電圧出力ができない。
・1Aなどやや大きめの負荷電流を流すと出力電圧が大きくドロップしてしまう。
という問題が見られます。

オペアンプでなくTrを使った定電圧回路例
http://bbradio.hp.infoseek.co.jp/psupply13/psupply13.html
そのsimulation file
http://homebrew2004.hp.infoseek.co.jp/RegTestV4tr.asc
・電圧安定化効果が無いように見え、出力電圧に脈流成分が見える。
・2N3055のベース、コレクタ間の抵抗で、入力電圧の脈流が入力されるため、
 出力が脈流を含むようになるように見える。
こうした問題が見られます。

2N3055x1とTA7089Mを使った安定化電源を中学時代に作りましたが
これは12V、5Aを連続し安定して取り出せていました。
シミュレーションした限りでは、こうした性能を出すことは
簡単ではないと思いました。




632774ワット発電中さん:2007/03/04(日) 16:25:53 ID:9oZ8FHqg
電源スレに移行した方がいいと思うが一応1点。
そろそろ2N3055使うのをヤメレ。

>630 貴方はいい人ダー
633630=626=623:2007/03/04(日) 22:17:24 ID:t8iwvTXE
LT1494って出力電流がシンク/ソースとも500μAしか取れないんだ。これじゃ2N3055のベースをドライブするの無理。
2N3055のhFEがMaxでも70だから、出力電流35mAで破綻するわけだ。・・・って、1A出力したときのベース電流14mAもあるじゃないですか。
Trタイプでも破綻するわけだ。通常ダーリントンにするわな。
駄目設計をシミュレーションしたら、その通りだったことが確認できただけだわな。

>632さんの言う通りだ。2N3055はやめた方がいいし、他のスレに移行した方がいいな。最早シミュレーションの問題じゃない。
634774ワット発電中さん:2007/03/04(日) 22:45:16 ID:9oZ8FHqg
あ、ゴメン。 
スレッド一覧見たら「スイッチング電源」と「3端子レギュレータ」のスレは有るけど
シリーズREGに適切なスレッドが無いなぁ。

初心者質問スレ辺りが妥当かも。
635774ワット発電中さん:2007/03/04(日) 23:26:10 ID:sPQTsgtS
2N3055ってーと、その昔、秋月の安定化電源に
つかわれていた奴だったような
636631:2007/03/05(月) 00:01:12 ID:3s5DW1KC
>>635
今も生産してるかも。検索すると秋月もエントリしてくる。

>>615に書いた式は、A(Va-Vb)=Vb電圧帰還という考えでピンポイント電圧で
式が成立するが、Vb=const、 Vout=constまでは意味していないのがワカタ。

あくまで目標電圧Vout[V]を出力するときの、A,R1,R2の値が存在する
ことを示すだけ。
だから計算どうりになった回路構成>>627も出てきた。

しかし、>>615の式の一番大きな落とし穴は、負荷電流が変化するとき
にVb,VoutをConstにする条件を保障してくれないこと。
負荷抵抗をパラメータに入れて、負荷電流が変化しても
Vb,Voutが一定になる構成を考えないといけない。

言い訳になるが、コメントもついているようにLTアンプのドライブ能力も調べなければ
いけないが、昨日、今日の休みの合間では無理だった。

先人の回路を見てしまえば、なんでもなく思える人もいるかもしれないけど
、独自の0からの思考過程をとると、こういう深みが見えてきたりする。
それはそれで意味あることと理解してくれる人もいるかもしれない。

637774ワット発電中さん:2007/03/05(月) 03:27:44 ID:slbivoKX
初めてのアナログ設計になります。
外注にPspiceで設計してもらったものを、Cadence Spectreに移植してみたら、
Bipolaの定電圧源の電圧が1割以上合わなくて、どっちを信用して良いものやら
困っています。一応マージンを取ってウエハを起こす予定ですが、今から評価
とそのフィードバックを考えるとちょっと鬱・・・。
SpiceのパラメータはHspice用なので、もはやその時点でどうにもこうにもなの
ですが、実際、spiceの違いでかなり挙動は変わるものなのでしょうか。
今までHDL屋だったので、spiceの方言や挙動の違いにへこたれそうです。
638774ワット発電中さん:2007/03/05(月) 03:35:15 ID:E0gNQqNY
>496 が言う所の↓をリアルで見てる気分だぜ。

 まったく知識や経験を持たない人がいじくり回しても、
 単に誤解が誤解を招くだけという結果になりかねない


三端子レギュレータの使い方(by NEC)
ttp://www.necel.com/nesdis/image/G12702JJAV0UM00.pdf
ここの応用回路の性能を越える電源を1から組むのは大変だよな。
639774ワット発電中さん:2007/03/05(月) 04:21:34 ID:slbivoKX
レスどうもです。切羽詰った状況でアナログやる羽目になってます。
資料、参考になります。ありがとうございます。

スタートアップ回路のバンドギャップのところで、既に温度勾配が大幅に違って
きています。なので、それ以降電圧源がずれるのも当たり前といった状況です。
とりあえず温度補償している抵抗を微調整してフラットにしているのですが、
どちらの検証結果が本当か、もしくはどちらも嘘か、分かりませんので、温度
補償の抵抗は幅を持たせて、コンタクト工程の手前で止めることにしました。

外注さんは、業界でも有名なエンジニアさんなので、基本的には信用している
のですが、「正直pspiceの精度にちょっと自信が無い」と言われw、焦っている
次第です。

トランジスタの動作も最近覚えたような人間に1chip任せる会社もどうかと思う、
今日この頃です。会社のTOPエンジニアの人に言わせると、超Sクラスに難しい
回路だ・・・とのことで。こうやってまともに帰れない日々が半年程続いてます。
640それでいいんじゃね?:2007/03/05(月) 06:43:17 ID:8JGyUjl8
>639
どちらも信用しないが正しい。
少々のモデルパラメータの違いで1割も食い違う部分が出、
しかもその部分が評価にクリティカルなのであれば、
現実はさらに両者から1割食い違っててもおかしくないほど
シビアな構成だということだから。

「その部分の作りで全体の精度が決まってくる」ということが
シミュで分かったのだと思いねぇ。

641774ワット発電中さん:2007/03/05(月) 07:41:25 ID:3s5DW1KC
>>638
最初は全く経験も知識が無いのは、新人であっても、
または経験をつんだ技術者でも、全く新しい分野に挑戦するときの常。
知らない知識は調べればいいし、わからないことは
考え出せば良いと思ってる。大変だ大変だなのは事実なのかも
しれないが、楽な仕事なんて、そもそもこの業界にあるのかな?
知識は習得し、経験はつめば実力があがる。同時に思考力も
高まってくる。努力を根気よく熱意をもって継続していると
到底できないと思えたことが実現できる壁を突き破ってきた
経験からそう思う。
一番いけないのはあきらめてしまい努力を止めてしまうこと。
こうなると敗北する運命に陥る。
642774ワット発電中さん:2007/03/05(月) 07:59:12 ID:3s5DW1KC
>>639
外注に任せきりの体質になると会社の仕事は空洞化、斜陽へ向かうので
最低でも自社得意分野のコア技術キープは必須。
643774ワット発電中さん:2007/03/05(月) 19:49:20 ID:irKjRrvr
おいおまいら、636と637のどちらに対するレスか明確にしようぜ。
644774ワット発電中さん:2007/03/05(月) 23:25:45 ID:E0gNQqNY
正直スマンかった。 >638は>636な。
645774ワット発電中さん:2007/03/06(火) 21:12:55 ID:wzZz1ibx
>>638
>まったく知識や経験を持たない人がいじくり回しても、
> 単に誤解が誤解を招くだけという結果になりかねない

確かに何も知りませんが、simの失敗から学ぶということもあり
勉強になる人もいると思います。モレ以外にも。

なお、指摘にあった、ダーリントン接続をやってみましたが、
結果はNG。UPは要望があればしますが、かなりリップル
が出てしまいます。hfeが高すぎるのかなと思います。

いまさら3端子REGを使う気はありませんが
資料は参考になりました。ありがとうございます。

今回はあくまで学習のためと、24V電源を2個用意してTrかまして12V作れのアドバイスに
疑問を感じ、その延長として安定化電源の原理実験をしたかったのです。
実応用ではSWレギュレータ使います。

まだ気になっている点は、帰還制御論理の入った電圧式を出せていないことです。
>>638さんの式導入に期待してます。

>まったく知識や経験を持たない人がいじくり回しても、
> 単に誤解が誤解を招くだけという結果になりかねない

そこまで言うなら、当然、簡単に式導出できますよね?
(3端子レギュレータ資料のカンニングはだめですよ)
646774ワット発電中さん:2007/03/06(火) 21:31:22 ID:wzZz1ibx
>>645続き
少なくとも、誤解や誤りがあるのであれば正してください。
でないと、その言い方は情報0、かつ単なる侮辱で、それでは人として尊敬されず
上にたてませんよ。2chでも礼儀は必要です。そこをご理解下さい。
647774ワット発電中さん:2007/03/06(火) 21:54:25 ID:Zk8a8RAk
わからない五大理由

1 読まない
2 調べない
3 試さない
4 理解力が足りない
5 人を利用することしか頭にない
648774ワット発電中さん:2007/03/06(火) 22:56:11 ID:gn7v7ony
>>645
http://w3.quake3.jp/sushi-k/wiki/index.php?%B2%F3%CF%A9%C3%CC%B5%C1#ga7fc0dc

ここにスペースを作っといたんで、良かったらどうぞ。
ここは回路設計入門スレじゃないんで、これ以上やると。。
2ちゃんとはいえ最低限のルールは守ったほうが
いいかと
649774ワット発電中さん:2007/03/06(火) 23:22:21 ID:gn7v7ony
>帰還制御論理の入った電圧式

誰も答えてくれないのは、この手のレギュレータは
ぶっちゃけopアンプの出力をブーストするだけなんで
A=∞、よって基準電圧との比が出力電圧になるんで
アホらしくて答える気にならないからでしょう。
カンニングとおっしゃるが、こういう基本回路は先達のを見て
理解することが先決かと。老婆心ながら進言しておきます
650774ワット発電中さん:2007/03/06(火) 23:54:05 ID:TFwXR8wY
これを思い出した。
SPICEを効かせる
http://www.ednjapan.com/content/issue/2006/12/best/best01.html

よーするに基礎のない分からん奴があれこれいじくった所でどつぼにハマるだけってお話。
651774ワット発電中さん:2007/03/07(水) 00:26:23 ID:7z06aU3S
>>648
回路拝見しました。ありがとうございます。参考になりました。
こうした技術的具体性のある投稿はmoreだけでなく
他の人にも参考になるはずです。

評論家には用はありません。借り物でなく実力を見せてください。
652633:2007/03/07(水) 06:52:13 ID:ENzT9VGk
>>651
みんなの参考にならないから他所でやれって言われてんの。親切にも>648氏がスペースを作ってくれたんで、そっちでやろうぜ。
既存の理論には頼らない、自分の力で解く、って力強く宣言したんだから1週間くらい苦しんでからカキコしなよ。

ダーリントンで駄目だとのことだけど、回路図とシミュレーション結果を画像でうpしる。Simファイルではわからん。
TrのB電圧と電流も表示。これで動かない原因がOp-Amp側にあるかTr側にあるか見極められる。
あなたの言うところの帰還制御理論で出てくる各部電圧にもプローブ当てて観察。
SPICEの最大のメリットは、気楽に各部の電流・電圧を観察できること。実験で測定できない部分の波形も見られる。
Voutしか見ないのはもったいない使い方。

あと、Op-Ampモデルは理想Op-Ampにした方が良いかも。
メーカー提供のモデルは実際の回路を再現しすぎて、基礎学習には不要な現象がでてくるかもしれない。
現段階で発振されても困るでしょ。
653774ワット発電中さん:2007/03/07(水) 09:10:49 ID:n9Ku9E1H
>651の正体ってLightConeさんかい?
654774ワット発電中さん:2007/03/07(水) 09:17:45 ID:lEZ7Xs+R
俺の知り合いに、やたらと意欲だけあるプログラマがいた。
何かというとすぐに「デバッガ」「デバッガ」という。

割り込みやポインタをきちんと理解していないのに難しいプログラムを作りたがる。

おれはそいつに「プログラミングの入門書」と「デバイスのデータシート」を渡して
いろいろな問題を出し続けた。
そして問題が解けないときはデバッガを使わせないことにした。

最近、そいつはデータシートがえらく気に入ってるらしい。
デバッガを使う頻度が極端に減ったのにバグの発生率がかなり下がった。

うかうかしてると追い越されるかも…
655774ワット発電中さん:2007/03/09(金) 11:10:18 ID:d/vvbGfd
LTSpice なら、出力波形をアイパターンで描けるんだよ。知ってた〜
http://homepage1.nifty.com/ntoshio/rakuen/spice/prbs/index.htm
656774ワット発電中さん:2007/03/09(金) 15:41:06 ID:WRYtJ5M/
>>366
疑似ランダム信号を1次側と2次側で位相を反転したものを入力しているのは
仕方ないのかもしれないけど、シミュレーションのお遊びだなと思います。
657774ワット発電中さん:2007/03/09(金) 19:51:07 ID:lwmVaqYn
>>656
ご意見ありがとうございます。でも「位相を反転したものを入力している」というのは心外です。
ttp://www.d1.dion.ne.jp/~river_r/bell/dsadc/5.png
U1 は積分器だけど出力は反転します。だから、D-FF の入力から見れば、加算になっています。
(と書いてみて、どっちか片方で済まないのか? という疑問が出てきました。)
658774ワット発電中さん:2007/03/09(金) 20:30:37 ID:lwmVaqYn
>>655
Mark Data Points というのはご存知でしたか? ごくまれにしか使わないけど。
これの仕様が昔と変わってしまったので、ちょっと困ることもある。
以前は draw with points だったのに、最近は draw with lines and points に
なってる。この区別を指定できると、もっと便利だね。(めったに使わないけどさ)
(ホントに落ちちゃうわけではないけど age とく)
659774ワット発電中さん:2007/03/09(金) 20:32:54 ID:lwmVaqYn
あれ、age れないので、もう一度。
660656:2007/03/10(土) 11:32:16 ID:pkAkpiRo
>>657
結局、疑似ランダム信号の入力レベルを最適化しないと駄目ですよねぇ。 
これΔΣの特徴ですから次数を増やした方がいいのに決まっています。
しかし、DAC(この場合1ビット)で帰還をかけているので発振の問題ありです。
661774ワット発電中さん:2007/03/11(日) 14:50:06 ID:xKquVC5I
現在進行中、議論はここでもあった
ttp://tech.groups.yahoo.com/group/LTspice/
662774ワット発電中さん:2007/03/11(日) 21:19:49 ID:uOg/t/2K
>>661
その中の、例えばどれだか、教えてくれませんか?
663774ワット発電中さん:2007/03/12(月) 00:38:12 ID:lewaJ+Qx
URLが違うがここに書いてある

http://www.analog.com/jp/content/0,2886,760%255F788%255F92394,00.html

>Stabilization is easier, since second-order loops can generally be used.
Idling patterns tend to be more random thereby minimizing tonal effects.
664774ワット発電中さん:2007/03/12(月) 11:21:15 ID:20E+h3Eg
アナログデバイスにチュートリアルのページがあったのか? サンクス

http://www.analog.com/en/DCCatLanding/0,3149,758%255F%255F65,00.html
665774ワット発電中さん:2007/03/12(月) 13:52:45 ID:wMPGnSJs
書籍より、メーカーのアプリケーションノートのほうが進んでる。
工学分野はそれでいいのだろうね。(でも逆だったら変だよ)
666774ワット発電中さん:2007/03/12(月) 21:40:10 ID:pvOo/SaL
あれ〜、LTSPICEのシミュレーション結果見るとき
自作シンボルで電流プローブ出てくるやつと
どこにカーソル持ってきても電流プローブにならないやつとあるんだけど
何でだろ?
667774ワット発電中さん:2007/03/12(月) 23:15:28 ID:CR8UHXEI
LTspiceでスイッチのON,OFFはどのようなシンボルを使えばいいでしょうか?
PSpiceにはSWのシンボルがありますが、LTspiceで見つかりません。
668774ワット発電中さん:2007/03/13(火) 00:23:18 ID:dyrqcLwY
>>667
jumper とかいうのは、あるにはある。変に (妙に) 考えずに「つなぐ・つながない」
でいいのではないかしらん?
669667:2007/03/13(火) 20:49:30 ID:9XcrST2Z
>>668
ON,OFF近辺の過渡現象を見たいのでPSpiceにあるようなSWを実現したいのです。
670774ワット発電中さん:2007/03/13(火) 22:23:28 ID:ygC6nuzL
SWをパルスかステップでコントロールすればいいと思うが
671774ワット発電中さん:2007/03/13(火) 23:29:19 ID:9XcrST2Z
>>670
理想パルス電圧電源で、0V時も5V時もインピーダンスは0Ωですよね。
Z=無限大ならそれでもいけるんですが・・・
672774ワット発電中さん:2007/03/14(水) 00:04:54 ID:ygC6nuzL
LTspiceの 「S. Voltage Controlled Switch : Symbol Names: SW」を
電圧源のパルス波かステップ波でコントロールすればの意味なんだが。
SW ON時の抵抗を1uΩ、OFF時の抵抗を1TΩとかにして。
673774ワット発電中さん:2007/03/14(水) 16:33:08 ID:2G014gYQ
>672
まともなこと言い過ぎではないか。もっと過激なことを言ってほしかった。
674673:2007/03/14(水) 16:36:32 ID:2G014gYQ
で、オマエが言ってミロ、といわれると、ごめんなさいね。でおしまい。悪かったな。
675774ワット発電中さん:2007/03/14(水) 20:14:57 ID:UO5+OX9F
>>672
そのV制御SWは拾ってみたが、使い方がよくわからじ。
V制御はどうやればできるん?
676774ワット発電中さん:2007/03/15(木) 22:15:01 ID:8TaCGsNq
>>675
Try this. Use the voltage controlled switch 'sw' in the main group. Set the SpiceModel for the switch to RELAYSW and then on your schematic add the following spice directive to define the model

.model RELAYSW SW(Ron=6 Roff=1G Vt=22 Vh=.4)

Ron is the on resistance of the 'relay' - 6ohms
Roff, the off resistance 1GIG ohm
Vt is the turn on voltage
Vh is the hysterisis

http://72.14.235.104/search?q=cache:vzQpoFULpy4J:www.diyaudio.com/forums/showthread/t-53544.html+LTspice+voltage+control+switch&hl=ja&ct=clnk&cd=1&gl=jp
から引用。

松下の5Vリレーとはいってもいろいろあるけど、そうしたリレーを使うとして、
ヒステリシスVhはいくつにすればいいの?
677676:2007/03/17(土) 09:46:06 ID:18RxvUS3
電圧制御SWの使い方がわかってきました。
LTspiceで電圧制御SWを使ったリレーのシミュレーションをしました。
回路simulation file
http://homebrew2004.hp.infoseek.co.jp/RLsim.asc
過渡解析結果(画面ショット)
http://homebrew2004.hp.infoseek.co.jp/RL-Sim.jpg

SW OFFの瞬間にコイルに高電圧が発生するのでDiで押さえ込んでます。
その後に興味深い電圧振動が見えます。
678774ワット発電中さん:2007/03/18(日) 21:01:22 ID:9cIskfFp
LTSpice使っています。
いくつかのサイン波を適当な係数を掛けて合成して、できた波形にFFTをかけたら、
合成もとの周波数のところに釣鐘状の波形になりました。含まれる周波数のところに
針状になるかと思ったんですが・・・針状にするにはどんな合成をすればできますか?

釣鐘波形の高さは、合成時に掛けた係数と合致しています。
各周波数の最小公倍数分の時間の波形を使い、windowing functionはnoneにしています。
679774ワット発電中さん:2007/03/18(日) 22:09:27 ID:jB0Mq7at
ベルさんとこのFFTの項を読めば分かるはず。
maxstepをstop/65535とかにして、.option plotwinsize=0 を付けとけば針になるはず
680774ワット発電中さん:2007/03/19(月) 10:33:38 ID:hM9ZXfw6
681774ワット発電中さん:2007/03/19(月) 22:46:18 ID:n0FSbnHN
> ベルさんとこのFFTの項を読めば分かるはず。
どこ?
> maxstepをstop/65535とかにして、.option plotwinsize=0 を付けとけば針になるはず
.option maxstep 65535
.option plotwinsize=0
としても何も変わりません。
682774ワット発電中さん:2007/03/19(月) 22:59:47 ID:KAsoBU4t
>>681
念のための確認。
へトロダイン現象の効果は検討済みだよね?
683774ワット発電中さん:2007/03/19(月) 23:57:37 ID:r6TB7gTP
684774ワット発電中さん:2007/03/20(火) 21:12:05 ID:EhELs0xQ
>>683
そこのうpローだは有料ですか?
無料ならうpの仕方を教えてクリ
685774ワット発電中さん:2007/03/21(水) 02:47:36 ID:rAAM2uCD
電気・電子板あぷろだ
ttp://radio.s56.xrea.com/
686774ワット発電中さん:2007/03/21(水) 16:01:39 ID:PEzEaFoc
>>685さん dクス!

さて、
★★★ 無線の実験と製作 ★★★
http://science6.2ch.net/test/read.cgi/denki/1106919148/542
1石FMワイヤレスマイクをLTspice使用で過渡解析、FFT解析してみましたので
UPしました。

発振波形の形が良くないと感じるので、コメント、改善策を
教えて下さい。回路談義なのでコメントは上のスレッドが良いかな、と思い
よろしくお願いします。
687774ワット発電中さん:2007/03/21(水) 20:26:29 ID:utRpCphT
>>682
> へトロダイン現象の効果は
ググってもでてきません。
>>683
表計算で計算した結果(最小公倍数=一周期分)を読み込ませてやっていたんですが、
うpされたようにうんと多くの波形を作ってからFFTかけたら、.paramとかなくても
けっこう針になりました。
688774ワット発電中さん:2007/03/21(水) 23:46:30 ID:PEzEaFoc
>>687
へトロダインとは通称”うなり”のことで
二つ以上の周波数が混ざると、別のうなり周波数がでてくることです。
基本周波数f1[Hz]、f2[Hz]の2周波数があったとすると、
その高調波成分によるうなり周波数fは
f=n*f1±m*f2{Hz] となって、
無数のうなり周波数成分が出てきます。
ここでm,nは0,1,2,3・・・∞の整数です。

たとえば、1MHzと1.5MHzを合成すると、
出力波に0.5MHz、2.5MHzはかなり強く出てきます。
市販のラジオはこの周波数変換の原理を応用した
中間周波数増幅回路、周波数混合回路をもっています。
この周波数混合回路は、入力周波数と、局部発振周波数が
混合されて、たくさんの合成波が生成されます。
689774ワット発電中さん:2007/03/22(木) 10:40:31 ID:ftbWS8lT
どうでもいい話だけどヘテロダイン(heterodyne)だよね?

今どきの人はヘトロダインっていうのかな。。。
690774ワット発電中さん:2007/03/22(木) 11:07:39 ID:S5jC4iSP
DSPの高速版の製品が出てきたので、ヒルベルト変換を使って複素数信号で
パスバンドしています。ヘテロダインは、将来使われなくなると思います。
691774ワット発電中さん:2007/03/22(木) 11:33:03 ID:Z8mBpTyC
>688
m,n>1の成分が出てくるのは合成がスイッチによる実装になるからであって
f1の正弦波とf2の正弦波ではなく
f1の方形波(f1以外の高調波を含む)とf2の正弦波の乗算になっている効果によるものだから、
spice上でsine()を乗算するぶんにはまったく出てこない。

現実の実装としてもDBMでなく高速乗算器使うと余計な高調波は激減する。
692774ワット発電中さん:2007/03/22(木) 23:07:48 ID:2Wwgs368
>>690-691
高速乗算器はDSP内やデジタル回路として構成されるものでは?
ここでは、アナログ信号の周波数合成を
FFT解析する話の流れなので、DSP演算の話を持ち出すと、
話題が完全にすれ違ってしまいませんか?
693774ワット発電中さん:2007/03/22(木) 23:28:23 ID:Z8mBpTyC
>692
元々は>678でのFFT演算の使い方の話でしかも単なる掛算したんだから
アナログでの実装をもちだした>688や>692がすれ違い。
694774ワット発電中さん:2007/03/22(木) 23:44:06 ID:exTsA+pw
>>678が理想ミキサの出力スペクトラムがきれいな針にならないと言ってたのは、
>>687の「うんと多くの波形を作ってからFFTかけたら」を読む限り、
シミュレーション時間の不足でFFTの分解能が荒かっただけっぽいな。
FFTの分解能=1/(stop - start) なんで、単に、解析stopの時間が短かすぎて周波数分解能が足らなかったとおもわ
695774ワット発電中さん:2007/03/23(金) 07:04:32 ID:zKZaW3uu
れる
696774ワット発電中さん:2007/03/24(土) 16:59:34 ID:q8xdpXIw
ベルさんのサイトに水晶発振子のモデリングが書かれています。
http://www.d1.dion.ne.jp/~river_r/bell/devmodel/devmodel.html

手持ちの水晶の22MHz、11.2MHzの水晶のモデリングをしたいと思います。
これらの水晶の直列共振周波数fs、並列共振周波数fp、並列コンデンサ容量Cs
を測定する方法を教えて下さい。

また、測定せずに暫定的にfs,fp、Csを決めるとしたら
どのくらいの値が妥当か教えて下さい。

やりたい実験は、TrまたはFETの水晶発振回路、
SSB、CW用ラダー型フィルタの試作前の特性simulationです。

697774ワット発電中さん:2007/03/25(日) 01:39:20 ID:BAZi2TEb
>>696に書いた準備を行っています。

ATカット水晶発振子
ttp://www.citizen.co.jp/crystal/xdcr/HCM49.pdf
の22MHz用を想定してモデリングを考えてみました。

等価回路は、次を仮定しました。
ttp://www.citizen.co.jp/crystal/circuit/index.html

C1 :等価直列容量 の計算は、
容量比(C0/C1)
n^2 x 250
n: オーバトーン次数
n=3 として、
ATカット水晶振動子の資料から
ttp://www.citizen.co.jp/crystal/xdcr/HCM49.pdf
並列容量C0=7PF(Max)から、
C1=C0/(3^2x250)=0.00311[PF]、
R1=50Ωを仮定

ここから、L=1/(4π^2*C1*f^2)
C1=0.00311[PF]、f=22[MHz]
より、
L=24.92[mH]
を得ました。

以上の結果からこの水晶をモデル化し、そのAC解析をしてみました。
simulation画面ショット
ttp://homebrew2004.hp.infoseek.co.jp/Xtal-22MHz-AC-Ana.jpg
この等価モデルの作り方の考え方や、結果はこれであっているでしょうか?

LTspice用のsimulation fileはこちらからDLできます。
ttp://homebrew2004.hp.infoseek.co.jp/Xtal-ACcut-22MHz.asc
698774ワット発電中さん:2007/03/25(日) 01:43:28 ID:BAZi2TEb
>>697補足
容量比(C0/C1)の計算は、この資料で
ttp://www.citizen.co.jp/crystal/cut/index.html
ATカット、3倍オーバートーンを仮定しました。
699774ワット発電中さん:2007/03/25(日) 02:11:25 ID:BAZi2TEb
>>697誤入力訂正します。

× L=24.92[mH]
○ L=16.82[mH]
700774ワット発電中さん:2007/03/25(日) 08:05:28 ID:6DkQ8c1m
ある波形に2回FFTかけるともとに戻るようだけど、
1回かけた状態を外部から読み込むことってできますか?
LTSpiceです。

10dB横一直線とか、まっすぐ-3dB/oct.とか、特定周波数のところが
本当に針になってるのとか・・・ってどんな波形か見てみたいんですが。
701774ワット発電中さん:2007/03/25(日) 09:49:20 ID:+hlWcd4y
>697
22MHz相手にミリヘンリーオーダーの数値が出てくるあたりで変だと思え。
それに、そういうところで変だと思えないようならモデリング始めるにはまだ早い。
702774ワット発電中さん:2007/03/25(日) 10:24:05 ID:BAZi2TEb
>>701

共振周波数約3MHzの例で56mHのモデルが出ています。
TITLE:SPICE3F5
ttp://jaco.ec.t.kanazawa-u.ac.jp/kitagawa/edu/vlsi/spidev/cmosgate.html

計算の仮定で3xオーバートーンということは、基本周波数は発振周波数の1/3
の周波数と考えてL,Cを決めるべきですか?
すなわちf=1/{2π√(LC)}=3倍オーバートーンの周波数/3=22Mhz/3.0
で計算すれば良いのでしょうか?

同調用コンデンサの計算は、>>698を条件にしています。
考え方の誤りはどこでしょうか?
703774ワット発電中さん:2007/03/25(日) 11:43:29 ID:+hlWcd4y
>702
ん? 基本周波数 22/3=7.3MHzなの? 基本22MHzの3rd 66MHzじゃなくて?
3rdでそんな低いの使ったことないから感覚が分からんが、
それなら定数は数倍以内の誤差で合ってるのかも。
704774ワット発電中さん:2007/03/25(日) 12:09:25 ID:BAZi2TEb
>>703
説明がすれちがっているようなので、
再度説明します。
3倍オーバートーンで22MHzを発振させるのを目的に
先のモデルを計算しました。

なお、ミリヘンリーの桁がおかしい件、こんな例があります。


SPICE PSPICE MODEL LIBRARY
ttp://www.madlabo.com/mad/edat/spice/model/index.htm

ここに10MHz水晶のモデルがあります。
Ls=10mHとミリヘンリーのオーダです。
10MHzでもmHでは単位の桁がおかしいのでしょうか?

Xtal
*-----------------------------------------------------------
*水晶振動子 Xtal Fs=直列共振 Fp=並列共振-Fs Q = ZL/R
*-----------------------------------------------------------
* 例
* (TR 1984/8 p409) 10MHz cp=5.7pf Rs=10 Cs=0.025p Ls=10mH
*”周波数と時間”電子情報通信学会 p70 5MHz cp=4pf Rs=120 Cs=0.11fF Ls=9H
* Q=2.3Meg
* Fs を直列共振周波数 Fd を並列共振周波数への距離 Cp を並列容量とする
.subckt Xtal t0 t1 params: Fs=9.999Meg Fd=1k Q=1000000 Cp=5.7pF
cp0 t0 t1 { Cp }
rs0 t1 10 { fs/(2*3.141516*Cp*Fd*Q*(Fd+2*Fs)) }
cs0 10 20 { cp*fd*(fd+2*fs)/(fs*fs) }
ls0 20 t0 { 1/(4*9.86960*cp*fd*(fd+2*fs)) }
rlek t0 t1 100Meg ;Leakage
.ends
705774ワット発電中さん:2007/03/25(日) 12:31:49 ID:BAZi2TEb
>>704続き

先の22MHz水晶モデルを使って、無調整型水晶発振器の過渡解析をしましたが、
発振しません。
ttp://homebrew2004.hp.infoseek.co.jp/XtalAT-22MHz-OSC.jpg
どうすれば発振できるでしょうか?

参考回路はここです。
ttp://www.bekkoame.ne.jp/~lcc/ham/cwtx.html

LTspice用のsimulation fileはこちらからDLできます。
ttp://homebrew2004.hp.infoseek.co.jp/Xtal-ACcut-22MHz.asc
706774ワット発電中さん:2007/03/25(日) 13:05:44 ID:BAZi2TEb
>>705続き

コンデンサC2,C7の容量を適当に変えたら発振らしきものが
始まりましたが、振幅が不安定な感じです。
ttp://homebrew2004.hp.infoseek.co.jp/XtalAT22MHz-B.jpg

発振用コンデンサの設定(計算方法)がわかる方、よろしく教えて下さい。

LTspice用のsimulation fileはこちらからDLできます。
ttp://homebrew2004.hp.infoseek.co.jp/
XtalOSC-ACcut-22MHz-B.asc
707774ワット発電中さん:2007/03/25(日) 13:07:54 ID:HmB+5Ym8
>>705
解決法を知っているのに、わざわざ人に聞いて回っているような気もします。
もうちょっと、時間を待てば、発振するかもね。
乱暴に「ケリを入れる」方法もありです。
708774ワット発電中さん:2007/03/25(日) 13:18:38 ID:+hlWcd4y
つうか、無線スレで暴れてる香具師じゃねーかよ、あほらし。
709774ワット発電中さん:2007/03/25(日) 14:42:37 ID:BAZi2TEb
>>707
解決方法は未だ知りません。この水晶発振も
実物の実験経験はありますが、計算を知らない
試行錯誤であったことを現在も反省しています。
発振条件の計算はどうすればできるのでしょうか。

水晶発振の開始には数十mSがかかる仕様となっている
マイコンクロック用OSCのカタログは見たことがある、
その程度の知識です。

発振条件も開始時刻も計算できないで苦労しています。
710774ワット発電中さん:2007/03/25(日) 15:59:17 ID:BAZi2TEb
>>709続き

電源ON後、99mS〜100mSのデータを採ったら、発振していないと
思っていたのは勘違いで、>>705の回路で発振していました。
ttp://homebrew2004.hp.infoseek.co.jp/XtalOSC-22MHz-C.jpg
振幅も比較的安定です。
波形はサイン波よりもかなり歪んでいます。

FFT解析すると目的の22MHz近辺にピークが確認されました。
ttp://homebrew2004.hp.infoseek.co.jp/XtalOSC-22MHz-FFT.jpg

試行錯誤でこのような結果になりましたが、次の点が依然として
わからないので教えて下さい。

・水晶振動子のモデル設定の考え方は上に書いたもので良いかどうか。
・発振波形の純度を上げて、サイン波に近づける方法。
・発振条件の計算法。
・発振安定時間の計算法。
711774ワット発電中さん:2007/03/25(日) 20:30:59 ID:e9jO+7V0
無調整発振回路でサイン波って取り出せるのかなあ。
とりあえずサイン波に近づけるには、タンク回路を追加、そこから出力を取り出せば良いのでは?
712774ワット発電中さん:2007/03/25(日) 21:13:00 ID:BAZi2TEb
>>711
ありがとうございます。
バイアス電圧のかけ方も気になっているところですが、
mutual inductanceを使って、同調させたタンク回路経由でRFを取り出してみます。
昨日から今日の作業で疲れたので、すこし休みます。
713774ワット発電中さん:2007/03/25(日) 23:08:15 ID:Fo0qWpm+
>>710
水晶振動子は非線形素子だからきれいなサイン波にはならない。
714774ワット発電中さん:2007/03/26(月) 00:42:31 ID:bGblrpdz
針が見えてるぞw
715774ワット発電中さん:2007/03/26(月) 11:42:32 ID:PUXXnUXX
実際、お城で実測すると、うひょひょーって波形が出てるよ ねー
716710:2007/03/26(月) 22:19:11 ID:aiapmz/T
このスレッドでは水晶OSCの件で、現在
ご指導をいただいておりありがとうございます。

★★★ 無線の実験と製作 ★★★
http://science6.2ch.net/test/read.cgi/denki/1106919148/542
以降に1石FMワイヤレスマイクのシミュレーション記事を
書いたモレです。
さて、spiceでは2sc1815のhFEカーブすらデータシートと全くあてに
ならないという見解がでてきており、事実確認がとれません。
本スレでspiceに、電子回路設計に明るい方の正誤指摘、
コメントを上記スレッドへよろしくお願いします。

モレ>>710のは、アナログSSB/CW無線機のシミュレーションを
目指しています。趣味として、実機製作経験もあり、製作(設計)した
無線機は実働し、数十の国と交信もできていますが、
設計力が弱いので勉強の必要性を痛感しており、
よろしくご指導願います。
717774ワット発電中さん:2007/03/27(火) 15:03:57 ID:AbWTuYaO

ttp://radio.s56.xrea.com/radio/src/radio1311.jpg
2SC1815のhFEのシミュレーションをありがとうございました。
同データシート
ttp://www.semicon.toshiba.co.jp/docs/datasheet/ja/Transistor/2SC1815_ja_datasheet_020129.pdf
のhFEのグラフは、
Icの変化に対してhFEが一定の値を示すのに対し、
hFEシミュレーションでは大きくカーブして変化し、Icの増加に対してhFEが減少する
結果に見えます。
また全体的にhFEが相当分大きめに出ています。
大変困惑しています。この結果の違いをどう理解すればよでしょうか?
この相違は一見spiceが使えないという印象を持たせます。

一方、トランジスタ技術2006/7月号 P112のアンプの出力波形と、
P113のSIMetrixのグラフは驚くほどの一致を見せています。
これはspiceの計算結果が正しいことは疑いの余地がありません。

こうした後者のような好結果を得るに、
SPICE PSPICE MODEL LIBRARY
http://www.madlabo.com/mad/edat/spice/model/index.htm
にある
2SC1815のモデルは適切でしょうか?
718774ワット発電中さん:2007/03/27(火) 16:31:59 ID:AbWTuYaO
>>717続き
私もVce=6V で2SC1815のhFEについてsimをTryしてみました。
ttp://homebrew2004.hp.infoseek.co.jp/2sc1815-hFE.jpg
ご紹介と異なる結果でhFEは低めとなりました。
やはりhFEがデータシートのように一定にはなりません。

2SC1815のモデルは、
2SC1815 Complementary 2SA1015
*Low Noise Amp PC=0.4W Ic=0.15A Vcbo=60V Complementary 2SA1015
.model Q2SC1815 NPN(Is=2.04f Xti=3 Eg=1.11 Vaf=6 Bf=400 Ne=1.5 Ise=0
+ Ikf=20m Xtb=1.5 Br=3.377 Nc=2 Isc=0 Ikr=0 Rc=1 Cjc=2p Mjc=.3333
+ Vjc=.75 Fc=.5 Cje=5p Mje=.3333 Vje=.75 Tr=10n Tf=311.1p Itf=0 Vtf=0 Xtf=0)
* TOSHIBA 90-01-29 creation

で、その出展はここです。
SPICE PSPICE MODEL LIBRARY
ttp://www.madlabo.com/mad/edat/spice/model/index.htm

LTspice用simulation fileはここです。
ttp://homebrew2004.hp.infoseek.co.jp/2sc1815-hFE.asc
719774ワット発電中さん:2007/03/27(火) 16:49:22 ID:AbWTuYaO
>>718 間違えました。
グラフの横軸がIbになっていますが、Icにしないといけません。
720774ワット発電中さん:2007/03/27(火) 19:01:02 ID:AbWTuYaO
>>719
横軸をIcに修正しました。
721774ワット発電中さん:2007/03/27(火) 19:31:03 ID:T11eKFJu
なんにせよ、そうそうモデルを信用するもんじゃない、ということが
分かって良かったじゃん。

ちなみにmadolabo.comのモデルにはたまに地雷があるのはけっこう知られた話。
データシートから数値ひろって書き起こしただけで
ぜんぜん検証(デバッグ)してないっぽい。
722774ワット発電中さん:2007/03/27(火) 20:21:37 ID:zTTWFk3p
というより、βの電流依存性から、そんな風なグラフになる方が
正しいんジャマイカ。
2SC1815は電流依存性に優れることが特徴としているからアレだが。
723774ワット発電中さん:2007/03/27(火) 23:49:43 ID:EK2tFWMd
デバイスモデルのパラメータによる違いです。
データシートにだいぶ近づいていると思います。
 ttp://radio.s56.xrea.com/radio/src/radio1314.jpg

>>721さんのおっしゃるとおりだと思います。
724774ワット発電中さん:2007/03/28(水) 00:03:18 ID:AYiKqdCM
アーリー電圧(Vaf)が6Vってのが気に入らないな。
データシートのIc vs Vce特性の見栄えだけ合わせるようにしましたってかんじがアリアリ。
hFEコーナーの電流値(Ikf)も20mAじゃなくてもう一桁上でいいだろ。
その2SC1815は、まじめなシミュレーションには使いたくないな。
725774ワット発電中さん:2007/03/28(水) 00:23:50 ID:qXeXDrEh
>>723
パラメータがそれだとBJTはEbers-Mollモデルが使われる
で、Βの電流依存性は再現されなくなる、ってだけじゃねーの
726774ワット発電中さん:2007/03/28(水) 00:51:00 ID:WKz2to/F
>>725
あーそう言われればそうかも。
用途に応じてモデルを選びなさいってことで。
727774ワット発電中さん:2007/03/28(水) 01:57:31 ID:qjHea70r
手元にあったmadlab以外の2SC1815のモデルを並べてみたが、ずいぶん違うもんだな。

*
.model Q2sc1815 NPN(Is=639.5E-18 Xti=3 Eg=1.11 Vaf=172.5 Bf=149.9 Ise=750.1E-18
+ Ne=1.47 Ikf=.8587 Nk=.5413 Xtb=1.5 Var=100 Br=6.575 Isc=4.653f
+ Nc=1.185 Ikr=.4534 Rc=.7972 Cjc=4.858p Mjc=.3333 Vjc=.75 Fc=.5
+ Cje=5p Mje=.3333 Vje=.75 Tr=10n Tf=314.3p Itf=13.01 Xtf=0
+ Vtf=10)
*-------------------
*
.MODEL 2SC1815a NPN(
+ IS=9.99315F BF=192.019 NF=1.01109 VAF=311.281 IKF=214.789M
+ ISE=124.464F NE=1.51791 BR=4.99998 IKR=980.183 ISC=33.4247F RE=2.96389 CJE=2P
+ MJE=500M CJC=7.82341P VJC=700M MJC=500.188M TF=512.206P XTF=183.171M
+ VTF=9.97698 ITF=9.76409M TR=10N)
*------------------
*
.MODEL 2SC1815b NPN(
+ IS=4E-14 BF=170 BR=3.6 VA=100 IK=0.25
+ RB=50 RC=0.76 CJC=4.8p CJE=12p TF=0.63n TR=25n)
*-------------------
728774ワット発電中さん:2007/03/28(水) 19:08:27 ID:vRW0lZ/J
>>727
Thanks
トラ技付録PSpice評価版用ライブラリのはありますか?
729774ワット発電中さん:2007/03/28(水) 21:13:27 ID:kbr/DZdi
こんなことを言うのは少し意地悪かもしれないけど、
BJTやなんかのモデルパラメータをちょっといじった程度で
機能しなくなる回路は現実世界では動かないと思った方がいいよ。
730774ワット発電中さん:2007/03/29(木) 18:50:30 ID:u5rGoYh/
>>728
トラ技には何回かシミュレータの付録がついているのでどれのことか分からないけど、
トラ技がダウンロード・サービスで配布してる2002年5月号のモデルは
>>727の一番下の奴と同じだった。
Orcad family release 9.2 Lite Edition用ということだけど。
731774ワット発電中さん:2007/03/29(木) 20:39:21 ID:bAkd/qpT
>>730
確認ありがとうございます。
一番下のモデルはかなりパラメータが少ないので
簡易な目的のようですね。
732774ワット発電中さん:2007/03/29(木) 22:41:10 ID:bAkd/qpT
測定でこれらのパラメータを決めるとしたら有効数値3桁でも
相当に難しのではないでしょうか。
測定値もばらつくでしょうし。
733727:2007/03/30(金) 04:37:21 ID:ODcVJMI7
HDDの底からもうひとつ見つけたw

.MODEL 2SC1815G NPN (IS=81.2039P BF=130.444 NF=1.22428 VAF=251.702 IKF=1.05399
+ ISE=1.76673P NE=1.65186 BR=7.21621 IKR=16.9232M ISC=3.03555N NC=1.78127
+ RE=1.06272 RC=279.03M CJE=2P MJE=500M CJC=7.81791P VJC=700M MJC=499.93M
+ TF=1.94501N XTF=500.013M VTF=10 ITF=10.0727M TR=10N )

>>732
>>727の一番上だってデータシート起こしだよ。
734774ワット発電中さん:2007/03/31(土) 00:50:36 ID:ufWoAUS5
すげーいっぱいもってるな。
>>727が持ってるお宝ライブラリをうpして!
735710:2007/03/31(土) 16:58:40 ID:1Gb58O3w
>>710 にタンク回路2段のBPFをつけて水晶発振周波数出力の純度を高める試みをしました。

以前の回路の過渡解析波形
ttp://homebrew2004.hp.infoseek.co.jp/XtalOSC-22MHz-C.jpg

改良回路の過渡解析波形
ttp://homebrew2004.hp.infoseek.co.jp/Xtal22MHzOSCwithDualTankBPF.jpg

波形は以前よりサイン波に近づいています。

FFT解析は同じく目的の22MHz近辺にピークが確認されました。
改良前FFT解析
ttp://homebrew2004.hp.infoseek.co.jp/XtalOSC-22MHz-FFT.jpg
改良後FFT解析
ttp://homebrew2004.hp.infoseek.co.jp/Xtal22MHzOSCwithDulalTank-FFT.jpg

・水晶振動子のモデル設定の考え方は上に書いたもので良いかどうかは、
前と同じ計算方法ですが、誤りがあれば指摘願います。

LTspice用simulation data fileはここです。
ttp://homebrew2004.hp.infoseek.co.jp/XtalOSC-ACcut-22MHz-C.asc
736774ワット発電中さん:2007/03/31(土) 17:08:26 ID:1Gb58O3w
>>735について質問

リンクコイルのついたタンク回路は、
mutual inductanceを使い、仮に係数K1=1に設定しました。

LStpice HELP文章では、この係数は-1〜1の値域を
とれることになっています。この係数の意味を教えて下さい。
737774ワット発電中さん:2007/03/31(土) 18:08:41 ID:x/topay4
LTSpiceで、シミュレーションRUNしたあとに、グラフのレンジを自分好みに調整。
で、もう一回シミュレーションRUNすると、オートレンジされちゃって(´;ω;)

シミュレーションRUNしても、オートレンジされないようにするには、どうしたらいいですか?
Plot SettingsのAutorangingをOFFにしても、オートレンジされちゃうんだけど、このAutorangingってなに?
738774ワット発電中さん:2007/03/31(土) 23:35:13 ID:f0SqeNpF
LTSpiceで、サイン波を重ねて方形波を作ろうとしてるんですが、
FFTをかけてみると謎成分が現れました。
http://2chshare.net/pic/files/2chshare-pic_0869.gif.html
これはいったい何なんでしょう。

100、300、500・・・1500、1700Hzまでを重ねています。
.tran 5ぐらいだと元の周波数成分が大きく現れるのですが、
.tran 7だと画像のとおり、.tran 10とかにすると、
もっと低周波の方にも謎成分が出てきます。
739774ワット発電中さん:2007/04/01(日) 00:36:29 ID:u2/YIRHA
>>734
いや、色んなlibを持ってるわけじゃない。
昔ググって探したやつだ。
2sc1815 cjc あたりでググると出てくる。
今改めてググるとまだあるけど、もういいやw
ついでにq2sc1815 grでググるといいかも
740774ワット発電中さん:2007/04/01(日) 00:41:31 ID:j2SRSktM
>>738
サンプリングのエイリアスだな
.tran 7でFFTを16384ポイントでやってるから、サンプル周期が2340Hzになって、
FFTの結果が1170Hzで折り返されて見えてるだけ。FFTの周波数上限が1170Hzで頭打ちになってるだろ?
FFTのポイント数をあげてやれば、折り返し上限が上がって、徐々に真の姿が見えてくる。
.tran 5でましに見えたのは、サンプル周期が高かったため。
FFTするときは、.tranのmaxstepを極力細かくして、plotwinsize=0して、FFTポイントを65536でやると綺麗に見れる。

スクショうpしようとしたら...電電ロダなくなるのか。・゚・(ノД`)・゚・。ウエエェェン
741774ワット発電中さん:2007/04/01(日) 06:38:45 ID:KOvlVsXp
見事にすっきりしました。
http://2chshare.net/pic/files/2chshare-pic_0872.gif.html
シミュレーションスピードが、40ms/sとかになりますけど orz

maxstepは、.tranでも.optionでも設定できるようですね。
742774ワット発電中さん:2007/04/01(日) 07:05:31 ID:KOvlVsXp
波形生成回路をちょっと設定をいじって、FFTかけてみました。
http://2chshare.net/pic/files/2chshare-pic_0873.gif.html

下のFFT結果から、もとの波形がどんなかわかりますか?
違いは、「含まれない周波数部分が少し上がって少し波打って見える」だけですが、
これから元の波形は想像できますか? できるとしたらどこをどう見ればいいのでしょう。
743774ワット発電中さん:2007/04/01(日) 13:26:44 ID:9cpjje2r
spiceの意味を教えてください。 オペアンプを勉強したいって言ったらここの人が分かるって言ってたんで
744774ワット発電中さん:2007/04/01(日) 13:31:15 ID:HJaYK+ve
胡椒とか唐辛子とか
745774ワット発電中さん:2007/04/01(日) 15:33:01 ID:bNUiOOup
>>742
IFFT すればいい。複素 IFFT じゃなくてはダメょ。また、元の FFT の
パラメーター設定がまずいと妙な結果になるけどナ。
746774ワット発電中さん:2007/04/01(日) 19:06:38 ID:cbVIj6M6
>>742
これは、ほとんど矩形波だよな。
で、どんな質問なのかな?
747774ワット発電中さん:2007/04/01(日) 19:22:07 ID:Vknf5zOE
>>736 自己レスです。
mutual inductanceの係数Knの意味を理解するため、係数を変化させてみました。
ttp://homebrew2004.hp.infoseek.co.jp/MutualInductanceTest.jpg
意味は見ての通りでした。
748774ワット発電中さん:2007/04/01(日) 19:49:43 ID:OFyR8TkV
IFFTとかじゃなくて、>>742の上下のスペクトラムを見比べて、
時間波形のどこをいじったか分かるか?っていうクイズだろ。

俺はお手上げだがw
749774ワット発電中さん:2007/04/01(日) 20:00:42 ID:cbVIj6M6
ウーン、ホワイトノイズを1kHz LPFとアッテネータ通して追加したw
750774ワット発電中さん:2007/04/01(日) 20:05:21 ID:bNUiOOup
>>748
何だクイズなのか。どっちみち位相情報がないから定まらないよ。
751774ワット発電中さん:2007/04/01(日) 20:36:29 ID:iQirzJpI
>>750
クイズっていうか・・・

元は違う波形なのに、ほとんど同じFFT結果になってしまう。そして
これを再度FFTすると、なるいながらも元の波形に戻る。FFT結果から
方形波でないことを見出すには、どこをどう見たらいいの?
表示されてない情報が隠れている? と。

ソースはこれです。
http://2chshare.net/mini/files/2chshare-mini_0662.zip.html

たぶんその「位相」を一部ずらした、ことになると思いますが。
それはFFT結果から読み取ることはできないのでしょうか。
752746=749:2007/04/01(日) 20:56:10 ID:cbVIj6M6
>>751
そんなzipファイルは見ずに書くけど、みんなが最初からずっと言ってる位相の情報が必要なんだよ。
FFTの結果というのは、複素数で得られるんだ。グラフにする際は(実部の平方+虚部の平方)の平方根を
表示しているわけ。つまり位相情報はグラフには現れていない。
さっきのグラフから読み取れるのは >>746 >>749くらいw
753774ワット発電中さん:2007/04/01(日) 21:55:23 ID:bNUiOOup
>>752
たいていの FFT グラフは位相情報も表示できるはず。表示しないように
設定しているだけじゃないのかな。
754774ワット発電中さん:2007/04/01(日) 22:08:59 ID:DPLteU/a
>753
出来るべきではあるなと思って調べたら出来た。
わあ知らなかった…

FFTグラフで右側縦軸をクリック >751
755774ワット発電中さん:2007/04/02(月) 10:35:54 ID:yeuDoIGN
>>754
http://i-get.jp/upload500/src/up1894.png を見て下さい。
こんな風に FFT 位相のグラフ (右下) を見せられても、わかりにくいですね。
ちなみに、高調波の次数が上がるにつれて位相が遅れ気味になっているのは
Binomial Smoothing done before FFT and windowing を 5 にしているからだと
思います。(Windowing は無し。これらは default です。)

表形式で出力したいなら、ドットコマンドの
 .four <frequency> [Nharmonics] [Nperiods] <data trace1> ・・
も使えます。まあ御参考までに。
756755:2007/04/03(火) 06:43:14 ID:VTij0zHi
http://i-get.jp/upload500/src/up1935.png
訂正と改良。方形波の展開式が間違っていました。これを訂正。
シミュレーション時間を 64/f, maxstep を 64/f/16384 に変更。(16384 点 FFT を想定)
こうすると妙なスプリアスが見えなくなります。但し周波数軸が半端な値になる
ので、手動修正するか、FFT グラフ用の *.plt ファイルを作ってそれを使います。
757755, 756:2007/04/03(火) 07:20:00 ID:VTij0zHi
ttp://i-get.jp/upload500/ の掲示板に upload したファイルはすぐに消えるようです。
こちらのほうが少し長持ちしそうです。読めますか?
http://proxy.f3.ymdb.yahoofs.jp/bc/51ca1d4c/bc/R/up1894.png?bc7aYEGBxKbtvWnP
http://proxy.f3.ymdb.yahoofs.jp/bc/51ca1d4c/bc/R/up1935.png?bc7aYEGBvYrdy4EY
758774ワット発電中さん:2007/04/03(火) 10:11:41 ID:zZGTxvVQ
>>757
読めませんでした
759774ワット発電中さん:2007/04/03(火) 10:28:26 ID:VTij0zHi
>>758
ありがとう、日本 Yahoo はよくない。もう一度考えます。
当面は http://i-get.jp/upload500/src/・・ でご勘弁を。
760757, 759:2007/04/03(火) 11:55:29 ID:VTij0zHi
761774ワット発電中さん:2007/04/03(火) 13:16:07 ID:VKfriSYV
>>760
もう使えませんよ。
762774ワット発電中さん:2007/04/03(火) 20:32:10 ID:sL3RU0/m
>>760 >>761
長い URL だけど、
http://briefcase.yahoo.co.jp/bc/kauxidovmau/lst?.dir=/R&.order=&.view=l&.src=bc&.done=http%3a//briefcase.yahoo.co.jp/
ここまで行って、リスト中の、
up1894.png とか up1935.png をクリックする方法ではどうでしょうか。
どこか、すてきな upload 方法はないでしょうかね〜。
763774ワット発電中さん:2007/04/03(火) 22:06:30 ID:t6R4iEtF
wikiを使えばいいのでは
http://w3.quake3.jp/sushi-k/wiki/index.php
764774ワット発電中さん:2007/04/03(火) 23:14:17 ID:sL3RU0/m
>>763
どうもありがとうございます。でも個別突発的なヤツを、そこに書くのは、とてもできません。
765774ワット発電中さん:2007/04/04(水) 01:11:23 ID:RezdfFK7
YOU! ロダつくっちゃいなよ!
766774ワット発電中さん:2007/04/04(水) 06:22:35 ID:eOiquXf4
>>762
YaHoo.JP に登録していないと、見せてもらえないようです。ウ〜ン〜ね。
767774ワット発電中さん:2007/04/04(水) 07:31:13 ID:eOiquXf4
私が使っているプロバイダーのホームページの容量上限は確か 100MB。
それに加えて、YaHoo を使えば、+300MB になるわけ。しかも無料。この誘惑には
勝てそうもない。(ただより高いものはない、のかもしれないけれど) *Nも可。

そうそう、誰もが 100MB, 300MB をきっちり使うわけではない、という計算に
基づいている。しかし、動画 を普通にやられると、どうなんでしょうかね。
感想文だけで、ゴメンなさい。かしこ。(これはマズイかもしれないね)
768774ワット発電中さん:2007/04/04(水) 08:10:54 ID:eOiquXf4
でまあ、回路シミュレーションの話題に戻りましょう。
769774ワット発電中さん:2007/04/04(水) 15:01:22 ID:qjPUVY+Y
770774ワット発電中さん:2007/04/04(水) 18:55:26 ID:eOiquXf4
>>769
なんとご親切な。もしかして人類の神様でしょうか?
771774ワット発電中さん:2007/04/04(水) 19:00:15 ID:eOiquXf4
いや、神様は罰を下したりする。それがないとすれば
悪魔かも知れないね。で、神様と悪魔の区別がつくのか、つかないのか、という
議論が延々と続くのでした。
772774ワット発電中さん:2007/04/04(水) 19:21:41 ID:eOiquXf4
http://i-get.jp/upload500/src/up1935.png
しかしまあ、基本波の 64 倍周期と100 倍周期とでは、結果が違って見える。フーリエ変換の
使い方はむづかしいものですね。大まかなところだけを見ていれば同じなんですが、
細かい所をみると、違う。
一般には大まかなことだけを見ていればいいのに、でもやっぱり細かい所を
気にしてしまう。つっこまれるのは目に見えているからね〜。
773774ワット発電中さん:2007/04/04(水) 20:17:04 ID:gZhZd3zO
細かいところだって無視できないよ。例えばスペースシャトルのブースターの
固体ロケットのOリングのせいで (らしい)、全体がぶっこわれて、人が何人も死んだ。
氷の破片との衝突もあるし。何が「細かいところ」なのか、わかりませんよね〜。

でまあ、回路シミュレーションの話題に戻りましょう。
774774ワット発電中さん:2007/04/05(木) 00:47:52 ID:YFvRUurv
http://proxy.f3.ymdb.yahoofs.jp/bc/51ca1d4c/bc/R/up1935.png?bcL28EGBLsN0y4EY
なんて、実はやりすぎなんですよ。もっと現実に即したヤツを、経験して、報告してね。
775774ワット発電中さん:2007/04/05(木) 00:52:43 ID:YFvRUurv
>>774
それってウソでしょう。
いや、計算をうまくすると、そういう結果が得られる。嘘ではない。
計算のパラメーターをちょっと変えると、別の結果が得られませんか?
おまえは正しい。その方向でドンドン進んでくれ。
776774ワット発電中さん:2007/04/05(木) 11:28:21 ID:QnhzGfi3
何がなにやら、これはキレイすぎる。考え直して、出直して来い。
http://proxy.f3.ymdb.yahoofs.jp/bc/51ca1d4c/bc/R/up1935.png?bclRGFGB8g.Ry4EY
777774ワット発電中さん:2007/04/05(木) 11:41:24 ID:QnhzGfi3
そうです。普通に現実の実験いろいろをやったら「そんなにキレイな」結果は
絶対出てきませんね。だから >>776 の言う通りなわけなんです。
ただ計算しただけですよ。それ以上のことは知りません。← ひどすぎる。金返せ!
778774ワット発電中さん:2007/04/05(木) 11:42:42 ID:bJAldWzk
なんで自作自演してんの?
779774ワット発電中さん:2007/04/05(木) 12:20:41 ID:srUqv/50
なにがしたいんだ?
780774ワット発電中さん:2007/04/05(木) 13:36:29 ID:QnhzGfi3
>>778
人少なすぎだから
>>778
このへんで行き詰っているから。
781774ワット発電中さん:2007/04/06(金) 05:25:55 ID:axaOc5bs
松坂はマークンとは違って流石だな
782774ワット発電中さん:2007/04/06(金) 19:02:29 ID:SZGZKk74
自演よりは雑談の方が健康的だな
783774ワット発電中さん:2007/04/07(土) 00:35:19 ID:WqTjpbH7
>>782
実にむづかしいことをスラスラと言ってのけるやつだ。のけぞっちゃうぞ。
784774ワット発電中さん:2007/04/07(土) 00:37:10 ID:WqTjpbH7
おまえがナーとか、おまえモナー、2ch用語でごまかしておこう。
785774ワット発電中さん:2007/04/07(土) 00:39:38 ID:WqTjpbH7
ちゃんとシミュレーションしてみて、その後でアレコレ言ってくれ。
786774ワット発電中さん:2007/04/10(火) 19:50:01 ID:EZCNG04s
ちゃんとシミュレーションしてみたよ。

555で3kHzぐらいのシミュ結果だったけど、実際に作ってみると60kHzぐらいになった。
ちょっと唸って基板をよく見たら、15kΩの代わりに1.5kオームを使っていた。おちゃめな俺。
・・・でも計算が合わない。誤差を勘案しても合わない。よく見るとデューティ比も逆。
パスコンもちゃんとつないであるし、出力電流も計算より少ないけど規格内。
モデルおかしいんじゃね? そういやデバイスも前世紀に買ったものだしなぁ・・・
結局分からずふて寝。

起きてよく見たら、電源がつながってなかった orz
787774ワット発電中さん:2007/04/11(水) 06:57:19 ID:h31y2zFv
自分で自分の電源を切る回路はないですか?
788774ワット発電中さん:2007/04/11(水) 20:28:54 ID:+tJL3mjq
あるよ
789774ワット発電中さん:2007/04/12(木) 05:58:33 ID:pFQMqi8d
>>788
ソースをくれ
790774ワット発電中さん:2007/04/12(木) 09:15:33 ID:2lz/juYW
つ[ブルドッグ]
791774ワット発電中さん:2007/04/12(木) 18:35:12 ID:0gqsPlZP
自分で自分の電源を入れる回路はないですか?
792774ワット発電中さん:2007/04/12(木) 20:20:22 ID:7cYrA5KG
あるよ
793774ワット発電中さん:2007/04/12(木) 21:55:23 ID:w8SkmaJH
>792
ソースをくれ
794774ワット発電中さん:2007/04/12(木) 23:03:01 ID:XgeHv1ri
つ[ソニー]
795774ワット発電中さん:2007/04/13(金) 04:38:48 ID:3pIBNl4H
爆発機能付き
796774ワット発電中さん:2007/04/13(金) 20:00:22 ID:PQHSr26O
>>794-795
意味不明
797774ワット発電中さん:2007/04/13(金) 20:18:14 ID:rScsnTPh
>>796
つソニーの製品のバッテリー
798774ワット発電中さん:2007/04/13(金) 23:05:09 ID:6kYE1NmC
799774ワット発電中さん:2007/04/14(土) 13:14:08 ID:sjQGFiyQ
>>652
亀レスになりますが、1週間とそんなに長く苦しんで考えなくても

>>615に書いた式(4)
Vout = (A*Vz+0.6)/{(A*R2)/(R1+R2)-1} ...(4)

この分子と分母をA(≠0)で割ってA→∞ と極限をとると

Vout = lim A→∞ (Vz+0.6/A)/{R2/(R1+R2)-1/A}
=Vz*(1+R1/R2) = Constant ...(5)

と、どなかたかが言われた”リニア増幅”というより、
増幅度A=∞で、Vout電圧出力”一定”となる結果が導けます。

発振器のカキコのため、亀レスとなりましたが、
途中でいろいろ小ばかにされ言われたような当方がシロウトであっても
当方の考えた式にA→∞の極限条件を与えるだけで、
計算結果も正しく導かれることが証明されたっちゃ。
どや、納得かや?

なお、ダーリントン接続ではリップルが出てくるので、
ここで小ばかにされた方が言われてるほど簡単な回路にはなりませんよ。
論より証拠に自分でやってみて下さい。良い結果がでずに、悩むはずです。



800774ワット発電中さん:2007/04/19(木) 22:20:17 ID:f5kn7RgS
Pspiceを使って回路を作ったのですがエラーが出てシミュレートできません。
どこをどう直せばいいか教えてください。
ttp://up1.skr.jp/src/up19378.sch.html
801774ワット発電中さん:2007/04/20(金) 03:17:32 ID:j13wvaiw
>>800
ごめんね。Pspice は持っていないんだ。シミュレーション回路の画像を .jpg
とかで UP してくれたら、何かしらヒントを思いつくかもしれない。
802774ワット発電中さん:2007/04/20(金) 21:35:12 ID:S9qSeH/l
>>801
PSpice評価版は、OrCADのサイトでDLできます。
>>800
プロジェクト定義されてないので、回路図も表示されず、
エラーにもなりませんが、RUNもできませんでした。
プロジェクトを定義すべきかも。
803800:2007/04/21(土) 01:07:28 ID:JxJ4RG3i
全くの初心者でプロジェクト定義すらわかりませんorz
見捨てるなら見捨ててください・・・
804774ワット発電中さん:2007/04/21(土) 02:04:01 ID:I6kNAxls

プロジェクトとは、置物、飾り物のこと。
ていうか、題名のことかな。
おっと違った、プリンターの印字方式の1つだった。


805774ワット発電中さん:2007/04/21(土) 06:21:53 ID:u+ms4kSF
↑こむづかしいことを簡単に言ってのけるヤツだ
806774ワット発電中さん:2007/04/21(土) 06:42:40 ID:TF23ftYA
>>804
それはオブジェクトw
それはサブジェクト
いやそれはインクジェットだからw

>>803
> 全くの初心者でプロジェクト定義すらわかりませんorz
> 見捨てるなら見捨ててください・・・

このへんかな?
ttp://www.mpuf.org/about.aspx
807774ワット発電中さん:2007/04/21(土) 07:24:23 ID:u+ms4kSF
でも、のけぞっちゃう。板違いゴメン。
808774ワット発電中さん:2007/04/21(土) 10:01:53 ID:GlmaoP4V
>>803
PSpiceのHELPに使い方の説明があるので
読んでやってみる。

それでもだめならこの参考書を読む。
ttp://www.cqpub.co.jp/hanbai/books/36/36271.htm
809774ワット発電中さん:2007/04/24(火) 00:14:32 ID:e6rKCf1m
>>800
まず、U1のOPアンプはEデバイスを使用した(理想)アンプなので
外部から正負の電源(V1,V3)を追加する必要はありません。
V1,V3周りの部品、配線を削除します(OPアンプは3つのpinのみの配線でOK)。
このアンプでは内部の設定によりデフォールトで±15Vで制限がかかります。
次に、入力電源V2ですが、VACパーツに変更し、ACMAG=1Vに設定します。
最後に、メニューバーのMarkers-Mark Advanceを選択しVdb、Vphaseマーカを
OPアンプの出力部に配置します。入力のマーカは不要です。
これでOK。
810774ワット発電中さん:2007/04/24(火) 20:19:24 ID:f4iNrBjS
LTSpiceで、圧力センサを使った回路のシミュレーションをしてみたいんですが、
ブリッジ抵抗のどれがどう変化するのか分かりますか?

データシート
http://www.mew.co.jp/ac/download/control/sensor/pressure/catalog/bltn_jpn_pf.pdf

また、シミュレート結果の横軸を抵抗値の変化にするには、どうしたらいいんでしょうか。
811774ワット発電中さん:2007/04/25(水) 07:46:43 ID:Uo13pqlT
>>810
>ブリッジ抵抗のどれがどう変化するのか分かりますか?

データシートではわかりませんでした。

データシートでは、圧力 VS 電圧出力のグラフが
あるので、これを参考に、電源のパラメータ設定でアンプ入力(DC)電圧の
可変が可能と思います。

センサー自体のシミュレーションが目的ですか?
接続するアンプのそれが目的ですか?
後者なら容易でしょうが、前者なら、実測する、メーカに聞くなど
詳細情報入手要と思います。
812774ワット発電中さん:2007/04/25(水) 18:21:48 ID:5oSiy/JG
>>810 >ブリッジ抵抗のどれがどう変化するのか分かりますか
実はどうでもいい。図で R1 と R4 が相補的に変化してもいいし、
R1 と R3 が相補的に変化してもいいし、・・・、R1 〜 R4 のうちのどれか一個だけが
変化してもいい。後続の差動増幅器の入力抵抗がやたらに低くない限り、誤差範囲内で
同じ結果が得られる。

むしろ、入力を圧力として、ブリッジ出力のフルスケール・感度設定を SPICE で
どう表現したらよいか?という質問なのでしょうか?
813812:2007/04/25(水) 19:11:37 ID:5oSiy/JG
>>810
具体例を一つ挙げます。例えば ADP1132/1232 だったら
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=2581.png
横軸の "V1/1V" は横軸目盛りの付近で左クリックして変更しました。
[kPa] は Plot Setting → Notes & Annotations → Place Text で書き込みました。

(画像は sushi-k/wiki に upload しました。sushi-kさん、どうもありがとうございます。)
814812:2007/04/25(水) 19:21:03 ID:5oSiy/JG
ちょっとまずい回路でした。訂正します。
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=2582.png
その他、機能拡張などもいろいろ考えられます。
815812:2007/04/25(水) 19:32:05 ID:5oSiy/JG
機能拡張とは、非直線性やクリッピングの表現、ブリッジ抵抗微小変化の表現
(これは後続の増幅器の入力抵抗が高ければ無視できる)、応答速度・オーバーシュート等
の表現、などでしょうか。
816774ワット発電中さん:2007/04/25(水) 21:23:22 ID:QoFyxwbf
>>811
このスレだといろいろ詳しい人がいそうだったので、センサのどこが変化するのか知ってるんじゃないかと。
ついでにシミュレーションもしてみたいと・・・・

定電圧駆動でAD変換して補正かけたら、高圧もオペアンプも要らないし回路が簡単になるかなぁ・・・
あのデータシートだとワカランけど、構造的に変化するのは一箇所じゃないかなぁ・・・・
とか、あれこれ妄想中。

>>814
その回路で、I1やR1〜R4って意味あります?
817774ワット発電中さん:2007/04/25(水) 22:38:36 ID:5oSiy/JG
>>816
>センサのどこが変化するのか知ってるんじゃないかと。
知りません。いろいろあり得ます。そんなことはどーでもいいように、
参考応用回路では「受け側の差動増幅器の入力抵抗は大きくしてくれ!」
と言っているようです。「半導体センサー」というのを大まじめに取ると、
全部の抵抗値が相補的に変化するのかもしれませんね。

>その回路で、I1やR1〜R4って意味あります?
なるほど、そこまで理想化・抽象化するつもりなら、意味はないでしょう。
バイアス電圧 (コモンモード電圧) + B 電圧源 (信号) +抵抗器 (信号源抵抗)、
それで話は終わりですね。
818774ワット発電中さん:2007/04/25(水) 22:54:14 ID:5oSiy/JG
>>816
>定電圧駆動で AD 変換して補正かけたら・・
ブリッジのどの抵抗がどのように変化するのか、とか、定電流駆動の利点は?
とかは、私には答えられません。Matsushita Electrik Works, Ltd. に聞いて下さい。
いろいろと憶測はできますがね〜
819774ワット発電中さん:2007/04/25(水) 22:57:06 ID:5oSiy/JG
訂正。Matsushita Electrik → Matsushita Electric です。失礼しました。
820774ワット発電中さん:2007/04/25(水) 23:19:22 ID:5oSiy/JG
LTspice 特有の話かもしれませんが、抵抗器の抵抗値の欄に R = <式> と書けます。
例えば R = 1e3 * V(ctrl) + ・・・ + 0.1 とか。 .func を併用すれば、
ブリッジの4つの抵抗値が相補的に変化するのだとしても、それほど困りませんね。
821774ワット発電中さん:2007/04/25(水) 23:28:26 ID:qqSVoSOo
ふむ。 
データシートを見る限りでは定電流でないと使い物になりそうにないな。
822774ワット発電中さん:2007/04/26(木) 00:07:05 ID:A3j0RklJ
コパルのデータシートを眺めていたら、基本どおりやれ、と言われてる気がした。
http://info.tactnet.co.jp/copal/j/product/pdf/pressure_sensors_ja/operating_principles_ja.pdf
823774ワット発電中さん:2007/04/26(木) 00:40:11 ID:GpCX8eof
定電流駆動・定電圧駆動のどっちがいいか?なんて、開発の初期から試している
だろうから、使う人はデータシートやアプリケーションノート通りにやるほかは
ないだろうね。それを変えたところで、データシート以上の性能は望めないだろう。
824774ワット発電中さん:2007/04/26(木) 22:27:23 ID:F5vEuK8a
> データシート以上の性能は望めないだろう。
誰か望んでいましたっけ?
825774ワット発電中さん:2007/04/26(木) 23:07:54 ID:GpCX8eof
>>824
まあ、そんなに突っかかるなよ。
定電圧駆動をしていても、ブリッジ電流を測定して、それに応じて出力電圧をデジタル演算で
補正する方法はあり得ます。しかし計算上 A/D 変換器の分解能が余分に必要になるから、あまり
よくないね。結局のところ、やはり定電流駆動をすることになるでしょう。

残る測定精度向上の方策として、センサーのブリッジ電流を A/D 変換器の基準電圧に比例させる
のが得策です。これは OP アンプ回路で実現しましょう。そうした後で、周囲温度を測定し、
デジタル演算によって "予測される誤差" をキャンセルする方法はあり得ますね。
826774ワット発電中さん:2007/04/26(木) 23:26:10 ID:GpCX8eof
>825 ですが、あえて前半と後半をごっちゃにして、センサーのブリッジは定電圧駆動
(A/D 変換器の基準電圧に比例) して、A/D 変換器の分解能には目をつぶって、周囲温度
による補正を行う方法もあり得ます。
こんなことを考え付くのは悪いオジサンです。学生サンは決してやってはいけません。
827825, 826:2007/04/27(金) 07:54:12 ID:4r+gdBML
今は昔の話ですが、>>825 の最後の行、「デジタル演算によって "予測される誤差" をキャンセルする方法」
の "予測される誤差" とは、センサーの比直線性のことです。
ブリッジを定電圧駆動した場合の "予測される誤差" とは全く異なります。念のため言っておきます。
828827:2007/04/27(金) 07:58:51 ID:4r+gdBML
ごめん。 × 比直線性 ○ 非直線性 (おいおい、今回は IME で一発で出たのに〜)
829774ワット発電中さん:2007/05/03(木) 22:35:39 ID:niIS/+Ee
LTspice が update されています。2007/4/30 Version 2.20L (小文字)

昔からあったのかもしれませんが、V電圧源にトリガーをかけられます。ここに使用例があります。
http://tech.groups.yahoo.com/group/LTspice/files/%20Tut/TRIGGER/ (無料メンバー登録要)
830774ワット発電中さん:2007/05/04(金) 13:39:11 ID:h4B9YrBq
d
いい使いどころが思いつかないけど、初めて知った。
helpにもchangelogにも載ってない機能をどうやって知るんだろか。
831774ワット発電中さん:2007/05/04(金) 22:41:16 ID:0dJzl22f
>>829
見ました。
パラメータが沢山並んでいて、使うのが簡単ではないのが
わかりますた。
できたら最もシンプル化したトリガーかけ方の例を希望。
832774ワット発電中さん:2007/05/04(金) 22:52:47 ID:O5NYlbng
例はリンク先にあると思うが。trigger以降に条件書けば簡単に動くと思うが。どこで使うかは考えものだがw
833774ワット発電中さん:2007/05/05(土) 00:29:28 ID:+GTmSjR4
>>831
2つ3つ複合されているからな。実際に動かしてプロットしてみる。
それから考えて、コイツは何をやろうとしていたのか、と逆に考えてみる
方法もある。(LTspice を使っていない人は、あれこれ思い悩む必要ないよ)

>>832 >どこで使うか
シミュレーションなら入力も予測できるから、time を使えば似たようなことは
できるんだけどね。
××がこういう状態になったら (たとえば安定したら)、○○という信号を発生させる、
とかいうのはあり得る。TRIGGER を使えば、回路をいろいろ変更しても
○○信号の発生時刻をその都度変えたりする必要がない、という利点はある。

まあ初心者には使いようがない機能だと思うよ。
834774ワット発電中さん:2007/05/05(土) 01:15:31 ID:+GTmSjR4
>>830
> help にも changelog にも載ってない機能をどうやって知るんだろか。

「ゲームの攻略本」みたいなものだろう。攻略本の著者は、実はゲームの作者と懇意。
そもそも Yahoo の LTspice User Group は、
LTspice の宣伝のため、
LTspice 製作者がユーザーの意見を吸い上げるため (bug report を含む)、
製作者とユーザーの意見交換のため、にあるのだと思うよ。
(いつだったか、LTspice 作者の Mike Engelhardt さん御本人と思われる
カキコを見たことがある。)
835774ワット発電中さん:2007/05/06(日) 11:24:37 ID:GtgmmmXT

2SC1815モデルは、madlabのモデルで大丈夫なのか?という話題がでて
DCでのhFEの挙動について、上の記事で意見交換がありました。

今回、1石BFO(445KHz)発振器をmadlabの2SC1815のモデルを
使ってLTspiceで動かしてみました。

★★★ 無線の実験と製作 ★★★
http://science6.2ch.net/test/read.cgi/denki/1106919148/610

公開されているオシロスコープの発振の過渡電圧の画像と、
LTspiceの過渡解析結果は、かなりいい感じであっていました。
836774ワット発電中さん:2007/05/06(日) 15:54:13 ID:6+4EDZHS
1.5v電池で白LEDを光らせるのにブロッキング発振を
つかった回路を
ORCAD PSPICE lite版でシミュレーションしたいのですが
うまく発振しません。
インダクタ部にTX1をつかったりしてます。
あと初期値とかどこかに入力しないといけないでしょうか。。。
概要は
ttp://www.geocities.co.jp/Technopolis-Mars/2881/LED00.html
の図2なんですけど。
837774ワット発電中さん:2007/05/06(日) 17:59:39 ID:ub4qvyKG
>>836
PSpice じゃなくてゴメン。やってみたところ、ちゃんと発振しました。よく見比べてください。
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=0571.png
ホントは L1 L2 の直列抵抗、並列抵抗を設定しなくてはいけません。まあ、とりあえずはここまで。
838774ワット発電中さん:2007/05/06(日) 20:18:16 ID:f4R6Rgxv
>>837
これは
LTスパイスですか?
839774ワット発電中さん:2007/05/07(月) 00:06:34 ID:gF8MVu2+
>>838
LTspice を使いました。でも、SPICE 一般の話をしたかったのですよ。
840774ワット発電中さん:2007/05/10(木) 16:08:41 ID:0Tac8ioo
>>829
LTspice Group入りました。
ずいぶんtrafficが多いですね。
米国は勉強する環境があるのかなぁ。
・・・と嘆いてないで、自分も参加するかな。
841774ワット発電中さん:2007/05/12(土) 08:25:35 ID:4NE3fZII
お知らせ。Web ページ「ベルが鳴っています」(ベルが鳴る) の作者です。
当方のアパートまで光ケーブルがやって参りました。接続方法を 光+VDSL に
変更した結果、ホームページ URL も変更になりました。
 旧: ttp://www.d1.dion.ne.jp/~river_r/bell/
 新: ttp://www7b.biglobe.ne.jp/~river_r/bell/
よろしくお願いします。
842841:2007/05/12(土) 08:39:12 ID:4NE3fZII
つづき。「ゲストブック」と W3C HTLM validation が使えなくなっています。近日中に復旧予定です。
843774ワット発電中さん:2007/05/12(土) 10:05:46 ID:MEAc8cCb
844774ワット発電中さん:2007/05/12(土) 11:40:26 ID:SUB0WEf+
「ベルが鳴ってます」さん、こんにちわ
デジタル信号伝送の歪みのところでトランスで反射波を表わしていますが
現象が予めトランスで等価できるところまで把握している場合、有効ですが
全然、何もデータがないところでは、やはり電磁解析のシミュレーションだ
と思います。反射波のシミュレーションではなく、伝送路以外の回路が反射波
でどう影響するか観るにはようかと思いますが、回路変更すると反射波も
変わるので難しいところです。
845774ワット発電中さん:2007/05/12(土) 12:25:54 ID:Mn3lFvEd
>>844
ありがとうございます。トランス1個はヒドすぎたかもしれません。
せめてトランスを2個にして、間に必ずしも整合していない伝送線路を
入れるとかすれば、もう少し実際的なんでしょうね。

しかしそこまで進むなら、もっと現実的なパラメーターを測定してからでないと
一歩も進めないような気がします。まあ、こんなこともやってみました・できました、
という報告程度だとお考え下さい。あしからず〜。
846774ワット発電中さん:2007/05/12(土) 15:08:10 ID:Amx40TUK
現在は、IBIS が主流ですね
847774ワット発電中さん:2007/05/12(土) 18:49:32 ID:9Hm4j+pc
>>846
じゃあ、電圧源+信号源抵抗 → トランス → 微妙に整合していない伝送線路
→ トランス → 負荷抵抗。ここまでを IBIS モデルでシミュレートして、結果を
報告してくれ。待ってます。トランスには直列抵抗、並列抵抗、並列容量も設定
できればいいと思うが〜。
848847:2007/05/12(土) 18:52:44 ID:9Hm4j+pc
ゴメン。トランスの結合係数も追加しておきます。
849774ワット発電中さん:2007/05/12(土) 20:32:26 ID:58raRQto
LTSpice ではできないと思うが?
HSPICE、PSPICE、Spectre ならSパラが扱えるのできるけど

メーカ各社が自社製品のIBISモデルをホームページで無償で提供している
850774ワット発電中さん:2007/05/12(土) 21:54:30 ID:knmzLf1K
フリー eispice なら IBIS ができるよ。 Windows にもインストできる。
http://www.thedigitalmachine.net/eispice.html
851774ワット発電中さん:2007/05/12(土) 23:05:08 ID:9Hm4j+pc
>>849
なにか、1つ2つの例を示してくれれば幸いです。
852774ワット発電中さん:2007/05/12(土) 23:07:20 ID:fEV7XlHk
スミスチャートが書ける SPICE なら QUCS があるよ。Windows インストも OK
http://mail.sp.es.yamanashi.ac.jp/~ohki/qucs/qucs.html

日本語表示もできる
853774ワット発電中さん:2007/05/13(日) 01:41:44 ID:PVn4l75Q
>>851 面白そうなので eispice を使って、TI の資料を参考にしながら
格闘中です。
http://www.tij.co.jp/jsc/psheets/spraae2.pdf
854774ワット発電中さん:2007/05/13(日) 08:28:12 ID:p4Ue5kRl
うまくいったらテンプレのwikiでまとめてぇ
855774ワット発電中さん:2007/05/13(日) 15:23:50 ID:48C5Gh1i
micro cap7//cq版を買ったんだけど、オペアンプの種類で僕の使っているやつがありません。
一応新しく入力できるようになっているみたいだけれど、めんどくさくて仕方がありません。
なにかどこかで手に入れる方法とかあるんですか?
856774ワット発電中さん:2007/05/14(月) 11:46:37 ID:e7P8rXX0
>>855
google
857LT初心者:2007/05/15(火) 09:54:53 ID:LvSxJhHE
初心者の質問なのですが、今、LTSPICEを使って2sk421の増幅回路のシミュレーション
をしたいと考えています。
LTSPICEの標準ではこの2sk421のFETはないので、以下のサイトを参考に日本製の製品を使えるように
したいと思います。
http://www.geocities.jp/ltspice_swcadiii/japanese_device.html

このサイトでは以下の製品情報ライブラリをとり
http://www.madlabo.com/mad/edat/spice/model/index.htm

C:\Program Files\LTC\SwCADIII\lib\cmp 配下に,standard.* というファイル
に書き加えると言っていると思いますが、*の部分はmosになると判断し、
このファイルにライブラリの2sk421の部分を書き加えました。

次に、LTSPICEを起動し、select conpornent symbolでnmosを選択し、画面に配置して
pic new mosfetの操作画面をだし、リストに2sk421を確認し、設定しました。

ところが、
この設定した2sk421にはvds rds Qgate のデータが入っていません。
ほかの(標準の)mosfetを設定するときは、ちゃんとデータが入っているのですが
これはどういうことでしょうか?

詳しいかた教えてください。
858LT初心者:2007/05/15(火) 10:15:07 ID:LvSxJhHE
ちなみに、standard.mosファイルには
デバイスがVDMOSしか登録されていなくて
2sk421を定義した(デバイス名NMOS)のパラメータに
VDMOSと同じように Vds=-80 Ron=25m Qg=55n を、数字を適当に
書き加えたら。
LTSPICEで2sk421にも先ほどのデータが入りました。

しかし、MOSはMOSでもエンハンスメント型とデプレッション型等あると思いますが、
standard.mosファイルに書き込んで大丈夫でしょうか?
ただし、ほかのstandardファイルはダイオードやら明らかに違うデバイスなのでmosしか
考えられなかったのですが、詳しい方よろしくお願いします。
859774ワット発電中さん:2007/05/15(火) 10:55:06 ID:oScYpOxH
>>858
まず、k241だけしか使わないのならstandard.mosを書き換えるのは
あんまお勧めできない。回路図中に

.model j2sk云々…

って直接書いた方がいい。PSpice用の国産モデルどっさり貰ったとかなら
standard.mosに入れとくと便利だけどね。

次に、Vds、Ron、QgっつーパラメータはSPICEのMOSFETモデル
には(多分)無いので、LTSpice独自の方言か、または実際にはは使われてないかの
どちらかじゃないかと思う。mfg=とかと同じく表示に使うためだけの
パラメータだろう。要は気にするな、ってこと

最後に、

>詳しいかた教えてください。

これが気に入らない。氏ね

860774ワット発電中さん:2007/05/15(火) 11:41:58 ID:k6MfD+Wb
861774ワット発電中さん:2007/05/17(木) 13:25:15 ID:rlok4bf0
>>858
2SK241 はデュアルゲート MOSFET の GATE2 をソースに接続したものだと考えることができる。こんな例もある。
http://groups.google.com/group/sci.electronics.cad/browse_thread/thread/94e172a1c4cc3135/d46bccb8f9579096
たしか旧 Philips の Web ページにデュアルゲート MOSFET の SPICE model が各種あった。
862774ワット発電中さん:2007/05/17(木) 15:09:33 ID:rlok4bf0
ttp://www.koka-in.org/~kensyu/handicraft/diary/20051111.html
まずはこれ↑を追試してみました。なお、AC 特性は二の次にして DC 応答に着目しています。結果は:
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=9541.png
なお、V3 電圧を変化させるのは M1 の Vto を変化させるのと同等です。
「 d(Id(M1))/d(V(g)) 」 で Yfs のグラフが描けます。確かに Yfs のグラフが尖りすぎてますね。
863774ワット発電中さん:2007/05/17(木) 15:59:06 ID:rlok4bf0
続いて ttp://groups.google.com/group/sci.electronics.cad/・・・ 中、Fred Bartoli さん
紹介の .SUBCKT BF981 のほうも追試してみました。(この .SUBCKT の元は Philips のようです)
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=9542.png
まあ大差ないような気もします。ここで2つの方針が考えられます。

(a) そもそも DC 特性がフィットしていない。まずは DC 特性をうまく表現するモデルを作成する。
(b) DC 特性はこのままにして、AC 特性が比較的よく合うモデルの作成に進む。
人・目的によって違うでしょうね。バナナもプリンも両方食べましょうか。お腹をこわさなければ
いいのですが。
864774ワット発電中さん:2007/05/17(木) 20:15:48 ID:hu42nOEx
仮に (a) の方向で進むなら、一度は折れ線近似も考えたけど、それでは遅そうです。
数学的関数で近似させたほうがよさそうです。おとなしく飽和する関数として atan() を試してみました。
http://w3.quake3.jp/sushi-k/wiki/index.php?plugin=attach&refer=%B2%E8%C1%FC%A5%A2%A5%C3%A5%D7%A5%ED%A1%BC%A5%C0%A1%BC&openfile=9543.png
横軸の左半分が伸びて、右半分を縮める関数を追加し、B1 式に定数項を加え、さらに Vds の
立ち上がり特性をうまく盛り込めば DC 特性はなんとかなりそうです。どうでしょうか?
(sushi-k さん、どうもありがとうございます。)
865774ワット発電中さん:2007/05/18(金) 00:14:43 ID:tV+3qgr5
亀レスすぎて、みんな忘れているだろうけど、「IBIS to spice free download」
とかで検索したら、こんなのも見つかった。ttp://www.intusoft.com/pr/ibis2spice.htm
866774ワット発電中さん:2007/05/18(金) 06:15:06 ID:gsPSt8Bq
古いバージョンはすく見つかったけど、新しいバージョンのコンバータはデモ版解凍して、中から拾って無料で使ってよいとのこと?
867774ワット発電中さん:2007/05/20(日) 16:08:06 ID:aeKTPtMY
人が増えたっぽいので、もう一回質問させて。
>>737ってどなたかいい方法知りませんか?
868774ワット発電中さん:2007/05/21(月) 15:09:38 ID:iAFSSM2O
>>737
確かに Autoranging のチェックボックスは働いていないように見えますね。
Save Plot Settings で *.plt ファイルを保存しておき、再 RUN した後で Reload Plot Settings
をクリックすると、以前の目盛りの設定 (表示の範囲) が復活します。
869864:2007/05/21(月) 19:40:24 ID:iAFSSM2O
2SK241 の DC 特性の表現のしかたについての話です。
>>864 で紹介した方法は、うまい関数を思いつけば・当たればいいのですが、そうでないと
近似の程度がよくありません。

(1) フーリエ級数を用いる方法
Vgs - Yfs 特性を折れ線グラフで近似し、これを FFT した後、File → Export (Cartesian, re, im)
でフーリエ係数を求め、積分しておきます。スケーリングを行いオフセットを加えて Vgs - Id 特性に
合わせます。最後に Bi 電圧制御電流源にこの式を使います。
この方法の欠点は、近似の程度を上げようとすると式が三角関数の長大な式 (10 項とか 16 項とか)
になってしまうことです。記述が長くなるしシミュレーション速度も遅いでしょう。

(2) 多項式近似 (整式)
Vgs - Yfs 特性を4点を通る3次曲線などで近似し、これを積分します。スケーリング+オフセット
を加えて Vgs - Id 特性に合わせます。最後に Bi 電圧制御電流源にこの式を使います。
点の数と位置をうまく選べば、(1) に比べてずっと簡単な式で表現できます。
N+1 点を通る N 次の多項式などは数式処理プログラムを使えば簡単に求まります。

デュアルゲート MOSFET に限らず、いろいろな場面で応用できるでしょう。
AC 特性のほうは電圧依存キャパシター (Q = 式) をうまく利用すればいいのですが、バイアス点
に応じて容量を切り替えてしまう方法もあり得ます。
870774ワット発電中さん:2007/05/22(火) 21:22:26 ID:icNOwbGj

LTSpiceにアナログスイッチってありますか?
4066などの蝶ネクタイみたいな記号ですけど。

871774ワット発電中さん:2007/05/22(火) 22:15:40 ID:6O4FNksV
>>870
どの SPICE にもある S. Voltage Controlled Switch 電圧制御スイッチ、
W. Current Controlled Switch 電流制御スイッチは使えます。これらは機能モデルです。

NXP Semiconductors (旧 Philips) から 74HC4066 のトランジスターモデルが入手できます。
URL は ttp://www.standardics.nxp.com/support/models/spice/ です 「HC(T)」。

そのほか参考として、ttp://www7b.biglobe.ne.jp/~river_r/bell/apll/apll.html
の中ほどに記述があります。("standardproducts.philips" を文字検索してみて下さい。)
872774ワット発電中さん:2007/05/22(火) 22:50:57 ID:jdnKTPnv
>>868
d
Reload Plot Settingsの案いいですね。Hotkeyに割り当てとくとずいぶん楽ちん。ありがと。
873774ワット発電中さん:2007/05/22(火) 23:02:52 ID:6O4FNksV
>>872
言うのを忘れてました。Y軸 (縦軸) の話なら、プロットに limit() を使って
不要な部分を切り取ることもできます。例えば V(a) の負の部分は見る必要がないと
いうなら、limit(V(a), 0, 1e24) をプロットさせます。

但しこのようにしていると、回路を変更したとき重要な応答箇所を見損なってしまい、
後で慌てたこともありました。
874774ワット発電中さん:2007/05/23(水) 00:17:58 ID:rIRWew4l
>>871
アナログSWのデータシートではON抵抗が出ていますが、
LTspiceの電圧制御SWではヒステリシス値も与える必要がありますよね。
ヒステリシス値は4066使用としていくつを入れればよいでしょうか。
875774ワット発電中さん:2007/05/23(水) 09:48:42 ID:pEKfyr4O
>>874
4066 の制御信号はインバーターを通ってから SW 素子 (MOS FET) のゲートをコントロール
しているので、Vh = 0 とか Vh = -10m とかの値でいいと思います。
(Vh > 0 のときヒステリシス有りの動作、Vh が負のときは制御電圧に応じて ON 抵抗が
スムースに変化する。 Help 参照。)

なおトランジスタモデルでなく S. 電圧制御スイッチを使った場合、SW 端子電圧による
ON 抵抗の変化や、制御回路の INV の伝播遅延は再現できません。このうち後者は制御回路
に遅延用バッファーを入れれば近似できます。
876869:2007/05/23(水) 13:21:20 ID:pEKfyr4O
>>869 の (2) の方法を使って Id を Vgs で表す関数を求めてみました。
http://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b&.order=&.view=l&.src=bc
まずはここを見てください。(見えますよね?)
4521.txt が計算方法、4521.png が結果をグラフにしたものです。MAXIMA を使いました。

ほんとうは |Yfs| でなく、gfs と bfs が欲しいところですが、それは後日。
877774ワット発電中さん:2007/05/23(水) 13:26:18 ID:WgSPMW3W
これはイイ
878774ワット発電中さん:2007/05/23(水) 19:15:35 ID:rIRWew4l
>>875
dクス!
勉強になりました ○| ̄|_
879774ワット発電中さん:2007/05/23(水) 21:52:36 ID:pEKfyr4O
>>877
何のことを言っているのか不明ですが、ホーナー法もお忘れなく。
ttp://homepage3.nifty.com/sugaku/hona-hou.htm
880774ワット発電中さん:2007/05/24(木) 01:08:49 ID:4aZYl/k6
>>873
limit関数ってここで使うのかー!!!こういう用途は思いつかんかったな。
マジで重宝しそうです。ありがと
881774ワット発電中さん:2007/05/24(木) 01:39:21 ID:S9gEVv93
Limit( ) のこと。
LTspice の場合、「Waveform Arithmetic」の部分と SPICE 本体の部分で使えるヤツとの
区別の混乱が起きそうで、ちょっとコワいです。
例えば limit( ) は、どちらでも同じように使えるけど、d( )/d( ) とか sdt( ) などはそうでない。
結果がおかしい → 使えない → 別の方法を考える。そこまでできる人だったらいいけれど、
初心者にはけっこう敷居が高いものだと思いますよネ。
882774ワット発電中さん:2007/05/24(木) 01:40:43 ID:S9gEVv93
age わすれた
883774ワット発電中さん:2007/05/24(木) 01:45:20 ID:S9gEVv93
あれ、なかなか age られていない。きょうび、この辺のサーバーは応答が遅くてどうも不審なんだが。
884774ワット発電中さん:2007/05/24(木) 11:25:34 ID:JW6f+aj3
普通のブラウザ使ってるの?
2ちゃんは専用ブラウザ使うのがデフォになりつつあるんだyo
885774ワット発電中さん:2007/05/24(木) 18:47:33 ID:NMM6/05x
初歩的な電気回路の質問風でごめんなさい。
Y パラメーターを使って BJT や FET による増幅器を SPICE で表現するとして、
こんな書き表し方を思いつきました。まずは Y11, Y21 のみとしています。
ttp://proxy.f3.ymdb.yahoofs.jp/bc/4611fcd8_1e1/bc/b55b/1481.png?bcLWWVGBQBJJv9dN

もっと簡単スマートな方法はないものでしょうか?
S パラメーターを使った場合は、どういう方法がありますか? (これは変換ソフトウェアが
市販されているようですが、それは使わないとして)
よろしくお願いします。
886885:2007/05/24(木) 20:06:32 ID:NMM6/05x
ごめんなさい。画像が見えなくなりました。こちらでどうぞ。
ttp://proxy.f3.ymdb.yahoofs.jp/bc/4611fcd8_1e1/bc/b55b/1481.png?bcbOXVGBXC_Qv9dN
887885:2007/05/24(木) 20:20:08 ID:NMM6/05x
たびたびごめんなさい。また見えなくなっているぅ。まず、
http://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b&.order=&.view=l&.src=bc
ここを見て、1481.png を見てください。
888885:2007/05/24(木) 21:06:04 ID:NMM6/05x
もう少し見かけを整理しました。(本質的には変わりなし) 
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b&.order=&.view=l&.src=bc
ここ↑に行って、1482.png をクリックして下さい。
889774ワット発電中さん:2007/05/24(木) 21:14:03 ID:1hAuqgwH
LTspiceなら.netコマンドでZとYとHとSパラ計算してくれるけど、これじゃいかんの?

.netコマンドが実装される前は式でSパラ計算してたけど、.netコマンドマジ便利。
890885:2007/05/24(木) 21:43:41 ID:NMM6/05x
>>889
あっ、その逆をやりたいのです。Y とか S パラメーターは既知として、
それを SPICE 回路で表現したいのです。
891885:2007/05/25(金) 17:14:55 ID:ZWzWcyIY
Y12, Y22 回路を追加しました。
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b&.order=&.view=l&.src=bc
に行って 1483.png をクリックして下さい。
(V1, V2 の "AC 1" はどちらか一方だけを生かします。
B1, B2 の番号を逆にしたほうが、わかりやすかったかも。)
10MHz 〜 100MHz とかいった広帯域を一度に表すのはとても無理で、中心周波数の
±5% 〜 10% 程度を使うのがいいと思います。

さて、もっと簡単スマートな方法はないものでしょうか? よろしくお願いします。
892774ワット発電中さん:2007/05/27(日) 05:09:26 ID:p3eFoXpE
orcadのcapture15.7でパラメトリック解析をしたいのですが
コンデンサCの値を{cval}にしてPARAMに名前cval、値0.01uのコラムを追加したのですが
simulation settingsのanalysisページでparametric sweepが選択できせん
どうすればいいでしょうか? ホントに困っているので誰か教えて下さい
893774ワット発電中さん:2007/05/27(日) 08:56:23 ID:4Vm+P5D8
>>892
PSPICE は持っていなくて今 download している最中ですが、下記 PDF
ファイルの page 42 〜 に、けっこう親切な説明がありますね。
ttp://electronics-lab.com/downloads/schematic/013/tutorial/PSPICE.pdf
894893:2007/05/27(日) 13:47:50 ID:4Vm+P5D8
>>892
ダウンロードしたのは OrCAD PSpice Demo Version 9.1 - Web Update 1 (L 000, B 101) です。
http://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b&.order=&.view=l&.src=bc
に行って 9331.zip をクリックして save した後、展開して下さい。

これで一応 parametric analysis ができますが、そのままではグラフの枠しか出てきません。
手動で Trace → Add Trace で V(out1), V(out2) を指定すればトレースが表示されます。
理由はわかっていません。あしからず。
895774ワット発電中さん:2007/05/27(日) 22:42:28 ID:p3eFoXpE
レスありがとうございます
なぜか9.2LEではできました。
15.7ではモンテカルロ解析もできません↓
ちなみにグラフが始め表示されなかったのは適切なマーカーを挿入してなかったのでは?
896774ワット発電中さん:2007/05/28(月) 14:30:54 ID:vED2SUHB
http://www.nxp.com/models/ ここから丹念にたどると、RF 素子の SPICE モデル、
S パラメーター表、いろいろな説明文書類が見つかる。
897774ワット発電中さん:2007/05/29(火) 13:13:37 ID:uZDse3ju
PSpicce A/D Demo 15.7.0.p001 を試してみました。
例が少ないですね。>>895 さんが言っているモンテカルロ解析そのものズバリ
の例があってもいいのではないでしょうか。

例といえば OrCAD_15.7_Demo\tools\pspice\tutorial\capture\pspiceaa にある
rfamp はシミュレートできませんね。線がつながっていないというより、
ライブラリーの設定に問題があるようです。Q1, Q2 を EVAL 中の Q2N2222, Q2N3906
に替えたら動きました。AC 解析を試したらノイズ解析状態になっていたので、
あっちこっち再設定して、周波数応答の表示は一応できました。
http://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b 中の 1933.png を
クリックして下さい。

縦軸目盛りが dB と angle になっているボード線図を描きたいのですが、
そのための設定が思ったようにできません。
(Bode Plot dB - dual Y axes や Nyquist Plot ができるはずなんですが)
また、第2のカーソルは、どうやったら動かせるのでしょうか。疑問は尽きません。
898774ワット発電中さん:2007/05/29(火) 23:43:14 ID:uf630lSi
>>897 → 1933.png
しかしまあ、ものものしいバイアス安定化回路 + お粗末な主増幅器!
学生さんに見せるのだからこそ、もっと「ウーンとうならせるような回路」を
例として選んでほしいものです。
899774ワット発電中さん:2007/05/30(水) 00:17:16 ID:AHmlVFnJ
>>897
利得が約 10dB ってほんと? 思わず使い慣れた SPICE でも追試しました。
あ、そうか。負荷抵抗が 50Ω だったんですね。でもまあ、
お粗末な主増幅器と不釣合いな負荷抵抗ですね。結果のグラフは
http://briefcase.yahoo.co.jp/bc/demupa799/lst?.dir=/b55b 中の 1934.png をクリックして下さい。
900899:2007/05/30(水) 14:17:01 ID:sxo5x1v9
1934.png で、C5 0.47 と書いてしまった。正しくは 0.47u です。
だから低周波帯のグラフも違います。ごめんなさい。
901774ワット発電中さん:2007/06/03(日) 18:34:27 ID:pnPQcZB2
お知らせ。私の Web ページ ttp://www7b.biglobe.ne.jp/~river_r/bell/ に、
「S-パラメーター、Y-パラメーターの利用」 ttp://www7b.biglobe.ne.jp/~river_r/bell/ypsp/YpSp.html
という項を追加しています。どうぞ御意見をお寄せ下さい。
902901:2007/06/06(水) 23:41:22 ID:jEjZX4qU
ypsp/YpSp.html を更新しました。
S-パラメーター ⇒ Y-パラメーターの変換結果がおかしいので、2〜3日
悩んでいた。何のことはない、360 分度 ⇔ ラジアンの変換を一方だけ
やっていたりしていた。ほんとバカですね。
903774ワット発電中さん:2007/06/08(金) 21:35:40 ID:V4l1smiD
>>902
漏れはヴァカなのですが、ネットで自分のミスを認め、訂正して
詫びをする人を初めて見ました。謙虚で偉い方と思いました。

ネットの人は大方高慢で、間違いを指摘されても訂正せず、
侘びをするどころか激情して感情的に攻撃してくる香具師が多いです。

MIT教授をしている日本人のある先生も、『自分は凡人だから
人並み以上に努力しなければならない』と言われていました。
漏れもこの謙虚な教授に同意なのですが、このスレに限らず
高慢で、俺様天才!という香具師が多いのに対し、
漏れは香具師たちへどう向き合えばいいのか、生き方を教えて下さい。
904774ワット発電中さん:2007/06/08(金) 23:40:08 ID:ExKkz+KP
つ スルーちから
905774ワット発電中さん:2007/06/10(日) 19:26:33 ID:s+sHWujJ
LTSpiceなんですが、
単電源オペアンプの入力オフセット電圧(max.6mV)って、こんな感じで正しいですか?
http://2chshare.net/pic/files/2chshare-pic_1577.gif.html

動かしてみると、出力が1.3mVと6.8mVになってしまいます。
6mVの入力でボルデージフォロワのつもりなので、出力は0mVと6mVになる
と思ったんですが、どこがおかしいのでしょう。
906774ワット発電中さん:2007/06/11(月) 01:20:24 ID:cmn3Ukoa
>>905
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md 中の
550.png をクリックして下さい。
上半分と下半分に分かれています。どちらも U1 は理想 OP アンプ (2pole)、
U2 は LM358/NS です (NS 社公開の SPICE モデルをやや改変したもの)。

上半分は OPamp を +5V, -1V 電源で働かせています。シミュレーション結果の
グラフを見ると、2pole の場合は計算通り。LM358/NS の場合はなぜか +2mV 程度
のオフセットがついてきます。モデルを作った人は、このことを承知している
はずです。

下半分は OPamp を +5V 単電源で働かせています。グラフを見ると、
2pole の場合は負極性の出力電圧がクリップされていますね。まあ当然。
グラフの右端も +6mV ピッタリではなく、少し大きめな値です。
LM358/NS の場合はなぜか +21mV ものオフセットがつきます。
モデルを作った人は、このことを承知しているでしょう。

要は 0V 付近の詳細な動作に関しては、.SUBCKT の記述次第で結果が変わります。
現実の単電源 OPamp も、型番・ロットによって差異はあるでしょう。

うまく言うことの意味が伝わるのかどうか、ちょっと自信がありません。
不明点はさらに質問して下さい。
907906:2007/06/11(月) 01:28:18 ID:cmn3Ukoa
訂正しときます。
U1 は理想 OP アンプ (2pole) ⇒ U1 は半理想 OP アンプ (2pole)
908906:2007/06/11(月) 01:46:09 ID:cmn3Ukoa
LTspice の場合 opamp が理想の OPamp です。電源がなくても出力が出てきます(w
909774ワット発電中さん:2007/06/12(火) 10:23:39 ID:y2b2K4LT
「電源がなくても出力が出てきます」
おもわず age たョ。
910774ワット発電中さん:2007/06/12(火) 19:34:49 ID:QzNyKufH
>>908
大学の最初のオペアンプ講座では、オペアンプに電源端子が無いまま
解説されちゃうんですが、応用を全く考えないそんなレベルの教育で良いんでしょうか。
911774ワット発電中さん:2007/06/12(火) 19:37:44 ID:5P7kdgN2
電源は∞V/-∞Vかな?
912774ワット発電中さん:2007/06/12(火) 20:22:59 ID:QzNyKufH
>>911
現実のオペアンプは電源電圧以上の出力電圧を得られないのですが、
それを無視していた講義内容でした。

講座のオペアンプでは、入力インピーダンスが無限大、出力インピーダンスが0で、
無限の電流、無限大の電圧が出力できます。
それなのになぜかオフセット電圧の問題だけは語られるのが不思議。
913774ワット発電中さん:2007/06/12(火) 20:54:04 ID:LknoLDYV
ここってアナログ回路のスレ?
914774ワット発電中さん:2007/06/12(火) 20:55:35 ID:1O4xgfrf
>>912
まあ、理想の話ばっかりではよくない。
オフセット電圧の話は、取り上げやすいのだろうな。

abs(利得) - 周波数特性とか、位相の話は、やりにくい。
なんせ「虚数」が出てくる。虚数は嘘の度合いを表す指標なんですョ (^ ^;
915774ワット発電中さん:2007/06/12(火) 20:57:52 ID:1O4xgfrf
>>913
アナログ回路、大歓迎ですよ。どうぞいらして下さい。
916774ワット発電中さん:2007/06/12(火) 21:05:33 ID:1O4xgfrf
>虚数は嘘の度合いを表す指標なんです

ベクトルに換算したらいいのかな。あるいは極座標で表したり。
でもね、やっぱ、ムズカシすぎるのかも〜
917774ワット発電中さん:2007/06/12(火) 22:18:26 ID:LknoLDYV
>>915
デジタル回路とアナログ回路の違いがおくわからないのですが・・
オペアンプってアナログでしか使わないイメージだけど、どうなの?
918774ワット発電中さん:2007/06/12(火) 22:23:48 ID:5P7kdgN2
>>917
デジタルっつーのは1と0の回路で、アナログっつーのは電圧とか電流とかいろいろ計算しなきゃいけない回路
919774ワット発電中さん:2007/06/12(火) 22:26:00 ID:1O4xgfrf
>>917
釣堀を開きたいと思います。けっこうノウハウが必要だろね。>917 さんに期待しています。
920774ワット発電中さん:2007/06/12(火) 23:07:59 ID:tu3wgJKW
>>917
性器感のシュミットなコンパレータでトリガ信号っていうのがデジアナ
921774ワット発電中さん:2007/06/12(火) 23:11:52 ID:QzNyKufH
>>914

「虚数は存在しない数」と数学で教えるように文部省の教育指針みたいなものがあるので
従っていると高校の先生が言ってました。

工学系の大学に進むと、高校で習う虚数と、大学の講座で必要な虚数の概念に
大きなギャップが生じてました。

その知識のギャップを埋めてくれる説明がこのページにあります。

ttp://www4.airnet.ne.jp/tmt/index.html
の「存在感ありありの虚数」

これが高校の教科書に書かれれば、大学の授業は虚数の概念については
楽になるんだけど、世の中は逆にゆとり教育、土日休みの方向へ動いた。
922774ワット発電中さん:2007/06/13(水) 11:55:32 ID:P1rUh9hy
理想トランジスタ、理想OPアンプやなんかで
あらかじめささっと理解しておくのは別に
無駄にならないと思し別に良いんじゃないかな。
923774ワット発電中さん:2007/06/13(水) 14:05:25 ID:kLd5/njG
釣れる、釣れる。もっとGoGo。
924774ワット発電中さん:2007/06/13(水) 14:28:03 ID:kLd5/njG
そういえば、二次関数を解く。解はどうなる? いつも実数解ではないよね。
925774ワット発電中さん:2007/06/13(水) 15:09:40 ID:P1rUh9hy
>>921
うーん、その文書、昔ごく普通に高校で(たしか)習ったようなことしか
書いてないような気がするんだけど。
926774ワット発電中さん:2007/06/13(水) 19:17:35 ID:RAOpS/h/
>>925
もしその内容を高校の数Iで習ってたら偉い先生だよ。
数Iの内容を越えてるし。
マイナスxマイナスがプラスなのかとか、小学校の謎も
解き明かしてる。ガウスさんは天才としても、
そのページはすばらしいお勧めの内容ですよ。
927774ワット発電中さん:2007/06/16(土) 14:31:40 ID:5GDKC6PH
>>921
そうなのかも知れないね。π ≒ 3 とか言い出して、あわてて訂正・言い逃れなどして
いたからな。しかし虚数のことを言い出すなら、√2, √3 ・・ のことも思い出そうよ。

熱力学でエントロピーの計算が出てくるけど、あれは「和・差」を「積・商」に
すいすい変換できるので、流用しただけ。
直接的に数学と熱力学は関係はない。
しかし、そういう例は多い。保存量があったり、作用量最小!
とかいう制限をつけると、数学風にはそう書ける・書き表しやすいようだね。
928774ワット発電中さん:2007/06/17(日) 00:01:30 ID:6HrqdQzX
拾ったモデルをLTSpiceで使いたいんですが動きません。
http://2chshare.net/mini/files/2chshare-mini_1319.zip.html
どこが悪いんですか?
929774ワット発電中さん:2007/06/17(日) 00:07:00 ID:6HrqdQzX
ちなみに、
nsc04997.modは拾ったままのもの、
LMC662.asyはUniversalOpamp2(だったと思う)を適当に書き換えて作ったもの、
error.txtは出たエラー内容と、各ファイルのpathです。
930774ワット発電中さん:2007/06/17(日) 01:22:21 ID:zQChz8qB
>>928 >>929
そこまで用意したのなら、LTspice の *.asc も教えてくれよ。
931774ワット発電中さん:2007/06/17(日) 06:21:44 ID:zQChz8qB
まあ、変なことは言い出さずに、まずはこれを試してくれ。
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md
v.zip をダウンロードして、Draft10.asc でも開きましょうかね。
932774ワット発電中さん:2007/06/17(日) 12:46:41 ID:SI9C/yUD
>>930
ごちゃごちゃしてるので作り直した。
http://2chshare.net/mini/files/2chshare-mini_1321.zip.html
>>931
開けましたけど。
933774ワット発電中さん:2007/06/17(日) 12:46:59 ID:WSjtRASL
>931 が言うことは茶々おかしい。それって、こうだろ。「・・・」
そういう風に言って欲しいと思います。
934774ワット発電中さん:2007/06/17(日) 16:12:43 ID:5tgKDD4T
>>931の言うことはプゲラ テラ(・∀・)ワロス
ヽ( ;゚;З;゚;,)ノ
935774ワット発電中さん:2007/06/17(日) 17:53:33 ID:Rdo14mdE
>>925
禿同
936774ワット発電中さん:2007/06/17(日) 23:45:05 ID:WSjtRASL
ちょっと思い出した。ソフトウェア一般の話です。
自分が作ったソフトウェアプログラムが思ったように動かない。なぜ?
たぶん、使い方が悪い。表現の文法が間違っている。使える範囲を逸脱している。とかだろう。

もちろん、使っているのがダメダメソフトだったら、さっさと乗り換える覚悟・準備は忘れずにね!
937774ワット発電中さん:2007/06/18(月) 00:12:22 ID:Kn86m5qj
SPICE って、コンピューター上で動くソフトウェアの一種であり、アナログ回路計算が得意。
後付けで、デジタル回路もけっこう使えるようになっている。

SPICE にネットリストを与えてシミュレーションする、というのは、コンピューターにプログラムを
実行させているのと等しい。(コンピューター ソフトウェア プログラム ⊇ SPICE ネットリスト)

ソフトウェアの使い方の間違い例としては、表現の文法が間違っている、使える範囲を逸脱している、
表現がそもそも間違っている、とかだろ。意外と簡単なことさ。

このうち、「表現がそもそも間違っている」を見つけ出すのは、けっこうむづかしいようです。
938774ワット発電中さん:2007/06/18(月) 00:21:55 ID:Kn86m5qj
>>934
AA アート集とかを眺めて、もっと修行すべきダロナ。
939774ワット発電中さん:2007/06/18(月) 02:03:31 ID:qgnvhhda
>意外と簡単なことさ
>けっこうむづかしいようです
矛盾を感じるが、「ひらめく」とパッと解る問題とかあるんだよね
ひらめくまでが難解なんだ。「解る人には解る」って文章にすると当たり前だけど
940774ワット発電中さん:2007/06/18(月) 04:21:40 ID:F9Byvkcy
>矛盾を感じて
こうやるとこう、ああやるとああ、ちょと変だろ。(かもしれない)

それを追求すると、「アメリカの陰謀・ユダヤの陰謀」にだって
たどり着くよ ← ウソだよ
941774ワット発電中さん:2007/06/18(月) 05:50:29 ID:H2IRtfYZ
コンピュータは指示した通りにしか動かない。
942774ワット発電中さん:2007/06/18(月) 07:46:58 ID:j8YjpgE+
>>941
問題は俺が指示していなくて他の誰かが指示している部分なんだよな。
943774ワット発電中さん:2007/06/18(月) 17:00:08 ID:TteXkRxA
>>942
実は「おまえ」が黒幕で、他の誰かを指示している、しかも
「おまえ」=「他の誰か」だったりしないのか?

捏造論を突き詰めると、そうなるみたいだ。だからオレは捏造論がキライだよ。
944774ワット発電中さん:2007/06/18(月) 17:06:18 ID:TteXkRxA
「地球シミュレーター」とか、大入り・物入りでぶち上げたんだよな。
「結局のところ、わかんない。今後どうなるのか不明です。」と素直に言えば
いいのに〜。
945774ワット発電中さん:2007/06/18(月) 17:17:11 ID:TteXkRxA
>>941 >>942
しょっちゅう誤動作する○国製の PC の話なのかな?
いやね、昔 (西暦 1997 年頃) けっこう高価な個人用 PC だって、やっぱ
おかしかったよね。ときどき誤動作する。その結果は信用できない。だよね。
946774ワット発電中さん:2007/06/18(月) 20:58:45 ID:rVCQ/KBo
>>931
opamp2を使うことにして、.libとか真似たら動きました。
シンボル貼って一発、っていうのはできないみたいですね。
947942:2007/06/18(月) 23:58:13 ID:j8YjpgE+
>>943
どうも話が噛み合わないと思ったが、俺が変人なのかも。
つまり「コンピュータ=ハードウェア」と「ソフトウェア」てな具合に
分けて考えてしまっていたよ。

>>945 はかなり正解。
948774ワット発電中さん:2007/06/19(火) 03:08:03 ID:CMVCwcpA
>>946
「シンボル貼って一発」の意味をずいぶん長く考えたョ。

「SPICE は魔法のソフトウェア。現実に電気・電子回路を作らずとも、スイスイ進めるよ。」

これ↑かな。嘘ピョン。それがわかるには、まだまだ試行錯誤とか、数多くの
失敗をしてみようね!
949774ワット発電中さん:2007/06/19(火) 22:08:21 ID:48sawT09
> 「シンボル貼って一発」の意味をずいぶん長く考えたョ。
下手の長考休むに似たり
950774ワット発電中さん:2007/06/21(木) 21:10:12 ID:uQuAbyc8
すいません、Spiceの使い方で質問なのですが
Waveform output dataが 〜.datに出力されますがそのdatファイルが
sma4win等の他のソフトで見れないんです。

グラフのデータをテキストデータに保存する方法等ありますか?
FileのSave asも選択できないようになってますし。。
951774ワット発電中さん:2007/06/21(木) 21:16:16 ID:mIVomlsi
SPICEにFileのSave asなんてメニューはありませんよ。
952774ワット発電中さん:2007/06/21(木) 22:14:03 ID:uQuAbyc8
回路を Capture Liteで書いて解析させてグラフを表示させたら
その窓には選択できないSave と Save asが確かにあるのですが…

今年研究室に配属されて家では今までspiceでアンプを設計したりして
遊んでいたのですが研究室の回路シュミレーターはsaberってやつなので
家でspiceのグラフデータをdatファイルではかせてsaberに読み込ませたいな
と思っているんです。

なんでsaberだけ使わないかと言ったら、私は回路シュミレーターの解析手法の
研究をしていて自分の解析ソフトとsaberとspiceで解析結果を比べてみたい
のですが。。。

spiceってデータのExportみたいなのはできなかったですかね…
953774ワット発電中さん:2007/06/22(金) 11:20:07 ID:TMcOUvDo
SPICE、SPICEと連呼してるけど、SPICEっつーと
http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/
のことで解析結果を表示する方法とかソフトもいろいろあるし
自分の使ってるソフトの名前を出さないで連呼されても答えようがないな。
自分で調べろ
954774ワット発電中さん:2007/06/22(金) 16:34:30 ID:pQ3CeJwY
>spiceってデータのExportみたいなのはできなかったですかね…
そんなことはない。まずそれは例外なくできる。
そう思って説明書を読み直してくれ。
955774ワット発電中さん:2007/06/23(土) 17:43:33 ID:VzMQLvwr
ちょっと思いついて、レフレックスラジオを試してみた。すげーむづかしかったよ。
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md
ココ上に行って 4271.png をクリックして下さい。 (I1 は .ac 解析時に使います。)

こーいう名人芸的な回路は、部品定数をちょっと変えると、思わぬ効果を引き
起こしたりすることが、よくわかった。この程度の規模ならば「能動素子最小」
という条件がない現今では、誰も採用しないだろうね。好きな人は今でもたくさん
いるのは知っていますが。
956774ワット発電中さん:2007/06/23(土) 18:09:38 ID:JG5chrqO
>>955
AM変調電波発生方法の考え方を教えてくれにゃいニカ?
電源電圧は3V電池の想定ニカ?
市販の高周波トランス(フェライトバーアンテナ)は、コイル結合定数K=1でいいニカ?
957955:2007/06/23(土) 19:21:18 ID:g4Bx8dLN
>>956
>AM変調電波発生方法の考え方を教えてくれにゃいニカ?
「電波発生」ではなしに、AM 変調電波をアンテナで受けたとして・・・ の話です。

前記 4271.png の書き方は LTspice 特有の A デバイスである modulator を使っています。
それを使わないとするなら、
 V1 carrier 0 SINE(0 1 1e6)
 V2 signal 0 SINE(1 0.9 1k)
 B1 ms 0 V = (1/1.9) * V(carrier) * V(signal) ; 変調された信号波 (90% AM 変調、2Vp-p)
 .tran 0 2m 0 500n
などと書けばいいでしょう。(2*pi*f*time などと書けば、B 電圧電流源1つでも表記可能)

>電源電圧は3V電池の想定ニカ?
ほぼ Yes だが、電池かどうかは不定。

>市販の高周波トランス(フェライトバーアンテナ)は、コイル結合定数K=1でいいニカ?
実はよくない。まずは K = 1 として一応納得が行くまで進める。その後必要だと思うなら
K を減らす。(大まかに言って、振幅にしか影響しないと判断するなら、そこで終わりにして可)
958774ワット発電中さん:2007/06/23(土) 21:24:52 ID:JG5chrqO
>>957
thanks for detailed ans.

モジュレータの使い方は例見てくれという説明だった。いまのところ、sinの掛け算の意味がわかりませぬ。

The MODULATE device is a voltage controlled oscillator.
See the example schematic .\examples\Educational\PLL.asc.

PLLの例もあんのね。ltspiceはとてもfreeとは思えない優秀なソフト。

The instantaneous oscillation frequency is set by the voltage on the FM input.
The conversion from voltage to frequency is linear and set by the two instance parameters, mark and space.
Mark is the frequency when the FM input is at 1V and space is the frequency when the input is at 0V.
The amplitude is set by the voltage on the AM input and defaults to 1V if that input is unused(connected to the MODULATE common).

FM変調送信機も使えるとは凄い。

959774ワット発電中さん:2007/06/23(土) 22:31:48 ID:g4Bx8dLN
>>958
ほかにも使用例はあります。SFFM 電圧源なども SPICE 一般で使えます。
ttp://www7b.biglobe.ne.jp/~river_r/bell/sc3_memo/sc3_memo.html
ここに行って「変調器」を文字検索してみて下さい。
960957:2007/06/24(日) 22:24:48 ID:0aPCshBk
>957 ですが、「(90% AM 変調、2Vp-p)」のあたりが間違ってました。
この設定値だと 90% 変調になりません。ごめんなさい。

ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md
ココ↑に行って 1122.png をクリックして下さい。m に望む変調度を設定します。
961774ワット発電中さん:2007/06/25(月) 00:27:06 ID:zEPQcZis
http://2chshare.net/pic/files/2chshare-pic_1764.gif.html

このオペアンプのモデルのコメントには、「オフセット電圧もモデル化してある」とあります。
入力している分圧電圧は当然に2.5Vですが、出力は2.503Vとなりました。
このデバイスの入力オフセット電圧は3mV(max.)@25℃なので、その3mVが上乗せされているようです。

ここで質問なのですが、オフセット電圧というものは必ず、
非反転入力-反転入力≧0、になるのでしょうか。逆はないのですか?
962774ワット発電中さん:2007/06/25(月) 12:25:25 ID:gcAi0o83
>>961
おっしゃる通り、実際のオフセット電圧は「±オフセット電圧 (max)」の範囲のどこかにあるはずです。
メーカー発表のモデルは NS 社に限らず、何らかのオフセット電圧がついている場合が多いようです。
なぜ正極性の最大値 (typ. 値の場合ある?) を採用するのか? それは単なる習慣です。
負極性の値を採用する積極的な理由がないので、正にとっているのでしょう。

好き勝手な値に設定したい場合は、どちらかの入力端子にV電圧源を直列に入れる、
あるいは一段 .SUBCKT を被せて、その中にV電圧源と目的の OP-ampを入れ、オフセット電圧
パラメーターを渡す方法もあります。
963962:2007/06/25(月) 17:24:42 ID:gcAi0o83
>962 ですが、
(A) どちらかの入力端子にV電圧源を直列に入れる。
この方法は明確だとしても、

(B) 一段 .SUBCKT を被せて、その中にV電圧源と目的の OP-ampを入れ、
オフセット電圧パラメーターを渡す。

こちらの方法は、やや不明解かもしれません。
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md
ここ↑の 4261.png をクリックして下さい。

.subckt LMC662A_ofs 〜 .ends までが、中間に被せた SUBCKT です。
(これを回路図中に書きましたが、もちろん別ファイルにして .lib などで
読み込ませることも可能です。)
この例ではオフセット電圧パラメーターを .step param vofs で渡していますが、
.param vofs= で指定するほか、Component Attribute で指定する方法もあります。
964774ワット発電中さん:2007/06/25(月) 18:50:02 ID:gcAi0o83
なぜか LMC662(A) は生産中止だと思い込んでいました。でもそんなことはないようです。
ttp://www.national.com/JS/searchDocument.do?textfield=LMC662A
ここの Models and Software の項に、SPICE モデルもちゃんとありますね。
965774ワット発電中さん:2007/06/30(土) 15:54:55 ID:WAZc/NwA
>>959
dks.
同調コイルのQ=2πfL/R=70に設定したニダね。

R[Ω]をいくつに設定すべきか、漏れは、11.2735MHzのIFTをどうするか考えてるニダ。
昔からのデムパ界言い伝えではQ=最大100程度と聞いてるニダ。
実測した実例の話は聞いたことないにだ。

なお最近流行のラジオでこんなのがあるニダ。
ttp://groups.yahoo.com/group/softrock40/?yguid=234407216
PCの音源ボードにI,Q信号を入れるそうニダ。
漏れも勉強を始めるニカ。
966774ワット発電中さん:2007/07/01(日) 17:28:15 ID:2zcIpGWM
ここの住人は、ハンダ付け作業しない人達ばかりですか?
967774ワット発電中さん:2007/07/01(日) 18:41:41 ID:65P8zizO
基板制作もはんだ付けも業者がやってくれます。
設計するだけ。
968774ワット発電中さん:2007/07/01(日) 23:59:48 ID:TtNCLw5A
>>962-964
同一パッケージなら特性はうんと似てるはず、という希望的観測から
こんな回路を組んでみました。なにか変なところはありますか?
http://deaikei.biz/up/up/5924.zip.html

オフセット電圧も温度に対するドリフトなども、ほとんどキャンセルされるハズ!
とか勢い込んでみたものの、out1、out2で出力結果が異なりますね orz
なんでだろ。
969774ワット発電中さん:2007/07/02(月) 00:01:17 ID:TtNCLw5A
passは 000 です。
970774ワット発電中さん:2007/07/02(月) 01:07:12 ID:a+3woin2
それは U2, R1, R2 の回路が差動増幅器でないから。(+入力側の利得と −入力側の利得が違う)
これを補正するために、仮に U1 の Vof3 を { 1.00767 * Vof } にすると、オフセット電圧変化に
ほとんど影響されなくなる。

 あれ、計算上は { ( (1+1e6/6.8k) / (1e6/6.8k) ) * Vof} でいいと思うのだが、実際に
 やってみると少し違う。この差は未追求です。

なお Dual OP-amp と言えども2回路のオフセット電圧とその温度変化がマッチしている、と期待
するのは少し甘い考えではないだろうか。
971774ワット発電中さん:2007/07/02(月) 11:31:25 ID:u9Z6QCHJ
>>967
実装に起因するトラブルは、シミュレーションではつかめないけど
これは、基板設計時に実装ノウハウとして盛込むと言うことでしょうか?
972970:2007/07/02(月) 12:21:37 ID:a+3woin2
続き。
±両入力の利得の絶対値を等しくするには、差動増幅器や計装用増幅器 (Instrumentation
Amplifier) を使います。下記は 2-OPamp 計装用増幅器の例です。

ここに行って 3112.png をクリックして下さい。

±両入力の利得の絶対値が等しいかどうか調べるには、Vcm (コモンモード入力電圧)
を変化させて出力の変動を見るのが簡便です。(.dc を使いました)
{R} の値を理論計算値より小さくしていますが、これは OPamp の利得が有限である
ことの補正です。
上記の例では Vbias を 0.5V 程度にしないと高精度な結果が得られませんでした。
{Vb} を 0.4V, 0.3V と減らしていくと、その悪影響が見えます。

この用途の差動増幅器と言えば、3種くらいは考えられます。
・単純な 1-OPamp 差動増幅器
 入力抵抗を大きくしにくい。利得を大きくしにくい。(100 倍 200 倍程度は可)
・2-OPamp 計装用増幅器 ― 高速性能がやや悪い。利得を大きくしにくい。
・3-OPamp 計装用増幅器 ― 上記の欠点を改善。OP アンプ数が多い。


★ もう少し先の話だと思いますが:
OP アンプのオフセット電圧や、抵抗値の誤差 (温度変動を含む) が出力電圧に
どのように影響するのか。手計算でも求まりますが、SPICE でモンテカルロ
シミュレーションをしてしまう方法もあります。
先の Web URL の 3113.png をクリックして下さい。
オフセット電圧のみに注目し、正規分布・3σ・±3mV と仮定しています。
(暗算で求めた 6mV * 100/0.68 ≒ 1Vp-p とほぼ一致していますね)
973970:2007/07/02(月) 12:23:08 ID:a+3woin2
「ここに行って」とは、
http://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md
です。失礼しました。
974970:2007/07/02(月) 14:37:28 ID:a+3woin2
まだ問題点がありました。3113.png の回路では負出力がクリップしていました。クリップを
避けるため、暫定的に負電源を追加してシミュレートした結果はこちら↓です。
ttp://briefcase.yahoo.co.jp/bc/demupa799/lst?&.dir=/877a&.sortBy=md 中の 3114.png を
クリックして下さい。

どうでしょう。運がよければなんとかなるが、やはりオフセット調整 (可変抵抗器) をつけるか、
自動オフセット調整機能が不可欠なようですが・・・。ついでに自動利得較正機能も
追加しておけば、信頼できる結果が得られそうです。(近頃の計装分野ではあたりまえかも)
975774ワット発電中さん
最近、Fast SPICE が注目されているが、おまえら使っているか?
シミュレーション時間が従来の1/8〜1/10になると言っている。
http://techon.nikkeibp.co.jp/article/NEWS/20070628/135000/