【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 03

このエントリーをはてなブックマークに追加
1774ワット発電中さん
2774ワット発電中さん:2005/06/29(水) 03:48:24 ID:JbIXZYxg
3774ワット発電中さん:2005/06/29(水) 05:06:19 ID:SYhKwo6I
乙といいたいが1チップMSXより大事なものがたくさんあるだろ…
アスキーの回しもんか!?
41:2005/06/29(水) 05:17:00 ID:zW55IxYO
わかりました


本 ス レ で は M S X 話 題 は 禁 止


とします
5774ワット発電中さん:2005/06/29(水) 11:25:51 ID:DmV14gkt
別に禁止すること無いじゃん。
6 ◆YMO/ALTERA :2005/06/29(水) 11:45:23 ID:AimIPU88
>>1

>>3
MSX記事は>>2に分離してあるのでマタリ逝こうや。
俺もMSXには思い入れがないからそれとなく黄泉飛ばしてるが
ジャマするつもりもない。マターリ
7774ワット発電中さん:2005/06/29(水) 14:03:15 ID:PQVxXmk4
MSXネタをやりたきゃ別スレ立ち上げてそこでやれ。うざいんだよ。いにしえのクソ規格を持ち出しやがって。
8774ワット発電中さん:2005/06/29(水) 14:42:29 ID:SYhKwo6I
>>6
すまんが、マターリできん。
MSXネタって「みんな予約して〜」って感じの宣伝にしか見えんからね。
9774ワット発電中さん:2005/06/29(水) 22:36:24 ID:Pg/Vbc/S
いにしえのクソ規格でも、復活の話まで出るMSXを妬んでいる人々が多いんだろうね。
まぁ、話の段階だけどさ。
10774ワット発電中さん:2005/06/30(木) 11:41:31 ID:m5vyryaF
カミさんの部屋のTVは、未だにHitBitの15インチモニタだよw
オレの部屋のTVは、X68kのヤツだが(苦笑

いや、マジで。
11774ワット発電中さん:2005/06/30(木) 11:41:39 ID:XHm2X8Cs
MSXには興味ないが、FPGAの教材(おもちゃ)としておもしろそうなので予約してみた。
基板おこすのもめんどくさいし。
オリジナルパソコン作ってみようっと。まあ、予約数到達無理っぽいが。
12774ワット発電中さん:2005/06/30(木) 13:30:07 ID:wqKp+M5M
>>11
漏れも同じくだ。MSXのソフト云々とか規格の是非とかの話を今さらここで
やられるのは勘弁だが、あれのFPGAの活用方法とかの話ならとりあえず
ここでやればいいんじゃない。発売決まったら専用スレ立てるとして。
13774ワット発電中さん:2005/06/30(木) 13:44:40 ID:XHm2X8Cs
LEDも付いているみたいだし、
フラッシュメモリコネクタやUSB,VGAコネクタなども付いてるし、
FPGAの評価ボードと考えても安いのでは?(安くはないか・・・)
これ、HDLのソースは付いているのだろうか?ついていたらかなり参考になるのだが。
アスキーの回し者ではないので念のため。
14774ワット発電中さん:2005/06/30(木) 13:47:18 ID:D2kUIvpm
発売されるまでもう放置してくれよ、結局宣伝とかわらんよ。
それともそんなに予約がほしいのか。
15774ワット発電中さん:2005/06/30(木) 13:58:43 ID:wqKp+M5M
>>14
漏れは発売されれば嬉しいなと思っているのだが、君は発売されると
何か困ることでもあるのかい?
16774ワット発電中さん:2005/06/30(木) 14:01:29 ID:wqKp+M5M
なにげにスレタイにActelが追加になってるね。誰かユーザ居る?
漏れは大昔アンチヒューズのをちょっと使ったくらいだが。
17774ワット発電中さん:2005/06/30(木) 14:38:37 ID:OLbcHM/6
FPGAのコンパイル(Synthesize+Implement)の時間って、どのくらいかかってる?
暇つぶしが難しい。
18774ワット発電中さん:2005/06/30(木) 15:38:07 ID:D2kUIvpm
>>15
発売されても困らんよ。
ただ発売される前のここへの書き込み内容って、宣伝文句(仕様や便利かも
カキコだけ)とかわらない内容しかないからうざいと思ってるだけで…
19774ワット発電中さん:2005/06/30(木) 15:42:29 ID:MbFi1lS/
FPGAの話題なんて他にいくらでもあるのに、20年前の遺物の話されてもな。
発売されるのはまったく困らんがここで話題にされるのは迷惑だ。
FPGAボードとしても、他用途への組み込みとか考えると無駄なもんいっぱい乗せた面積だけ大きいボードなんか興味はない。
やるなら他でやれ他で。一切邪魔せんから。
20774ワット発電中さん:2005/06/30(木) 15:46:46 ID:wqKp+M5M
>>18
うざいだけで書いちゃ駄目というなら貴方の書き込みだっていかがなものかと思うよ。
結構過疎スレなんだから、いろんなネタで盛り上がればいいんじゃない?
21774ワット発電中さん:2005/06/30(木) 15:48:00 ID:wqKp+M5M
>>19
君の興味がない話はここでやっちゃいかんのか?
22774ワット発電中さん:2005/06/30(木) 16:05:12 ID:MbFi1lS/
回顧趣味でしかない話題はここでやってくれるな。
そんなもん振り返ってる余裕がないほどエレクトロニクスは日進月歩してるんだ。
別スレ立ち上げて他でやれ他で。
23774ワット発電中さん:2005/06/30(木) 16:09:40 ID:wqKp+M5M
>>22
FPGAボードとしての話題は懐古趣味ではなかろう。
>>19を読む限りではそれも駄目というのは君の趣味の問題でしょ。
MSXのゲームの話題とかがここで盛り上がるなら俺も反対だが。
24774ワット発電中さん:2005/06/30(木) 16:19:07 ID:MbFi1lS/
>>23

>>FPGAボードとしての話題は懐古趣味ではなかろう。

MSXを持ち出すことは、懐古趣味そのものだね。それ以外何があるよ。
つーかな。趣味でやってる奴は他でやれ。
25774ワット発電中さん:2005/06/30(木) 16:32:16 ID:uUnqYsuk
>>24
このスレは趣味の話題はNGなの?
26774ワット発電中さん:2005/06/30(木) 16:40:43 ID:fEIQmwO6
嫌MSX厨は脳内あぼーんの方向で。
27774ワット発電中さん:2005/06/30(木) 17:06:23 ID:4w1k5yBq
QuickLo…いや、何でも無い…
28774ワット発電中さん:2005/06/30(木) 17:35:41 ID:ch5jqLDH
スレ建ての話題だけで、こんなに引っ張るとは…
29774ワット発電中さん:2005/06/30(木) 18:08:11 ID:iJz5oA1j
MSXはこっちでやりな。
http://science3.2ch.net/test/read.cgi/denki/1120122219

最先端の話題を扱いたいこのスレに迷惑かけるな。
30774ワット発電中さん:2005/06/30(木) 18:41:33 ID:mHAZs3bS
「このボード使って**作りました」って話をMSX板でやったら浮きまくると思う
MSXはどうでも良いけど、ボードの話ならこっちでも良いんでない?
31774ワット発電中さん:2005/06/30(木) 18:50:40 ID:wqKp+M5M
MSXにトラウマのある香具師も居るようだがスルーするのが良さげだね。
たぶん最先端のMSXの話題ならここでやっても文句出ないだろう。w
32774ワット発電中さん:2005/06/30(木) 19:09:17 ID:iJz5oA1j
今時MSXなんてもんをわざわざ持ち出す奴をスルーするのが賢明なんだろ?
33774ワット発電中さん:2005/06/30(木) 20:32:08 ID:IWeOv5Kh
なんか阿呆が変なスレ立てちゃったよ…

漏れはID:wqKp+M5Mに完全に同意。
て優香ギャーギャー文句垂れてる香具師がレベル低杉。
懐古趣味だからとか、規格がクソだからとか、
そんなこと関係ないからwww

ゲームの攻略とかで盛り上がるっていうんならともかく、
ワンチップMSX自体はFPGAを使った一種のSoCネタだし、
ボード自体も汎用の実験ボードとして使える訳だしね。

つか、ワンチップMSX以外の話題でも、
自分の趣味と関係ない話とかが出てきたら、
普通にスルーするだろ。
制御が好きな香具師が、信号処理の話で盛り上がってる時は
信号処理関連のレスを読み飛ばしたりとか。

「今時MSXなんてもんを」って思うんなら、
藻前がMSXの話題をスルーするのが賢明だろwww
34774ワット発電中さん:2005/06/30(木) 21:11:45 ID:q2sbLFYU
9918をどんなふうに実装するかは興味有るな。動作スピードはそこそこ遅いんで
ベタな書き方をしても動きそうではあるが。
35774ワット発電中さん:2005/06/30(木) 21:34:19 ID:MFvGbADQ
>最先端の話題を扱いたいこのスレに迷惑かけるな。

とか言って、盛り上がるのは外資が糞とかそんなのばっか。
あ、最先端の外資事情か。
36774ワット発電中さん:2005/06/30(木) 21:46:15 ID:sj9OLTmL
みなさん組み込み技術展いきましたか?
37774ワット発電中さん:2005/06/30(木) 22:26:47 ID:ijPGK4Mu
>>17
Aのstra2-90使ってるんだけど、2時間かかる…orz

これ、やっぱ遅いのかな。PC新しく買ってもらってこの時間なんだけど。
38774ワット発電中さん:2005/06/30(木) 22:33:14 ID:MQXrn5b0
> 9918をどんなふうに実装するかは興味有るな。
似非PLDプロジェクトからVDP開発担当のページへ飛んでみると、ソースが入手できるみたい。
製品版とどこまで同じかは知らない。
39774ワット発電中さん:2005/06/30(木) 22:33:45 ID:nsfFmv1+
>>29
>>最先端の話題
期待・・・わくわく 話題待ってます(*^^*)
40774ワット発電中さん:2005/06/30(木) 22:36:29 ID:BsRFSUyt
MSXゴミの話はここでやるな!やりたきゃMSXスレに行け
41774ワット発電中さん:2005/06/30(木) 23:30:33 ID:D2kUIvpm
>>40
MSX全てを排除するなよ。

>>34, 38
でそこからどうFPGAの活用方法につながるのかな?
楽しみ!楽しみ!
1チップMSXの宣伝カキコだけにならないようにしてね。
42 ◆YMO/ALTERA :2005/06/30(木) 23:49:30 ID:JdGP1TyV
EP1S10だが3時間かかるぞ。
最適はAthlon64?
43774ワット発電中さん:2005/06/30(木) 23:55:58 ID:+t7UaDvS
MSXってとりあえず画が出るのがイイかな。
*breazeもnios*もシリアルポートでhello worldじゃつまんないし。

あと、組み込みでグラフ表示とか楽かも。しゃれた計装盤みたいなの。
ただ、ライセンスフリーじゃないとだめですけど。

販売ボツって、自棄でフリー公開が最善のシナリオかな。
基板に付加価値ないし。
44774ワット発電中さん:2005/07/01(金) 00:36:26 ID:bvX8R31e
> でそこからどうFPGAの活用方法につながるのかな?
活用方法って何? バッ活とか読むとわかる?
45774ワット発電中さん:2005/07/01(金) 03:32:35 ID:PgV+2o4H
>>42
そんな時間のかかる回路ってどんなもの?
デバイスと回路サイズと用途を教えて
46774ワット発電中さん:2005/07/01(金) 07:15:15 ID:aqaBWUOB
以前PALに勤めていた人と大宮で偶然会った。PALが嫌でやめたのかと思って
たんだけど、「後任の営業とPALをよろしくお願いします。
財に浮気しないで下さいね。サイクロンがNO1ですから」なんて言われた。
この場を借りて返事するよ「浮気はしないと思うよ。多分・・・」
最後に一言。全然違う業界みたいだけど頑張ってね。
47774ワット発電中さん:2005/07/01(金) 10:31:11 ID:KKC2E+MM
>>46
チラシの裏とか自分のブログでお願いします。
48774ワット発電中さん:2005/07/01(金) 22:39:13 ID:6YAH5rTH
面白いと思って書いてるんだから最悪だよ、マジで。
49774ワット発電中さん:2005/07/02(土) 00:34:32 ID:mpWhhbvF
オチがよくわかんないんですが。

MMIとかAMDに勤めてたとか言うオチだったり。
50774ワット発電中さん:2005/07/02(土) 00:47:13 ID:Zn+MXtkz
>>46 大宮だと公務員になったS君ですか。
51774ワット発電中さん:2005/07/02(土) 01:22:16 ID:ZWyEqNPT
先に前スレを使い切れよw
52774ワット発電中さん:2005/07/02(土) 03:20:11 ID:ok/NZv4e
>>29
最先端の話題が続いているなw
53774ワット発電中さん:2005/07/02(土) 03:36:56 ID:GL5nQR4k
やっぱ時代の最先端は公務員だよなw
54774ワット発電中さん:2005/07/02(土) 12:24:42 ID:9JBZcraS
サイクロンNO1って、テラワロスwwwww
55774ワット発電中さん:2005/07/04(月) 04:05:39 ID:jvBXWBD3
プロポーズ大作戦にでてきたテーブルのコントローラを作りたいと思ってます。
コントローラとしてFPGAでいこうかCPUでいこうか悩んでいます。どっちでいった方が正解でしょうか?
ご教示ください
56774ワット発電中さん:2005/07/04(月) 07:35:33 ID:a9aTuIb5
  .. i───i 
 _培二二二|__
   i´(((!´゙リ))
  J リ.゚ ヮ゚ノリ
   ( つ旦O 
   と_)_)  
57774ワット発電中さん:2005/07/04(月) 08:18:11 ID:mFXlXXTD
プロポーズ大作戦にでてきたテーブル というものがわからない世代でございますのでご教示いただけますでしょうかw
58774ワット発電中さん:2005/07/04(月) 09:41:49 ID:RnXDtdx3
>>55
マジレスするとロジックをCやアセンブラで書きたいならCPU
HDLや回路図で書きたいならFPGA
まぁ速度の要らないものだからCPUのほうが何かと楽なんじゃないかな。
59774ワット発電中さん:2005/07/04(月) 12:57:42 ID:zWhoHNpk
>>55
とりあえず、CPUは載せておこう。
FPGA(or CPLD)を、多点I/O(Outだけか)デバイスとして構成すると、
あとあと楽が出来ると思う。
60774ワット発電中さん:2005/07/04(月) 20:02:56 ID:sGw5www5
>>55
プロポーズ大作戦をはっきり覚えては無いが、
スイッチ・リレー・配線・ランプ で出来てしまうような物ではなかったかと・・・
学習目的なら好きな方を使えば良い。
61774ワット発電中さん:2005/07/04(月) 21:14:54 ID:K2+JnxjR
>>55
LEDをたくさん付けるってことだな(分かる漏れは結構な歳)。
ポートがいっぱいあるワンチップマイコンが楽だ。
EZ-ARM7がおすすめ。
62774ワット発電中さん:2005/07/04(月) 21:29:29 ID:IFpLihSL
5対5だったとしても、たぶんトランジスタ10〜20個くらいで出来る
63774ワット発電中さん:2005/07/04(月) 21:33:25 ID:xoBz7W/2
>>62
それはフィーリングカップル?
64774ワット発電中さん:2005/07/04(月) 23:14:44 ID:274mD9e8
プロポーズ大作戦というと、ハートのやつかな?
フィーリングカップル5対5と勘違いしていない?
最先端過ぎて話題についていけません
65774ワット発電中さん:2005/07/05(火) 11:30:39 ID:C/UCYGWV
>>62
どうやってやるか言ってみろ。絶対にできんな。保障してやるよ。

>>64
お前が勘違いしてるんだよ。5対5==プロポーズ大作戦
ハートのやつは、パンチでデートだ。
66774ワット発電中さん:2005/07/05(火) 12:18:13 ID:Ot2F/HRR
5接点のロータリースイッチ10個と、あとは電球と電源があれば、別に半導体とか
無しでも作れるような気がしてきたよ。
67774ワット発電中さん:2005/07/05(火) 14:27:51 ID:BL4YiXr/
あの頃は平和だったな・・・
68774ワット発電中さん:2005/07/05(火) 15:39:19 ID:SVzQwXRb
AI搭載で脈拍・体温・脳波を計測するプロポーズ大作戦
69774ワット発電中さん:2005/07/05(火) 16:48:48 ID:C/UCYGWV
一人から、相手側にいったん全部に放射状につないで、選択されてない場合順番に消していくとか、
一人からある特定の相手側にドッティングで繋いでいってだめな場合、消えるとかOKな場合、点滅するとか、
とにかく面白い視覚効果を期待する場合は、順序回路に頼らないと絶対無理だろ。
70774ワット発電中さん:2005/07/05(火) 17:12:50 ID:DuY3Jqry
CPUの方が楽。
71774ワット発電中さん:2005/07/05(火) 17:18:18 ID:PTW1u7Uk
CPUだって順序回路の一種とひねくれてみる
72774ワット発電中さん:2005/07/05(火) 17:20:29 ID:C/UCYGWV
CPUは順序回路そのものなわけだが
73774ワット発電中さん:2005/07/05(火) 20:04:48 ID:nizioSHe
>>ハートでポン

マジレスすると中に人がいてクロスバ交換みたいに繋いでたよ
74774ワット発電中さん:2005/07/05(火) 21:05:54 ID:jcLJVhQf
中の人などいない
75774ワット発電中さん:2005/07/05(火) 21:20:29 ID:AaBSFQs8
>>65
だから言ったろ、レベルが高すぎてついていけないって。
なんだ、こんな内容ならOKなんだな。
76774ワット発電中さん:2005/07/05(火) 23:20:40 ID:BL4YiXr/
ちなみにみなさんおいくつくらいなんですか?
77774ワット発電中さん:2005/07/05(火) 23:42:20 ID:SVzQwXRb
10万38歳
78774ワット発電中さん:2005/07/06(水) 00:20:22 ID:rq0+17Kh
>>77 ++ だ。
79774ワット発電中さん:2005/07/06(水) 00:51:18 ID:chNMzEpk
>>73
マジレスすると、クロスバ交換機に中の人などいない。
あれでも一応、自動交換機だから。
80774ワット発電中さん:2005/07/06(水) 08:44:33 ID:mp7m4u/2
もっと最先端のマジレスきぼんぬ
81774ワット発電中さん:2005/07/06(水) 09:08:26 ID:kQWaujCy
ハイビジョン援交ダをFPGAで作りました
82774ワット発電中さん:2005/07/06(水) 09:54:13 ID:UKbb0Gje
どのくらいの規模になりました?
83774ワット発電中さん:2005/07/07(木) 21:39:10 ID:2EifYSI9
ISE7.1サービスパック3がリリースされましたよ おまいら。
84774ワット発電中さん:2005/07/08(金) 01:04:24 ID:trW+r8Hr
そっかー、そろそろ7にするかな。
使ってるのはSPA2だからあまり意味はないが。
85774ワット発電中さん:2005/07/08(金) 02:05:56 ID:ndjB/ed5
漏れなんかXC95だからもっと意味ない。w
でも7にしよっと。
86774ワット発電中さん:2005/07/09(土) 14:06:52 ID:exIvfRD3
Logic Lockってフィッティングに効果ありますか?
60%台でもうフィッターが音をあげてしまいます。

正規版は欲しいのですが一番の目玉はこれとシグナルタップかなと思っているのですが
他にこれが便利と言うのはありますか?
87774ワット発電中さん:2005/07/09(土) 22:41:54 ID:4Ox+4eB5
SignalTapは無償版でも使えるよ。
結構便利。というか私的には必須に近いかも。
TalkBack機能を有効にすると使えるようになります。

LogicLockは回路によるよね。
万能ではない感じ。
使える?使えない?で答えるなら「使える」って答えるけど。
88774ワット発電中さん:2005/07/09(土) 22:57:23 ID:fwLYatq+
正規版の大きな違いはタイミング規制ができること.
あと,インクリメンタルコンパイルで時間節約できる.
89774ワット発電中さん:2005/07/10(日) 23:05:39 ID:epEf90uE
LogicLockは動作周波数がきつい時に使っています。
けっこう諸刃の剣と化すので、けっこう気を使うな。
90socket774@rc:2005/07/10(日) 23:49:13 ID:m0+52rUc
LogicLockは結果的にフィッティングに
負担をかけてしまうので、どうしても
必要な回路以外にはかけないほうがいいんでは?
あと、回路の見直しとシステム自体の
見直しを強く推奨。

10年以上前、Max7000でsoftバッファ入れながら
フィッティングと速度の両立やってた頃に比べりゃ
今は天国ですよw
91774ワット発電中さん:2005/07/11(月) 16:19:43 ID:XPBzwnE2
FPGAでディスプレイに文字を表示させる話って出たことあります?
92774ワット発電中さん:2005/07/11(月) 17:29:48 ID:vmtjVGcg
出た出た出た
93774ワット発電中さん:2005/07/11(月) 17:32:44 ID:bVfeNmFr
>>92
thx
前スレとかみてきまふ。
94774ワット発電中さん:2005/07/12(火) 20:04:17 ID:d2oDVcZr
95774ワット発電中さん:2005/07/12(火) 20:25:10 ID:pLwnyyUv
>>94
「Brand X」ってそのまんまだよな。w
96774ワット発電中さん:2005/07/12(火) 21:28:14 ID:bnjcyq7P
>>91
ここには安置がいるけど1ChipMSXとか
97774ワット発電中さん:2005/07/13(水) 10:57:44 ID:U0Mf0NTu
Logic Lockはむしろフィッターに制約を与えてしまうので
かえって配置配線に時間がかかってしまうと言うことですか

配置配線でLCをできるだけ無駄なく使い切るために
ツールの方でのサポートは何かないのでしょうか?
98774ワット発電中さん:2005/07/14(木) 00:31:31 ID:9FkMQAyB
edifにRPMをつけるほうほう、だれかおしえて。
材のappnoteじゃぜんぜわかんね。
99 ◆YMO/ALTERA :2005/07/14(木) 16:37:25 ID:4u/kJxoo
>>97
「無駄なく」ってのが、なるべくギチギチに論理を押し込むことであれば
フィッタの「Register Packing」などはいかが?

LUTが3入力になったりするし、密度が上がる故に配線で
思いっきりペナルティ喰らう諸刃の剣だけど、知らなかったらぜひ試すべし。

なお、シンセ・フィッタともに、モジュール毎にコンパイルオプションを与えることは可能。



(´-`).。oO(日がないちにち、フロアプランを眺めるのも乙…)
100774ワット発電中さん:2005/07/14(木) 16:40:31 ID:thzv4CT4
最近はデバイスが無駄に大きいから無駄なく詰め込もうという意欲がなくなって
きてしもた。昔、QuickLogic使ったときは本当に目一杯使えて感動したなぁ。
101774ワット発電中さん:2005/07/15(金) 05:27:51 ID:sBVGNGDU
Quartus II 5.0 Web Edition なのですが、
バイトブラスター(自作品)を認識せず、
No Hardwareと表示されプログラムできません。

バイトブラスターのドライバ登録は行いましたが、
Setup の Add Hardware を行っても
Attempted to access JTAG server
-- internal error code 82 occurred

この様に表示されます、何か設定忘れが
無いか教えていただけないでしょうか。
102774ワット発電中さん:2005/07/15(金) 09:11:11 ID:3nC0aQcv
>>101
ターゲットデバイスは接続して、電源投入してある?
バイブラは単なるバッファだから単独で認識はしないと思う。
103774ワット発電中さん:2005/07/15(金) 09:22:58 ID:PdF7iDoh
>>101
説明難しいけど、普通のデスクトップ使ってますか?
念のため、BIOSのパラレルポートの設定をデフォルトに
するとか。
104774ワット発電中さん:2005/07/15(金) 10:44:59 ID:vWS3UDkZ
>>97

無駄なく使いきるなんて貧乏根性は止めた方がいいよ。
要は自分が使っているデバイスに目的とする回路が入れば良いわけで
使いきる必要なんてないでしょ。
105101:2005/07/15(金) 11:42:01 ID:YO3gqGiz
>>103 氏のアドバイスに従いBIOSをデフォルト値
(ちなみに378h/IRQ7 、SPPモード)にしても
動かなかったのですが、

ふと思いつきでノートンインターネットセキュリティを
無効にしたら登録・書き込みができました。

皆さんどうも有難うございました。
106774ワット発電中さん:2005/07/15(金) 14:42:22 ID:mupPGwTr
>>100
でしたね ってか、QuickLogicはそのくらいしか売りが...(ワンタイムなのが致命的 orz)
↓ 7〜8年くらい前のレポートファイルより

Part Type: ql2005
Package Type: 144 PIN TQFP

Utilized cells (no buffers): 316 of 320 (98.8%)
Utilized cells (buffered): 320 of 320 (100.0%)
Input only cells: 4 of 4 (100.0%)
Clock only cells: 4 of 4 (100.0%)
Bi directional cells: 109 of 110 (99.1%)
Routing resources: 9709 of 21232 (45.7%)

何事もなかったようにFitしてくれた記憶があります
107774ワット発電中さん:2005/07/16(土) 00:14:57 ID:xIMnPjVa
MaxPlusIIで、使用率80%超えるとメッセージでたね。
最近、そこまで使い切ることがない.....

ロジックセルあたりの単価、最近はすごく安くなったと思わない?
108774ワット発電中さん:2005/07/16(土) 00:22:29 ID:Q1FDbEvP
そのかわり 出来てあたりまえのことが増えた.
109774ワット発電中さん:2005/07/16(土) 01:28:28 ID:svzaurSb
>>108
苦労してるのねw
110774ワット発電中さん:2005/07/16(土) 16:49:12 ID:hAXE0hhs
苦労するとハゲるよね
111774ワット発電中さん:2005/07/16(土) 19:19:51 ID:lm8f0cRU
まだハゲたくはないよね
112774ワット発電中さん:2005/07/17(日) 02:10:15 ID:6q/xbgP3
と禿が顔を見れないのをいいことにほざいております
113774ワット発電中さん:2005/07/17(日) 02:50:56 ID:u4Y1SFbK
アルテラ使ってるとはげるの?

まあ使う気も無いけどw
114774ワット発電中さん:2005/07/18(月) 03:46:19 ID:iBZJi5Ya
不揮発処理用に EPC2LC20C を使おうと思っているのですが
これってまだ入手可能ですか?
115774ワット発電中さん:2005/07/18(月) 03:52:07 ID:vefoUVQD
>>114
Digi-Keyには604個在庫があるね。普通に入手可能だと思うよ。
116774ワット発電中さん:2005/07/18(月) 04:02:20 ID:iBZJi5Ya
事故解決しました
これから注文するます orz...

ttp://www.hdl.co.jp/tuhan/ptuhan_etc.html#SROM
117774ワット発電中さん:2005/07/18(月) 04:02:44 ID:iBZJi5Ya
>>115
ありがとうございます
そちらも見てみます
118774ワット発電中さん:2005/07/19(火) 21:56:34 ID:bQ0snuQZ
ザイリンクスの講習に行ってきました。
とてもよかったです。
ザイリンクスのシェアって、高いんですね。
ある寺派ですが、乗り換えしましょうか。
119774ワット発電中さん:2005/07/19(火) 22:56:10 ID:0OBlUwEd
>>118
もしできましたら、何がどのように良くてザイリンクスに乗り換えようと
思われているのかお聞かせ下さい。
どちらにしようか悩んでいるところなので
120774ワット発電中さん:2005/07/19(火) 23:06:02 ID:1IhcMIQA
講演を聞きに言ったら心酔しちゃう人なんでしょ
121774ワット発電中さん:2005/07/19(火) 23:23:29 ID:XBXwc+tE
つ「洗脳」
122774ワット発電中さん:2005/07/19(火) 23:42:53 ID:VP8tnUU3
QuartusII使い始めてBlock Diagram入れて遊んでいるのですが、
例えば4ビットの信号を8ビットのところにゼロ拡張とか符号拡張とかして
入れるには、どうするのが常套なんでしょうか?
123774ワット発電中さん:2005/07/20(水) 00:24:12 ID:l5ylV8EJ
>>122
理論通りやればよろし。何で悩んでんの?
124774ワット発電中さん:2005/07/20(水) 00:49:12 ID:ufsuoBCX
>>123
えっと、例えば in[3..0] という input pin と、out[7..0] という output
pin を直結したら幅が合わなくてエラーになりますよね?
ゼロ拡張したいときに out[7]〜out[4] に gnd をつないだり、
符号拡張したいときに out[7]〜out[4] に in[3] をつないだり、
という記述のしかたが探せないで悩んでます。
HDLでいちいち変換モジュールを書いてはさんだりするわけじゃないですよね?
125774ワット発電中さん:2005/07/20(水) 00:53:25 ID:ST5vWfYn
>>124
連結演算子(&)とかではダメなんですか?
out <= "0000" & in;
out <= in(3) & in(3) & in(3) & in(3) & in;
126774ワット発電中さん:2005/07/20(水) 01:07:56 ID:ufsuoBCX
>>124
ということは、結局 VHDL なり VerilogHDL なりでブロックを定義して
はさんでやるしかないってことなんでしょうか?
この程度ならスケマティックだけでできてもよさそうだと思ってたんです。
127774ワット発電中さん:2005/07/20(水) 01:40:33 ID:DiNrkQiV
スケマよりHDLのほうが楽だと思うが。
HDL苦手ならまずそれを克服したほうがいいぞ。
128774ワット発電中さん:2005/07/20(水) 01:57:29 ID:ufsuoBCX
>>127
たしかにそのとおりですね。
HDLそのものは別に苦手じゃないんですが、
具体的なツールを使う(というか、人にそれを見せる方が主目的なんですが)
ときに、いろいろなエントリ方法を知っておきたいと思いまして。
スケマティックはビジュアル的にブロックの関係を見せるときにやっぱり便利かなと。

ともかくみなさんありがとうございました。
129774ワット発電中さん:2005/07/20(水) 09:22:33 ID:Fx2FkZOZ
>>128
適材適所って言葉があるように、(自分は)スケマティックを使うとしたらグルーロジック程度にしか使わない。

貴殿はステートマシンを作ったことがあるかな?ステートマシンはHDLじゃないと理解してもらえない

事が多いぞ。ロジックシンボルだけでシーケンサを簡単に説明できるなら別だけどね。

VかVeri(またはSystem-C)のどれを使うかを考えた方が「いろいろなエントリ方法」になるとおもうけどね。
そういえばSystem-verilogとかもあったか。

最近はプロジェクトでスケマティック禁止の会社も多いらしい。RTL検証で吐くロジックくらい読めるだけで
充分なのかもな。


130774ワット発電中さん:2005/07/20(水) 11:00:49 ID:RZboK99/
>>129
> 適材適所って言葉があるように、(自分は)スケマティックを使うとしたらグルーロジック程度にしか使わない。

ありがとうございます。

論理すらほとんど図で書くつもりはなくて、
まさに、グルーロジックというか、
ブロック間の配線を視覚化するために使いたいのです。
たぶん、これこそがスケマティックの適材適所だと考えています。
もちろん、ステートマシンとか組合せ回路とか、そのへんは全部HDLで書きます。

4ビット出力のブロックと、8ビット入力のブロックがあって、
そいつらには変更を加えずにつなぐには、信号を拡張しないといけなくて、
間に assign out = {{4{in[3]}},in}; とかいうブロックをわざわざ入れるものなのか
どうかと思って質問したわけです。

で、いろいろいじってみたところ、信号線の名前を工夫してやればよかったようです。
in[3..0] と out[7..0] を符号拡張でつなぎたいときには、
信号線の名前を in[3],in[3],in[3],in[3],in[3..0] に、
ゼロ拡張でつなぎたいときには gnd を用意した上で、
gnd,gnd,gnd,gnd,in[3..0] にすればいいようです。
繰り返すんじゃなくて、もうちょっとスマートに書けるかもしれません。
でないと、16ビット->32ビットとか、見苦しいし。

というわけで情報ありましたらお願いします。
ありがとうございました。
131774ワット発電中さん:2005/07/20(水) 13:12:50 ID:Fx2FkZOZ
>>130
んー、某社の宣伝になるけど、便利なモノを貼っておく。
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html

これで、貴殿の求めているモノは一撃で解決するはずだ。(合成ツールにもよるかもしれないが)

健闘を折る。
132774ワット発電中さん:2005/07/20(水) 14:02:26 ID:tm2vW+MG
SystemVerilogだ
133774ワット発電中さん:2005/07/20(水) 16:38:02 ID:Fx2FkZOZ
>>132
THX:SystemCとかも-無しだったみたいだ。
134774ワット発電中さん:2005/07/21(木) 00:23:09 ID:Bm4yIF5D
質問です
FPGAのコンフィギュレーションが終わるまで
FPGAの端子は入力にしておいて
コンフィギュレーションが終わったら出力として使う場合、
この出力の接続先のデバイスの入力を壊してしまうようなことは考えられるでしょうか?
たまに謎の破壊が起きて困ってます
135774ワット発電中さん:2005/07/21(木) 00:25:10 ID:x3PLJMTl
>>134
普通はない。つーか、そういう質問するならFPGAの品種とか接続先の
デバイスの詳細とか起きる頻度とか書けよ。
136774ワット発電中さん:2005/07/21(木) 00:29:00 ID:Ij3rCrYq
>>118
X社の不具合多発、品質問題は改善されたのですか?
うちの会社はS社やF社等と付き合いがあってその会社の案件を受託すると
Xは使うな、A社使用せよと指定されていた経緯があってX社にどうしても
手が出ないんだよね。また、先日、A社は売りあげが伸びてX社は落ちたと
いう記事をみたけど・・・国内はA社が有利なの?X社、A社の関係者
の皆さんこの掲示板見ているんだろうから教えてよ。本当の状況を・・。

137654:2005/07/21(木) 00:30:54 ID:CFOsondZ
cycloneは強い
138774ワット発電中さん:2005/07/21(木) 00:38:47 ID:Bm4yIF5D
>>135
サイクロンで接続先は26LS31です
139774ワット発電中さん:2005/07/21(木) 07:50:11 ID:Ww3OQYMV
stratix c6を使っています

SignalTapIIでサンプリングクロックを設定しているのにもかかわらず
スタートボタンを押したときに「waiting for clock」と表示されてしまいます。
サンプリングクロックに使用しているpllの出力c0の値を変えたり(速くしたり遅くしたり)、
見ているピン数を減らしたりすると普通の動作をするようになります。
Quartusのバージョンは
Version 5.0 Build 168 06/22/2005 SJ Full Version
Service Pack Installed 1
となっております。

同じ現象に遭遇されたかたで
逃げ方を知っておられるかたがいましたら教えてください。
よろしくお願いします。
140774ワット発電中さん:2005/07/21(木) 08:10:16 ID:GUiXAfId
>>139
PLLの出力を、FF経由でもいいからFPGAの外に出して、
オシロで波形を測定しろ。
141774ワット発電中さん:2005/07/21(木) 10:00:50 ID:AQRwnYit
>>136
N社はA使用禁止。
142774ワット発電中さん:2005/07/21(木) 10:12:00 ID:WuiTzmYJ
>>139

同じような現象って、お前の報告って中途半端すぎ。
信号のレベルちゃんと見てみろ。

CMOSだとラッチアップが考えられるが、LSだと関係ないか。

ところでFPGAのDONE信号ちゃんと使っているか?
普通はFPGAの設定が終わってから周辺が動くが、設定が動く前にCPUは動作しているのか?

外から制御していて不安定に動くとすれば、設計している回路に問題がありそうな予感がする。

死ぬのはどんな時かもう少し状況を報告汁。

143774ワット発電中さん:2005/07/21(木) 11:02:13 ID:AQRwnYit
ところで>>122はどこへ行った?
144139:2005/07/21(木) 11:46:50 ID:NhJUeIbq
>>142
やかましい。アホのおまえごときが生意気に人様に忠告するなボケ。
と っ と と 死 ね や 
145774ワット発電中さん:2005/07/21(木) 12:12:27 ID:R0iFBz8C
>>144
偽物乙 もし本物だったとしたら、あんたとは仕事したくないね
146774ワット発電中さん:2005/07/21(木) 12:43:08 ID:UrKTLP30
>>144みたいな厨房が跳梁跋扈する夏休みって怖いでつね
147774ワット発電中さん:2005/07/21(木) 12:58:54 ID:BuO0PsKd
でも、こんなスレにやってくる夏厨っていうのは気合が入ってていいかもね。
148774ワット発電中さん:2005/07/21(木) 16:01:38 ID:/+PlHu3K
夏厨ならともかく, 夏大学生や夏社会人(夏関係ないか)だったら
レベルの低さに父ちゃん涙でてくるや
149774ワット発電中さん:2005/07/21(木) 17:12:04 ID:YMcytQ35
ワタクシ…いろんな事があって頭の中が常夏になってしまったエンジニア15年生
150774ワット発電中さん:2005/07/21(木) 20:40:47 ID:MTviURZX

みんな、ガンガレ!
151774ワット発電中さん:2005/07/21(木) 21:02:21 ID:UrKTLP30
頭正月はいないのか。
152774ワット発電中さん:2005/07/21(木) 21:34:43 ID:lKhyQWn0
いまだに Design Wave の 1月号を探している私は正月気分
153774ワット発電中さん:2005/07/22(金) 09:08:01 ID:51Gk7/wV
>>152
おまけなしならタダであげても良い
154774ワット発電中さん:2005/07/22(金) 12:19:49 ID:7gMPrP71
Design Waveとかはおまけつけずに販売してほしい…
155774ワット発電中さん:2005/07/22(金) 12:28:20 ID:Obo6vyP0
アハハ、こっちよ寺、アハハハ…
まてまてぇ財、こいつぅ逃がさないぞぉ、アハハ…
156774ワット発電中さん:2005/07/22(金) 17:10:32 ID:xF7oJS15
寺:
貴女の身体はどのようにできているのですか。

財:
私の身体はほぼ整っているのですが、足りない所が一箇所だけあります。

寺:
私の身体は既に整っているのですが、それが高じて余った所が一箇所だけあります。
だから、私の身体の余った所で貴女の身体の足りない所を挿し塞いで国を生もうと思います。それでどうでしょう?

財:
ええ,結構ですわ。

寺:
それならば、私と貴女でこの天の御柱のまわりをめぐって出会い、寝所で交わりをしましょう。
貴女は右からまわって下さい。私は左からまわりましょう。
157774ワット発電中さん:2005/07/22(金) 18:27:44 ID:U9p/dzsX
さしずめ蛭子神は(ry
158774ワット発電中さん:2005/07/22(金) 20:24:43 ID:5lN8Dfe/
Nios2使ってる人
Niosに比べてどうよ?
開発ツールの使い勝手とか
ここがいい、ここはダメとか
159774ワット発電中さん:2005/07/22(金) 23:58:45 ID:csn9viKF
どうよっていわれてもなぁ
NiosIIになって,ツールも充実してきて
Niosの頃なんて忘れたよ
160774ワット発電中さん:2005/07/24(日) 01:02:05 ID:clWCvPAE
Hでも財のスパルタン3は使用禁止の様。LVDSの波形消滅等問題有。
161774ワット発電中さん:2005/07/24(日) 06:32:50 ID:qinWh7HG
>>160 現象を詳しくヨロ
財の対策・対応は決まったの?
162774ワット発電中さん:2005/07/25(月) 02:07:36 ID:7NdwGqbT
>>158
自分はもうNiosを使う機会はそう無い。たぶん
ツールも性能もサイズもNiosIIの方が優れてると思う。
あと、サポートやバグ対応もNiosIIの方が良い。ドキュメントもマシ。
コードサイズはNiosの方が小さい。命令長16bitだし。でも大差ないような気もする。

どうしてもFlexとかApexとか古いデバイスに入れる必要がある時ぐらいかな。
これも新規デザインではCycloneやStratix使うだろ。
新しい環境使うのがヤならNiosと使い勝手が似た環境もあるし。

積極的にNiosを選ぶ理由がない、って感じ。
163774ワット発電中さん:2005/07/25(月) 07:43:41 ID:CGMQaumP
Niosのレジスタファイルは好きだったんだけどな
どのみちスタックそんなに使うような使い方しないし
164774ワット発電中さん:2005/07/25(月) 10:31:12 ID:vpNZqE5S
>>163
そっかな?
あれがガンだったような気もするが
165164:2005/07/25(月) 10:50:17 ID:vpNZqE5S
好き嫌いに「そっかな?」は変だな。
スマン
166774ワット発電中さん:2005/07/25(月) 12:42:58 ID:CGMQaumP
まあ、好き嫌いと言うか使い方次第なんだけど
癌になるのはウィンドウを全部使い切ると、ソフトでエミュレーションするようになって
ガクッと速度が落ちることでしょ?
167774ワット発電中さん:2005/07/25(月) 17:32:00 ID:xz3l1ym5
ヘッポコPCは遅くなる
168774ワット発電中さん:2005/07/25(月) 17:45:59 ID:vpNZqE5S
あと、コンテキストの時にセーブするデータが多いとかね。
ウィンドウレジスタの境目で極端にスピード落ちるのがヤで、
わざと浅くして(2ウィンドウぐらい)使ってたこともあった。
全体的に性能落ちるけど、スケジューリングは楽。

NiosIIはMIPS感覚で使えて特に工夫することもなく使える。ごく普通の
RISCって感じ。アーキティクチャとしてはつまんなくなったかも。
でもちょっとLE数大きくなるかな?/sと/eの間にもう1ランク欲しい。
169774ワット発電中さん:2005/07/26(火) 00:44:23 ID:XA49NvP/
>>168
と、つまんない技術者が申しております。
170774ワット発電中さん:2005/07/26(火) 00:47:06 ID:UTlr7wXs
>>169

とアホの軽石(A.K.A軽頭)が申しております。
171774ワット発電中さん:2005/07/26(火) 01:07:22 ID:X/tN7J8V
面白い技術者ってどんなんだ?
いつも失敗して周りを笑かす>>169みたいなやつか?
172774ワット発電中さん:2005/07/26(火) 01:18:06 ID:2HdkJwHQ
むやみに人を不快にさせない
173774ワット発電中さん:2005/07/26(火) 05:42:47 ID:Do0/BSOZ
>>170も言ってるが、確かに軽石はヴォケだよ。
つーか、掲示板荒らしみたいなもん。
174774ワット発電中さん:2005/07/26(火) 10:02:24 ID:QeSeEEXt
質問なんですが、アセンブラには逆アセンブラがあるように、
HDL には 逆HDL に相当するものはあるのでしょうか?

具体的には例えば MCS ファイルのみの状態から、
VHDL や Verilog-HDL のソースを吐き出すようなツールです。
175774ワット発電中さん:2005/07/26(火) 10:07:20 ID:EWAp67/5
そもそも逆アセンブラなんて仕事で使う奴いるのか?
176774ワット発電中さん:2005/07/26(火) 10:11:01 ID:EWAp67/5
ごめん逆アセンブラの定義間違えてた。
オブジェクトコード->ニーモニックだったな。スマソ
177774ワット発電中さん:2005/07/26(火) 10:33:41 ID:QeSeEEXt
逆アセンブラの定義なんてどうでもいいんです。
(単なるものの例えですから。)

今やりたいことは spartan3 の starter kit に
最初にセットアップされている config が実際に
どうコーディングされているかを知りたいのです。
参考資料のところには理由が書いてあってライセンスの
問題らしいのですが、それなら最初から違うサンプルを
入れておいてくれればいいのに、とも思ったりします。

参考資料
ttp://members.at.infoseek.co.jp/x1resource/xilinx/sp3/sp3stkit.htm

情報小出しで申し訳ありません。
良い方法ご存知の方がおられましたらよろしくご教授下さい。
178774ワット発電中さん:2005/07/26(火) 10:42:40 ID:0HBOhN3f
>>174
逆Cコンパイラが無いのと同様に逆コンパイラは無い。(あることはあるが、期待した動作するかは)
というのも論理の最適化を行うのでもしソースが吐けるとしてもかなり難度の高い表記になるんでは
ないかなあ?
179774ワット発電中さん:2005/07/26(火) 10:58:03 ID:QeSeEEXt
>>175
>>178
ご回答ありがとうございます。

もしかして元のソースで
count <= count + 1;
だったものが、一旦 MCS に吐き出したあと
逆コンパイル(のようなこと)をすると、
カウンタの回路がそのまま D-FF で展開される
みたいな感じになるということでしょうか。

それでも無いよりはましだと思いますので
探してみます。
180774ワット発電中さん:2005/07/26(火) 12:50:52 ID:s4a7WWla
>>177
結論を言おう。
MCSファイルがあれば、見る人が見れば内部のデザインはゲートレベルでわかる。
だけど、お前が欲しがっているものは著作権的にヤバイことだから教えない。

参考資料を見てみたが、EDKをつかってるならソースなんて簡単にはわからんだろ。

論理合成ツールがタダで手に入るからって、
サンプルソースまでタダで欲しいってか?そりゃ甘えすぎだ
181774ワット発電中さん:2005/07/26(火) 17:42:35 ID:0HBOhN3f
>>180
金を出してもソースは手に入らないことが多い。

めがふぁんくしょん。こあじぇねれーた。
みんなぶらっくぼっくすじゃあああ
182774ワット発電中さん:2005/07/26(火) 22:10:03 ID:BdKVX7mk
>著作権的にヤバイことだから教えない
何がヤバイ?
暗号を無断でデコードするのは違法だが、バイナリコードをニーモニック変換したり、
MCSからソースに変換するのは別に違法でもなんでもないと思うが?
因みにリバースエンジニアリングって電気屋の世界では二アリーイコール違法だが
機械屋の世界ではポジティブな意味合いで使われてててびっくりした。
183774ワット発電中さん:2005/07/26(火) 22:11:25 ID:BdKVX7mk
デコードというよりデクリプションのほうが適当かな?
184774ワット発電中さん:2005/07/26(火) 23:51:11 ID:2HdkJwHQ
リバースエンジニアリングは場合によっては違法なので厨は知らなくて良い。
185774ワット発電中さん:2005/07/27(水) 03:04:34 ID:HsJcuQaV
電気屋の世界だってリバースエンジニアリングは合法だ。
リバースエンジニアリングはしないって条項があるライセンスに合意してなきゃ
いくらリバースエンジニアリングしても自由ですぜ。

ソフト屋にはリバースエンジニアリングが違法だと勘違いしてる奴が多いが
リバースエンジニアリング行為そのものは合法で、それで得られた情報で
クローンを作った場合に気をつけて(クリーンルーム方式使うとか)やらないと
やばいってだけの話。

ちなみに、リバースエンジニアリング禁止条項があるライセンスは
市場における公正な競争を阻害するおそれがある場合において
独禁法違反で無効になる可能性があると経産省の筋が言ってる。
ttp://www.meti.go.jp/policy/it_policy/press/0005275/0/040603denshi-shoutorihiki.pdf の110ページ

リバースエンジニアリングは工業技術の発展の礎だからな。
186ビルゲイツ:2005/07/27(水) 03:09:56 ID:2OeY9tNm
このpdfウィルスマクロ入ってる。
お前ら今日からちゃんと認証してからつかえ
187774ワット発電中さん:2005/07/27(水) 06:26:49 ID:IV3YK6oC
まじっすか?
うちはなんも警告出んかったよ。
188774ワット発電中さん:2005/07/27(水) 07:24:34 ID:HsJcuQaV
>>186の名前欄を見ろ
いつもの情報操作だ
189774ワット発電中さん:2005/07/27(水) 08:59:44 ID:0ytP3959
>>185
「市場における公正な競争を阻害するおそれがある場合において 」と言う条件があるよね。

ということはリバエン禁止は基本的にありじゃん。
190774ワット発電中さん:2005/07/27(水) 09:32:05 ID:MpT115K1
リバースエンジニアリングってのは、違法だろうが合法だろうが、こっそりやるもんだ。
掲示板でやり方を聞いてくる厨房に教えてやるような安い技術ではない。
191774ワット発電中さん:2005/07/27(水) 10:02:29 ID:HsJcuQaV
ふつー、リバースエンジニアリングはおおっぴらにやるもんだ。
工業製品の製造は、他社の製品の真似から始まるのが基本。
他社製品のリバースエンジニアリングをしないメーカーなどありえない。

例外的な勝手に真似させない権利、いわゆる特許などの工業所有権は
技術内容の公開することが権利付与の条件だし
(リバースエンジニアリングの手間を省く)
付与される権利も比較的短期間(せいぜい20年)の排他的実施権だけ。

市販品の秘密を守る権利は存在しない。
むしろ、市販された=公知とみなされるよ。

枯れた技術はパクり放題というのが工業技術の大原則だ。
192774ワット発電中さん:2005/07/27(水) 12:04:24 ID:nQQ7dOIf
リバースエンジニアリングというのは高度な想像力と推理力が要求されるよね。
ここで1から聞かないと取りかかれないような香具師にはどうせ無理だよ。w
193774ワット発電中さん:2005/07/27(水) 23:21:14 ID:xmxCkOrm
「場合によっては」って単語を読めまい奴が居るのは大変な驚きである。
194774ワット発電中さん:2005/07/27(水) 23:56:12 ID:6/weeyf5
まいまいまいまいまい
195774ワット発電中さん:2005/07/28(木) 06:25:23 ID:VXxDoSPi
リバースエンジニアリングは原則自由。
他社の市販製品を調べて技術を知るのは公正な競争行為だ。

それを私法上で禁止する使用許諾契約も
「市場における公正な競争を阻害するおそれがある場合において」無効。
つーか、それ以外の目的で禁止してるケースはほとんどない。
真似させたくないから禁止したいわけで、それは公正な競争を阻害したいってのと同値。
196774ワット発電中さん:2005/07/28(木) 09:17:19 ID:NZCoubaa



詭 弁 。


197774ワット発電中さん:2005/07/28(木) 10:04:36 ID:/UasDYkY
>>196
idがニュージーランドの工場w
198774ワット発電中さん:2005/07/28(木) 10:05:32 ID:/UasDYkY
>>193
「基本的に」という言葉が理解できない人がいるのも大変な驚きにだ。
199774ワット発電中さん:2005/07/28(木) 12:54:27 ID:CQeF8FfS
基本的に人を殺すのは自由だ。ただし法的制裁と社会的制裁が待ってるだけ。
200774ワット発電中さん:2005/07/28(木) 20:25:42 ID:GYB/Gfby
Quartus2(Ver 5.0)で
OUTピンのドライブ電流の設定をしたいのですが、ご存知の方いらっしゃいませんか?
Assignment Editor で設定可能というのを雑誌で読んだことがあるのですが、
相当するものが見当たらず、困っています。
ちなみにデバイスはAPEX EP20K160Eです。
デバイスによって設定可能/不可能があるのでしょうか?
201774ワット発電中さん:2005/07/28(木) 20:37:17 ID:2TwZptfq
直接何mAとかは設定出来ないよ
何の信号レベル?
202774ワット発電中さん:2005/07/28(木) 21:03:37 ID:D3n81b5k
>>200
4.2だけど、メニューのAssignment → Pinで、信号名とピンを対応させる
エクセルみたいなのが立ち上がりますよね。

エクセルの一番上の所で、右クリック→Customize columnを選び
Current Strengthを追加しろ!

5.0で変わっていたらごめん。

とりあえず、ピンの指定をするエクセルみたいな所で、いろいろ右クリックだ。
203774ワット発電中さん:2005/07/29(金) 00:08:08 ID:aL3NfPg3
>>195
知的所有権を知らないのかな?
204774ワット発電中さん:2005/07/29(金) 00:20:57 ID:ejUzuEuz
Assignment EditorでCurrent Strength設定でOKす。

>デバイスによって設定可能/不可能があるのでしょうか?

あると思います。Stratixはアリでした。
あんまり変化のないSlow Slew Rateも似たような効果あるかな。

ところで、>200さんは何に使うの?
波形乱れ対策?
205774ワット発電中さん:2005/07/29(金) 06:54:49 ID:jE4V9zFx
>>203 こそ 知財権をわかってないらしい

知財権に秘密を守る権利はないよ。
他人の実施や利用や複製をコントロールできるが
調査させない権利など存在しない。

そもそも 特許や意匠権なら自ら詳細を公開しなきゃ権利もらえない。
著作権については未公開のものを勝手に公開させない権利はあるが
市販する=公開する だからすでに市販された製品についてはそれも無効。

市販されている製品を調査するのは合法
発売前の製品を工場に忍び込んで情報盗むのは違法 (産業スパイ)

いったんリリースしたら秘密を守ることはもう不可能。
手離れとはよく言ったものだ。
206774ワット発電中さん:2005/07/29(金) 09:10:12 ID:OiHHqjRU
っていうか、すでにあっちが探られたらやばいソース使ってるから
調査されたくないんじゃないかとw
207774ワット発電中さん:2005/07/29(金) 09:48:20 ID:ChpfNQy/
>>204
i80系制御信号とデータ信号をFPGAで生成して
WriteCycle=5〜10MHzでLSIのRAMに書込みしています。

FPGA搭載した評価ボードの
電源・GNDがもろに制御信号のノイズを拾ってしまいます。
といってもボード単体ではノイズは乗らず
制御線にケーブルを繋いだとたんにノイズが乗ります(約1Vpp)。
ケーブルの片側はLSIを繋いでいないのですが。
また、データ出力を全てLoにするとノイズは最小、
全てHiにするとノイズは最大になります。

評価ボードの電源/GNDのノイズ対策も必要ですが、
まず出力電流を落とせばノイズ軽減になるのではと思いレスしました。

ただ、オーバーシュート/アンダーシュート対策で
既に制御線データ線に20Ωチップ抵抗は入っています。
208774ワット発電中さん:2005/07/29(金) 09:58:45 ID:4e5ZPlXg
>>207
まずは信号線にフェライトコア入れろ。(特性には気を配るべし)
それだけでかなりノイズ対策になる。


・・・ちょっと待て。片側は繋いでいない? スタブになって輻射アンテナ作ってるんとちがうか?

どういう配線にしてるのかもう少し情報書いてくれ
209774ワット発電中さん:2005/07/29(金) 10:26:24 ID:ChpfNQy/
APEXの240pinデバイスの
1〜60pin側から
RD,WR,RS,nCS,D[15:0],デバイスのリセットを出力しています。
(outのみ、inは無し)

FPGA1〜60pin側 - チップ抵抗20Ω(全pin) - コネクタ - ケーブル - LSI(評価対象)

片側繋いでない状態だと、
FPGA1〜60pin側 - チップ抵抗20Ω(全pin) - コネクタ - ケーブル
で、制御信号のタイミングで、評価ボードのGND/電源にノイズが乗ります。
ケーブルを繋げない状態だと、
FPGA1〜60pin側 - チップ抵抗20Ω(全pin) - コネクタ
で、ノイズは乗りません。
210209:2005/07/29(金) 10:29:52 ID:ChpfNQy/
すみません。追加ですが
評価対象のLSIの電源・GNDは
評価ボードから供給しています。

オシロ(Tektro TDS7104)/電源(KENWOOD PW1.8)/評価ボードの
GNDは共通にしています。

なので、評価ボードでGNDにノイズが乗っているときは
オシロ・電源のGNDも揺れています。
211774ワット発電中さん:2005/07/29(金) 11:18:24 ID:4e5ZPlXg
>>209

それじゃノイズが出るのは当たり前だ。君はケーブルと言う名の「送信アンテナ」を付けて
電磁波を巻き散らかしている。

図書館に行って、ノイズの本を読んで勉強しよう。
212774ワット発電中さん:2005/07/29(金) 11:58:56 ID:wdtaug7I
>>211

それじゃ煽りが出るのは当たり前だ。君はレスと言う名の「デムバアンテナ」を付けて
電磁波を巻き散らかしている。

図書館に行って、正しいレスの本を読んで勉強しよう。
213774ワット発電中さん:2005/07/29(金) 12:01:34 ID:EujfWDoM
>>211

それじゃスペルマが出るのは当たり前だ。君はデリヘルと言う名の「宅配売春婦」を呼んで
スペルマを巻き散らかしている。

図書館に行って、正しい男女交際の本を読んで勉強しよう。
214774ワット発電中さん:2005/07/29(金) 12:10:24 ID:Hf0vc1lg
>>209
GNDにノイズがのるということですが、それを観測する際、どこを基準に見ていますか?
215774ワット発電中さん:2005/07/29(金) 12:23:34 ID:e27NH2u9
そうですね。電源て基板の中で一番インピーダンスが低いので、乗ることはあんまりないかなぁ。
それよりもプローブのGNDリードの具合のほうが敏感。
キャップはずして、先端のGNDからスプリング(事務のクリップ代用可)で直に基板のGNDにつないでみてください。
ちょっとようすがちがうのでは?

本当に電源、GNDが揺れるなら、FPGAは相当に電力を消費しているか、電源に入っているLが悪さをしているか。


p.s. なつまっさかりだな。
   軽もうなぎ食ってパワー全壊?
216209:2005/07/29(金) 12:26:18 ID:ChpfNQy/
オシロ/電源/FPGAで共通にしたGNDです。
ケーブルを繋げない状態でも
データ線[15:0]で全Hiデータ送った時、FPGA単体動作で
GNDに140mVppのノイズが乗ります(制御信号2.8V-0V振幅に対し)。
217774ワット発電中さん:2005/07/29(金) 12:37:09 ID:KDRZ4ch0
いっぺんにHiとかLowとか変化させないで
ビット毎に少しづつ時間をずらしながら変化させれば?
218214:2005/07/29(金) 12:43:47 ID:Hf0vc1lg
>>216
基板というより基準の方が揺れているかも。
>>215さんも書かれていますが、オシロヘのGND接続を強化されてみては?
それでおさまるようであれば、基板そのものというより、観測系で拾っているor出ているノイズではないかと。
219774ワット発電中さん:2005/07/29(金) 13:05:12 ID:jE4V9zFx
>>209

電流は帰り道が必要なんだよ。
そして電流の往路と復路が離れているとノイズを撒き散らすのだよ。
より正確な言い方すると電流ループが作る面積をできるだけ小さくしろってこと。

ケーブルって多芯フラットケーブル?
奇数番目に信号通して偶数番目を電源かGNDにしてみると結構いいぞ。
とにかく長く伸ばす信号線のそばには電源かGNDを沿わすこと。
ツイストペアにするとさらに良い。同軸/シールド線も悪くない。
220774ワット発電中さん:2005/07/29(金) 16:00:25 ID:4e5ZPlXg
>>216
市販のエヴァボードならFPGAのグランドはまともに作られているはずだけど、評価ボードというのは自社設計?

ともかく、ケーブルだけつないで何かするのは止めた方がいい。必ず負荷を付けて(LSIとか)回路を閉じる。
221774ワット発電中さん:2005/07/29(金) 16:41:55 ID:uDHTA8/e
自演厨?
222774ワット発電中さん:2005/07/29(金) 17:41:15 ID:4e5ZPlXg

>>220
可能性があるものをもうすこしあげてみよう
1:電源が貧弱。
2:出力+アンテナでノイズをまきちらかしている。
3:パスコンが足りない


>>219
ツイストペアにするにしろ同軸にするにしろ、負荷があっての話じゃまいか?(オープンのままじゃ電流ループは・・・)

会社にきちんとハードの判っている人間はおらんのか?
223774ワット発電中さん:2005/07/29(金) 18:28:26 ID:UGP7j/sj
文章だけだと測定方法や基板の接続状態がしっかりと見えないがGNDちゃんとしているか怪しいな?
AWG26数本で接続して十分とか思っていないかな?
電源は太く短くが基本だ。

GNDは繋がっていれば良いのではなく、安定していて初めてGNDと言える。
細いケーブルでつなげてGNDが接続していると言えるのは20MHz程度のマイコン基板くらいと思っておいたほうがいよい。

昔信号にノイズが乗っていると大騒ぎした香具師のプローブを見たら測定する信号のICから10cmくらい離れた所のGNDからケーブルで引き伸ばしていたのを見て萎えた事がある。

信号をオシロで測定する時にはとにかく測定するIC近くのGNDを使う事。
224774ワット発電中さん:2005/07/29(金) 18:31:12 ID:Z0lFKHih
試しに適当なGNDと5〜10cm位はなれたところのGNDにおプローブあてて味噌。
225774ワット発電中さん:2005/07/30(土) 00:00:12 ID:ZwTfMoBv
素晴らしいくらい夏だね。(w
226774ワット発電中さん:2005/07/30(土) 02:31:54 ID:Un4xNoi9
227774ワット発電中さん:2005/07/30(土) 16:10:19 ID:hTmmfFug
お城でノイズ測るんだったら、普通のプローブ使っちゃダメよ。
FETプローブ使うか、俺みたいな貧乏人はGNDプローブを切り詰めて使わなきゃ!
俺はGNDプローブを 1cm以下(自作) にしてます。
228774ワット発電中さん:2005/07/30(土) 16:49:43 ID:ZwTfMoBv
それが出来たらこんな心配や笑われるような質問はしないだろう。
229209:2005/07/30(土) 18:45:26 ID:ohh/mQOE
>>220,222
自社設計です。設計者は現在いません。
今の会社は4ヶ月目ですが、同部署では僕が最年長です(TT)
部長が3ヶ月目。評価関連について知っている人は
既に辞めてしまった後でした。その穴埋めに、派遣の私が
今の会社に招かれました。
残されたのはデバイスの仕様書と、評価ボードとVerilogプログラムのみで、
引き継がれたものは全く無い状態です。
Verilogはここに来てから独学です。
今日は休日出勤で、
携帯液晶の両端2本のソース線の電圧差を測定しろと言われてます。
ベンチャーで20人弱で、毎日が火の車です…

>>227
FETプローブを使用して、デバイスを繋いで電流的に閉じた状態で
基準電圧をモニターすると、1Vpp近辺まで跳ね上がります。
ハーネス(ケーブル)は約15cmの長さで、それがアンテナになってる
可能性もありそうです。

>>226
非常に良く似た状況だと思います。ありがとうございます。

230774ワット発電中さん:2005/07/30(土) 21:26:38 ID:PyLNZSwC
>>229
それはボード以前に会社がヤバ(ry
231774ワット発電中さん:2005/07/31(日) 02:02:44 ID:awiMhnD/
>>222
君も「きちんと」判ってないようだが。。
症状からして典型的な顧問モード雑音。


>>229
の状況なら、ちゃんと勉強して根本解決できるとは思えないので、

まず、基板間のグラウンド接続処理を(パワー・シグナル・フレームとも)
思いつく全ての組み合わせで比べて一番良くなるのを選ぶ。

FPGA電流設定最小にして、ダンピング抵抗を一番ましな
波形になるものを選ぶ。
(基板に手を入れれるなら、L、C、EMIフィルを使うのもあり。)

それで最小にしていおいて、まだ無理ならフェライトコアキットを
入手して片っ端から試すと。



232774ワット発電中さん:2005/07/31(日) 05:03:21 ID:jcatmNHP
ダンピング抵抗するには報復関税が効果的だよね。
233774ワット発電中さん:2005/07/31(日) 06:34:05 ID:0+AKO1CX
てか、バッファ強度を下げるのが必須でしょ。
それで足りないならダンピング抵抗で対処。
234774ワット発電中さん:2005/07/31(日) 07:43:40 ID:1TtlaloW
>>222
ダンピング抵抗にはWTO提訴の方がいいんじゃないか?
235774ワット発電中さん:2005/07/31(日) 07:49:26 ID:0+AKO1CX
上げてまで書くレスかな?
これだから夏厨は馬鹿と呼ばれるんだろうな。
236774ワット発電中さん:2005/07/31(日) 08:03:05 ID:lekWSHAl
つーかすれ違い。

あったらこわい素子パーツネタ。
237774ワット発電中さん:2005/07/31(日) 09:40:29 ID:vrnKaCKe
何?このスレのキモ論理ヲタ・・・。
238774ワット発電中さん:2005/07/31(日) 09:54:39 ID:vQvUsVCb
夏厨は馬鹿

そして

>>237は夏厨
239774ワット発電中さん:2005/07/31(日) 10:58:36 ID:vrnKaCKe
>>238 ( ´,_ゝ`)プッ
・・・と、キモ論理ヲタが申しております。(w
これ↓でも見て気持ちを持ちつけろ(www
http://senmen.at.infoseek.co.jp/swf/mona/puha.html
240214:2005/07/31(日) 12:40:49 ID:XipOMenC
>>231
単一基板上で、負荷なし、GNDにのっているノイズの話なのだから
>>220 の方がずっと的を得ているように思われ
241774ワット発電中さん:2005/07/31(日) 15:42:30 ID:0+AKO1CX
的は射る物だよ。
242774ワット発電中さん:2005/07/31(日) 16:03:05 ID:HmKHOnAV
214他の言うように観測の仕方の問題でしょう。
気にしない、というフィルタを心にかければ問題ない。

大穴としてはその自社製なる評価基板が驚愕の代物だったというオチだが、
ま、それはなさそうだ
243774ワット発電中さん:2005/08/01(月) 04:31:19 ID:F7b9i80u
アナログを分からんでも使えてしまうからなぁ
244774ワット発電中さん:2005/08/01(月) 11:04:12 ID:kBPMjSO+
>>231
222は208でフェライト入れろとコモンモードノイズの指摘をしてるが、すぐにケーブルがオープンになっている事に気が付いて
さらに指摘している。231の方が現状を理解していない様に見える。

人にきちんとわかっているのか、と偉そうに言えない気がするぞおw
245774ワット発電中さん:2005/08/02(火) 00:23:53 ID:A+U2dJAI
>>243
使うのと使いこなすのは別だから。
246774ワット発電中さん:2005/08/04(木) 20:34:35 ID:jqEBrQh7
話の腰を折ってしまうのだが、
ザイリンクスから来るメールが、
ノートン先生(設定デフォ)にSPAM扱いされてる
247774ワット発電中さん:2005/08/04(木) 22:28:56 ID:etn+YcZh
>>246
.comからくるやつで、newとかlow-priceなんて入っているものをはじくようになっているみたい。
248774ワット発電中さん:2005/08/05(金) 22:05:12 ID:tXjZoCdB
ノイズの話は釣りか・・・
249774ワット発電中さん:2005/08/06(土) 04:13:23 ID:9Oy/jURz
ザイのフリー板、やっとシミュレーションが出来るようになった。
いつもながら、モデルシムのライセンス手続きって、
何でフリー版もあんなにややこしいんだ・・・
250774ワット発電中さん:2005/08/06(土) 04:28:38 ID:sv9GmYfh
ですね
251774ワット発電中さん:2005/08/06(土) 04:31:25 ID:Yy6KK8EM
ザイのせいというよりメンタのせいなんだろうけどね。確かに面倒。
252774ワット発電中さん:2005/08/06(土) 21:40:46 ID:JsPE07BQ
文句言ってねえで
とっとと
Modelsim SE 買え。
俺はそうしてる。
253774ワット発電中さん:2005/08/06(土) 21:46:32 ID:Yy6KK8EM
>>252
確かにそりゃそうだ。今でも100万くらいするんだっけか?
254774ワット発電中さん:2005/08/06(土) 22:07:35 ID:oMVQ/5Sz
100万あったら、ソフトより計測器の方がほすぃ
255774ワット発電中さん:2005/08/06(土) 22:36:21 ID:sV/uAUx3
>>252
SE?財のおまけならXE。寺のおまけならAE使わないと不都合がいろいろあらあな。
フル版3本も買う羽目になったぜ。うちの会社。
256774ワット発電中さん:2005/08/06(土) 22:40:16 ID:VLaCJxYK
オマケはわざと遅くなるように作ってあって使い物にならないのでは?
同じSimして明らかな速度差があるから使う気がしない。>オマケ
257774ワット発電中さん:2005/08/06(土) 22:49:07 ID:JsPE07BQ
>>253
SEが100万?そんな安く買えるようになったのか?
どこ?その代理店?
258774ワット発電中さん:2005/08/06(土) 23:08:51 ID:Yy6KK8EM
>>257
うざいな。質問しているのは俺様だ。w
259774ワット発電中さん:2005/08/06(土) 23:16:59 ID:VLaCJxYK
夏厨滅せよ。
260774ワット発電中さん:2005/08/06(土) 23:59:51 ID:vZlPX/4g
アルテラもMシムだからね。
カルタス入れてるPCにザイリンクス入れると
バージョンが違うMシムが2つ・・・
問題発生しないのかスゴーク不安・・・
261774ワット発電中さん:2005/08/07(日) 00:08:58 ID:67nqr2mL
>>260
別のVPCで動かしているので問題はないです。(をい)
オープニングにサイの出る時はフレキシブルライセンスでは、登録時にライセンスマネジャーが誤認識しやがりました。
262774ワット発電中さん:2005/08/07(日) 00:12:07 ID:IMyO574z

メールで受信したファイルを認識させるだけなのにややこしいか?
オマヘの能力じゃ創刊汁か者
263774ワット発電中さん:2005/08/07(日) 00:35:09 ID:67nqr2mL
>>262
単品だけならライセンスファイルを読ませるだけでOKだけどね。
ライセンスマネージャーつかって複数のMS使おうとしたときに
XEを登録する前にSE登録してて、XEを登録しようとすると
「登録済みだよ」と誤認識したんだよ。

馬鹿にしているつもりだろうけど、単一のスターターエディションしか使ったことない人ですか?www
264774ワット発電中さん:2005/08/07(日) 00:35:52 ID:67nqr2mL
>>263
ああ、フローティングライセンスの経験はありますか?www
265774ワット発電中さん:2005/08/07(日) 00:44:27 ID:VjannIxM
自演で自滅とは夏厨お見事。
266774ワット発電中さん:2005/08/07(日) 02:02:07 ID:/pAGmxoN
激わろw
267774ワット発電中さん:2005/08/07(日) 02:18:00 ID:YmNB9HCD
ションベンくせぇスレだな。
268774ワット発電中さん:2005/08/07(日) 07:57:06 ID:tQE3iv5G
そりゃPICと並んで、軽*Brosの常駐スレだもん。
269774ワット発電中さん:2005/08/07(日) 11:38:38 ID:4XAdjbCN
263-264
何このバカ。脳みそ湧いてんじゃね?
270774ワット発電中さん:2005/08/07(日) 13:59:53 ID:sZt4KsIi
単品だけならライセンスファイルを読ませるだけでOK
271774ワット発電中さん:2005/08/07(日) 14:27:50 ID:fS6F1TC6
SE使っているのにXEやAEをインスコする必要があるって、どんなときだろう。

SWIFTもBFMもカバレッジもつかえず、AE/XEのライブラリはrefresh-allで
使えるんだから、べつに複数入れる必要は無いと思うんだけれど。

ツールのサポート屋さん?>263-264
または、夏の日記?
272774ワット発電中さん:2005/08/07(日) 17:59:46 ID:VpMySPHs
藻前裸盆休みあるのかyp
273774ワット発電中さん:2005/08/08(月) 01:02:29 ID:uKEN6MBI
猛暑あげ
274774ワット発電中さん:2005/08/08(月) 02:50:51 ID:U7eXbl18
FPGAadv をインストールしてるのに別途最新版のSEはインストールしてる
275774ワット発電中さん:2005/08/08(月) 08:38:09 ID:s0FIqkcL
荒れろ、荒れろ!

>>271
普段はSE独り占めできるけど、仕事が混んでくると他の人と
ライセンスをシェアしないといけないから、XEやAEで数を
かせぐというのは、ありがちだと思うが。

モデルシム同士よりも、クオータスとかOrCADとかシンプリシティ
製品とか、他のFlexLmを共有させようとすると泣けてくる。

もっと売り上げ上げて、全部ネットワークライセンスにしたいよ。
276774ワット発電中さん:2005/08/08(月) 08:40:02 ID:eA9KqntJ
>>275
> 荒れろ、荒れろ!

DQNはこのスレに不要。
流石厨だ。
277774ワット発電中さん:2005/08/08(月) 08:52:04 ID:SfREm2kz
278774ワット発電中さん:2005/08/08(月) 09:00:03 ID:7bvaQW9h
>>275
ネットワークライセンスなんか使わずにスタンドアローンライセンス使えばいいのよ。
ここに居る連中にはチームで開発しない小品しかつくれないんだから


燃料投下してみる。
279774ワット発電中さん:2005/08/08(月) 12:11:23 ID:qsfHq9Ck
>>275
> 荒れろ、荒れろ!

DQNはこのスレに不要。
軽石厨だ。
280前上:2005/08/08(月) 18:02:18 ID:BoLlMuMU
↑お前らを馬鹿にしてると射精したくたるんだよ。ドピュ
281774ワット発電中さん:2005/08/08(月) 23:51:34 ID:w8p+r+YI
なーんかPICスレと程度が一緒だなぁ..。
軽何とかが出没するところって雰囲気にてる...。

何でFAEに聞かないのかな?
伝手がない?、買ったところが紹介してくれるとおもふけど。
安くはないから、売り切りってことは普通ないし。

と油脂焼夷弾を投下してみる
282774ワット発電中さん:2005/08/08(月) 23:53:27 ID:wtjqNt36
>>281
いまどき開発ソフトは無料だぜ。買ってないのにFAEも糞もなかろう。
283774ワット発電中さん:2005/08/09(火) 00:15:33 ID:xBm51SUi
無料はデバイス制限あるからね....。

ま、そんな程度ってことで。
284774ワット発電中さん:2005/08/09(火) 01:24:13 ID:lwqG1vU6
早く夏終わらないかなぁ。。。。。
285774ワット発電中さん:2005/08/09(火) 01:31:04 ID:qLCOg57l
お約束のASIC関連ツールは当然何ライセンスもあるんだが、FPGAだと
採用決まると開発がピークの頃には何本でもラインセンス貸してくれるよ。

だれもPCでvsimの限定版の、まるでスローモーションを見てるような環境を
進んでは使いたくないけど。
ASICの開発とかぶると、FPGA組は肩身が狭いから助かる。

286774ワット発電中さん:2005/08/09(火) 01:53:08 ID:pmjcGPR0
>>285
そりゃASICツールは値段だって桁違いだからねぇ。
あんまり自慢されると、わしら貧乏人は僻んじゃうぞ。w
287774ワット発電中さん:2005/08/09(火) 10:03:16 ID:j/T+rxFc
>採用決まると開発がピークの頃には何本でもラインセンス貸してくれるよ。

月ン千万クラスなのかな?うらやましいな
288774ワット発電中さん:2005/08/09(火) 13:06:46 ID:xBm51SUi
まあ、シクった時の損害も甚大なわけで...。

でも、個人の責任はあいまいになるし(DRしたでしょ、ねっ、ねっ)、やっぱうらやましいかも。
289774ワット発電中さん:2005/08/09(火) 21:44:26 ID:tGNPU3EY
これだったらいくらで買うよ?

http://achtung.zero-city.com/guro10.jpg
290774ワット発電中さん:2005/08/09(火) 23:08:00 ID:4Cew1HQK
グロ画像?
291774ワット発電中さん:2005/08/10(水) 16:44:44 ID:C63OybUp
https://www.ascii.co.jp/1chip/
予約ペース上がってますw
292774ワット発電中さん:2005/08/10(水) 21:14:39 ID:0Oin9LEb
>>291 MSXスレに行けヴぉけ
293774ワット発電中さん:2005/08/11(木) 21:28:49 ID:/i2Plb6k
>>292
キチガイ反応もそっちでお願い。
294774ワット発電中さん:2005/08/11(木) 21:30:10 ID:A92r7z66
AlteraのFPGAボードでしょ。
いいんじゃない、ここで扱っても。
295774ワット発電中さん:2005/08/11(木) 22:06:02 ID:JC6tPDI9
宣伝やみんな予約よろしくカキコ以外ならいいんじゃない
296774ワット発電中さん:2005/08/12(金) 00:31:07 ID:q7jDbC4L
Latticeの会長兼CEOがクビに。
http://www.latticesemi.com/corporate/press/corporate/2005/pr080905.cfm
3位以下のメーカーにも頑張って欲しいのだが、いまいちぱっとしないねぇ。
297774ワット発電中さん:2005/08/12(金) 03:52:27 ID:FQA0nJbN
グロ画貼られるよりよっぽどマシ
298774ワット発電中さん:2005/08/12(金) 04:22:57 ID:PrD8k5H6
グロ...*頭の趣味が理解できない...。
あの執拗さはちょっと。
299774ワット発電中さん:2005/08/12(金) 04:26:00 ID:z4AxDWcE
どこ?
300あぼーん:あぼーん
あぼーん
301あぼーん:あぼーん
あぼーん
302774ワット発電中さん:2005/08/13(土) 19:12:38 ID:bn95YdZf
別にMSXボードの話題を禁止すべきだとは思わんが、変なage厨は勘弁してくれよ。
303774ワット発電中さん:2005/08/13(土) 20:23:08 ID:EHYbTf46
まぁMSXごときの話題をこのご時勢に持ち出す奴のレベルはそんなもんだ。
304774ワット発電中さん:2005/08/13(土) 21:51:58 ID:M4URs87p
>>303
・・・と、MSXパソコンにはまりまくっていた
デブオタヒキーが申しております(ピコワロス
305774ワット発電中さん:2005/08/14(日) 22:20:18 ID:OVBqr/Fz
あぼーんされるネタってあったっけ?
思いだせん....
306774ワット発電中さん:2005/08/14(日) 22:32:35 ID:CAoBk8SB
>>305
アンチが貼ったMSXボードの予約アドレス。
ここや昔のPC板で削除依頼が出まくっている。
307774ワット発電中さん:2005/08/14(日) 23:55:39 ID:OVBqr/Fz
どもです。

そういうことでしたか。
確かにいろんなとこに貼られてたなぁ。

そいえば、一週間切ったのかな。審判の日。
308774ワット発電中さん:2005/08/15(月) 11:49:03 ID:LiV574Uv
XC3000系もらった。・・・コンフィグROM買ってまで使う気にならんなぁ・・・
CLB2桁。素敵。
309774ワット発電中さん:2005/08/17(水) 00:39:38 ID:DinFc/Wq
http://www.fpga.co.jp/cbbs/cbbs.cgi?mode=one&namber=1286&type=1285&space=15&no=0

”私には時間がないんです。"の某"軽*"が懲りずにFPGAインフォメーション侵攻を再開しました。
責任もって、フォローしましょうね。軽。
行く末を注視しましょう。


マルチポストまでチェックしてるんだ。時間なくなるはず。
こいつ、いつ仕事してるんだ?
310774ワット発電中さん:2005/08/17(水) 01:10:07 ID:rGSGBDv2
「他の人がチェックする」というのは、軽自身は含んでいません。
cool runner IIのことなんて、軽にフォローできるわけないし。
仕事はできないのでクーラーの効きだけを気にしています。
311774ワット発電中さん:2005/08/17(水) 01:54:39 ID:u+xUugxm
今、回答中だから、後でな。
               ∧_∧
     ∧_∧  (´<_`;.)  流石だな兄者、
     ( ´_ゝ`) /   ⌒i    FPGAもかい。
    /   \ |   | |
    /    / ̄ ̄ ̄ ̄/ |
  __(__ニつ/  PIC   / .| .|
      \/____/ (u 
312774ワット発電中さん:2005/08/17(水) 01:55:23 ID:u+xUugxm


   ∧_∧  / ̄ ̄ ̄ ̄ ̄
  ( ´∀`)< エアコン ナオセヨナー
  (    )  \_____
  | | |
  (__)_)
313774ワット発電中さん:2005/08/17(水) 06:59:09 ID:sVqkRgQw
>>309
| マルチポストは止めましょうね。
| せめて数日は反応を見てから別の掲示板へ書くのがマナーです。

軽*的マナーか?
それぞれの掲示板での返事に対してきちんと対応するなら、マルチポストなんて問題ないと思うが。

| この掲示板だけ見ている人は今回のデバイスが判りませんのでちゃんと報告しましょう。

軽、タイトルが見えないのかな?

ってゆーか、LM317Tを使っていて1.2Vに落ちているんだから、
LM317Tがシャットダウンしていることに気付いてあげればいいのに。
そもそもこいつら何の抵抗を測っているんだ?


でもこんなこと掲示板には書けない。絡まれたら嫌だし。
314774ワット発電中さん:2005/08/17(水) 09:50:56 ID:c0Ak/eEk
>一般的にはGNDを1点アースと呼ばれる方法で行ないます。

1テンアースってアナデジ混在くらいじゃないの?
デジオンリーはベタでそ?

質問者も回答者もアレだからもう放置で
315774ワット発電中さん:2005/08/17(水) 11:56:05 ID:XofXGCk1
この軽オヤジ、相当ぬるい仕事してやがんなぁ。
一点アースとは笑わせる。電源をDCで見てるんだもんなぁ。

いつまで一般論で持ちこたえられるかw。
初心者の見方を標榜しているんだから、最後まで”キレ”ずにがんばろうね。
軽ちゃんず。
316774ワット発電中さん:2005/08/17(水) 13:49:13 ID:XofXGCk1
>参考になりそうな資料がないか探してみましたが見当たりませんでした。

質問者そっちのけであんたが質問してどうする?。軽ちゃんず最強w。
わかってないなぁ、このオヤジ。何で”面”なのか?

>これなんかは参考になりませんかね?

なりません。
知っているのであれば、普段自分がやってるやり方を披露しましょう。
もしくは”なぜ線ではなく面”なのか自分で調べて、噛み砕いて教えてあげてください。
時間はあるでしょ?。

もしかしてHowToGNDさんに喧嘩売ってます?

で、本題のほうは配線ミス93%だと思ふ。
317774ワット発電中さん:2005/08/17(水) 14:02:41 ID:WeDZvRDu
>>313
面と向かって掲示板に書けないことでもここなら書いていいのか?
ここは便所の落書き版じゃないんだよ
318774ワット発電中さん:2005/08/17(水) 14:08:17 ID:gsmwx43g
軽石もうざいが、そんなくだらん話をここに持ってくる奴はもっとうざい。
他の掲示板の話をここでやるのはやめろ。
319774ワット発電中さん:2005/08/17(水) 14:09:03 ID:XofXGCk1
>>317

ネチケットを説く前に、自分の行く末を案じましょう。軽ちゃんず。

>便所の落書き版

こんなもが装備されてるトイレはないと思うけど。

版×
板○

にしてもねw。

320774ワット発電中さん:2005/08/17(水) 14:17:59 ID:LX2+DDUJ
(´-`).。oO(ここって某掲示板で書けない本音を書くスレなんですね)
321774ワット発電中さん:2005/08/17(水) 14:28:57 ID:XofXGCk1
だって、バサロさんちつぶされたら....ねぇ、困る人多いでしょ。
なひたふは軽ちゃんずがあばれて、やんなって閉鎖という話しだし。

picfunもchanさんもどうなるか...。
322774ワット発電中さん:2005/08/17(水) 14:30:20 ID:MheyZYEw
あんたら全員、回線切って死んだほうがいいよ。
323774ワット発電中さん:2005/08/17(水) 14:31:27 ID:WeDZvRDu
>>319
おまいここを荒らしたいだけだろ。

おまいが貶めたい低脳達とやってることは変わらんことに早く気付け。
324774ワット発電中さん:2005/08/17(水) 14:35:27 ID:LX2+DDUJ
>>321
俺的には、某掲示板とかはなくなっても困らん。
あの辺の情報がなくなって困るってのは、どんな人達なんだ!?
325774ワット発電中さん:2005/08/17(水) 14:42:57 ID:XofXGCk1
気づきましたので話題変えます。

AlteraのSOPC Builderで、"外ピン外部プロセッサ(H8)+avalon busやったことある人いますか?
PてくのFAE曰く”Niosが必須です”ってことなんですけど。本当?。
前はconfig信号とは別線引けばアクセスするIPをSOPC Builderで生成できますよって言ってたのに。

Niosの代わりに、H8からFPGA通してEPCS16の空きエリアにアクセスしたいだけなんだけど....。
326774ワット発電中さん:2005/08/17(水) 14:58:33 ID:QwIaJJj9
>>325

Niosが必須という意味はNiosを入れないと他のコンポーネントが
なにもインストールされないからじゃないの?
ASMIもNiosを入れないと入ってないし。
EVA版でも良いので入れておけば問題ないと思う。
8051+AVALONは使ったことがあるけどNiosは必要ないよ。

元A社のMさんに聞いた話だと最近はNiosを入れないで
AVALONバスだけを使うのが流行ってるらしい。
327774ワット発電中さん:2005/08/17(水) 15:05:53 ID:gsmwx43g
とにかく他の掲示板の話はするな。荒れるだけ。
FPGA/CPLDの話をしよーぜ。
328774ワット発電中さん:2005/08/17(水) 15:09:24 ID:XofXGCk1
>>326 さん

ありがとうございます。なるほどです。
できるのがわかれば、俄然戦闘意欲が沸いてきます。

デバイスサイズ的にはNiosでもいいんだけど、ファーム屋さんがやだっていうの。(ーー;)

329774ワット発電中さん:2005/08/17(水) 16:22:43 ID:QwIaJJj9
>>328

ファーム屋さんがNiosを嫌うのはわかるなぁ。
Niosはハード(特にFPGA)もソフトも両方できないと厳しいから、
ある程度のハードがわかるソフト屋さんでもFPGAまでは手がでないからね。
330774ワット発電中さん:2005/08/17(水) 16:51:07 ID:Hfy4ub09
>>329

でも両方できると天国ですよ。ちょっとポーリングでデータレート不足したんで
ハード側にIRQを増やしてリビルド、割込処理追加(数日前にやった)
そんでもダメならFIFO入れちまえ、なんてことが全て好き放題に机上で出来るんだからねー
特にプロトタイピングでは最高。数作るなら別でしょうが。
NiosIIキットに標準で付いているTCP/IP あれ遅いのね。ハードは100Baseなのに。
331774ワット発電中さん:2005/08/17(水) 18:41:05 ID:x2i9ua47
>>325 ←コイツ軽石以下だなw
332774ワット発電中さん:2005/08/17(水) 19:03:02 ID:yuCz/NLm
>>330
なかなかそこまで行かずに挫折した口です。
なんていうか、シリアルポートの設定変えただけで、また
論理合成、配置配線なんてやってらんない!
Altimaサポート悪すぎ!であきらめました。
333774ワット発電中さん:2005/08/17(水) 19:04:07 ID:yuCz/NLm
StratixにこっそりH8が丸々入っていたならなぁと妄想してみるテスト
334774ワット発電中さん:2005/08/17(水) 19:47:26 ID:u+xUugxm
>>333
FREEのCPUマクロが既にあるけど、H8,68Kなど、良く使われるCPUのマクロが
あると便利だね。
PICマクロハ、カンベンシテヨ。PICハ(・A・)イクナイ
335774ワット発電中さん:2005/08/17(水) 19:52:59 ID:ygVNcPW3
>>334
z80マクロじゃだめかのう?
336774ワット発電中さん:2005/08/17(水) 19:55:50 ID:XofXGCk1
>でも両方できると天国ですよ。

公言しちゃうと体壊しそうですけどね。なんでもやんなきゃいけなくて。

ただ、CPU取り込む方向になっていくでしょうから、ハード屋さんも無実を証明するくらいのコード書けないとなぁと。
コア電源(今度は1Vですかぁ。RegはFPGAとセットでリリースしてくださいよ。EPCとか見たいに。)
とか悩み多いなぁ。放熱もあるし,,,こっちは機構屋とやりあわにゃならんし。
伝送路だの、分布定数だの、今度はスイッチング電源設計かぁ...。

って言い訳してソフトの方は”公式的には”手を出してません。

あ、愚痴に...^_^;
337774ワット発電中さん:2005/08/17(水) 19:59:49 ID:XofXGCk1
> Z80マクロじゃだめかのう?

mapped I/Oの方が使いやすいかも。
フリーのCコンパイラってあるんですか?gccみたいの。
338774ワット発電中さん:2005/08/17(水) 20:04:41 ID:4ahpICsH
>>337
http://sdcc.sourceforge.net/
8051では使ったことありますが、Z80では使ったことはないので
使い勝手はわかりませんがSDCCとかはどないでしょう。
339774ワット発電中さん:2005/08/17(水) 20:12:02 ID:XofXGCk1
>338

ありがとうございます。
そうですね、ありますね。
EZ-USBの時にチェックしてました....。

エバボもあるし、SOPC BuilderもDLしたし、チュートリアルは www.infohobby.jp/ を見て...コソーリがんばるべ。
340774ワット発電中さん:2005/08/17(水) 21:26:52 ID:weKKK99J
>XILINX社の現役FAE(Fileld Application Enginer) で有るため、FPGAに関する情報が素早く入っています。

俺はよく知らないんだけど軽石って本当にザイの現役FAEなの??
二次店のFAEとかそういうオチじゃないよな。 ここまではっきり書いてるってことは。
341774ワット発電中さん:2005/08/17(水) 21:54:55 ID:ygVNcPW3
>>340
FAEにしてはお粗末。
糞という評判の高いA社系の代理店Aのエンジニアでもあそこまでlow-levelではない。
割り算の話に至ってはアマチュアレベル以下。本人が入門者じゃないのかな?
342774ワット発電中さん:2005/08/17(水) 21:57:03 ID:syiNUh4F
>>340
その文章どこから引用したのかと悩んだが、
ようやくその文章の出展がわかった。
それは軽じゃない。別人。
343774ワット発電中さん:2005/08/17(水) 22:19:23 ID:DinFc/Wq
軽の巣の掲示板、今日はとっても書き込みしやすい雰囲気になってまつよ。
日ごろの思いのたけをぶつけてみませんか?。

で、こっちはFPGAにもどりましょ。

ちょっと疑問。

評価版のNiosって時限爆弾(1時間)つきだけど、Niosなしの外CPUの時ってどうなるんだろ?
大丈夫なのかな?

いけるならいいかも。

344774ワット発電中さん:2005/08/17(水) 22:33:34 ID:rGSGBDv2
>>338
sdccはもともと8051用なので、Z80より8051の方がいいコードを吐くらしい。
ttp://japanese.sugawara-systems.com/opencores.htm
345774ワット発電中さん:2005/08/17(水) 23:31:44 ID:ECg5wbEf
>>343
時限爆弾+暗号化されているのはNiosIIだけみたい。他のペリフェラルは使えるはず。
けど、PIOとかUARTとかのレジスタって16bit幅でバイト単位でライトできないんじゃ?
8bitバスのプロセッサだと使いにくいかも。SDRAMコントローラーとかメモリ関連なら
問題ないと思うが
どこかにNiosとかSOPC話題にしたページを見た覚えあるんだけど忘れた
あまり役に立たなかったしw
346774ワット発電中さん:2005/08/18(木) 00:31:48 ID:zqrJaGvT
>>344
っていうか根本的にZ80より8051やZ8の方が後発な分、コードは洗練されてる…
347774ワット発電中さん:2005/08/18(木) 01:12:24 ID:xiJwPuXF
ま、懐古と旧資産利用以外に余りZ80の必要性を感じない。(w
348774ワット発電中さん:2005/08/18(木) 02:11:56 ID:wNBRqODx
>>347
そりゃ違う。Z80以外に理解できない奴も居る。
349774ワット発電中さん:2005/08/18(木) 02:12:38 ID:wNBRqODx
これがホントの池沼
350774ワット発電中さん:2005/08/18(木) 04:04:22 ID:XyOnlkJy
覚える気が無いんやろ
351774ワット発電中さん:2005/08/18(木) 07:26:47 ID:wD3u6lkq
>>342
すまんw あまりにも偉そうに掲示板使用してるんで彼のサイトかと思った。
でもここの管理人ザイのFAEってことだろ? ザイの現役FAEが会社を持ってるなんて聞いたこと無いんだが。
騙りじゃないの?  しかも

>>ザイリンクスFPGAの販売代理店です。
>>私がFPGAをさわり始めたときから、つきあっている方がいらっしゃいます。
>>今でも、私にとって、FPGAに関する貴重な情報機会を与えてくれます

ってここってTEDの二次店か三次店でしょ?テレネットなんちゃらって。
現役のザイのFAEがそんなとこから貴重な情報をもらってるの???

晒し板じゃないのでスレ違いだと思うが事情通いたら教えてくれ。
352774ワット発電中さん:2005/08/18(木) 07:58:36 ID:j7BBrkV0
えっと、テレネットなんちゃらが普通にXilinxの2次代理店だろ。
代理店に、Xilinx公認のFAEがいてもおかしくないと思うが。
Xilinx社のFAEではなくて、Xilinxが認定したFAEって事。

認定されると、最新版のCAD使えたり、サードパーティの
FAE向けライセンスが使えたり、専用のトレーニングを受
けたりできる。

建前的にはこんなかんじ。

実際には、うわっなにをうsdtfygふじこlp
353774ワット発電中さん:2005/08/18(木) 16:14:10 ID:uRiqmGUd
>> 現役のザイのFAEがそんなとこから貴重な情報をもらってるの???
元(かなり前)X FAEだろ
354774ワット発電中さん:2005/08/18(木) 19:27:21 ID:wD3u6lkq
>>352 >>353
なんとなくわかったような気がするけど。

>>メリット XILINX社の現役FAE(Fileld Application Enginer) で有るため、FPGAに関する情報が素早く入っています。

サイトにザイの現役FAEって書いてるよね。 これって問題にならんの?
第一、NIOSとかのリンクとか張ってる時点で代理店のFAEとかだったらなおさらやばいんじゃないのか?

ごめんな。 胡散臭いなっておもっただけ。 ここを荒らしたいわけじゃないのはわかってね。
355774ワット発電中さん:2005/08/18(木) 21:37:45 ID:IskBRBZT
ヲチスレ建てた方が良くね?
356774ワット発電中さん:2005/08/18(木) 22:46:25 ID:xiJwPuXF
どうしても立てたいなら最悪板でも立てろ。
357774ワット発電中さん:2005/08/18(木) 23:01:57 ID:KUScJBnZ
>>355
どうしても特定個人とか特定外部サイトの話を続けたいのなら隔離スレ作って
そっちでやってくれ。
358774ワット発電中さん:2005/08/18(木) 23:06:49 ID:xiJwPuXF
揉め事好きは自分自身も隔離されるべき存在だと気付かないんだろうさ。
迷惑すぎる。
359209:2005/08/19(金) 18:21:55 ID:GX3vhrts
Quartus2 Ver.5.0で、Fitterでコンパイルエラーになってしまい、
下記のアラーム表示されます。(新規プロジェクトでも、過去の資産でも)
PCの時計を1ヶ月先にするとコンパイル可能なので、ライセンスに絡んでいそうなのですが、
ライセンス新規に入手しても、コンパイルできません。
私自身FLEX,macrovisionなどの理解ができていない事もあり、恐縮ですが
どなたかエラー回避策をご存知の方がいらっしゃれば教えていただけないでしょうか?

Warning: FLEXlm software error: System clock has been set back Feature:quartus_lite License path:
C:/altera/***.dat FLEXlm error: -88,309 For further information,
refer to the FLEXlm End User Manual, available at "www.macrovision.com".

Error: Current license file does not support the *** device


P.S.>前回のノイズ問題については別途報告します。今、勤務中です…
360774ワット発電中さん:2005/08/19(金) 18:44:54 ID:Q7l2MLJp
>359
どこかにPCの時計よりも未来のタイムスタンプを持ったファイルがあるので
それを消すなりタイムスタンプを変えるなりすればいいです。

で、問題のファイルがどこにあるかは不明ですが、
経験上思いもかけないところまで見てるようなのでWindowsの検索機能を
使うといいでしょう。
361774ワット発電中さん:2005/08/19(金) 18:50:09 ID:cSE2ZZL/
やったことをもっと詳しく。
どういう状態でいつまで動いていたのか、
何をやったら動かなくなったのか、
どうやってライセンスを入手して入れて試してみたのか、
Tools -> License Setup の画面の内容(IDがらみはボヤかして可)
362209:2005/08/19(金) 19:00:00 ID:GX3vhrts
>360,361
Cドライブを全消しして、WinXP再セットアップして、
PC時計は現在の正しい年月日で、
Quartus2インストールして、ライセンス取得して、
新規にプロジェクトを立ち上げてコンパイルすると
上記のように、Fitterの5%くらいでエラーになります。

363774ワット発電中さん:2005/08/19(金) 19:17:21 ID:Q7l2MLJp
>どこかにPCの時計よりも未来のタイムスタンプを持ったファイルがある
どこかというのはCドライブとは限りません。
本当に文字通りどこかです。
勿論そのPCのディスク上ではありますが。

もともと変なタイムスタンプのファイルをどこかからもってきたか、
LHAのようにDOS版とWIN版で一部フォーマットが異なってて
場合によっては変なタイムスタンプを再生するようなアーカイバで
展開したファイルがあったりしませんか?
364774ワット発電中さん:2005/08/19(金) 20:28:00 ID:rx0hSCRY
>>359
一瞬俺のカキコかと思っちまったよ。3週間前全く同様の現象が発生したんでレスしておいてやる。
ALTERAに問合せたが原因不明。問題が起きてる部分ってのが、ALTERA社が
作ったソフトじゃ無い部分らしく、原因が掴めて無いと言ってた。
他の人が書いてる「未来のタイムスタンプ」の件を指摘されたが、正直あんまり関係無いと思う。
3年前から未来のタイムスタンプを大量に入れたPCでQ2の動作実験を継続中(ヲイヲイ)だが、
問題が起きたのは3週間前の1回だけだ。

で、解決方法だがQ2の再インストールをやり直せ。アンインストールしてから同じものを
インストールすれば直るぞ。
365364:2005/08/19(金) 20:42:53 ID:rx0hSCRY
>>362
御苦労さま。 だがそれ無駄。
問題が発生している部分は、Quartusフォルダー内なのでOSの再インストールは効果が無い。
ほんとにQ2再インストールしたのか? もしかしてネットワークライセンス使ってる?
ネットワークライセンス使ってこの問題が起きたなら、サーバー側ライセンスソフトの
再インストールが必要かもしれんぞ。 あんまり自信はないが・・・
366774ワット発電中さん:2005/08/19(金) 20:51:25 ID:Q7l2MLJp
そうだよ。
問題が起きるのはライセンスを更新したとき。
元からあったファイルは未来のファイルでも問題ない。
ただし元からあっても後で移動させたらどうなるかは知らない。
367774ワット発電中さん:2005/08/19(金) 20:54:50 ID:Q7l2MLJp
追伸
ネットワークライセンスの場合は知らない
368774ワット発電中さん:2005/08/19(金) 21:04:47 ID:Q7l2MLJp
366は言葉足らずでした。

インストールしたときに既にあった未来のファイルはそのときは問題にならない。
ただしライセンスを更新したときに問題になる(ことがある)。
問題になるかどうかはそのファイルがある場所によるようだ。
どういう規則で調べてるのかは不明。

インストール時に既にあった未来のファイルでも後で移動させた場合の
挙動は未確認。
369209:2005/08/19(金) 21:20:10 ID:GX3vhrts
361>
LicenseSetupですが、
一番上は../../altera/license.datです。(ファイル名を変えた)
一番下の SoftWare Guard IDがNotFoundで、それ以外は埋まっています。
上にあるLM〜のチェックはしていません。
真ん中の大きな白欄も、何もありません。
370209:2005/08/19(金) 21:28:35 ID:GX3vhrts
ちなみに、ライセンスは
メールで送ってもらった.datを使用しました。
それでコンパイルエラーになるため、
ネットでライセンス更新しましたが
効果ありませんでした。
371774ワット発電中さん:2005/08/20(土) 02:12:27 ID:5C+Oflds
fitterの途中までいくということはライセンスはあんまり問題なさそう。
ファイルがこわれてるかインストール失敗くさい。
4.2のときにfitterのなんちゃら.dllがどうのというエラーが出て、
再インストールしたらなおったことがあったが。
372774ワット発電中さん:2005/08/20(土) 04:38:01 ID:9ILHBSgJ
NICが2枚ささってるとか
373774ワット発電中さん:2005/08/20(土) 11:41:53 ID:8++6va7e
男のロマン、シリコンディスクが装いを新たに登場。
んでチップは財なのな。いまどきのFPGAはDDRにSATAと多才かつ高速なのねん。
ttp://pc.watch.impress.co.jp/docs/2005/0819/gigabyte.htm
374774ワット発電中さん:2005/08/20(土) 12:43:30 ID:ppBOBvGU
SATAコントローラは専用チップが裏に載ってる
375774ワット発電中さん:2005/08/22(月) 15:57:03 ID:PmHCwTHe
なーんだw
376774ワット発電中さん:2005/08/23(火) 09:08:20 ID:7V9RIJ4G
ttp://freewing-web.hp.infoseek.co.jp/sp2clb/altera.htm

EP1C12
って、ロジック・エレメント数 12060 って書いてあるんですけど。
これって俗に言う ORとかANDとかのゲート数 12060個までって事ですか?

また、
ttp://direct.xilinx.com/bvdocs/publications/j_ds099.pdf
これの1ページ下の。2番目 「XC3S200」って、システムゲート数200kって書いてあります。
これも ORとかANDとかを200k個まで いれられるって事ですか?
ロジックセルとシステムゲート数の違いがわからない・・。ロジックセル=RTLって事なのかな・・。
377774ワット発電中さん:2005/08/23(火) 09:47:41 ID:Y5IVGOos
1個のロジックエレメント(ロジックセル)には、LUTとFFとMUXが入ってるから、
そのままゲートで実装しようとすると数十ゲート必要になる。
ただし実際にセルをどうコンフィギュレーションしてるか調べたら数ゲート分の
機能しか使ってないってことも多々あるが。

http://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm#Spartan3E
とか見ると、少なくともこのシリーズでは1セルあたり50ゲート前後で換算してるな
378774ワット発電中さん:2005/08/23(火) 11:24:37 ID:YkU+xyIM
>>376
EP1C12の下位モデルなEP1C3で公称5万ゲートだから1C12なら20万ゲートだ。
でXC3S200も一応、公称20万ゲートだ。

んだが、RAMブロックやら違いがあって…
得意な分野がちょっとだけ違う。
379774ワット発電中さん:2005/08/23(火) 12:28:09 ID:xQQXPB3R
普段はALTERAばかり使っていてXILINXのチップについては疎いんだが、
XILINXの言う”ロジックセル”って一体なんなんだ?
Spartan-3付きデザインウェーブマガジン読んでも説明が無いし、>>376のPDFにも名前が出るだけ。
1CLBの中に4つのスライスがあって、1スライスごとに4BIT-LUT + FFが2セット入っていることから、
1CLB=8(LUT+FF)=8LE
と考えればいいんだろうか?
380774ワット発電中さん:2005/08/23(火) 17:30:08 ID:rY5N5fLU
>>379
それで合ってる。つまり1スライス=2ロジックセルだな。
スパ3のデータシートを見れば細かく書いてある。
381380:2005/08/23(火) 17:56:36 ID:rY5N5fLU
改めてデータシート見直したら1CLB=4スライス=9ロジックセルなのな。
そんでロジックセルの定義がどこにもないのな。
1スライス=2ロジックセルとすると
1CLBに1つあるスイッチマトリクスも1ロジックセルって数えてるの?
教えてエロい人
382774ワット発電中さん:2005/08/23(火) 21:50:51 ID:hJrJpFy9
ttp://freewing-web.hp.infoseek.co.jp/sp2clb/altera.htm

EP1C12
って、ロジック・エレメント数 12060 って書いてあるんですけど。
これって俗に言う ORとかANDとかのゲート数 12060個までって事ですか?

また、
ttp://direct.xilinx.com/bvdocs/publications/j_ds099.pdf
これの1ページ下の。2番目 「XC3S200」って、システムゲート数200kって書いてあります。
これも ORとかANDとかを200k個まで いれられるって事ですか?
ロジックセルとシステムゲート数の違いがわからない・・。ロジックセル=RTLって事なのかな・・。
383376,382:2005/08/23(火) 21:52:19 ID:hJrJpFy9
>>382
間違えました。。

ありがとうございました。。
384774ワット発電中さん:2005/08/24(水) 00:30:17 ID:NgwBXpxj
だいたい、1LEでFF1個 と思っていいんじゃなかった?

ASIC換算の公称ゲート数なんて、AMDの型番みたいなもんだ。
同じファミリー内での相対比較にしか使えんぜ。


385774ワット発電中さん:2005/08/24(水) 00:32:01 ID:RHzbfgGs
ふーん。
386774ワット発電中さん:2005/08/24(水) 00:50:37 ID:qJX6mwIA
結局FPGAってTLUでゲート部分作るから、そもそもANDやORのゲート数に換算って
目安にしかならないよね。

ゲート足りてるけど配線リソース足らなくてスゲェ困ってるw
なんでディスコンの石で設計する仕事なんてくるかなぁ。
387774ワット発電中さん:2005/08/24(水) 01:03:11 ID:3++8UI09
>>386
ANDの入力をどっちも結んで配線にする。
388774ワット発電中さん:2005/08/24(水) 01:25:40 ID:F+Ko1Bq2
>>387
配置配線のレポートで配線の為に潰したLE数とか出てるだろ?
つか、ゲートなんか全部LUTだって>>386が言ってるじゃん.....
389386:2005/08/24(水) 01:41:04 ID:B0utTYKt
3入力LUTの中の人はよくスルーされる模様。
配線で50nsも遅延ついてブルー。素子は10nsってw

ルックアップテーブルをTLUと書いた自分に気づいて鬱。
TLUだとテーブルルックアップじゃん。まあそれはそれでいいか・・・?
390774ワット発電中さん:2005/08/24(水) 07:47:02 ID:LMi3D2TE
XilinxとALTERAの決算報告が出てたけどXilinx苦戦中ね。特に日本で
391774ワット発電中さん:2005/08/24(水) 10:05:49 ID:pZGWOMQ3
日本人はアル寺のほうが好きなのか。
392774ワット発電中さん:2005/08/24(水) 11:06:50 ID:ddN7vgoh
個人の好き嫌いじゃなく大手にいくつまとめて納品出来たかで決まるからな
393774ワット発電中さん:2005/08/24(水) 13:31:57 ID:y8VaJBs3
MAXIIを小数ロットで使ってる奴いる?
394774ワット発電中さん:2005/08/24(水) 13:34:02 ID:O6hCCkNP
>>393
試作品で使ってたよ。量産品はASICになったけど。
395774ワット発電中さん:2005/08/24(水) 23:51:10 ID:NgwBXpxj
うちもここ数年はXilinxへの見積もりは儀式のようなもんだ。
戦略的価格で囲いこまれてるともいうが。

使わない

最新デバイスを使い込んでない不安あり

目的の性能に対して価格が近ければ設計はALTERAを押す

のパターンが成立しつつある。


396774ワット発電中さん:2005/08/25(木) 01:53:55 ID:28gp6Eca
X社は性能面がA社に比べて過大広告気味。ゲート数なんかも??信頼性も??

実際に使用すると嘘だと気づく。次の新商品の説明受けても本当かいなと
思ってしまう。

結局、保守的な日本人はA社を使用してしまう。無難なんだよ。

397774ワット発電中さん:2005/08/25(木) 01:57:55 ID:b7UasCBW
周期的にX社A社の良い時期は交互に来るしね。保険の意味でも
どちらか一方でしか設計できない奴はプロとしては失格。乗り換え
できないと代理店にもなめられるよ。
398774ワット発電中さん:2005/08/25(木) 08:09:47 ID:p1k5wiCY
>>397
禿同。 一社にしぼるのはリスクも大きい。
うちは部署によって違う感じだな。

まあ実際のところ、CPLDはアルテラ、FPGAは圧倒的にザイの方が性能はいいよ。
日本ではMAXUが馬鹿みたいに売れてるのと(FPGAなんだかCPLDなんだかわかんないデバイス・本当はFPGAだけどな)、ガイシュツだが日本人は新しいものだからといって飛びつかず、過去の市場での実績とかすごい気にするからアルテラが売れてる。

数年前アルテラがよくてザイがあんまりよくなかった時期にFPGA入れたところが多いからその流れもある。

ただ、正直一長一短なとこもあるのでどっちがいいともいえないんだけどね。実際は。
不具合解析のレスポンスはアルテラのほうがいいけど、通常のサポートはザイのほうがいいしね。(これは代理店によるんだろうけど)

両方いれといたほうが賢いっすよ。 値段交渉もしやすいし。
399774ワット発電中さん:2005/08/25(木) 08:24:54 ID:N0yxZ0Mk
>>396
僕は>>397のように、交互に変わっている気がする。
今は完全にアルテラですね。10月以降に、Virtex4の
供給が安定してようやく勝負になる気がする。

今、St2とLX4の両方を調べてるんだけど、速度は
ST2の方が2割ほど上、価格はLX4の方が半額くらい
なんだよな〜。半額っていわれても、あり得ない納期
回答されるから、選択肢から消えるけど。
400774ワット発電中さん:2005/08/25(木) 12:37:14 ID:+SOZalzJ
好き嫌いはあっても、片方を十二分に使いこなせる椰子が他方を使えないわけはないだろ。
お前ら、アホか?
401774ワット発電中さん:2005/08/25(木) 12:54:01 ID:2SXlsWUP
製品が安く作れればどうでもいいよ
402774ワット発電中さん:2005/08/25(木) 13:31:20 ID:4qWM9x6f
>>400
罪ならTELに、寺ならぱるに丸投げ。間違いない。
403774ワット発電中さん:2005/08/25(木) 16:00:04 ID:bxO4bohl
>>400
使い込んでないデバイスだとバグや癖やで苦労するのを避けたいんだろう
404774ワット発電中さん:2005/08/26(金) 01:20:01 ID:oWsiN6J6
>>402
全て海外で製造なら、US資本のアセンブリメーカに
調達させるという手もある。

代理店の価格や納期で、XかAかなんてことに頭使ってたのが
アホらしくなることも。

405774ワット発電中さん:2005/08/27(土) 00:09:53 ID:VSiKSPhK
おまいら、AかXか、それとも両方か、という議論するなら、Lも使えっちゅうの。



406774ワット発電中さん:2005/08/27(土) 00:17:42 ID:5GO0hp0m
>>405
あと2つのAとQも忘れるなよ。w
407774ワット発電中さん:2005/08/27(土) 01:51:41 ID:MUgcWV1D
AってAC〜、AL〜、AT〜と3つもあるなw
408774ワット発電中さん:2005/08/27(土) 02:00:08 ID:xg+aneP9
Cyもあるんだっけ?
409774ワット発電中さん:2005/08/27(土) 02:07:24 ID:5GO0hp0m
>>408
Web見ると一応まだやってるようだね。つーか、まじで忘れてたよ。w
410774ワット発電中さん:2005/08/27(土) 08:07:30 ID:rvm9w2ux
NIOSって使いものになる?
411774ワット発電中さん:2005/08/27(土) 16:05:23 ID:kPXlLgDE
>>410

410が使える奴ならばNiosも使い物になるでしょう。
412774ワット発電中さん:2005/08/27(土) 19:12:13 ID:rvm9w2ux
 Cyclone+niosでつかいものになる?
 RAMが64Kバイトでプログラムエリアが128Kバイトくらいあれば
かなり使い物になると思うが、ヤッパ外づけしないと無理だろ。
413774ワット発電中さん:2005/08/27(土) 23:06:51 ID:kPXlLgDE
そんな事は知らんよ。
どの程度のアプリを動かすかも知らないのに返答のしようがないと思うが。
Nios2の場合CODE+RAMで最低6KB位あればちょっとしたプログラムは動かせるので、
後は自分で判断してくれ。
414774ワット発電中さん:2005/08/27(土) 23:10:11 ID:kPXlLgDE
ちょっと補足。
LEDチカチカ位で4KBだった。
415774ワット発電中さん:2005/08/28(日) 05:12:48 ID:lXIcr1vm
>>414
4KBでチカチカぐらいなら、128kBでできるのは、
チカチカチカチカチカチカチカチカ チカチカチカチカチカチカチカチカ
チカ干カチカチカチカチカチカチカ チカ手力チカチカチカチカチカチカ
チカチカチカチカチカチ力士カチカ チカチカチカチカチカチカチカチカ
チカチカチカチカ千カチカチカチカ チカチカチ刀チカチカチカチカチカ
ぐらいか。
416774ワット発電中さん:2005/08/28(日) 11:07:07 ID:ElX/FWmA
4KBitか? 4kByteでLEDチカチカしかできないってことはないだろ。
しかしFPGAの仕事って、量産品を設計しているものから見ると、バカみたい
に贅沢だよな。コードからするとチョロットだけなのに、100万とか
200万とかでビックリしてしまうよ。
417774ワット発電中さん:2005/08/28(日) 16:06:12 ID:lxYpdA8M
ビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリビックリ
418774ワット発電中さん:2005/08/28(日) 16:09:27 ID:1E8AciHU
>>416
2行目以降意味わからん。
419774ワット発電中さん:2005/08/28(日) 16:47:40 ID:wMZqVt3Q
>>418
ようするに自分はFPGA作る仕事を下に見ている無能設計者だということを告白していると。
420774ワット発電中さん:2005/08/28(日) 17:51:51 ID:1hFVgViY
もう満足したかい?
421774ワット発電中さん:2005/08/28(日) 22:05:21 ID:lN/XqSf1
すいません、ザイリンクスのISE(WEB版)について教えて下さい。
(使い初めて3日目です)
これまで、回路を書いてはインプリメントを繰り返し、
これまで問題なく使えていたのですが、
今日、トップ回路図のI/Oピンの名前を変えたところ、
インプリメントでエラーがでるようになってしまいました。
エラーメッセージには、書き換える前の古い信号名が不適当(?)
であるかのようなメッセージがでるのですが、
トップ回路図のI/Oピンの名前を変えているので
古い信号名は使っていないはずなのですが、
どこかに痕跡が残っていて、これが未使用でエラーになった
という感じです。

トップ回路図でI/Oピンの名前を変えた場合、
名前を変える他に、何か手続きをしなければいけないのでしょうか?
422774ワット発電中さん:2005/08/28(日) 22:24:33 ID:wMZqVt3Q
>>421
プロジェクトのリフレッシュ。
423774ワット発電中さん:2005/08/29(月) 01:13:51 ID:8+FIqaWK
>>442
レスありがとうございます。早々に試してみます。
424774ワット発電中さん:2005/08/29(月) 12:47:02 ID:ImYt2xXm
クォータス2のアセンブラと言うのは何をしているのでしょうか?
ソフトでもないのにアセンブラ
いまいちわかりません
425774ワット発電中さん:2005/08/29(月) 12:51:36 ID:OKauRIfc
RTLをFFとAND/ORに展開してるんでない?
426774ワット発電中さん:2005/08/29(月) 13:26:51 ID:e7EzVwZM
>>424
書き込み用の.sofファイルを作ってるみたいだね。>アセンブラ
427774ワット発電中さん:2005/08/29(月) 19:15:12 ID:ImYt2xXm
.pofも作ってるのかな?
428774ワット発電中さん:2005/08/29(月) 23:21:13 ID:y9ytVDM/
きっとリリアンとか編んでんだよ
429774ワット発電中さん:2005/08/30(火) 01:48:59 ID:5uzhn7uj
>>424
assemble : 組み立てる 集める; 〜er : 集める人 組み立て工
でソフト専用の用語というわけじゃないよ。
ビデオの編集でもアセンブルという作業があったし。
430774ワット発電中さん:2005/08/30(火) 02:16:42 ID:ALCV7+f6
>>428
終わんねーじゃねーかw
431774ワット発電中さん:2005/08/30(火) 07:39:32 ID:vqeRzmhb
>>425
そりゃ合成だ
かなり前の方の工程
432774ワット発電中さん:2005/08/30(火) 08:06:14 ID:WEnm0/CH
Cycloneをテストしたいんだが、安いボードない?
433774ワット発電中さん:2005/08/30(火) 08:34:09 ID:FBaWSJHT
>>425
しかもand/orはPLDだがね。
434774ワット発電中さん:2005/08/30(火) 12:32:33 ID:mEilE8ta
>>432
このあたりか http://www.hdl.co.jp/ACM-004/
もっと安いのが欲しければ、デザインウェーブのバックナンバーでも探せ。w
435774ワット発電中さん:2005/08/30(火) 15:00:37 ID:dqOHOGcM
拡張性ほぼゼロでよければ Cubic Cyclonium $149
まだあるかどうかわからんが
http://www.altera.co.jp/education/demonstrations/tools/cubic-cyclonium/onl-cubic-cyclonium.html
436774ワット発電中さん:2005/08/30(火) 17:51:53 ID:5uzhn7uj
それだったらこっちの方が良くないか?
http://www.altera.co.jp/products/devkits/altera/kit-nios_eval_1C12.html
437774ワット発電中さん:2005/08/30(火) 21:51:42 ID:epOehPMs
MachXOはどうよ?
リアルタイムISPってつかえるのか?
438774ワット発電中さん:2005/08/30(火) 23:57:14 ID:wgfpf0J6
439774ワット発電中さん:2005/08/31(水) 03:32:04 ID:cNUGCgbN
>434
これか? 高いなー。デザインウエーブに付録でついたことあるの?
でも、もうないだろなー。

>436
 それいいけど、納期1ヶ月かかるんだよ。
440774ワット発電中さん:2005/08/31(水) 09:18:26 ID:ScVlLmuV
ホレ
http://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm


>>436
コンパクトにまとまってるし、用途によっては秋月でH8ボード買うよりいいね。
いくらするか知ってる?
441774ワット発電中さん:2005/08/31(水) 10:44:17 ID:AsRVy3SK
>>440
US$で295ドル。
でじきーで320ドル。
国内代理店はおそらく49800かな。
442774ワット発電中さん:2005/08/31(水) 10:48:20 ID:AsRVy3SK
443774ワット発電中さん:2005/08/31(水) 11:41:02 ID:ScVlLmuV
>>441
THX
digikeyはわかったけど
US$で295ドル。 って?
米アルテラから直で買える?
444774ワット発電中さん:2005/08/31(水) 14:58:34 ID:uVeDMwtp
EP1C6 で $149
445774ワット発電中さん:2005/08/31(水) 15:00:43 ID:uVeDMwtp
446774ワット発電中さん:2005/09/02(金) 03:20:32 ID:B9uzDwCB
書き込みツールのByteBlasterは回路が色々出ていて、作れそうだけど
USBブラスターって作れるんだろうか? 
どこかに回路あるんだろうか?
447774ワット発電中さん:2005/09/02(金) 04:09:33 ID:VTWQBiC/
>>446
無理
448774ワット発電中さん:2005/09/02(金) 14:54:31 ID:pdGfYyZQ
アル寺セミナで西が講演するぞ
449774ワット発電中さん:2005/09/02(金) 15:28:59 ID:tYnxKM9O
ALTERAの1chipMSXが発売されてれば良いネタになったんだろうけどもうダメポ
ttp://www.altera.co.jp/education/events/pld_world2005/evt-index.html

っつーか講演参加者への土産に配ってくれw
450774ワット発電中さん:2005/09/02(金) 20:32:36 ID:pvtxYhWF
なんで西が?
他人の土俵で適当な自慢話と手柄の簒奪か。
最低だな、そんな事だからアスキーは駄目になったのだろうが。
451774ワット発電中さん:2005/09/03(土) 01:26:58 ID:4r4fMuo5
なんで西なんだろうね?
452774ワット発電中さん:2005/09/03(土) 05:29:02 ID:6KEvvf+M
西なんかどうでも良い。それより、シュミレーションの仕方が分らん。
 QUALTUSに変えたら、シュミレーターの使い方がわからん。
BaseLineのときはコンパイルして、そこから自動的にノードを抽出
してシュミレーション用のSNFファイル?にする方法があったと思うが、
QUALTUSではどうするんだ?
453774ワット発電中さん:2005/09/03(土) 07:13:42 ID:uV0X3Rxc
>>452
お約束の…





趣味かよ!
454774ワット発電中さん:2005/09/03(土) 08:04:43 ID:TAi+ub5U
>>452
似たような方法でWave入力できるが、時間の無駄。HDLならBaselineでシミュレーションするか、
ModelsimのようなHDLシミュレータを使う。
455774ワット発電中さん:2005/09/03(土) 08:59:23 ID:8o+2OQNW
西っていつのまに学位とったんだ?
こいつ確か大学すら中退だったよな。
高卒博士だな。学位論文見せろよな。
456774ワット発電中さん:2005/09/03(土) 14:51:27 ID:kq26KiSA
>>455
高卒と博士は別に矛盾しないと思うが。
どこかの大学が博士号を与えれば、その人は博士だよ。
457774ワット発電中さん:2005/09/03(土) 15:12:13 ID:EfbMCp6A
>>456
>高卒と博士は別に矛盾しないと思うが。
アホ!
誰が矛盾してると言ったよ。学位なんてもんは資格じゃないんだから小学生でも理論的には取得可能だ。
ただし、修士を取得せずに学位取得してる人間はちょくちょくみかけるが、大卒でない人間の確率はかなり低いから言ってるんだ。
ただし、こいつの論文は見たことないが、まともな論文書いたんだろうな!って話だ。仮にアスキー在職中に
名誉博士あたりをどっかの大学からもらってて、まともな論文を書いたことがなければ論外の糞博士だってことだ。
似非宗教法人の詐欺師代表が金だけ払って博士を嘯いてた例もあるしな。
458774ワット発電中さん:2005/09/03(土) 15:20:52 ID:kq26KiSA
>>457
結局何が言いたいんだよ。w
博士がピンキリだってこと?
そんなことはみんな知ってるよ。
459774ワット発電中さん:2005/09/03(土) 15:21:21 ID:GrXsytfr
でどうなんだ。味のほうは。それはうまいのか?
460774ワット発電中さん:2005/09/03(土) 15:29:58 ID:EfbMCp6A
>>458
てめえのような糞にいちいち説明する必要なんてあるかアホ
勝手に憶測しとれマヌケ
461774ワット発電中さん:2005/09/03(土) 15:33:05 ID:kq26KiSA
なんだ、独り言だったのか。相手して損した。w
462774ワット発電中さん:2005/09/03(土) 18:54:32 ID:hTJPbve/
情報学博士ってものがあるのをはじめて知った
463774ワット発電中さん:2005/09/03(土) 19:18:48 ID:Lg/SQmCH
>>457
そうか?
464774ワット発電中さん:2005/09/03(土) 22:34:22 ID:boWiXuow
>>457
PLD WORLD行って突っ込んでみたら?
465774ワット発電中さん:2005/09/04(日) 02:38:22 ID:CdZICnoL
どうでも良い話はそろそろ切り上げたら?
466774ワット発電中さん:2005/09/04(日) 14:19:23 ID:Qpx4Dck3
で、みんな行くのか?
隅に集まってこそこそ名刺交換でもするか?
467774ワット発電中さん:2005/09/04(日) 16:04:19 ID:EOPVyyam
>>466
ALTERAからご招待受けたので行ってみようかな。
468774ワット発電中さん:2005/09/04(日) 18:33:47 ID:gF3zsZXL
古い話にレスで恐縮だが
>>326
元A社のMさんってNios担当の?あら、辞めたんだ。
Nios担当なのに「Niosは重要ではありません」とか
変わった人だったな〜(Alteraらしくないって意味で)
半年ぐらい連絡取ってなかった
もう一人AlteraらしくなかったK氏も辞めたみたいだね
469774ワット発電中さん:2005/09/05(月) 03:22:04 ID:3dCgh9gS
NIOSって重要じゃないのか?
470774ワット発電中さん:2005/09/05(月) 08:43:28 ID:FokP/YW1
>>469
全然重要じゃない
471774ワット発電中さん:2005/09/05(月) 08:57:04 ID:pnHroOT2
>>469
しったかぶりちゃん判定用に最適
472774ワット発電中さん:2005/09/05(月) 14:00:49 ID:NcBOkj3N
結局1チップMSXってどうなったの?
473774ワット発電中さん:2005/09/05(月) 14:02:21 ID:NcBOkj3N
http://www.ascii.co.jp/1chip/

終了しましたって。。
結果は?
474774ワット発電中さん:2005/09/05(月) 15:00:09 ID:xut66GOJ
>>473
そのページを良く読むように
475774ワット発電中さん:2005/09/05(月) 17:36:54 ID:NcBOkj3N
理解した。アリガト。
あるてらも教育と西の致命度じゃなかった知名度を重視して格安でチップとかツールを西のところに提供するんだろうな。
でも、ちょっと公演もお願いしますよ。ってところだな。

しかーーし。非常に愉快な結果で大満足だ。
476774ワット発電中さん:2005/09/05(月) 19:10:43 ID:Kq4GSzd1
これ早く消せよ。
だからやる気ないって言われるんだよ。

http://www.ascii.co.jp/1chip/

多数のご予約をいただきまして、誠にありがとうございました。
ただいま、法人・学校様分の予約を合わせて、集計作業中です。
発表まで、いましばらくお待ちください。
477774ワット発電中さん:2005/09/05(月) 20:36:41 ID:FRdrExRy
478774ワット発電中さん:2005/09/06(火) 01:45:24 ID:E4DhJbjB
>>469
「本当に重要なのはSOPC Builderなんです」って続いてた。
Niosを使う気がないから(他のCPUを使うとか)、SOPC Builderも使えない
って思いこみを変えたいんだって。

IP間をつなげるツールって少ないからね。
タダで入手可能なその手のツールとしてはほぼ唯一じゃないかな?
Xのはちょっと貧弱すぎ・・
479774ワット発電中さん:2005/09/06(火) 08:29:49 ID:AYqtoa/s
わけのわからん大学の学位をかさに、
MSX教を立ち上げて、
エンジニア未満のアホどもや自分の研究室の学生をたぶらかし、
ひともうけしようとたくらんだが
目標の7割りしか信者があつまらず、
あくどい夢が瓦解した

ギャハハハハ。大笑い
ざまぁみさらせ。
480774ワット発電中さん:2005/09/06(火) 09:38:42 ID:VYU18ChI
>>479
WESTとXSWの話題は禁止にできんのかなあ。
481774ワット発電中さん:2005/09/06(火) 09:42:16 ID:/p0lDgQy
>>479-480
隔離スレがあるからそっち行ってやってくれ
http://science4.2ch.net/test/read.cgi/denki/1120122219/
482774ワット発電中さん:2005/09/06(火) 11:50:54 ID:VYU18ChI
>>481
俺に言うなよ〜。しょぼん
483774ワット発電中さん:2005/09/06(火) 19:22:52 ID:Yu+YiKzi
ふざけたIDしてるからだ
484774ワット発電中さん:2005/09/06(火) 20:44:20 ID:0QKDAUMT
>>479とか、
隔離スレの>>1みたいなヴァカのせいで
MSXの話題が禁止になるのは悲しい…。

もちろんここがMSXのスレでないことは承知しているが、
ワンチップMSX自体はSoCの一種なんだし、
隔離スレだけでなく、こっちで話題がでることも
ごく自然なことだと思うがなあ。

つーか、隔離スレって何だよ、ただのヴァカが勝手に立てたスレじゃん、って思うわけで。
485774ワット発電中さん:2005/09/06(火) 21:29:50 ID:pT7zQEmG
>>484
禿同。FPGAとしての話題はここでやっても何の問題もない。
>>479(たぶん隔離スレ立てたのと同一人物)はMSXにトラウマを持っているようだが
無視しとけばいいよ。
486774ワット発電中さん:2005/09/06(火) 21:37:33 ID:NUpJZC4P
>>485
彼、スレ立てたはいいけど、そっちでも隔離状態にされちゃってたから・・・・
487774ワット発電中さん:2005/09/07(水) 00:06:01 ID:Z2zpl2KY
>>484
話題が「みんな予約よろしく」って感じだけになってるからでしょ。
隔離スレの1ではないけど、毎回URLばかり貼られるのは正直見ていて
気分が悪かったです。
4881:2005/09/07(水) 00:47:27 ID:Y9OvPYVI
>>485
>禿同。FPGAとしての話題はここでやっても何の問題もない。

問題だね。そんな特定のヴァカにだけが興味を持つ話題は専用スレがあるからそっちでやれ。
ここはMSXネタは禁止だ。というより、まぼろしを話題にしても意味がない。
489774ワット発電中さん:2005/09/07(水) 00:58:17 ID:cFLShFLV
そだね。
gccがサポートしてるとか、NiosやMicro/PicoBlazerみたいにメーカがコンパイラをサポートしてるような
CPUのクローンをこしらえる話題ならそれなりに意味があるけどMSXなんか作ってもまったく実用に耐えんし、意味ないね。
そんなもんに今時かかずらわってる暇があるなら他にやることはいっぱいある。どうしてもやりたいなら
別スレがあるからそっちでやってくれ。つーか、既に裁定は下ったわけだ。
490774ワット発電中さん:2005/09/07(水) 01:32:02 ID:1YuFNwAZ
変な宗教が流布する前に頓挫してよかったよかった。
いや〜めでたい。
491774ワット発電中さん:2005/09/07(水) 09:45:24 ID:B6XFAzLN
>454
>似たような方法でWave入力できるが、時間の無駄。HDLならBaselineでシミュレーションするか、
>ModelsimのようなHDLシミュレータを使う。

何でわざわざBaselineでシュミレーションしたほうがいいの?
Qualtusのシュミレーターてだめ?

Modelsimっていくら? というか、Qualtusの正規版にはModelsimがついているから
Qualtusの正規版は価格はどのくらいなんだ? 100万くらいか?
492774ワット発電中さん:2005/09/07(水) 09:51:38 ID:Zn1bIBtU
>Qualtusのシュミレーターてだめ?
お話にならない。あんなもんでテストベンチ作ってもお茶を濁してるだけだ。
テストベンチもというかテストベンチこそVerilogで書く意味がある。
493774ワット発電中さん:2005/09/07(水) 10:12:12 ID:40mOK3ON
>>492
hogehoge.tst
をバリバリ手打ちで記述できれば神。
494774ワット発電中さん:2005/09/07(水) 12:48:36 ID:B6XFAzLN
dewa 何でわざわざBaselineでシュミレーションしたほうがいいの?
495774ワット発電中さん:2005/09/07(水) 12:53:13 ID:riJ26O5p
一応お約束

趣味レーション?
496774ワット発電中さん:2005/09/07(水) 18:42:02 ID:B6XFAzLN
> テストベンチこそVerilogで書く意味がある。

VHDLよりVeriの方がいいかい? 
アルティマの兄ちゃんが、VeriはVHDLに比べて記述量が少ないが、厳密性に
かける(いい加減)といっていたが、本当か?
497774ワット発電中さん:2005/09/07(水) 18:44:25 ID:UIwC0Zht
>>496
宗教論争になりそうだからあまりやりたくないが、はっきり言えば偏見。
まぁどちらでも好きなほうを使えばいいと思う。
498774ワット発電中さん:2005/09/07(水) 18:52:06 ID:B6XFAzLN
テストベンチはどっちが書きやすいってのがあるかい?
俺はCになれてるからVeriの方が良いか?
AHDLに慣れてるから、VHDLが良いのか? 迷っちゃう。
 お前さん決めておくれ。
499774ワット発電中さん:2005/09/07(水) 19:24:00 ID:UIwC0Zht
>>498
よし。君は Verilog を使うことに決めた。
500774ワット発電中さん:2005/09/07(水) 20:22:09 ID:B6XFAzLN
>499
君がそういうのだったらやっぱし、VHDLがいいのかなー。
俺って裏読みの天才だからね。
501774ワット発電中さん:2005/09/07(水) 20:42:21 ID:wUMQEpzT
>>500
ヒント:ひねくれもの
502774ワット発電中さん:2005/09/07(水) 20:58:38 ID:NNJGN8dh
>>496
明示してない部分で挙動がおかしいという部分もあるかもしれないけど、
VHDLの方が出来ない制限多すぎる。Q2は特に。
ifdefで条件つけたコンパイルが出来ないのは痛かったなあ。
FPGAからASICへのマイグレーション時にソースを2つかかえこまないといけなかったのは
VHDLの欠点。
おいらの担当のあるてまのおにいちゃんはVerilogマンセーな人だったよ。
503774ワット発電中さん:2005/09/07(水) 22:03:40 ID:/+T5yzDz
宗教戦争になりそうなので嫌だが、ラフに書けてしまうがその分気軽に使えて良いね>Verilog

でも、文法が厳格で曖昧さを許さないVHDLの方が漏れは好き。世の数勢ではないようだけど。
504774ワット発電中さん:2005/09/07(水) 22:50:26 ID:gJgldDfR
カトリーナの犠牲が大きかったのでcycloneシリーズの販売はしばらく
自粛します。

なんてことにはならないだろうな。
505774ワット発電中さん:2005/09/07(水) 23:02:10 ID:B6XFAzLN
趨勢はVerilogか? 半々くらいってきいたけどなー。
506774ワット発電中さん:2005/09/07(水) 23:14:43 ID:UIwC0Zht
>>504
このあたり↓も不謹慎な名前だ。w
http://64.15.207.146/home.asp?PageID=243&sMenuID=134
507774ワット発電中さん:2005/09/07(水) 23:19:29 ID:UIwC0Zht
Cに馴染んでるならVerilog
Adaに馴染んでるならVHDL(居るかなぁw)
ASIC関係は伝統的にライブラリサポートの関係でVerilogが多い
大学関係はVHDLが多い
まぁどちらでも出来ることは同じだから好きなほうでどうぞ。
プロになる気なら一応両方かじっておけ。
508774ワット発電中さん:2005/09/07(水) 23:30:52 ID:/+T5yzDz
シミュレータ自体をコントロール構文てVHDLは弱かったと思うけどその点が不満かな。
509774ワット発電中さん:2005/09/07(水) 23:46:43 ID:sgIDlcUN
>>508
Cのプリプロセッサみたいに
別のスクリプトで調整するひともいる
510774ワット発電中さん:2005/09/07(水) 23:53:34 ID:1OxyMrld
AHDLでがしがし書いてる俺様がきましたよ
511774ワット発電中さん:2005/09/08(木) 02:15:24 ID:LAe9dO7Z
>>509

んだんだ。
tcl や perl 、あとUnixのシェルは書けたほうがいい。
演算もののベクタ作るのはC言語のほうが個人的には楽。
知らなくてもいいけど、腕があれば仕事が楽に速く美しく進む。

最近はCが書けてLinux使いであるのが災いして、組み込みOSの移植に応援中。。
やりすぎはソフト屋や解析野郎どもに唾つけられるから注意だ!


512774ワット発電中さん:2005/09/08(木) 05:15:32 ID:gOh/GBT1
シェルは書けて当然として
今時tclやperlをl勧めるぐらいならPythonの方がよっぽどいいだろ?
実行速度さえ気にしなければ、複素数対応の電卓代わりにもなるし。
10年前に自分で書いたperlは今見るとアセンブラ以上にわからん。awkにしときゃよかったと後悔してる。

>別のスクリプトで調整するひともいる
Unix上のコマンドライン前提だとこれでもいいが、Win上のQ2やISEみたいな統合ツールからだと、
非常にめんどい。
C++屋はマクロとか極端に嫌うけど、やっぱ言語仕様としてプリプロセッサはあるにこしたことない。
マクロより#ifdefが有用なんだよな。
513774ワット発電中さん:2005/09/08(木) 23:26:57 ID:XzPDCJXJ
関西ではVHDLが多くて
関東ではVerilogが多いと思うんだが気のせいか?
514774ワット発電中さん:2005/09/08(木) 23:38:24 ID:eO00vMB9
メーカー系はVerilogが主流だから多いかも知れないな。
でも統計をとった訳じゃないから主観止まり。
515774ワット発電中さん:2005/09/09(金) 10:35:42 ID:+Hr7kTdS
>>513
関西人のおおざっぱさを考えると逆っぽいきがするけど
516774ワット発電中さん:2005/09/09(金) 10:37:36 ID:Yh4YngsR
人間が大雑把だから機械に厳しくチェックしてもらうんやないか!
517774ワット発電中さん:2005/09/09(金) 20:46:27 ID:/DFXnqUi
ttp://natrium42.com/projects/jtag.html
こんなシンプルなJTAGケーブルあんのか
518774ワット発電中さん:2005/09/09(金) 20:54:46 ID:XRsuCS4M
>>517
こゆのはちょと伸ばすとだめぽ
519774ワット発電中さん:2005/09/09(金) 23:17:48 ID:izUF/rga
>>515
主観で語るってエンジニアらしくないな。
520名無しさん@そうだ選挙に行こう:2005/09/10(土) 22:20:02 ID:kWxBB6OH
AlteraのUSBバスターって、まんまFTDIのドライバー使ってない?
間違って、バージョンダウンしちまったよ(トホホ
521名無しさん@そうだ選挙に行こう:2005/09/11(日) 17:00:16 ID:EDjsHryp
>主観で語るってエンジニアらしくない
エンジニアって、正義の基準は俺様って人が多くないですか?
偏見かなぁ
522名無しさん@そうだ選挙に行こう:2005/09/11(日) 18:47:23 ID:qqVrfv/c
エンジニアにかぎらないと思うのだが…
523名無しさん@そうだ選挙に行こう:2005/09/11(日) 22:46:08 ID:gp36tRja
エンジニアって選挙いかないよな!?
524名無しさん@そうだ選挙に行こう:2005/09/11(日) 22:50:20 ID:x20aP7hn
>>523
んなこたぁねぇよ
525名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:08:30 ID:pIx/S+Kt
人生34年にして初めて選挙行きマスタ
すいません
526名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:24:50 ID:S6qatiyA
半導体パーツ屋を公営化するとかそういう候補者がいたらかぶりつくかも
527名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:27:59 ID:oR21MhKp
全国で投票した人の中から抽選で10名様にFPGA評価ボードプレゼントとかだったら行くのになぁ
528名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:29:13 ID:oUcxrTpl
>>526
非効率的な運営でタコなパーツばっかりになりそう。

それよりも国策で国産FPGAプロジェクト希望。
529名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:35:54 ID:gp36tRja
>528
いらね
530名無しさん@そうだ選挙に行こう:2005/09/11(日) 23:46:50 ID:S6qatiyA
国営の基板屋と、ASIC屋だな。少数限定で・・・ん、VDEC?

非効率だけどマイナーな部品でも扱ってくれないと、国営の意味ないw
ディスコンパーツも余裕で手に入るとかw
531774ワット発電中さん:2005/09/12(月) 09:31:48 ID:m/z6uFbv
>>528
国策でFPGA使ったワンボードMS(殴
532774ワット発電中さん:2005/09/12(月) 14:42:35 ID:Ac2WYrcz
漏れも選挙逝かなかった
533774ワット発電中さん:2005/09/12(月) 20:25:54 ID:j44EmrR1
投票なんて「権利」なんだから放棄してもいいんだよ。
534774ワット発電中さん:2005/09/12(月) 23:37:27 ID:bQ2DbXy8
>>532
>>533
棄権は権利放棄でありその後決まる事に文句を言う資格はなくなります。
公民やったのか?
535774ワット発電中さん:2005/09/13(火) 00:45:21 ID:7qUdq3J3
雑誌でSRAMタイプのFPGAはソフトエラーが多いとか記事があったが
宇宙ならまだしも、地上器材とかで対策ってしてるの?

なんかa○telとかの誇大広告のような気がするんだが、どうよ?
536774ワット発電中さん:2005/09/13(火) 01:03:48 ID:mpg/MMMp
>>535
MDS-1での実験結果を見る限り、冗長性などきちんと対策すれば宇宙でも使えそうだよ。
http://www.jaxa.jp/press/archives/nasda/2002/08/tsubasa_sac_020828-01_j.html
↑ではFPGAとなってるが、確かSRAM型も含まれていたはず。
537774ワット発電中さん:2005/09/13(火) 18:15:59 ID:swiruiuu
SEU (Single Event Upset )発生頻度0
・・・これは何も対策しなくても桶、ということでつか?
538774ワット発電中さん:2005/09/13(火) 19:40:16 ID:mpg/MMMp
>>537
あくまで6ヶ月の運用で一度もエラーは発生しなかったってこと。
対策が必要かどうかは要求される信頼性次第だけど、1度でもエラーが起きたら
それが致命的という用途でなければ多くの場合対策不要だろうね。
539774ワット発電中さん:2005/09/13(火) 19:43:05 ID:uI60BbLU
>>534
公民の先生にその文章見せて添削してもらえw
540774ワット発電中さん:2005/09/13(火) 22:49:22 ID:UGV+NPhO
公民?政治経済のことか?
541774ワット発電中さん:2005/09/13(火) 23:38:31 ID:sOmLTzxH
>>539
揚げ足一人前反論零人前。
542774ワット発電中さん:2005/09/14(水) 01:17:22 ID:H/e8RWN1
>>536
535です。情報サンクス
資料を見ると、FPGAのエラーに対する予測値がFLASHに対するそれと
同程度なので、FLASHタイプのFPGAなのかな?
SRAMやDRAMだとエラーが出てるなぁ。

543774ワット発電中さん:2005/09/14(水) 01:37:32 ID:i2buCVae
内部のセルがでかいのかも
544774ワット発電中さん:2005/09/17(土) 01:17:39 ID:67CCNiEe
喪前らの会社ではHDLシミュレータ何使ってる?
545774ワット発電中さん:2005/09/17(土) 02:23:37 ID:xdl4M6mh
もでるしも
546774ワット発電中さん:2005/09/17(土) 04:21:51 ID:773kQAJl
自営なんで普段はIcarus Verilog。
納品に一式(プロジェクトファイルとか)欲しいといわれたら
最後にModelSimを実行して渡す。
547774ワット発電中さん:2005/09/17(土) 10:50:24 ID:ioRLbD4J
Icurusでどうやって配線遅延シミュレートするんだ?
548774ワット発電中さん:2005/09/17(土) 11:50:08 ID:ZrubAoR2
FPGAインフォメーションに出没する軽石って何者?
掲示板のオーナーとは別人?。

なんか回答になってないよ。あのひと。
549774ワット発電中さん:2005/09/17(土) 13:14:24 ID:FKdVjEsl
>>548
いいんだよ、あれで。
それをマターリ見ているのがオトナってもんだ。

だいたいあの掲示板で役に立つことなんてあるか?
ここにカキコしている連中にはすでにクリアしたレベル
だろ。
なひたふとかFPGAインフォみたいに、ネットの世界
にも幼稚園みたいなものが有ると思っていればイイのさ。
550SP3:2005/09/17(土) 20:32:59 ID:k/e0k8fc
話変わるけど、FPGA業界は再編が多くありませんか?
551774ワット発電中さん:2005/09/17(土) 21:09:08 ID:lF8IRtio
そりゃあ、re-configurationは得意技ですから。
552774ワット発電中さん:2005/09/17(土) 21:11:16 ID:ytdaXW8y
うまいこと言うなぁ
553774ワット発電中さん:2005/09/17(土) 21:38:25 ID:yi2MnkdL
>>549
FPGA掲示板が幼稚園だったら、PIC掲示板はどうなるんや?
お猿の公演会場かよ  ワ〜ロス
ボス猿 軽さん2名、JO_O他、粘着の大先生がた大勢・・・w
PICをやっと組める大先生方がFPGA設計出来るとは思えんよ。
554774ワット発電中さん:2005/09/18(日) 00:25:02 ID:bCPq+kfn
名前なしで記入すると表示される
”774ワット発電中”って元ネタは何か知ってる?
ふと気になってぐぐったけど、わからんのよ。。。
555774ワット発電中さん:2005/09/18(日) 00:32:44 ID:P/FFSdos
556774ワット発電中さん:2005/09/18(日) 00:33:36 ID:bCPq+kfn
書いてから気づいたんだが、
”774”って”ななし”なのか!?
そんなオヤジギャグみたいな...
557774ワット発電中さん:2005/09/18(日) 00:38:02 ID:bCPq+kfn
>>555
情報サンクス
こんなのがあったとは...
558774ワット発電中さん:2005/09/18(日) 15:53:32 ID:hrU2IEws
各板の名無し一覧
ttp://logo.s3.xrea.com/_nanasi.xhtm
559774ワット発電中さん:2005/09/18(日) 21:48:37 ID:7JqSFdbK
>>556
それじゃ2ch全体がオヤジ臭だな
560774ワット発電中さん:2005/09/18(日) 22:04:16 ID:lJqkqe1H
>>558

>心と身体 ハゲ・ズラ 毛無しさん

悲しすぎる(w
561774ワット発電中さん:2005/09/19(月) 02:03:38 ID:WLx4qZPd
>>559
カレー臭というのじゃ
562774ワット発電中さん:2005/09/19(月) 02:36:08 ID:KY/XoWdn
>>561
華麗臭の間違いだろ。
563774ワット発電中さん:2005/09/19(月) 23:21:02 ID:2kVO9scD
目糞鼻糞ダナ。
しかし、mentorall っつーのは
放置なのだろうか。
564774ワット発電中さん:2005/09/22(木) 15:13:50 ID:5dUbx4+f
質問です。
アルテラのQuartusで、SignalTapUが付いているのですが
これはロジックアナライザみたいに内部のレジスタの状態やノードを
見ることができるものですか?
また、どうやって使えばよいのでしょう
565774ワット発電中さん:2005/09/22(木) 17:57:24 ID:tJJ4LGPG
「考えるな、感じろ」
「訊くな、察しろ」
どちらかお好きな方をどうぞ
566774ワット発電中さん:2005/09/22(木) 20:41:40 ID:ZnuUOmmv
逃げるな、戦え
567774ワット発電中さん:2005/09/22(木) 22:06:43 ID:TUyCSPuJ
教えて欲しいのですが…
Spartan 2Eのクロック入力に必要な入力電流は
最低何mAでしょか?
568774ワット発電中さん:2005/09/22(木) 22:08:30 ID:xavfXCbP
>>567
クロック周波数と入力容量から計算汁
569774ワット発電中さん:2005/09/22(木) 22:32:20 ID:TUyCSPuJ
>>568
え?具体的には… 25MHz,10pF,3.3Vで出てきますか?
570774ワット発電中さん:2005/09/22(木) 22:44:44 ID:xavfXCbP
>>569
電流と容量からスルーレートが求まるのはわかるよね?
例えば1mAの駆動能力で10pFの負荷を駆動すると、スルーレートは
1mA / 10pF = 1e-3 / 10e-12 = 1e8 = 0.1 V/ns
これに25MHzの半周期20nsを掛ければ2Vとなって3.3Vをフルスウィングする
には不足するとわかる。
実際には配線容量もあるし、余裕を持って10mA程度の駆動能力は最低限
欲しいところ。
571774ワット発電中さん:2005/09/22(木) 22:50:42 ID:TUyCSPuJ
>>570
ありがとうございます。助かりました。
572774ワット発電中さん:2005/09/22(木) 23:00:32 ID:cLWOhjRp
>564
 多分WEBバージョンでは使えない。正規ライセンスが要る。
573774ワット発電中さん:2005/09/22(木) 23:52:20 ID:QRyvxWOB
[A/F] = [V/s] ってディメンジョン合ってるんですか?
574774ワット発電中さん:2005/09/23(金) 00:03:16 ID:8aqZZw6v
>>573
F=C/V=A・s/Vだから合ってる
575774ワット発電中さん:2005/09/23(金) 14:12:03 ID:z9oaLG/B
Cycloneを片面基板で動かしたいのだが、、、、、
当然ノイズに極めて弱くなるので、電流制限をかけたりスルーの制限を
したりするつもりだが、CONFIGができないと話しにならないわけで、
確実にCONFIGする良い方法ないですか?
576774ワット発電中さん:2005/09/23(金) 14:18:14 ID:8aqZZw6v
>>575
PSモードで外部のマイコンか何かからゆっくり(低クロック)でコンフィグすれば?
もちろん失敗したらリトライするくらいの配慮も必要だろう。
577774ワット発電中さん:2005/09/23(金) 14:23:20 ID:xf46YOw9
でっかいコンデンサをぶーらぶら
578774ワット発電中さん:2005/09/23(金) 14:32:00 ID:uYmn3w1d
余程下手なA/Wだったのだろうな
579774ワット発電中さん:2005/09/23(金) 14:43:44 ID:z9oaLG/B
>576 
 なるほど!!
>577
 ヒューマンデータってボードメーカーのボードを見ると電解は
OSコンが乗っかってます。電解の特性って重要なんですかね。
各電源ピンにパスコンつけるから、電解は適当(47uF*4 ニチコン)
でいいんじゃないかなんて思ってるんですが。
580774ワット発電中さん:2005/09/23(金) 14:54:37 ID:8aqZZw6v
OSコンは魔法のように良く効くことがある。
まぁ実際にトラブったら交換を考えたら?
581774ワット発電中さん:2005/09/23(金) 17:03:41 ID:7vesjWQg
サンハヤトの両面感光基板(はんだ面はほぼ全面GND)+チップセラミック+リード電解Cの
構成で27MHzにて快調に動作中。
MILで端子引き出してSRAMを接続してるが問題なし。
かかった費用を考えるとヒューマンの基板超割高に思える。
まぁ手間かけられないとか信頼性がほしい人は既製品選ぶんだろうけどね。

582774ワット発電中さん:2005/09/23(金) 17:50:43 ID:z9oaLG/B
>581
 アルティマのお姉さんによると、サイクロンを2層基板で動かすなんて論外!!!
4層でも問題あり。普通は6層基板で動かすものらしいです。
誰か片面基板で動かした人いない?
583774ワット発電中さん:2005/09/23(金) 18:26:54 ID:6sUryOJj
伝送シミュレーションやると明らかに4層は2層より良好だからな。
でも4層以上じゃないと動かないなんてスペックに記載があったか?スペックに書かれてないことまで、
日本の営業屋ねーちゃんが勝手にほざいてるんじゃねえだろうな?営業屋のねーちゃんが電磁気学正確に理解できてるのか?
つーか理解できてる奴は営業なんかやらん罠普通
584774ワット発電中さん:2005/09/23(金) 18:37:57 ID:H2w29ScK
>>583
>伝送シミュレーションやると明らかに4層は2層より良好だからな。
これは内層4層、内層2層という意味?
詳細をヨロ

トラ技なんかには、両面基板(文字通りでは2層)では
Vcc,GND間の高周波バイパス特性が悪くて、
4層(内層2層)以上でないと、高速回路は動かないと
書かれてるのだけど。

585774ワット発電中さん:2005/09/23(金) 18:38:20 ID:z9oaLG/B
>伝送シミュレーション
伝送シュミレーションできるソフトを買いたいのだが、20万いないで
ない?
586774ワット発電中さん:2005/09/23(金) 18:44:15 ID:H2w29ScK
>>583
スマソ。読み間違えますた。
確かに4層のほうが高周波特性良好ですね。
587774ワット発電中さん:2005/09/23(金) 19:41:08 ID:z9oaLG/B
>Vcc,GND間の高周波バイパス特性が悪くて、
>4層(内層2層)以上でないと、高速回路は動かないと
>書かれてるのだけど。

バイパス特性ってのはつまり「両面(2層)基板ではパターン設計上電源が太くできない」
ってことだけでしょう。
 工夫して電源が太くできれば両面でも問題ないはず。片面はさすがに難しいかも。
 インピーダンスコントロールをするためにはベタGNDが必要だから、電源が
太いだけじゃ駄目かもね。
 最近100Mhzを片面基板で動かしたけど、100Mhzくらいなら無造作に配線しても
何とか動くみたいだね。
588774ワット発電中さん:2005/09/23(金) 20:07:40 ID:H2w29ScK
>>587
説明、domoです。

話がわかりそうなお人なので・・・、

http://science4.2ch.net/test/read.cgi/denki/1118502538/164
の質問に答えが得られませんでした。
できれば>>587さんの意見をお聞かせ願えれば幸い。

多層基板の電源層、グランド層間の、層間インピーダンスを
Zo=(120π/√εr)x(h/d)・・・式(5)
 (導体間隔をh、平面導体長をd、誘電率εr)
という記事があるのですが、これは間違ってるのでは??
と、漏れが疑問を投げています。

式(5)は、インピーダンスの式ではなく、特性インピーダンスの式に
似ているという気がするのです。

589774ワット発電中さん:2005/09/23(金) 20:12:20 ID:7vesjWQg
>>583
両面基板でもシミュレーションできるの?俺のいいかげんな記憶では4層以上じゃないとできないと思ってた。
590774ワット発電中さん:2005/09/23(金) 20:32:48 ID:k3sWOs6i
趣味の世界なら紙エポの片面でもOKよ
591774ワット発電中さん:2005/09/23(金) 20:40:49 ID:7vesjWQg
てかガラエポ両面なんて趣味では使いたくないねぇ。ドリルがあっという間にダメになる。
しかも妙にランドがはがれやすい。
592774ワット発電中さん:2005/09/23(金) 23:59:11 ID:6sUryOJj
>>589
伝送シミュレータ機能を持つPWB作成ソフトで両面基板だと計算できないようなタコソフトがあれば教えてくれ。
593774ワット発電中さん:2005/09/24(土) 02:15:37 ID:IqX7H6SC
>>588
まずは、藻前の言う
”インピーダンス”

”特性インピーダンス”の違いをおしえれ
話はそれからだ
594774ワット発電中さん:2005/09/24(土) 02:37:44 ID:dFdUkb+h
> アルティマのお姉さんによると、サイクロンを2層基板で動かすなんて論外!!!
> 4層でも問題あり。普通は6層基板で動かすものらしいです。
> 誰か片面基板で動かした人いない?

Cycloneの売れせんの400ピンオーバーのBGAでは
6層以上ないと引き出すのすら現実的に厳しい、という意味じゃない?

144ピンのCycloneだと両面で使ってるよ。
片面はトータルで考えると安くないんじゃないの?


595774ワット発電中さん:2005/09/24(土) 02:39:35 ID:RWuM4ilh
まぁ数本しかI/Oを使わない用途なら片面もありかもしれんな。
電源つなぐだけでも大変だけど。
596774ワット発電中さん:2005/09/24(土) 07:26:39 ID:2fuDsB1r
>>593
インピーダンスは簡単に言えば交流での抵抗値です。
特性インピーダンスの説明はずばり言うのが難しいようです。

特性インピーダンスは明らかにインピーダンスとは別物です。
良く知られた例では、同軸ケーブルの特性インピーダンスは
50オーム、75オームのものが使われています。
この特性インピーダンスZoは、それらの伝送路を分布定数回路と
見た場合、単位長さあたりのインダクタンスL[H]、単位長さ
あたりのキャパシタンスをC[F]とした場合、
Zo≒√(L/C)であらわされることが良く書かれています。
しかし、この伝送路のインピーダンスZと特性インピーダンスZoは、
その電気的意味・定義が異なるため、Zo≒Zなのですが、
どうも先の記事で示した式(5)は、層間インピーダンスといっている
ので、ここで書いたインピーダンスを意味して書かれています。

特性インピーダンスは周波数に無関係に一定です。
しかし、インピーダンスは周波数の関数となって変化します。

ところが、式(5)は、周波数がパラメータになく、周波数が変化
しても一定の値で計算されてしまいます。

プリント基板上のパターンは、グランドプレーン上の絶縁体(誘電体)の
上に載ったマイクロストリップラインを形成することを、頻繁に
見ますが、このマイクロストリップラインの特性インピーダンス
も周波数に関係なく一定です。

こんな説明で良いでしょうか?
597774ワット発電中さん:2005/09/24(土) 07:29:37 ID:2fuDsB1r
訂正
× その電気的意味・定義が異なるため、Zo≒Zなのですが、
○ その電気的意味・定義が異なるため、Zo !=(Not equal) なのですが、
598774ワット発電中さん:2005/09/24(土) 07:31:37 ID:2fuDsB1r
○ その電気的意味・定義が異なるため、Z≠Zo
599774ワット発電中さん:2005/09/24(土) 11:34:17 ID:VtWsm3AY
>>596
何をぐちゃぐちゃ説明しとんだよお前?
特性インピーダンスは√(jωL/(jωC)=√(L/C)だろうが、頭悪いかお前?
結果的に周波数にindependentになるのは当然だ。
600774ワット発電中さん:2005/09/24(土) 11:41:39 ID:VtWsm3AY
>>596
それとだ、そういう話題は基板スレででもやれ。ここではスレ違いだ。
601774ワット発電中さん:2005/09/24(土) 12:16:12 ID:EHF/I5LA
>596
 なかなか有益だった。
602774ワット発電中さん:2005/09/24(土) 13:06:43 ID:IqX7H6SC
>>596
層間インピーダンスって特性インピーダンスだと思うんだけど...
基板のインピーダンスコントロールって
特性インピーダンス(Z0)のことを言うよね?
だから式としてはZ0の計算であってるんじゃないかな

何故インピーダンス(Z)を出したいのか漏れにはわからんのよ...
603774ワット発電中さん:2005/09/24(土) 13:11:06 ID:2fuDsB1r
>>599-600

>>593の問いに応じて書いたのが>>596ですので、>>599へ答えたものではありません。
このスレで派生した話題に関連したものですが、話を発展させるとスレ違いだ
という点は理解できますので誘導します。

以降のRESは、次のスレにお願いします。
【電気】理論・回路の質問・雑談【電子】 Part2
http://science4.2ch.net/test/read.cgi/denki/1118502538/l50

なお、
>特性インピーダンスは√(jωL/(jωC)=√(L/C)だろうが、頭悪いかお前?
>結果的に周波数にindependentになるのは当然だ。

お言葉を返すようで恐縮ですが、技術部分の内容だけ読むにしても、答えになってないです。
>>599は、議論に参加するより前に、まず礼儀作法を学ぶべきです。
604774ワット発電中さん:2005/09/24(土) 13:14:53 ID:RLJk0+Tf
>>596
伝送線路の特性インピーダンスは、本当は周波数の関数だ。
本当はGとBとωが入ってややこしい式になるが、
周波数が十分高い領域ではGとBは無視されてLとCだけの
周波数に依存しない値になり、普通はこれを特性インピーダンスと呼ぶ。

特性インピーダンスっていうのが何かと簡単に言えば、
伝送線路に信号を加えたときに過渡的に感じるインピーダンスだ。

勿論、電圧のパルスの先頭は電圧が伝わっていくわけであるが、
その先頭の場所で流れる過渡的な電流の大きさは、
I=V/Zで求められ、抵抗の分圧などの計算も簡単に使える。

例えば、特性インピーダンス50Ωで、端が開放された線路に、
FPGAから出力抵抗22Ωで2.5Vを出力したら、
パルスの先頭の電圧は2.5*50/(50+22)=1.73Vで伝わる。
過渡現象が落ち着くと、特性インピーダンスではなくインピーダンスを
使って計算し、線路の開放端の電圧は2.5*∞/(∞+22)→2.5Vとなる。

なお、ここで過渡現象が落ち着くとは、戻った反射波で満たされた後のことをいう。
特性インピーダンスと同じ抵抗で終端すれば、反射波は発生せず、電圧は1.73Vのままである。
605774ワット発電中さん:2005/09/24(土) 13:24:38 ID:MknS/VvP
ECLやLVDSみたいなマッチングされた使い方とは違って、
通常のロジックでは受け側も送り側のインピーダンスも怪しいと思うんだけど・・・・
どういうところに落としどころもってくるの?

606774ワット発電中さん:2005/09/24(土) 13:28:13 ID:2fuDsB1r
>>604
有益なRESをありがとうございます。
>>604のような先輩が近くにいる後輩達は恵まれてますね。

>>602
誘導スレへRESしました。
607774ワット発電中さん:2005/09/24(土) 13:31:01 ID:RLJk0+Tf
>>605
そこで同期式設計ですよ。

ウン百本ものデータ線を終端したり配線に気を使ったりするのは大変だけど
クロック1本だけなら終端しようが引き回しに気をつけようが
それほど苦にはならない。

データ線が反射やらクロストークで暴れても、
それが落ち着いたところで綺麗なクロックを入れればいい。
100MHzくらいまではこれでいい。
608774ワット発電中さん:2005/09/24(土) 15:35:22 ID:iH+lgSa+
蒟蒻問答か、これは?
609774ワット発電中さん:2005/09/24(土) 20:00:29 ID:cb9qX0dY
PCのマザーじゃ100MHzなんてとっくに超えてるからいろいろバスも工夫してるようだな。
FPGAもいろんなバス規格に対応してるようだが出力回路はえらく複雑なんだろうな。
610774ワット発電中さん:2005/09/24(土) 20:52:05 ID:EHF/I5LA
>出力回路はえらく複雑なんだろうな。

特性インピーダンスなんて断面だけで決まるんでしょ。断面の構造だけ
守っていれば、どうってこと無いのでは?
 クロストークと反射で波形が乱れる。クロストークは離せばいい。
反射は断面だけ守っていれば良い。というか50オウム狙いが60オウム
になったところで、終端を微調整するだけでOK。
 と大雑把に考えることはできないんですか? どうってこと無いですよ
ね先輩。
 
611774ワット発電中さん:2005/09/24(土) 21:18:42 ID:EHF/I5LA
>通常のロジックでは受け側も送り側のインピーダンスも怪しいと思うんだけど・・・・
>どういうところに落としどころもってくるの?

ロジックのインピーダンスってRでしょ。レベルが落ちるだけで関係ないすよ。
たとえばCPUからBGAに配線すると当然配線はグチャグチャになるけど、
CPUが左ならBGAの右で終端すれば、反射は全然問題ならないと思うけどどう?
特性インピーダンスは距離には関係ないんだから。
 スピードが速くなると、反射じゃなくて時間遅れが問題になるから、等距離配線
をするだけのことでしょ。
612774ワット発電中さん:2005/09/24(土) 22:12:42 ID:2fuDsB1r
>>611

>ロジックのインピーダンスってRでしょ。レベルが落ちるだけで関係ないすよ。

そうですか?
論理ICの出力は、High、Low出力時で、それぞれ出力インピーダンスが変化してますよ。
また端子にはR成分だけでなくてC成分が、COMS ICでは問題になると思いますけど。
実際、C成分で波形がなまるじゃないですか。
ダンピング抵抗をパターン(伝送路)にシリーズに入れれば、
RC積分回路(LPF)回路ができてしまい、LPF効果で波形が
なまるというのもあると思います。

インピーダンスマッチングの落としどころというと、結構テキトーで、
終端抵抗やダンピング抵抗をつけてごまかしていて、Zマッチング回路
までは組まないというのが実情ではないでしょうか?


613774ワット発電中さん:2005/09/24(土) 22:36:27 ID:dFdUkb+h
>>609
ある寺の各種I/Oはかなり適当だよ。
昔も今も規定レベルさえスイングすればとりあえず動くからねぇ

あれでDDRDIMMを4本フルスペックで動かしてるやつが見てみたいわ。。

614774ワット発電中さん:2005/09/26(月) 14:59:23 ID:02uPtHLu
Spartan3の3.3Vと2.5Vと1.2Vの消費電流はそれぞれどれくらい?
615774ワット発電中さん:2005/09/26(月) 15:07:19 ID:rrrMfy5q
消費電流の簡易計算方法はxilinxのWebにあるから見てみるといい。
どのチップを使うか、回路によっても変わるから答えられる人は質問者しかいないぞ。
悩んだ時にはTIのSpartan用電源ICを使うのが吉。
616774ワット発電中さん:2005/09/27(火) 12:35:08 ID:EUKJ0Acr
MAX2がフラッシュベースだとは知らなかったよ
フラッシュベースは遅くないの?
617774ワット発電中さん:2005/09/27(火) 12:51:35 ID:LHHaxQHD
正確にはSRAMベースだ。
電源投入時内部フラッシュからSRAMにデータをロードする。
618774ワット発電中さん:2005/09/27(火) 20:13:46 ID:uhCd66jP
>>616
使った事は無いが、従来品と比べると少し遅いらしい。
カンファレンスかなにかの時に質問したら
「それが問題になるアプリには使うな。」と言われたよ。
619774ワット発電中さん:2005/09/28(水) 05:22:30 ID:mN/2boZS
 ということは、CONFIGの時間が問題になるところには使えないってことか。
620774ワット発電中さん:2005/09/28(水) 05:23:19 ID:mN/2boZS
PLMってライター知ってる? クオータスではサポートしなくなったよ。
もう処分したいんだけど、昔の仕事でEPM7128SLCとかで、TDIなんかの
ピンまで全部使っていて、PLMで書いたのがあるんだけど、もしリピートが
きたらなんか書く方法あるんだろか?
621774ワット発電中さん:2005/09/28(水) 09:56:26 ID:Dv9GSWjT
>620
マックスツープラスでかける出祖?
622774ワット発電中さん:2005/09/28(水) 22:29:01 ID:O0f7pVcD
>>620
ウチなんてISAのI/FボードなもんだからOSが2000以降は対応してなくて大変。
PLMでの焼きの為だけに98SEをインストールしたよ。
623774ワット発電中さん:2005/09/29(木) 01:24:08 ID:C6PiGeoo
ISAバス付きPCと各種ソケット一式をこないだ捨てたよ〜

どうしても修理という話が来たら、MAX2とかを乗せた子基板作って、
どうにかしてスタックすればいいじゃん、と上司が言うので。

捨ててから、最近のFPGAは5VのI/O積んでなくない?、と誰かがつぶやいたが。。

624774ワット発電中さん:2005/09/29(木) 19:50:36 ID:W8Y45p+a
> ISAバス付きPCと各種ソケット一式をこないだ捨てたよ〜
通報しますた
625774ワット発電中さん:2005/09/30(金) 11:24:07 ID:McGyqBlW
寺が日本の代理店を2社増やしたようだ。契約したのは丸文と橘テクトロンだって。

橘はマクニカグループの会社で、アルティマとは兄弟会社。顧客を分けるのかもね。
財から切られた○文が、寺でうまくやれるの? 
626774ワット発電中さん:2005/10/01(土) 13:16:16 ID:ZkVKtAfx
DWMの宣伝記事であくてるがホットなのかと思ったのだがやはりマイナーはマイナーなのね。

開発環境の値段が幾らくらいか知りたいな。
高価だと導入のメリット無しだし。
627774ワット発電中さん:2005/10/01(土) 14:07:36 ID:Qg2pR0H8
ACTELは多分ヒューズタイプのPLDがあるのが売りかと思われる
特定用途だとSRAMは信頼なんねーとかいってACTEL指定されたり。

開発環境いくらなんだろう・・・よくしらない
628774ワット発電中さん:2005/10/01(土) 14:27:03 ID:ZkVKtAfx
いや、ホットなのはフラッシュの話題なのだが解ってる?
629774ワット発電中さん:2005/10/01(土) 16:10:41 ID:3haSMsg3
>>627

ACTELの開発ツールは5万ちょっとだ。
チップが乗った基板とプログラマーと開発ツールのセットで、シミュレーション付きらしい。
630774ワット発電中さん:2005/10/01(土) 16:15:40 ID:wjHH9g8q
チップがDigi-Keyあたりで気楽に買えれば試してみるんだけどな。
631774ワット発電中さん:2005/10/01(土) 16:50:34 ID:ZkVKtAfx
てことはSimを外部のツールでやって、出来たHDLを五万のツールで合成して
JTAGからプログラムって流れでいけるの?

だとしたら魅力的だが。
632774ワット発電中さん:2005/10/01(土) 23:58:11 ID:+KA7+API
丸文からすると、アルテラに乗り換えたかったけど断られたからアクテルで我慢したというのが本音では。
633774ワット発電中さん:2005/10/02(日) 05:34:49 ID:OvmsseAr
ロケットI/O 万歳!
634774ワット発電中さん:2005/10/02(日) 10:05:43 ID:98aSTU1y
>>631
ACTELの書き込みは普通のJTAGと違うよ。
専用のプログラマーで12Vで書き込みを行なう。
一応12Vはライターが出力するから用意する必要がない。

だからMITOUJTAGでもACTELは書けない。
635774ワット発電中さん:2005/10/02(日) 14:08:14 ID:BY0q6IZi
>>634
別に独自でもシリアルならOK。
専用の皿で直接焼かないといけない訳じゃないならね。
まさか今時シリアル書き込みじゃないなんて事はないだろ?


MITOUJTAG<高すぎて興味なし。
636774ワット発電中さん:2005/10/03(月) 07:04:04 ID:uMKMa8dD
>.>633
マジカヨ!
俺は二度と使いたくないよ。
637774ワット発電中さん:2005/10/03(月) 12:28:26 ID:HIlO1ghg
>>636
doui
638774ワット発電中さん:2005/10/03(月) 15:20:17 ID:lMjQJ2wj
>>636
マンセーと読むってことだろw
639目標はCPU作成:2005/10/03(月) 23:36:11 ID:c1/S79ah
こんにちわ
quartus2でスケマッティックを使ってちまちま回路書いて勉強してました
それで最近ベリログの勉強をやりだしました、
それでちょっと分からないことがあって、
どなたか教えてください!!

スケマティックでバス線[3:0]を4本の線にばらしたいときはどうすれば良いのでしょうか?。

ベリログで論理合成したあとの回路図をスケマティックの用に編集する方法はないのでしょうか?

640774ワット発電中さん:2005/10/03(月) 23:58:36 ID:uDhdSh+f
>スケマティックでバス線[3:0]を4本の線にばらしたいときはどうすれば良いのでしょうか?。

ターミナル端子で1本筒引き出す
641774ワット発電中さん:2005/10/04(火) 00:00:13 ID:1Ch5L3Mg
どうでもいいことではあるんだが、verilogってカタカナで見るとなんか変な感じするなぁw
642目標はCPU作成:2005/10/04(火) 00:20:41 ID:QEcLUyc4
ターミナル端子のTOOlを探したんですが見つからず・・。
どこにあるツールでしょうか?
643774ワット発電中さん:2005/10/04(火) 00:33:26 ID:ZgWFYr9u
つながなくてもwire(単線)に A[1]とかつければよし。
名前しかみとらんです。
644目標はCPU作成:2005/10/04(火) 00:41:05 ID:QEcLUyc4
アドバイスありがとうございます!。

data[7:0]のバス線に対して
Node Toolで配線して
名前をdata[0]にしてみました
Error: Width mismatch in port "data[7..0]" of instance "inst" and type lpm_ram_dq1 -- source is ""data[0]""
えらーが・・
645774ワット発電中さん:2005/10/04(火) 01:16:38 ID:ZgWFYr9u
入力にbusくっつけて、なんのもつながっていない線があるのはまづいやうな。
乳録画[7..0]なら全部つないで、空きピンはGNDにつなぐとか。
646目標はCPU作成:2005/10/04(火) 02:36:55 ID:QEcLUyc4
毎度毎度ありがとうございます
えっと
data[1:0](8本も配線するのがめんどくさいので2BItにしたw)
にnode toolで

(input pin)
|
|data[0]
|
|===========(bus)data[1..0]
|
|data[1]
|
(input pin)

という風に接続しました
そしたらエラー消えました!
ありがとうございます!。

論理合成後のスケマティック風に編集する方法はないんでしょうか?
647774ワット発電中さん:2005/10/04(火) 02:52:59 ID:1Ch5L3Mg
>>論理合成後のスケマティック風に編集する方法はないんでしょうか?

"論理合成後のスケマティック"風味?
それとも
"論理合成後のスケマティック"をRTLでなくて回路編集?

前者はちょっと意味がわからない
後者は無理じゃないかな(できるツールないんじゃないかな)

EDIFを手で弄ったこととかはあるけど。
クロックバッファをノーマルバッファにしてみたりとか
↑合成時のオプションでやるのが正解なんだろうけどね
648774ワット発電中さん:2005/10/04(火) 10:37:00 ID:1Ch5L3Mg
ACTELの代理店に電話して聞いてみた。

30万ゲート以下なら LiberoゴールドというやつがフリーでDLできるやつでOK
それ以上の石を使う場合はLiberoプラチナを購入してくれとのこと
Liberoシルバーはなくなったらしい。(そのかわりゴールドがフリーに)

プラチナはライセンス300k、継続240kだそうな。うーん、他より高いね・・・

ProASIC3(ATA300PQ208)の乗ってる、スターターキットが42.5kとのこと。
30万ゲートというからこれ+Liberoゴールドってことかな
649目標はCPU作成:2005/10/04(火) 11:08:08 ID:QEcLUyc4
どうもです
後者です
ベリログで書いたのを論理合成し、その回路図を編集する方法を教えて欲しかったんですが、、

無いんですね、、どうもありがとうございます。
650774ワット発電中さん:2005/10/04(火) 14:00:51 ID:zNZp1nlk
回路図編集する前にVerilogを直せ
651774ワット発電中さん:2005/10/04(火) 14:02:15 ID:zNZp1nlk
高級言語でプログラム書いてコンパイルした後でアセンブラいじるのかよ
652774ワット発電中さん:2005/10/04(火) 14:08:54 ID:jwX2txcW
学校の課題でFPGA使ってボード上のLCDパネルになんか表示させるんだけど
LCDってどうやって使うんですかね?
うざかったらスルーして
653774ワット発電中さん:2005/10/04(火) 14:09:52 ID:1Ch5L3Mg
>>649
ないというか、手法が間違っている、かなどちらかといえば。
>>651さんの言っている感覚が分かってもらえるといいのだが

むしろ、なんでそういうことをしたいのか分からないなぁ
654774ワット発電中さん:2005/10/04(火) 14:12:14 ID:uPL4TolP
>>652
具体的に文字タイプとかグラフィックタイプとか大きさとかを晒すべし。
655774ワット発電中さん:2005/10/04(火) 14:16:36 ID:jwX2txcW
>>654
大きさは縦1cm横5cmくらい。Verilogで回路作って直接パネルに数字を表示です。
LCDパネル初めてあてがわれたから全く分かりません。ちなみにFPGAはXILINXのVIRTEX2です
厨でスマソ
656774ワット発電中さん:2005/10/04(火) 14:18:11 ID:1Ch5L3Mg
>>652
LCD毎に違う・・・となるのだが。

それって、パソコンでなんかCADツール使うんだけどCADってどうやって使うんですかね?と同レベルの情報量しかないぞw
657774ワット発電中さん:2005/10/04(火) 14:20:11 ID:jwX2txcW
>>656
ですよね…。自分で言ってっても無理だろってちょっと思いますorz
658774ワット発電中さん:2005/10/04(火) 14:20:40 ID:fyKoy8P5
>>652
まず仕様書嫁
659774ワット発電中さん:2005/10/04(火) 14:22:49 ID:1Ch5L3Mg
LCDの部品の型番とデータシート入手せよ、て話にはなるんだが

ttp://www.sendai-ct.ac.jp/~ckuma/micom/3048/lcd.html
参考にしてみたら?

どうせどこかの評価ボードなんだろうから、データシートはキットのマニュアルのなかにあると思うけど
タイミングチャートね
660774ワット発電中さん:2005/10/04(火) 14:23:32 ID:jwX2txcW
>>658
それが英語でさっぱりの上、LCDの部分が6行くらいしか無いとです…
PIN番号しか書かれてない希ガス
>>659
ありがとうございます。見てきます
661774ワット発電中さん:2005/10/04(火) 14:25:34 ID:2tJE3z5L
>>660
6行くらいなら辞書を使ってでも読んでみたらどうでしょうか。
662774ワット発電中さん:2005/10/04(火) 14:32:00 ID:jwX2txcW
>>661
読んだら、seiko製だとかそんな事が書いてありました。

とりあえず、O〜7ピンに何か入れてみて反応見てみます
>>659さん大変助かりました
663774ワット発電中さん:2005/10/05(水) 01:12:59 ID:dfw0fi6N
>>648
これだと寺に対する優位性がまるでないね。
664774ワット発電中さん:2005/10/05(水) 01:39:13 ID:LH/QvWIr
たかだか30万のツール。
大口ユーザなら論理合成やシミュレーションは別で持ってるわけだし、
純粋にデバイスの価格と性能で評価できるぞ。

時間の無駄だから、ハナから評価の対象に入れないことが多いけど。

665目標はCPU作成:2005/10/05(水) 22:10:05 ID:TEIvDA8X
CPUをFPGAで作成しようとしてるのですが
何から手を付けたら良いか
おおざっぱなCPUの仕組みが分かる程度です
HPも検索してみたんですがなかなか良いところが見つからず
どなたかアドバイスしてください><
666774ワット発電中さん:2005/10/05(水) 22:16:25 ID:Cv9yuT+1
パクル
667774ワット発電中さん:2005/10/05(水) 22:19:17 ID:UzxYooAX
ちゃんとした本を買って勉強したほうがいいと思う
ネット探しまくるのはお金かかんないかもしれないけど、時間かかるし
中途半端にしか知識が入らないからね。
668774ワット発電中さん:2005/10/05(水) 22:27:15 ID:BTEeMPc9
>>665
趣味?仕事?学校の宿題?
まぁ人の書いたのを見るのはいい勉強だけど
http://www.opencores.org/browse.cgi/filter/category_microprocessor
おおざっぱに仕組みがわかる程度なら、
まずはFPGA関係なしにパタヘネとかいい教科書読め
669目標はCPU作成:2005/10/05(水) 22:27:14 ID:TEIvDA8X
どうもです、
やっぱり本を買わないとダメですかね、、、

今知りたいのは

どんな命令を使えるようにすればいいのか、、
これはCPUだ!と胸張って言うためには
最低限どんな命令が使えれば良いのかがわかりません、、
加算、減算、、プログラムはどうやって読み込ませるか・・
(プログラムメモリを作る? ロードストアもいるかなぁ、、)
加算減算のみの命令で
プログラムはスイッチで手動、、(12bit命令セットなら12個のスイッチで・・)
内部にはレジストリが数個 デコーダー 演算 
手動ならプログラムカウンタもいらないっすよね・・。



うーん、
回路図を考える前に、CPUに何をさせたら良いのか分からない状態です・・。
やばい、おれ何がやりたかったんだろう・・
670774ワット発電中さん:2005/10/05(水) 22:39:37 ID:aUIgSCmA
今時のCPUは、乗算除算はもちろん、浮動小数点演算やMPEGデコードサポート回路まであるあKらねー。
大変だがガンガレ。
671774ワット発電中さん:2005/10/05(水) 22:41:26 ID:UzxYooAX
なにがやりたいかって、勉強がしたかったんだよきっと

CPUに何をさせたらよいのかわからないなら、
PICやZ80のように既にあるCPUの模倣をするのはどう?
672774ワット発電中さん:2005/10/05(水) 22:49:22 ID:nGElH8P8
てゆうか今はなんだかんだ言って力技なんだよな。
CPUの能力はパワー勝負。

なんていうのかな。
ノギスのバーニヤとか、計算尺とか、三角定規とコンパス的発想の
アルゴリズムとかないかな。

あ”ーーーーー説明できない!
673目標はCPU作成:2005/10/05(水) 22:55:07 ID:TEIvDA8X
>>668
趣味と学校両方です


どうもです、英語なので解読が・・
ソースが置いて有るみたいですね、見させてもらいます!勉強になるっす!!

一つ私もみつけました!
http://laputa.cs.shinshu-u.ac.jp/~yizawa/CompArch/design_cpu/index.htm
ココは未完なんですが、、こんな感じのHP探してます・・。
>>670
mpeg・・はおいといてw
乗算と浮動小数点ですか、
浮動は大変そう・・

>>671
そうですね、、勉強がしたかった、、

一応AVRが手元に有るので
考えてみたんですが、、命令だけでも100以上・・
もう一度仕様書読み直してみます。


感謝感謝です、質問ばかりですいません。。

674774ワット発電中さん:2005/10/05(水) 22:59:08 ID:UzxYooAX
まあ、加減算、ジャンプ、割り込み、ロード、ストア、だけ実装してみれば。
675774ワット発電中さん:2005/10/05(水) 23:02:41 ID:BTEeMPc9
割り込みなんかいるかよ
676774ワット発電中さん:2005/10/05(水) 23:08:09 ID:mNiICOyy
nopだけ実装してみよう
677774ワット発電中さん:2005/10/05(水) 23:13:41 ID:dfw0fi6N
命令が二個しかない所から始めるのが通例ですよ。
678774ワット発電中さん:2005/10/05(水) 23:29:45 ID:UrEN+nY1
CORE WARSのCPUを実装する。

半実用的ではCASLとか

679774ワット発電中さん:2005/10/05(水) 23:30:33 ID:gRcpCowm
>>673
現場出てもほとんどは英語だから。
680774ワット発電中さん:2005/10/05(水) 23:31:22 ID:rT7vzOy+
681774ワット発電中さん:2005/10/05(水) 23:42:58 ID:UzxYooAX
CASLって久々に聞いたかもw
682774ワット発電中さん:2005/10/05(水) 23:50:22 ID:1L1632D4
和積演算もいれて、おねがい
683774ワット発電中さん:2005/10/05(水) 23:58:50 ID:UzxYooAX
加減算はできないけど乗除や三角関数はあるCPUつくろうぜw
684774ワット発電中さん:2005/10/06(木) 00:07:25 ID:LwU0CtBq
三角関数があれば加減算は出来るね
685774ワット発電中さん:2005/10/06(木) 01:12:16 ID:Y+d1oVcR
あまり初心者を惑わす事を書かない事。
アホな事書く奴は糞Qレベルのマヌケ。
686774ワット発電中さん:2005/10/06(木) 05:22:35 ID:4ChDn1J4
ヘネパタは、基本だな。少なくとも、中森さんの本ぐらいは嫁。
FPGAでは、ロボット屋さんが、自作CPUを書いている。これは、うなづく
ところがあって面白いよ。
www.geocities.jp/mimiin/
687774ワット発電中さん:2005/10/06(木) 09:17:42 ID:VPECfku/
ハードウェア寄りなアプローチならヘネパタ的になるけど、
単にCPU作りたい!というなら、インタプリタから入ってしまう
というのもそれほど悪くない気もするな。

1)機械語のインタプリタをCで作る
2)太古版Handel-C(フリー)用のC風表記に書き換える
3)太古版Handel-CでC風表記からVHDLにコンバート
4)各社ツールでFPGA化する
688774ワット発電中さん:2005/10/06(木) 18:31:02 ID:vroFeA2w
4bit CPUの摸倣あたりから初めてみれば。命令数極端に少ないし。
算術演算なんて加算回路だけでいいだろ。他は全部ソフトでやれ。
浮動小数点なんて必要無いよ。自作レベルで浮動小数点が必要なレベルに
到達するとは思えないし、仮に必要になってもソフトでやりゃあいい話。
689774ワット発電中さん:2005/10/06(木) 23:01:14 ID:9UbXi0Gt
こんなのもあり

トランジスタ技術,1999年12月号
ワンチップ・マイコンを作る:CQPICのRTLソース

トランジスタ技術,2000年6月号
PLDに組み込む8ビット・ワンチップ・マイコン

PIC12C509のIP
http://www.geocities.jp/urannene/
690774ワット発電中さん:2005/10/06(木) 23:13:07 ID:Y+d1oVcR
>>689
私が読んだ限りでは

> トランジスタ技術,2000年6月号

この号が割と基礎を押さえて且つ手頃な規模で理解しやすいと思う。
今風にHDLに書き直すと更に勉強になってよかろう。
691目標はCPU作成:2005/10/07(金) 00:05:54 ID:JjQ2xKty
どうもです
みなさんに教えてもらったhp!
ありがたく拝見させてもらってます!
ロボットを作るページ、とてもおもしろかったです
(ロボット作ってみたいですねぇ、、)

とりあえず今コンピューターアーキテクチャと言う
馬場さんが書かれた本を読んでます。

692774ワット発電中さん:2005/10/07(金) 05:03:43 ID:5mKsV33n
中森さんの「マイクロプロセッサアーキテクチャ」を強く勧める。
単に強化書というだけでなく、業界ネタが面白い。
693774ワット発電中さん:2005/10/07(金) 05:15:46 ID:MHTMNc1W
>>692
その本、SPARCの扱いがひどくて読んでて悲しくなったよ…
694774ワット発電中さん:2005/10/07(金) 05:34:31 ID:tECLWg0A
CQ出版社「つくるコンピュータ」
絶版だが、ALUの使い方から条件判定ジャンプ命令実効回路なども例ものってる。
695774ワット発電中さん:2005/10/07(金) 07:33:21 ID:1sEvOsl1
>>692

これであってますか?
ttp://www.amazon.co.jp/exec/obidos/ASIN/4789833313/

あってたら注文しようかな・・・
696774ワット発電中さん:2005/10/07(金) 10:03:44 ID:5mKsV33n
あっています。Usedだと安いね。
日本のCISCを主導した人へのやんわりとした批判があって
面白い。(スレ違い)
技術的には、パイプライン設計の解説が良い。
697774ワット発電中さん:2005/10/08(土) 03:17:26 ID:BUnMN9z2
微妙にFPGAからズレはじめているようなので

TEDからISEのキャンペーンのメールがきたな
chipscope、セミナ券がついて20マン弱だと。

SPARTAN3EのSTARTER-KITはまだ出てないよね?

6.0になって犀がいなくなったと思ったらうっすらといるのに最近気がついた

iMPACT、プログラマとファイルコンバータは分離してほしい

698774ワット発電中さん:2005/10/08(土) 14:59:43 ID:7IMz72QI
>>693
同じ事思った人いるんだな。

全体的にはすごく勉強になったし、周りの人間にもお勧めしてる。
SPARCは、他の書籍とかでアーキテクチャの情報とか手にはいる
から、それはそれでいいんじゃないか、と思った。
699目標はCPU作成:2005/10/09(日) 04:23:44 ID:x0o4HMmI
FPGAとは関係なくて、ベリログの質問なのですれ違いかもしれませんが、、
どなたか教えてクダサイ。

プログラムメモリを作ろうと
入力4ビット(メモリアドレス)
を入力すると、出力として16bitのメモリの中身が出てくるようにしたかったんですが
ワーニングの嵐、論理合成すると入力ピンと出力ピンしかでてこない、、
どこが悪いのでしょうか?
以下ソース
700目標はCPU作成:2005/10/09(日) 04:25:50 ID:x0o4HMmI
module main(in,out);
input [3:0]in;
wire [15:0]temp;
output [15:0]out;
reg [15:0]mem[0:9];

function [15:0]dec;
input [3:0]inn;
case(inn)
0: dec = mem[0];
1: dec = mem[1];
2: dec = mem[2];
3: dec = mem[3];
4: dec = mem[4];
5: dec = mem[5];
6: dec = mem[6];
7: dec = mem[7];
8: dec = mem[8];
9: dec = mem[9];
endcase
endfunction

assign out=dec(in);
endmodule
701774ワット発電中さん:2005/10/09(日) 13:14:31 ID:Q/lYKtYH
innってなによ?
702774ワット発電中さん:2005/10/09(日) 13:15:05 ID:Q/lYKtYH
て、失敬ファンクションね
703774ワット発電中さん:2005/10/09(日) 13:47:42 ID:f1YWMYNq
つ【Verilog】記述言語で論理設計 Project3【VHDL】/
http://science4.2ch.net/test/read.cgi/denki/1123173110/l50
704774ワット発電中さん:2005/10/09(日) 14:49:29 ID:kX1nTcCD
>>699
まず、caseの式が4ビットなのに、項目が10個しかない。それ以外が入ってき
たときの定義がないので、warningになる。

メモリの中身が合成されないのは、何も書き込む手段がないので、inの値によ
らない初期値しか読み出されないから、最適化されて消えたんじゃないかな。

ともかく、CPUの目標には遠いので、ちゃんとした本で勉強して出直した方が
いい。でないと、金と時間は使いたくないが、この先1から10まで全部教えて
くれっていうことだとみなされる。
705774ワット発電中さん:2005/10/09(日) 16:34:57 ID:mPzUjNm1
706774ワット発電中さん:2005/10/09(日) 18:48:22 ID:fJCBVGBi
 
デジタルロジックの設計の担当になりそうなんですが、カルノーとかクインマクラとかの勉強を
しないと行けないのかと質問したら、そんなものは実務では用がないと言われました。本当ですか。
じゃあ、みなさんは何で設計してるんですか。
707774ワット発電中さん:2005/10/09(日) 18:50:17 ID:fjR+vo6b
カルノーは使わんな。何で設計してると言われても言葉に詰まるが、
普通はいきなりHDLで書くな。
708774ワット発電中さん:2005/10/09(日) 19:39:03 ID:Q/lYKtYH
カルノー図とかは不要・・・知ってる分には構わないけど。
自分で論理圧縮することはないかも。合成ツールにおまかせ。

組み合わせ回路と順序回路、そしてどうHDL記述したらどういう回路ができるか、
これじゃなかろうか。
まあ、記述するまえに回路のイメージがないとダメだとは思うけど。
709774ワット発電中さん:2005/10/09(日) 21:00:03 ID:K7nVD1hb
最初はカルノーも勉強したけど、へーって感じで、練習で簡単な回路図を
カルノー図で書いただけ、それ以来使ったこともなし。
710774ワット発電中さん:2005/10/09(日) 21:55:55 ID:fJCBVGBi
その、合成ツールは論理圧縮を何か名前のあるアルゴリズムでやってるわけじゃないんですか?

711774ワット発電中さん:2005/10/09(日) 22:15:21 ID:f1YWMYNq
どういうアルゴリズムでやってるかは知らない。

俺もカルノーは知識として知ってるくらいで実践では使わないな。
人間オプティマイズした記述だと後から読みにくいから
できるだけ回路をイメージできる記述にする。
あとは合成ツールにおまかせ。
712774ワット発電中さん:2005/10/09(日) 22:18:58 ID:x0o4HMmI
どうもです^^
CPUの構想は何となく練れたんで
ベリログの勉強をします!
教えてもらったスレにしばらく移住してきます^^
713774ワット発電中さん:2005/10/10(月) 00:08:48 ID:V98Ne6sA
論理圧縮は色々研究されているが、実装して配布したり販売したりする側が中身を公開する訳もなく
714774ワット発電中さん:2005/10/10(月) 00:48:38 ID:F/oJP6qo
カルノーマップは電気/電子/情報であればガッコでやるからこれらの出身であることの証だな。
SynplifyとかPrecisionを作る(使うんじゃなくてな)仕事でもせんかぎりほぼ使わん。つーか論理合成は別なアルゴリズム
が使われてるそーなので、論理合成ツール作る奴らも使ってない鴨?
でも()で優先順位を明らかにするだけで論理合成回路が変わったりするから、
カルノーよりカッコの使い方のほーが大事だったりしてな。カルノーで手計算でしこしこやるより、いろいろバリエーション書いてみて、
吐き出される回路見ながらいいの選ぶ。ってのがアプリ屋でFPGA使ってる奴だと思う。
ASIC実装を仕事にしてる奴は知らんけど。
715774ワット発電中さん:2005/10/10(月) 01:02:18 ID:zGK9/m0o
ある程度以上の質問連発は自分のサイトを作ってそっちに回答者を誘導した方が良いんじゃないの?
新ネタが入れない環境を作るのは余り好ましくないな。
716774ワット発電中さん:2005/10/10(月) 04:26:40 ID:M1+oHW5f
パッケージ に0.65BSCってあるんだけど。
BSCってどんな単位なの?
717774ワット発電中さん:2005/10/10(月) 06:11:01 ID:Wu8EELr9
BSCは単位じゃないし。

単位はミリかインチ。大抵図面のどこかに書いてある。
0.65の場合はミリでしょう。

BSCはBASICの略で理論値、目標値
REFはREFERENCEの略で参考値(参考に書いてあるだけ。値は保証されない)
TYPはTYPICALの略で標準値(標準的な値。値は保証されない)
普通は値が保証されるのはMAXとMIN。
718774ワット発電中さん:2005/10/10(月) 09:38:11 ID:M1+oHW5f
>717
ありがとう。
 0.5mmピッチ100Pin(TQFP)って手で半田でできるでしょうか?
 って練習したらできるんでしょうが、、、、。
 実験の時ってどんな線で配線するんですか? なんか線が太くて半田が
 難しそうなんですが。

 あと3.3Vから5Vへの変換ってどんなIC使うんですか? 74HC541
 でいいですか?
719774ワット発電中さん:2005/10/10(月) 10:24:16 ID:F/oJP6qo
>>718
手でできるよ。でも普通はパターン上への半田づけだな。
となりのピンとブリッジさせてもいいから半田づけしてあとでSoder-Wickで吸う。
ただ、一ピン一ピンワイヤと半田付けするなんてアマチュアの趣味でもないかぎりやらん。
パターン作るのに失敗した人が、次の基板あがってくるまでの間、
両面テープでICを固定して、0.1mmぐらいのジェフロン線で根性でやったのは見てた、結局動かんかった。
根性が足らんとおちょくってやったけど、いったんパターン上に半田づけして、パターンカットしたあとで
空中ジャンパ線飛ばしたほうがよかったと思うね。

実装面積が許せば、サンハヤトからQFP->2.54ピッチ変換基板売ってるのでそれ使うのが普通だな。
720774ワット発電中さん:2005/10/10(月) 10:25:20 ID:oq0cTHUX
>>718
まさかQFPの足に一本一本ワイヤー繋ぐつもりじゃないよな?
フツー実装はプリント基板だろ。実験用ならサンハヤトの変換基板でも使え。
それならば石の実装自体は簡単だ。
一回ビャーっとブリッジ気にせず半田付けしてから
余分な半田を吸い取り線で吸い取れば慣れれば10秒で半田付け終わるよ。
721774ワット発電中さん:2005/10/10(月) 10:28:46 ID:F/oJP6qo
>>718
> あと3.3Vから5Vへの変換ってどんなIC使うんですか? 
3.3V->5V系はそのままでも動く
問題なのは5V->3.3Vだけど信号速度が遅ければ抵抗分割でもOK
普通は素直にLCXを使う。
722774ワット発電中さん:2005/10/10(月) 10:33:01 ID:F/oJP6qo
>>720
どこでも同じなんだ。。。
改めて認識した。。。
転職してもやることは同じみたいね。
723774ワット発電中さん:2005/10/10(月) 10:39:46 ID:MuD72/8v
カルノーは変数が3,4までしか使えないのはわかります。みなさんのお話にはクインマクラも
出ないんですね、この連休でなにか標準があったら学習しておきたかったんですけど。
新しい所はASICの設計なんですけど、設計ツールの端末がふさがってて当分触らせてもらえないんです。
いまのうちに基礎をやっておけっていわれてるんですが、みんな40台中盤から一番上は60歳近い大先輩でとても聞けない。

それで、ちょっと気になってるんですけど、この業種はあまり陽が当たらない、ていうか将来性はどうなんですか。
共通土台の理論が無い分野ってどうなんだろうと思って。
724774ワット発電中さん:2005/10/10(月) 11:19:48 ID:M1+oHW5f
>719−721 ありがとう。よく分りました。

 クロックを使わないセットリセットフリップフロップをFPGAに置き換えるので
すが、クロックがなくてもバイトブラスターで書き込みできるんでしょうか?
クロックを使わない例ってのが無いのですが?
725774ワット発電中さん:2005/10/10(月) 11:23:34 ID:M1+oHW5f
>723
 ASICは陽があたらないって聞いてますよ。将来性は無いかも。
 
でもFPGAは今は湯水のごとくお金使ってもいいらしくて、目茶目茶忙しい
みたいですよ。共通土台の理論が出来上がって、本に丁寧に解説が出る
ようになるまでは美味しい世界なんではないですか?
 丁寧な解説が出るようになって、連休でチョロっとマスターできる
ようになったら潮時でしょうね。
726774ワット発電中さん:2005/10/10(月) 12:01:58 ID:F/oJP6qo
>>723
社会人1年生かい?
あのね。カルノーかクライン枕か知らんが、それをやってくれるのが論理合成ツールなのに、
そのアルゴリズムそのものを勉強してどうするよ。勉強したところでそれをどうやって市販のソフトウエァに反映させるよ。
君が大手のメーカに勤めてて、君の会社に特化したXXスペシャルを作らせるというなら話は別だがな。
いい具体例があんまり思いつかんが、
Cコンパイラ使ってる奴がどういうアルゴリズムで言語翻訳されるかに詳しくなっても仕方ないだろ普通。
比較してみて目的にあったコンパイラを使う。
できたオブジェクトコードのサイズと実行速度のみに興味がある。
回路の場合も正常に動くかってことと回路規模、消費電流のみに興味がある。

上で書いたことと重複するが、論理合成ツール作ってるソフト会社に就職するか、半導体メーカあたりで、
社内で論理合成ソフトそのものを内製化してるところでもない限り、クライン枕は必要ない。

>この業種はあまり陽が当たらない、ていうか将来性はどうなんですか。
>共通土台の理論が無い分野ってどうなんだろうと思って。

そういうこと考えながらやってるというのは多分その仕事向いてないよ。いやマジで。
技術屋の場合は、とくに取っ掛かりは面白いからのめり込むっていうのじゃないとスキルが向上しない。
仕事なんていっぱいあるから向いてないのに無理してやる必要はないと思う。
面白くてのめりこめる仕事を探すべきだと思う。
727774ワット発電中さん:2005/10/10(月) 12:20:31 ID:zGK9/m0o
まー基礎を勉強するのはよかろう。
しかし、それを知ってる知らないがエンジニアの価値を決める基準になり得ない時代だ罠。

低質な釣りって感じかな。

>この業種はあまり陽が当たらない、ていうか将来性はどうなんですか。
>共通土台の理論が無い分野ってどうなんだろうと思って。

失笑物ってか余り仕事をさせて貰ってない事の独白ではないか?

連休だなーって展開に感動。
728774ワット発電中さん:2005/10/10(月) 12:30:06 ID:63ZKRNmS
NiosIIで nr_dely(100) ← 100ms のはずなのに PLLで速度かえると時間が狂う・・・なんで?
NiosII/E と NiosII/S では 同じ nr_dely(100) て゛も Eタイプの方が圧倒的に遅い。
1msタイマーじゃないのかな?

NiosI の時は LCD表示が4ビットバスインターフェイスに書き直せたけど
NiosII では、8ビットバス接続しか出来ないみたい。

NiosI は一生フリーライセンスだけど、アルテラさんWEBで公開してくれないかな。
729774ワット発電中さん:2005/10/10(月) 12:32:28 ID:F/oJP6qo
いや勉強は無駄にはならんと思うよ。
新人君が入ってきて、Synplify使わせて、これが論理圧縮する原理はコレコレで・・・・
って薀蓄たれれば、座学の時間つぶしになるしな。
でも、実務だと、どういう原理かよりも、癖覚えて、こう書いたら->こんな回路を知ってる方が上司には喜ばれるだろうな。
その原理に興味があって、オリジナルのものを作りたいとか、現状のものを改良したいっていうなら、
回路設計エンジニアにはそのチャンスはほぼ皆無ってこと。

多分多くのエンジニアで現状に疑問を持つ椰子は多いだろ。全部ベースは米企業が作って、
そのツールの使い方覚えて、使いこなしてても実に空しい。釈迦の手の上でジタバタしてるだけだからな。
それなら、そのベースを作るほうを仕事にしようってのはありだと思う。
何も無理してジタバタするだけが今後の日本にとってホントにプラスだとは思わんしな。
ルールの中でジタバタするよりルールを作るほうに尽力すべきだろな。
730774ワット発電中さん:2005/10/10(月) 16:22:08 ID:zGK9/m0o
所で頭の軽い石ころ君がヒューマンの掲示板にまで湧いているわけだが
あのゴミどうにかならないかな?
731774ワット発電中さん:2005/10/10(月) 17:33:42 ID:JDs8Zaxx
「VHDLの場合設定する事ができます。」

ほんっと、いいかげんにしてほしいよね
732774ワット発電中さん:2005/10/10(月) 19:33:24 ID:4YiWxfAm
今度はHDLかぁ。
こいつ、事業者殺し好きだからなぁ。なひたふ,Chan,FPGAインフォメーション。
掲示板書込み封印したとか言ってたくせに。

やつの掲示板で”たっぷり”お話せねば。
ttp://hpmboard3.nifty.com/cgi-bin/thread.cgi?user_id=NBF02247
ttp://d.hatena.ne.jp/KaruishiFactory/

レス番181から、前回の苦情が見られる。
164には荒らしの有名人”メゲ犬=軽頭”がコメントしている。

>[187] FPGAの初期値 投稿者:軽石 投稿日:2005/10/09(Sun) 01:13
>電源投入直後(FPGAの場合ダウンロード直後)の状態はVHDLの場合設定する事ができます。
>回路図で書いた場合や、他の言語では判りませんが、VHDLでは設定できていたみたいです。
733774ワット発電中さん:2005/10/10(月) 19:35:14 ID:4YiWxfAm
>レス番181から、前回の苦情が見られる。
>164には荒らしの有名人”メゲ犬=軽頭”がコメントしている。

これらはやつの掲示板ね。
734774ワット発電中さん:2005/10/10(月) 19:57:41 ID:zGK9/m0o
ヒューマンの掲示板は閉鎖に追い込まれる可能性大だとおもうよ。


もう最悪だわ。
735774ワット発電中さん:2005/10/10(月) 20:03:46 ID:2vYxGxht
>>730
藻前が論破してこい。
ここでグダグダ吠えてても始まらん。
736774ワット発電中さん:2005/10/10(月) 20:10:41 ID:4YiWxfAm
軽頭=CQ出版本ライター 馬場清太郎の登場でつか?

ところで、
>[188] RE:FPGAの初期値 投稿者:HDL 投稿日:2005/10/10(Mon) 14:28
>軽石さんどうもありがとうございます。
>うちでは試験回路程度しか作らないのでそのことは知りません。不安なところは自分で処理すれば良いのではないでしょうか、とも思います。

この危機意識ゼロ発言は...。
もっとも、下手に扱って暴れられても困るわけだけど。

>うちでは試験回路程度しか作らないのでそのことは知りません。

これはまずくねぇか。
軽石病が感染ったか?shirouさん。
737774ワット発電中さん:2005/10/10(月) 20:30:55 ID:Nkp7qXGn
いや、さりげなく話聞いてないだけだろう。
738774ワット発電中さん:2005/10/10(月) 20:50:38 ID:5DgxWiSa
さすが、ネット掲示板カリスマライターは広範囲に活躍しておられるご様子
739774ワット発電中さん:2005/10/10(月) 20:59:53 ID:FORCIgha
HDL先陣切ったよ。

>>735 さんは軽石Fucktoyの掲示板で発言よろ。

740774ワット発電中さん:2005/10/10(月) 21:04:33 ID:zGK9/m0o
>>735
観察はしても積極的に迷惑行為に加担するのは馬鹿の所行と考える。
君の煽りは低質也。
741774ワット発電中さん:2005/10/10(月) 21:18:48 ID:FORCIgha
いつまで残ってるか。

># 良心の人 『HDL掲示板見ました。
>軽石さん、ほんと他人の技術系掲示板で発言するのはやめた方がいいですよ。
>早速話題になってます。
>評判落とすのは、死活問題ですから。
>HDL中の人の”...そのことは知りません”もまずいような。』

742774ワット発電中さん:2005/10/10(月) 21:20:52 ID:QcDy6N+O
みんなでここに答えを書いていきましょう。

FPGAのレジスタの初期値を設定できるか否かというのは、デバイス依存であるということで、
如何にしてその初期値を設定するかということですね。
FPGAはコンフィギュレーション時に内部でいろいろと動いているわけですから、動作開始時にフリップフロップを設定することは難しいことではないでしょう。
非同期リセットを入れるのもいいけど、外部から入ってくるリセット信号、とくにCRで組んだリセット信号や、昔のリセットICの出力は波形の立ち上がりが緩慢なので、私は非同期リセットは嫌いです。

とりあえず、XILINXの場合は、VHDLでやるならattribute構文を使う、
あるいはUCFファイルに書くというのが正解でしょう。
XILINXのアンサーで「初期化」とか「初期値」とか入れれば、いっぱいヒットします。

・7.1i XST - VHDL の未接続ポートおよび初期状態の処理方法
http://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?iLanguageID=2&iCountryID=2&getPagePath=18605

・7.1i XST - HDL の信号の初期化構文を使用して INIT 制約をフリップフロップに設定する方法
http://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?BV_UseBVCookie=yes&getPagePath=15149

更に詳しくは、下記のURLの397ページあたりが参考になります。
http://toolbox.xilinx.com/docsan/xilinx6j/books/docs/cgd/cgd.pdf

で、ALTERAではどうやんの?
743774ワット発電中さん:2005/10/10(月) 21:23:34 ID:zGK9/m0o
HDLの種類に限らずリセット端子の状態で初期値を設定する記述って通らないの?
744774ワット発電中さん:2005/10/10(月) 21:34:06 ID:QcDy6N+O
>>743
process(ARESET,CLK) begin
 if(ARESET = '1') then
  sig1 <= '0';
 elsif(CLK'EVENT and CLK='1') then
  sig1 <= …
っていう書き方のこと?
745774ワット発電中さん:2005/10/10(月) 21:42:12 ID:FORCIgha
>VHDLでやるならattribute構文を使う、 あるいはUCFファイル

ベンダー依存になっちゃうので...。
合成ツールによっては、attribureは食あたりを起こすので...。

>>744さんの記述で非同期クリアになるよ。
CLK'EVENTの後だと同期クリア。

alteraのプリミティブのライブラリとかMegawizardで生成...をインスタンス...もなくもないけど...。
746774ワット発電中さん:2005/10/10(月) 21:47:01 ID:FORCIgha
あと、検証スタイルでも好み分かれるかもね。
HDLシミュレータでブロックごとに...だとデバイス依存は出来ないから。

軽石氏はModelSimを知らなくて、波形ベースor実機一発派みたいだから。
それで済むなら確かに早いけどね。
うらやます。
747774ワット発電中さん:2005/10/10(月) 21:48:30 ID:zGK9/m0o
>>744
そそ、そう言う割と当たり前の初期化記述。

で、>>745さんサンクス。

頭の軽い石ころさんの言う明示的云々てこれ?
748774ワット発電中さん:2005/10/10(月) 21:57:21 ID:FORCIgha
>頭の軽い石ころさんの言う明示的云々てこれ?

信号宣言するときに設定しちゃうやつでしょ。
元がPIC onlyのプログラマだから。あのひと。
Cならそうなるんだろうけど。
749774ワット発電中さん:2005/10/10(月) 22:00:12 ID:zGK9/m0o
そりゃ流石に酷いな。(w >信号宣言の時

てか、記述によってきちんと思い通りに初期化出来るのだからちゃんと
書けよと思うわけですがぁ。

やっぱり駄目だなあのおっさん。
750774ワット発電中さん:2005/10/10(月) 22:19:19 ID:FORCIgha
HDLの掲示板、書き込みあったね。
とりあえず、中の人はちゃんとしてるみたい。

HDL中の人も、ヒューマンな人も、やつの暴走止めてほしいなぁ。
"何が悪いの?管理人からクレーム来てないよ"とか言ってたし。軽石氏。
751774ワット発電中さん:2005/10/10(月) 22:28:33 ID:QcDy6N+O
>>745
>合成ツールによっては、attribureは食あたりを起こすので...。
失礼しますた。
ISE以外のツールでXILINX FPGAの合成している人のことを考えてませんでした。

ちょこっといろんな記述(ほとんどトラ技とかDWMとかその手の書籍だけど・・)を調べてみたけど、
「FPGAボードで学ぶ論理回路設計 CQ出版」によれば、
signal xxx : std_logic := '0'
という書き方だと、シミュレーション時に使われるだけで合成には無視されるとある。
この本はQualtusII Web EditionとEP1K10を使っている。

XILINXのアンサー15149によればISEの5.1以降では使えるらしいけど、
この手の記述は激しくベンダー依存な気がするから使わないほうが吉かもね。
752774ワット発電中さん:2005/10/10(月) 22:29:53 ID:l0gk1Az/
他人の悪口はよそうや 技術の話はいいけどさ

初期値については、Xilinxについては特に設定しなければ"0"になるよね(経験的に)
どこかにそうなるって書いてあった気もするけど、きちんと思い出せないや
ファミリーによっても違うだろうし

基本的にはリセットくるまで不定で、リセットくることで確定ってのでいいことも多いと思うけど、
たとえば3stバッファのEnableがFFの出力だった場合、ボードレベルで見て電源投入直後に
不定だと困ることもあるとおもう。(Zならいいけど0/1出力してほしくない)
そうなると、UCFで設定ってのが良いのかな。デバイス依存記述せざるをえない・・・。
attributeはModelSimが弾いた様な。オプションで逃げれるのかも知れないけど。

ASICなんかだとリセットも一回クロックで同期化してから同期リセットとして配るて話も聞いたんだけど、本当かな?
753774ワット発電中さん:2005/10/10(月) 22:34:26 ID:l0gk1Az/
>>751
>>signal xxx : std_logic := '0'

これ、実デバイスでは大概無視されるよね。シミュレータでは効くんだけど。
ISE5.1以降でOKだとは知らなかった。でも不安だから使えないなぁ・・・、やっぱり。
全部のコンパイラで通ってくれればスマートなんだけどね。
754774ワット発電中さん:2005/10/10(月) 22:34:43 ID:QcDy6N+O
私もXILINX派なので、経験的に'0'を使ってます。
で、FPGAの場合、無理に初期値を設定しなくても、
「経験'0'」さえわかっていれば、ロジックを使って好きな値をつくれるから、
あまり無理して初期値を設定する必要はない希ガス。
755774ワット発電中さん:2005/10/10(月) 22:51:05 ID:FORCIgha
>3stバッファのEnableがFFの出力だった場合

ですね。tri bufとかはtop階層に書いて、下位からは制御線をもらうようにしてます。
初期状態とかくらいなら、回路の人も見てくれるし、デバイス変わっても下位モジュールは生きるし。
Alteraだと、専用PinでConfig中のピン状態(z/out)設定できるものがあります。
Config直後はConfigデバイスの設定、その後はデザインファイル。
手抜きしてtopはschemaにしてpinに初期値設定もできたり。

結構ハマる落とし穴は、プロセッサのリセットって電源投入後1mS程度だってことで、この時間FPGAはConfig中。
目いっぱい空振りしてたりする事が多く...。
756774ワット発電中さん:2005/10/10(月) 23:00:50 ID:j+VFsQTW
スレ落ち防止メモ
ttp://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?iLanguageID=2&iCountryID=2&getPagePath=18605
ttp://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?BV_UseBVCookie=yes&getPagePath=15149
ttp://toolbox.xilinx.com/docsan/xilinx6j/books/docs/cgd/cgd.pdf p.397

process(ARESET,CLK) begin
 if(ARESET = '1') then
  sig1 <= '0';
 elsif(CLK'EVENT and CLK='1') then
  sig1 <= …
757774ワット発電中さん:2005/10/10(月) 23:41:25 ID:QcDy6N+O
ALTERAでも初期値を設定することはできるみたいですね。
「Power-Up Level」と呼ぶようです。

・Quartus II Handbook, Volume 1, Design & Systhesis
http://www.altera.co.jp/literature/hb/qts/qts_qii5v1_03.pdf
説明は↑の8-32ページ(36ページ)、記述例は8-44ページ(48ページ)あたり。
8-58ページ(62ページ)にはQSFファイルの話が。

VerilogやVHDLの中で書くこともできるようですし、QSFファイルに書くこともできるそうです。
signal my_reg : std_logic;
attribute altera_attribute : string;
attribute altera_attribute of my_reg: signal is "-name POWER_UP_LEVEL HIGH";

ちなみに、ALTERAのWebサイトでInitializeという単語で検索しても出てきませんでしたわい。
Power-UPとかPower-On Resetで検索するとわんさか出てきます。
どんなデバイスが対応しているかとかもわかると思いますけど、
私はめったにALTERAは使わないのでこのへんで。
758774ワット発電中さん:2005/10/10(月) 23:45:20 ID:RCeWw3YN
軽異死は自分が頭おかしいって自覚して欲しい。
759774ワット発電中さん:2005/10/10(月) 23:55:48 ID:QcDy6N+O
ところで例の掲示板とかブログって、見るだけでIP抜かれるのかな?
NIFTYの標準掲示板はそんな機能ないみたいだけどトップの絵が…。
ブラウザの画像表示はOFFにしておかないと危ないね。

はてなダイアリーはIP取れるの?
760774ワット発電中さん:2005/10/11(火) 00:10:27 ID:m6lfYQ9a
>はてなダイアリーはIP取れるの?
さいくすれば取れるよ。(笑)
761774ワット発電中さん:2005/10/11(火) 02:02:45 ID:Tg1wR9W2
こんなのあった。人口無能系

http://www.graco.c.u-tokyo.ac.jp/~yamamoto/Hasumi/hasumi.cgi?-_-http://d.hatena.ne.jp:80/KaruishiFactory/20051010

同じ意味不明なら、こっちの方が面白い。
で、おやすみなさい。

shirouさんもお友達選んでくださいね。
配線チェックくらいしかしてない評価ボード屋になっちゃいます。
762774ワット発電中さん:2005/10/11(火) 02:08:06 ID:Tg1wR9W2
軽石さんも、こういう文体にすれば学歴見透かされずに済むかもね。
嘘でも、とりあえず否定すればいいものを(w
この高校生は見込みがある。


--------------------------------------------------------------------------------

377. こんいちは BLUE 2005/10/08 (土) 10:23

BLUEは高専生です。
軽石先生、軽頭先生は、専門学校卒でしょうか?
文章からそう感じました。
僕も電子関係の専門学校に入りたいのでアドバイス
よろしくお願いします。

378. Re: こんいちは 軽石 2005/10/08 (土) 12:42

こんにちはBLUEさん
> 軽石先生、軽頭先生は、専門学校卒でしょうか?
> 文章からそう感じました。

文章からそう感じるのは不思議です。
今までいろいろ見てきましたが文章で学歴を推測できた事はありません。

763774ワット発電中さん:2005/10/11(火) 02:10:10 ID:Tg1wR9W2
皆さんて誰?は典型的基地外信者だな。
技術系ではないんだろうな。
764774ワット発電中さん:2005/10/11(火) 03:35:48 ID:o/NdPktq
なんでこんなに盛り上がってんの?
765774ワット発電中さん:2005/10/11(火) 08:59:27 ID:fFwywIe0
FPGAインフォメーションの管理人がいつのまにか
セミナーにハマって怪しいブログ書いてるのに驚いた。
766774ワット発電中さん:2005/10/11(火) 12:04:19 ID:Q9m46QHf
>>765

そこんとこ詳しく

軽石の通った後は、みんなダメになってしまうな。
HDLもおしまいか。
767774ワット発電中さん:2005/10/11(火) 12:06:23 ID:Q9m46QHf
もしかしてこれ?

ttp://plaza.rakuten.co.jp/basaro/profile/

痛い...。
768774ワット発電中さん:2005/10/11(火) 14:25:05 ID:ZURVo/x7
>>732
発言番号379-380が削除されていますが何がありましたのん?
769774ワット発電中さん:2005/10/11(火) 23:24:48 ID:SSKrE1EV
>>767
業界では古い人と同じ名前と住所だが同一人物か?(w
770774ワット発電中さん:2005/10/11(火) 23:41:55 ID:W4qghcE0
>信号宣言するときに設定しちゃうやつでしょ。

半分冗談だとおもったけど、

ヒューマンデータの掲示板

>[193] re:FPGA初期化 投稿者:軽石 投稿日:2005/10/11(Tue) 12:52
>記述方法が書いていませんでしたので、書きます。
>Signal hogehoge :Std_logic_vector(7 downto 0):="00001111";
>後ろに:=値で設定できます。

堂々とアホ晒しちょリます。

軽石毒が....。
なひたふさんについでバサロさんが壊れた....
掲示板には依存してないけど、人が駄目になっていくのは見たくない...。

次はHDLshirouさんと下間@○○○電子さん...。

ぜひ来栖川一家に乗り込んで、ボコボコにされていただきたいものです。
どんなあほでも...。
771774ワット発電中さん:2005/10/11(火) 23:53:07 ID:bbexXVIq
ぅゎぁ、工場長、大活躍ですねぇ
772774ワット発電中さん:2005/10/11(火) 23:53:52 ID:SSKrE1EV
普通はリセットと言う神の号令があるんだからそれに応じて初期化を記述するでしょ。
アホとか以前にデバイスによる仕様依存など論外中の論外。
773774ワット発電中さん:2005/10/12(水) 00:04:13 ID:erHlynt3
今回ばかりは致命的な思い違いですね。工場長。
よかったですね、客先DRで指摘されなくて。

トラックのタイヤが取れたり、携帯の電池がサトウの切り餅のOEMかぁ見たいな事になったり。
いろいろあるわけだ...これじゃ。
774774ワット発電中さん:2005/10/12(水) 00:05:15 ID:erHlynt3
やつの掲示板の"皆さんてだれ?"のフォローが隠れた見ものです。
775774ワット発電中さん:2005/10/12(水) 00:11:14 ID:wcDDp/Ri
>>770
安全圏内から自分より解ってない奴やひれ伏す奴だけを相手にしているから
ヒューマンの掲示板での十字砲火はかなり堪えてるんじゃないの?(w
776774ワット発電中さん:2005/10/12(水) 00:26:51 ID:erHlynt3
だといいけどね(w

さて、軽石掲示板でのやつの反撃が楽しみです。
まだ早いけどおやすみなさい。
777774ワット発電中さん:2005/10/12(水) 00:44:12 ID:C7DM6TgI
>>772

SRAMタイプのFPGAだと、レジスタの初期値ロードは可能なものが多い。
文法での初期値記述からツールが推定してくれるかは別の話だが。

コスト制約でリセットを出す素子がなく、なんちゃってリセット回路のときは
非同期リセット無くても動く論理にしておき、保険で初期値をいれたことがある。


778774ワット発電中さん:2005/10/12(水) 01:29:47 ID:N/kUJJXC
しかし、あそこまでスカッとアホだとすがすがしいね。
しかもblogで

>2005-10-11 なにか悪いことした?
とか啖呵きっちゃってるし(w

某あヴぇxみたいに
”シミュレーション記述にインスパイアされた初期化で、...皆さんの初期化を制限するものではありません。”
とか言うのかな。

shirouさん、見てたらやつの暴走止めてください。
shirouさんから苦情が来ればやめますって言ってるので。
初期から頑張ってる会社がなくなるのは寂しいです。
779774ワット発電中さん:2005/10/12(水) 02:01:55 ID:xgAKaoUE
>>770
> ぜひ来栖川一家に乗り込んで、ボコボコにされていただきたいものです。
>どんなあほでも...。
オレとしては工場長にはぜひとも来須川の掲示板に乗り込んで
Y武氏、K野氏、そしてI蔵氏と勝ち進んで勝利を得てもらいたい。

柔道だっけか?先鋒とかなんとかいうの。

あんなノリで軽石掲示板に勝ち進んだ状況を乗っけてほしい
780774ワット発電中さん:2005/10/12(水) 02:19:52 ID:0dElhJDM
なんか鬱陶しいから軽石とやらの話は専用スレでも立ててそっちでやってくれんか?
ここは技術的な話をしようぜ。
781目標はCPU作成:2005/10/12(水) 05:19:35 ID:XWmjiqqy
おーっと、久しぶりにこっちに来たら
盛り上がってますねw
>>742 さんの答えをもっと速く見つけれれば良かった。
なんだかんだでCPUらしきものが出来ました・・(?)
他人が見ればなんだこれ、って感じかもしれませんが

とりあえず、自己満足は出来ました
基本的な部分は完成して
あとは気分で命令を増やしていこうと思います。
みなさんのアドバイスのおかげで助かりました。

どうもありがとうございました!!。
782774ワット発電中さん:2005/10/12(水) 07:13:24 ID:R4vpuUL5
時間が無くて他の命令がまだ実相できてません。

ずーっと悩んで居たことがあって
クロックで全部同期させていて
1クロックづつづれていくんですよね

IFなんとかなら 以下を実行。で
モジュールを呼び出せないのが痛かったです

しばらく悩んで色々考えてみると
IF文でモジュールに繋げた用になる方法をやっとこさ思いつき
何とかずれない用になりました。

always@(posedge ck)
modul modu(a,b,c);//AとBを加算してCに結果が帰ってくる

C言語のイメージで行くとこんな感じでした。
が、実際はクロックの立ち上がりでモジュールに配線する。
という意味になっちゃって、んなこたー出来ないと怒られていました。

なので
wire [3:0] d;
modul modu(a,b,c)
assign d = c;
always@(posedge ck)
mem[0] <= d;
とすることでクロックに同期させてモジュールの戻り値を取得する方法を思いつきました・

もしかしたらコレも変な方法なのかもしれませんが、、
がんばって解決しましたー。
783774ワット発電中さん:2005/10/12(水) 07:14:09 ID:R4vpuUL5
275 名前:774ワット発電中さん[sage] 投稿日:2005/10/12(水) 02:45:36 ID:UYzcg+bK
>>274
君さ、blogでも始めれば。

276 名前:774ワット発電中さん[sage] 投稿日:2005/10/12(水) 04:36:41 ID:TWAqRA6z
>>274
・同期回路
・ステートマシン
・データパス
・パイプライン
ここらへんの概念をきっちり調べてから出直しておいで
784774ワット発電中さん:2005/10/12(水) 07:14:40 ID:R4vpuUL5
277 名前:774ワット発電中さん[] 投稿日:2005/10/12(水) 05:12:12 ID:XWmjiqqy
出直してきますね。
報告はうざがられるみたいなので、
一応完成したので最後の報告で、、
当初の目標だったCPUもどきが完成しました。
命令は加算減算ロード、しかないですが
一応仕組みは完成かな、
あとは、命令をちょこちょこ増やしていこうと思います。
どうも今までありがとうございました。
785774ワット発電中さん:2005/10/12(水) 07:15:48 ID:R4vpuUL5
278 名前:774ワット発電中さん[sage] 投稿日:2005/10/12(水) 05:28:36 ID:cH6iT9gI
別にパイプラインは必須じゃないが。。。

>一応完成したので最後の報告で、、
まったく完成してない。
というかとっかかりにすらたどり着いてないと見た。
どういう条件で加減算ステートに入って、どういう条件でそこから抜けるか書いてみ
786774ワット発電中さん:2005/10/12(水) 09:44:55 ID:TWAqRA6z
>>782にパイプラインの概念は必須だと思うよ
今の>>782は 1クロックで何でもかんでもやっちゃおうとしているから

>>782の今のやり方で作った回路じゃ simでは動くように見えても
現実のデバイスに実装したらf_max=100kHzとかになりかねん
787774ワット発電中さん:2005/10/12(水) 09:52:13 ID:gpHkckeg
電子工作系のHPやってるけど、軽遺志が来るのが怖くてBBS作れない。
788774ワット発電中さん:2005/10/12(水) 12:31:24 ID:n877KmLX
馬軽石は”自分よりできそうなやつ”を弁別する能力はあるから、ちゃんとしたサイトならきっと大丈夫。

しかし、あそこまでアホとはおもわなんだ。なんだあの記述。
めんどだからといって、FPGAにリセット線引かないのは犯罪だろ。
しかもまたもや商売人のサイトで。

さらに某評価ボードにリセットが無いこと発覚したし...。
無力業務妨害だ。

いい加減にしましょうよ。馬軽石さん。
あと、HDLの人も毅然とした態度で排除してください。
789774ワット発電中さん:2005/10/12(水) 12:35:26 ID:n877KmLX
>[187] FPGAの初期値 投稿者:軽石 投稿日:2005/10/09(Sun) 01:13
>電源投入直後(FPGAの場合ダウンロード直後)の状態はVHDLの場合設定する事ができます
>記述方法が書いていませんでしたので、書きます。
>Signal hogehoge :Std_logic_vector(7 downto 0):="00001111";
>後ろに:=値で設定できます。

真に受けないように
790久々登場のQ:2005/10/12(水) 12:41:22 ID:Fde3LJpN
>>787
軽石?
じゃ俺が行って賑やかにしてやるから早く開設しろ。
791774ワット発電中さん:2005/10/12(水) 13:04:51 ID:n6/A9FxD
>>788
>さらに某評価ボードにリセットが無いこと発覚したし...。
>無力業務妨害だ。

これは結果的には貢献じゃないですかね?
792774ワット発電中さん:2005/10/12(水) 13:07:00 ID:n6/A9FxD
>>789
この本にはそう書いてあったりする

ttp://www.cqpub.co.jp/hanbai/books/33/33461.htm
793774ワット発電中さん:2005/10/12(水) 13:12:23 ID:1wz0ss1y
hogehogeを普通に使ってる俺様が来ましたよ

794774ワット発電中さん:2005/10/12(水) 13:13:45 ID:xvUaikHG
>>792
そういえばそうだったかも
かえってキッチリ読み直してみよう・・・

しかしリセットのない評価ボードってのも珍しいね。
グローバルリセット端子は通常端子扱いなんですか、そのボード。
795目標はCPU作成:2005/10/12(水) 14:01:15 ID:XWmjiqqy
>>786
フェッチから加算してレジスタに書き込むまでが2クロックです、
一番最初に書いた物はこの間にかなりクロックを使っていて
どうやったら少ないクロックでいけるかな?とやってみました

>現実のデバイスに実装したらf_max=100kHzとかになりかねん
まじすか、うーん、数クロック使うようにしたほうがいいんですかね。
796774ワット発電中さん:2005/10/12(水) 14:15:21 ID:n6/A9FxD
メモリのアクセスタイムは?
797774ワット発電中さん:2005/10/12(水) 14:19:36 ID:xvUaikHG
まあいくらなんでも100kHzってことは無いんじゃないかと・・・
ISEとかで適当なデバイス(Spartan3あたり)選んで遅延simしてみたらどう?
798目標はCPU作成:2005/10/12(水) 14:29:08 ID:XWmjiqqy
>>796
アクセスタイムってどうやって計れば良いのでしょ?
クロックの立ち上がりから加算してメモリに書き込むまでが
10nsぐらいです(シュミレーター上ですが)

なんかシュミレーターってあんまり信じない方が良いですか?

>>797さんのもやってみたいんですが良くやり方が分かりません。。
quartus2の使い方まだよく分かってないです・・
799774ワット発電中さん:2005/10/12(水) 15:14:53 ID:BderktoJ
ようこそ軽石さん。どうぞどんどんここで叩かれてください。
叩かれても叩かれてもめげずに成長すればいいのです。
叩かれてDQNになったら生暖かく見守るだけ。

なんかあんたはバードウォッチの鳥なんだと書いたコメントは消されてたな。
800774ワット発電中さん:2005/10/12(水) 15:21:37 ID:IYLg5bLK
XC95108に入るCPUを誰か考えてください
801774ワット発電中さん:2005/10/12(水) 15:27:54 ID:0dElhJDM
>>800
MC14500 くらいなら入るかな。
802774ワット発電中さん:2005/10/12(水) 15:36:41 ID:n6/A9FxD
趣味レーター・・・
803774ワット発電中さん:2005/10/12(水) 17:48:02 ID:1mc4Act7
>>769
>>770

>プロフィール:アウトスタンディングなスーパー技術者で、びっくな
>ビジネスオーナーでもあり、幸せクリエーターであり、予測を超える
>感動プロジューサーでもある、バサロです。言葉の魔法使いでも有ります。?
>IT・デジタル系
>兵庫県 男性 4月5日生

あの人とは別人だと信じたい…。
以前から変わった人だと思っていたけど…。
804774ワット発電中さん:2005/10/12(水) 18:05:04 ID:xvUaikHG
>>798
まあ、まだ遅延シミュレーションは(能力的に)早いかも。
機能シミュレーションでしっかり動作すれば、クロック遅くすれば動くからね。
(ただし同期設計なら、てことで)

シミュレーションの結果は抽象化されている部分もあるので、結果に対して
どこが実機に対して有効かそうでないかを見極める目は必要かなと思います。

遅延する時間だけならまあある程度信用しちゃってもいいとは思いますが。
不定の扱いやハザードについては、参考程度にとどめるのが吉かと。
805目標はCPU作成:2005/10/12(水) 18:40:54 ID:XWmjiqqy
>>804
どうもですIDがレイザーラモンみたいでカッコイイですね

1クロックで色々やってやろう、ってのはあまりイクナイんでしょうか・・?

とりあえず学校の先生に こんなんできたぞ!と見せるために
仕様書書きます、(順番逆??w)
このまま命令増やして行き、32bitに、キャリーも付けて。

それとは別に
1命令に数クロック使うタイプも作ってみます。
今のところフェッチからメモリライトまで2クロック
メモリに書き込んだクロックでフェッチしてるのでなんちゃってパイプラインになってます

速いクロックでも動くように、試行錯誤してみます!。
806774ワット発電中さん:2005/10/12(水) 19:49:23 ID:n877KmLX
>>803

こさやまさーん。かえってきてー。

アホ軽石がまた活性化している….
部ログで自爆してるし。
807774ワット発電中さん:2005/10/12(水) 19:55:51 ID:LHWlccqE
「1クロックで色々やって」で、それが高クロックで動作するんだったら、それが一番ベスト。
しかし現実は、それだと高クロック化出来ないので、しかたなく数クロックに分割して処理速度を上げてる。
もうちょっとCPUらしい機能をいろいろ組み込んで、100MHzくらいで動かそうと頑張ったら理解できるよ。
808774ワット発電中さん:2005/10/12(水) 20:40:09 ID:n877KmLX
アホの軽石差し出すから、小山さん返して...。

軽石毒の惨禍を止めねば...。
Qさまがんばって!
809774ワット発電中さん:2005/10/12(水) 20:58:01 ID:xvUaikHG
1クロックでいろいろやっても別に悪いことはないです。
ただ、例えば

乗算(1クロック動作) →100ns
加算(1クロック動作) →10ns

というALUを作った場合、最大動作周波数は10MHzになりますよね。
このとき、加算命令を実行した場合90nsは無駄になるわけです。

乗算(12クロック動作) →10ns * 12クロック=120ns

にすれば、CPU自体は100MHzで動かせるようになる。
確かに掛け算には1.2倍の時間が必要ですが、全体を見れば性能はupしているよね。
810774ワット発電中さん:2005/10/12(水) 21:09:50 ID:UYzcg+bK
>>803
そのうちFPGAの壺とか売るに違いない
811774ワット発電中さん:2005/10/12(水) 23:12:13 ID:NgnRHAzS
軽石の風呂の水とか
812774ワット発電中さん:2005/10/12(水) 23:32:10 ID:wcDDp/Ri
>>791
リセットがないのではなく、端子があってもリセット回路が無いの間違い。

評価ボードならこれが普通。
リセット回路までオンボードなんて贅沢すぎる。
813774ワット発電中さん:2005/10/12(水) 23:36:41 ID:xvUaikHG
>>812
評価ボードについてるSWがリセット端子に割り当てられているケースは多いきがするんだけど、どうだろう。
リセットICまで乗ってるのは少ないってことだったらスマソ
814774ワット発電中さん:2005/10/12(水) 23:44:05 ID:wcDDp/Ri
>>813
リセット回路と言えばリセットICが付いた「まともな」回路だと思いこんでいました。
こっちも失礼。
815774ワット発電中さん:2005/10/12(水) 23:45:31 ID:0dElhJDM
スイッチやLED満載の学習ボードみたいなのだったらリセット回路も入ってたほうが
便利かもしれんが、ヒューマンデータでいうACM/XCMみたいに組み込みを前提に
したボードには要らないと思う。
816774ワット発電中さん:2005/10/12(水) 23:59:41 ID:NgnRHAzS
アホ軽blog更新。

風評被害というものを知らんのか。
あんたは言語の前にロジックの基礎を学べ。
意図しないラッチ、Xの伝播...。
817774ワット発電中さん:2005/10/13(木) 00:09:09 ID:Igj56hsY
>■何日か更新できないかもしれません。
>ネットにアクセスできない環境で作業するので今週の更新はないかもしれません。

論破され逃亡する軽石哀れ。
818774ワット発電中さん:2005/10/13(木) 00:09:49 ID:SMOUo4mM
アホブログって何処にあるの?
819774ワット発電中さん:2005/10/13(木) 00:11:33 ID:32AJC5aE
>>818
軽石でぐぐるとトップにW
820774ワット発電中さん:2005/10/13(木) 00:12:31 ID:LgeD4LOD
>>814
キニシナイ!

>>792
思い出した、いま確認してみた。

P40
| ":=初期値"はシミュレーション時にのみ有効です。FPGA向け回路の合成時には無視されます。
| 記述してもハードウェア・リセット時の初期値にならないので、注意してください。

と、正しいことが書いてあった。
821774ワット発電中さん:2005/10/13(木) 00:18:01 ID:01CQmqXQ
Spartanだと、それで初期化できるわけですが。
822774ワット発電中さん:2005/10/13(木) 00:23:23 ID:SMOUo4mM
軽石ブログ・・・
痛すぎる。

しかしだ、漏れもエンジニアの端くれ。こんな馬鹿が業界に居ると思うだけでも萎える。
FPGAのリセット・・・面倒くさがらずに初期化も記述し回路化したら良いのではないかと
素朴に思うが。
823774ワット発電中さん:2005/10/13(木) 00:24:26 ID:ANbLgcFs
苦多足す蜘蛛版で再苦論2にフィットして味噌と言い鯛
824774ワット発電中さん:2005/10/13(木) 00:26:47 ID:LgeD4LOD
>>821
それはspartan1限定でって事ですか?それとも2,3とかでも?
XSTのバージョンによってはやってくれたりするのかな・・・

ちょっと試してみよう。
825774ワット発電中さん:2005/10/13(木) 00:27:33 ID:ANbLgcFs
合成ツールやテクノロジに依存しすぎの記述は勘弁してください。
826774ワット発電中さん:2005/10/13(木) 00:28:42 ID:xsAlGpnG
>>823
その記述、苦多足す蜘蛛版で魔楠津にフィットしてみたけど、効かなかったYO。
寺は対応してないのかな。
827774ワット発電中さん:2005/10/13(木) 00:30:53 ID:SMOUo4mM
なんで論理合成ツールに依存する書き方をするのがよく解らないのですが?
828774ワット発電中さん:2005/10/13(木) 00:33:29 ID:LgeD4LOD
>>827
とりあえず好奇心と、ネタでw
spartan3+ISE7.1iでコンパイル中〜
829774ワット発電中さん:2005/10/13(木) 00:51:52 ID:T4d108Km
>>827

 if(ARESET = '1') then
  sig1 <= '0';

を書くのが面倒だから。

74シリーズ全開だったしゃちょーさん、クロック分周してそれをFFのクロックに使いやがるのでFmaxが出ない。
もちろんリセットなんか使わない。
cke使っちくリー。インプリ死ぬー。
830774ワット発電中さん:2005/10/13(木) 00:52:38 ID:LgeD4LOD
おー、効いてるwwwすげー。

これが業界標準になれば記述楽になるんだけどなぁ。
831774ワット発電中さん:2005/10/13(木) 00:59:01 ID:T4d108Km
実機でそうなるの?シミュレータ?。
でも、誘惑には負けないもん。

しかし、軽石って書けば書くほど痛いなぁ。
留守って、もしかしてFPGAデザイナで流行の自己啓発セミナ?

かえってこなくていいよー!
832774ワット発電中さん:2005/10/13(木) 01:01:19 ID:LgeD4LOD
830補足

初期化値として'1'設定してみました。設定する前(記述なし)だと電源投入後は'0'だったけど、
記述すると電源投入後に'1'効いていることを確認。

使用ボード:spartan3スターターキット (xc3s200)
コンパイラ:Release 7.1i - xst H.38

ま、趣味で手抜きに使えるけど、仕事じゃ怖くて記述できないなコレw
833774ワット発電中さん:2005/10/13(木) 01:08:41 ID:9hSWmY0K
> 74シリーズ全開だったしゃちょーさん、クロック分周してそれをFFのクロックに使いやがる
テラワロス
834774ワット発電中さん:2005/10/13(木) 01:09:37 ID:T4d108Km
>>832 さん

あ、実機ですか。乙です!。
SRAMベースだと”結構”効くみたいですけどね。”結構”が曲者で...。

あと、記述ルールで禁止してるところありますね。
simと本チャンで結果が変わってしまうことがあるので。
835774ワット発電中さん:2005/10/13(木) 01:15:26 ID:LgeD4LOD
ACTEL+Synplify Proではダメだった記憶があるw(ヒューズタイプのほう)

RINTとかには引っかかりそうね。

ASICだと効かないだろうしね。FPGAみたいなコンフィグフェーズがあるからこその技な気がする。

>simと本チャンで
まったくでw
836774ワット発電中さん:2005/10/13(木) 01:16:41 ID:SMOUo4mM
>>833
低消費電力を狙ってのクロックのゲーティングってのも「頭を使えば」不可能じゃない
かも知れない。
合成エラーとの戦いなどしたくないがなー。(w
837774ワット発電中さん:2005/10/13(木) 01:22:05 ID:9hSWmY0K
>>836
たしかに内蔵PLLで分周クロックつくって使うってのはありかもね
PLLでつくればツールもちゃんとタイミング解析してくれそうだし
838774ワット発電中さん:2005/10/13(木) 01:23:49 ID:T4d108Km
確かに使わないブロックはクロック止めたいなーって事なくないんだけど、インプリでPCと担当者のエネルギー消費が上がるんだよねぇw。
出来る限り、制約つけたりタイミングレポートは見たくないし..同期の意味薄れてくるし...。


ttp://www.graco.c.u-tokyo.ac.jp/~yamamoto/Hasumi/hasumi.cgi?-_-http://d.hatena.ne.jp:80/KaruishiFactory/20051012

面白いので寝るというのが本稿の趣旨である。
では

839774ワット発電中さん:2005/10/13(木) 01:24:41 ID:T4d108Km
あ、二行いっぺんにペーストしてください。
840774ワット発電中さん:2005/10/13(木) 01:27:29 ID:LgeD4LOD
>>837
create_clockとかで分周回路の出力をクロック指定すれば、PrimeTimeなら検証できるよ
(もちろん源信との位相差も考慮して)

ただ、統合環境付属のSTAツールだと無理かもしれない。(FF使った分周だと)
外部端子やPLL系特殊マクロの出力しかクロック指定できなかったような・・・
841774ワット発電中さん:2005/10/13(木) 06:32:41 ID:qH/qf6yj
>>809
12倍では?
842774ワット発電中さん:2005/10/13(木) 08:25:39 ID:mZFRwKNB
>>803
マジレスすると、去年の夏くらいはすでにおかしかった。

> 2003
> ジェームススキナーと会う
> その後、1年のブランクがありましたが、
> 大きく成長しました。
と書いてあるので、時期的にはその辺りだったと思う・・・・
843774ワット発電中さん:2005/10/13(木) 10:18:09 ID:hGpvQ2S6
>>723は黙ってしまったけど、どうしてるのかな?君へのレスが数個付いてるが、それを読めば
この業種の従業員の知識レベルとかがわかるだろう?どうだ?
もし君がまだ若いんだったらその職場配置再考って道もお奨めぞ。
844774ワット発電中さん:2005/10/13(木) 10:35:24 ID:LgeD4LOD
>>841

あ、掛け算同士の比較ってことで。

100ns*1→10ns*12になったという意味です。
845774ワット発電中さん:2005/10/13(木) 13:20:56 ID:v9TfqccB
ttp://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?iLanguageID=2&iCountryID=2&getPagePath=12293

依存記述でも”馬鹿とハサミは使いよう”で消極的なら使ってもいいと思うな、俺。
846774ワット発電中さん:2005/10/13(木) 21:32:53 ID:vWe7Bqy4
アホ軽石みたいに人に勧めるのはまずいよね。
わかってて使う、かつ人に渡らないならいいと思う。
確かにちょっと手が抜けるし。
治具とかね。
847774ワット発電中さん:2005/10/13(木) 21:48:42 ID:9hSWmY0K
技術的な話に限らず
一般化できない話を無根拠に一般化して吹聴する輩は有害です
848G02X0J-2.5:2005/10/13(木) 21:55:43 ID:k81k7esO
この掲示板酷いところですな投稿各氏のレベルは最低だ。
849774ワット発電中さん:2005/10/13(木) 22:54:44 ID:Zm3LjlQH
>>832
> ま、趣味で手抜きに使えるけど、仕事じゃ怖くて記述できないなコレw
ザイのマニュアルに書いてあるし。
850774ワット発電中さん:2005/10/13(木) 22:55:55 ID:LgeD4LOD
そういえば、先日ACTEL代理店の営業さんから聞いたんだけど、ACTELが
A/D MIXなPLDを出すらしいです。年末に仕様を発表すぐるらいの話らしく、
まあデバイスは1,2年先かもしれないんですが。

PSoCみたいなモノらしい。CPUいらないから、FPGAとアナログとかだったらいいな。
でもACTELだと個人でデバイス入手は難しそうだ・・・
851774ワット発電中さん:2005/10/13(木) 23:12:16 ID:xsAlGpnG
>>849
ザイのマニュアルを信じちゃいけない。
アプリケーションノートと、エラッタと、その他諸々の資料を読んだ上で
さらに自分で検証してから使うものだ。まぁ、それは寺も一緒。

そういう書き方は趣味の工作で使うならいいけど、
仕事で使うと他人や他社の手に渡ったときとか、自分が管理できなくなったときからが怖いよ。
852774ワット発電中さん:2005/10/13(木) 23:12:19 ID:tFWGg6+n
>>847 >>848

軽石ふぁくとりぃの軽石氏の発言を追ってみてください。
FPGAインフォメーションやHumandataの掲示板で見られます。
さらに醜い世界を見る事になります。

ここなどまだ俗世。
853774ワット発電中さん:2005/10/13(木) 23:19:24 ID:SMOUo4mM
てか糞軽石の所為で普通に流れていた掲示板が「閉鎖」って事になったのは一度や二度じゃないだろ。
あいつはとことん有害だよ。
854774ワット発電中さん:2005/10/13(木) 23:46:52 ID:Zm3LjlQH
>>853
そういう実態を目の当たりにすると「荒らしに反応する奴は荒らしと同罪」というのがよくわかるね。
粘着ウォッチャーも有害。
855774ワット発電中さん:2005/10/14(金) 00:04:53 ID:ogfiOwGc
>>854
軽*さん乙。
856774ワット発電中さん:2005/10/14(金) 00:12:16 ID:ks69E49e
軽石さんの話題は専門スレでやってね

ttp://science4.2ch.net/test/read.cgi/denki/1129214038/1-
857774ワット発電中さん:2005/10/14(金) 00:35:10 ID:sU+6DGrL
>>856
糞スレを立てたボケは氏ね。
すぐに削除依頼してこい。

立てて良いのは最悪板だ。サーバー資源を無駄にする馬鹿は本当に氏ね。
858774ワット発電中さん:2005/10/14(金) 00:41:30 ID:K/fEEn5A
ここで軽石の話をされるよりはマシだ。
859774ワット発電中さん:2005/10/14(金) 00:43:55 ID:ZQunWdJo
うん、有効な使い方だと思う。
真の悪は軽石だ。
860774ワット発電中さん:2005/10/14(金) 01:01:48 ID:FGiL150B
>>843

2chがこの業種のレベルを反映するわけないだろ。
職場で発言力ゼロのやつが、ストレス解消に遊ぶところだぜ。 >>俺モナ

861774ワット発電中さん:2005/10/14(金) 02:14:53 ID:jSKSoqHD
>>209さんと近い状況になりました。(>>359)
Quartus2 Ver5.0を使用し、Fitterの5%でコンパイルエラーになってしまいます。
エラー内容は以下の通りです。

Warning: FLEXlm software error: System clock has been set back Feature:quartus_lite License path:
C:/altera/***.dat FLEXlm error: -90,313 For further information,
refer to the FLEXlm End User Manual, available at "www.macrovision.com".

Error: Current license file does not support the *** device

再インストールをしても、ライセンスを新規に入手しても
同じ状況になってしまいます。
エラー回避の方法をご存知の方がいらっしゃるならお教え下さい。
お願いします。
862目標はCPU作成:2005/10/14(金) 02:38:10 ID:dYGN15gl
>>807
>>809
どうもです、今までPICやAVRを使っていて
遅延について考えた事なんて無かったので
今回のお話を聞いて、あー、なるほどなぁ、と 思いました。

今は加算減算だけで精々10NSの遅延です
今後もっと時間の掛かる処理を付け加えていこうとすると
どれぐらい遅延が起こるかわかりませんが、問題になってきそうです。。
今使ってるFPEGのボードが最大で80Mhzなので
80ns以内の遅延を目指しがんばっていきます。

クロックを分ける、以外に遅延をできるだけ無くす方法って何かあるでしょうか?
出来るだけ単純な回路にするとか・?
863774ワット発電中さん:2005/10/14(金) 03:21:33 ID:K/fEEn5A
>>862
> 今使ってるFPEGのボードが最大で80Mhzなので
> 80ns以内の遅延を目指しがんばっていきます。

80MHz なら周期は 12.5ns だよ。(1/80e6 = 12.5e-9)
864目標はCPU作成 :2005/10/14(金) 03:22:14 ID:U1+Cv6p8
でもここにいるアホどもにはいいかげんうんざりしました。
し ね ア ホ ど も !
865774ワット発電中さん:2005/10/14(金) 05:28:02 ID:kayS+uoX
 ベースラインに比べて苦あるタスはコンパイル時間が異様に長い
のですが、皆さん、平均コンパイル時間はどのくらい。
まだ10%しか使ってませんがこれがフルになるとどのくらい時間が
かかるのだろう?(6000LEですが)
866774ワット発電中さん:2005/10/14(金) 06:37:27 ID:sU+6DGrL
>>864
頭悪いなお前。(ゲラ
867目標はCPU作成 ◆Dep8qDBLdY :2005/10/14(金) 08:47:22 ID:dYGN15gl
>>863
すんません、恥ずかしいっす。
12.5ですね、、ってことは今の加算ですら
いっぱいいっぱい・・。
868774ワット発電中さん:2005/10/14(金) 10:13:07 ID:W6YVCCcM
>865

制約バリバリのストラチクスの50%で2時間くらい
869774ワット発電中さん:2005/10/14(金) 10:38:43 ID:oRPJ5MzL
とにかくプログラマブルなカウンタ(24ビット)が4回路以上取れて、
メチャクチャ安いアルテラってないかな……。
スピードはあまり要らないから。
870774ワット発電中さん:2005/10/14(金) 12:42:44 ID:nCOvSiWR
>>869

MAX2シリーズがお勧め。
871774ワット発電中さん:2005/10/14(金) 15:41:49 ID:s4WP7mKi
>>842
正直、あの人本業の腕はどの程度なんですか?
業務履歴にゃそうそうたる案件が並んでますが。
872774ワット発電中さん:2005/10/14(金) 19:54:46 ID:1VYwYtXe
人間情報の中の人がリセットの事カイテル元帥
873774ワット発電中さん:2005/10/14(金) 20:33:33 ID:oRPJ5MzL
>>870
> >>869
> MAX2シリーズがお勧め。


一番安いのでいくらくらいで買えますか?
874774ワット発電中さん:2005/10/14(金) 20:49:40 ID:KLKBoSdS
MAX2って、FPGAのくせにconfigROM乗せてCPLDって名乗ってるやつだよね。
個人でも安く買えたらいいのになぁ・・・・
875774ワット発電中さん:2005/10/14(金) 21:11:50 ID:K/fEEn5A
>>873
Digi-Keyで1個買い $6 (EPM240T100)
876774ワット発電中さん:2005/10/14(金) 21:27:37 ID:K/fEEn5A
>>875
Digi-Key Japanからだと検索できないな。
Digi-KeyはAlteraを日本には販売できんようだ。(代理店権の問題かな)
877774ワット発電中さん:2005/10/14(金) 21:36:34 ID:BI+V129q
>>876
そういう部品、Altera以外にも結構あるよ。
英語サイトから注文すれば日本からも買えたと思った。
878774ワット発電中さん:2005/10/14(金) 23:26:52 ID:nCOvSiWR
>>869

今の時代にそんな事も自分で調べられないなら技術者やめろ。
879774ワット発電中さん:2005/10/14(金) 23:39:32 ID:sU+6DGrL
学生かトーシロでしょ。
エンジニアであるはずがない。
880774ワット発電中さん:2005/10/15(土) 00:57:08 ID:y6kNMARz
>>875
> >>873
> Digi-Keyで1個買い $6 (EPM240T100)
873です。レスサンクス。
確かに、かなり安くなっているけど、まだまだですね。
881774ワット発電中さん:2005/10/15(土) 00:58:06 ID:y6kNMARz
>>878
873=869です。
> >>869
> 今の時代にそんな事も自分で調べられないなら技術者やめろ。

とっくに辞めてますが、なにか?
882774ワット発電中さん:2005/10/15(土) 01:07:51 ID:F1PAXokG
負け組であることを宣言したところでウザ厨質問が許されるわけではない。

ま、何故底まで身を落としたかは明白だが恥知らずってのは色んな弊害があって困る。
883774ワット発電中さん:2005/10/15(土) 15:08:11 ID:8kjBfNwV
>>877
漏れもそう思って英語サイトから注文したんだが
同時に注文した別の部品は届いたがAlteraのだけ無視された orz
884774ワット発電中さん:2005/10/15(土) 15:20:07 ID:xUgUUtje
Xilinxにしとけよ
885774ワット発電中さん:2005/10/15(土) 16:50:18 ID:h+yPj7eo
Digikeyですが、代理店や輸出規制の関係で、日本からは買えないものもあるようです。

日本サイトのカタログに載っているものなら、確実に米国サイトからも買えますが。
886774ワット発電中さん:2005/10/15(土) 18:05:41 ID:EBnQvc8S
>>884
> Xilinxにしとけよ
ザイリンクスとアルテラを比べると、アルテラの方がずっと使いやすくて
高性能ってイメージがあるけどな。
887774ワット発電中さん:2005/10/15(土) 18:11:16 ID:xUgUUtje
Xilinxだったらどこでも手に入るでしょう?
888774ワット発電中さん:2005/10/15(土) 18:23:45 ID:4MfUfBVi
>>886
性能に大きな差があるイメージはないなぁ
MAX2はコンフィグROM不要だから好き。

カウンタだけでアルテラならEPM7256とか。雑誌の付録でついてたやつ。
889774ワット発電中さん:2005/10/15(土) 19:43:56 ID:Upz0N7ik
Xilinxは大昔にツールのバグでスケジュールがムチャクチャになって以来、使ってない。
890774ワット発電中さん:2005/10/15(土) 19:45:53 ID:4MfUfBVi
大昔って、いつ(Ver.?)ぐらい?
891774ワット発電中さん:2005/10/16(日) 09:17:38 ID:XWbhrpmj
ひょっとしてツールはザイリンクスの方がいいんじゃない? 
仕事ではアルテラしか使ったことないので、良く知らんけど。
ザイリンクスはステートマシンがグラフで書けたりするでしょ。
 それにしても、クオータスは遅いなー。ソフトの作り方がまずいんだろな。
892774ワット発電中さん:2005/10/16(日) 10:33:18 ID:LUmExlRI
>>891
>>それにしても、クオータスは遅いなー。
これはものすごく同感。
同じソースをISEとQで回したら2倍の差が出てびっくりした。
893774ワット発電中さん:2005/10/16(日) 17:04:35 ID:b8VFHXL+
Qが遅いってのは何が遅いわけ?
フィッティング?
894774ワット発電中さん:2005/10/16(日) 19:41:52 ID:LUmExlRI
>>893ヤクザ
うちは、synthe&analyが2時間半、fitterが40分てトコ
895774ワット発電中さん:2005/10/16(日) 19:51:15 ID:LUmExlRI
あ、でもツールの使い勝手は優劣つけられない。どっちもどっち。
896774ワット発電中さん:2005/10/17(月) 00:58:13 ID:CvHc0KVe
実際問題論理合成もSimもサードパーティ使うからフィッタの違い以外速度差なんてほとんど無視できるだろ?
897774ワット発電中さん:2005/10/17(月) 02:09:06 ID:T5Rm+VxI
それなりの規模になると、Win用の論理合成ツールでも2時間とかいくよ。

でも、しっかりシミュレーションしてから焼くのが普通だから気にならない。
ASICのサインオフに比べたらよっぽど精神的に楽だ。

898774ワット発電中さん:2005/10/17(月) 05:13:24 ID:nexmkhtQ
 それなりの規模ってどのくらいの規模? なんLE?
1分くらいの規模しかやったことない俺としては非常に興味ある。
でもそれで50万くらいは貰ってるから、2Hだと 60*2*50万=6000万
6000万とは行かなくても1000万以上だろなー
899774ワット発電中さん:2005/10/17(月) 07:24:42 ID:M8r3TMot
O(n)ではない件
900774ワット発電中さん:2005/10/17(月) 08:13:46 ID:rbI5oH8S
CPUの速度も書かずに議論している件
901774ワット発電中さん:2005/10/17(月) 09:37:28 ID:8FPzFX+N
Stratix2をコンパイルすると出力ピンの数だけ下記の様なワーニングが出ます。
どうやって処理してますか?
出力ピンが200本とかあると個別に設定するのは気が遠くなるのですが、
なにか良い方法ないでしょうか?

Warning: Pin "ab[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis

ちなみに代理店に聞いたら「個別に設定してくれ」とのこと・・・
902774ワット発電中さん:2005/10/17(月) 10:50:38 ID:Kr6M3a3S
わーにんぐ
とか
あわーど
とか言うな!あほと思われるぞ!
903774ワット発電中さん:2005/10/17(月) 12:11:56 ID:hd8W1SSX
わーぷ はどうよ?
904774ワット発電中さん:2005/10/17(月) 12:22:27 ID:TUJ+r8Hb
>>902
AHOはアホじゃなくてえいほー。
905774ワット発電中さん:2005/10/17(月) 12:24:18 ID:IFODTa0J
ワーニングでもウォーニングでもどっちでもいいんじゃない?
むりやりカタカナに置き換えてるだけだし。
そんなヲレはワーニングとかシュミレーターとかつい使ってしまう。
906774ワット発電中さん:2005/10/17(月) 12:40:51 ID:5DQ1W79w
つ「狭量」
907774ワット発電中さん:2005/10/17(月) 13:09:52 ID:Nejh9x+0
誰も本題のほうには答えてやらんのか。みんな冷たいな。w
908774ワット発電中さん:2005/10/17(月) 13:54:34 ID:hd8W1SSX
だって代理店の言う通りだろ
たかが200 何をめんどくさがってのか
909901:2005/10/17(月) 14:23:21 ID:8FPzFX+N
なんか良い方法ないかなぁと思っただけなんだけど。
個別に設定するのは労力の無駄使いなのでスクリプトで一括設定する様にしました
910774ワット発電中さん:2005/10/17(月) 17:04:36 ID:RhJsKv+X
911774ワット発電中さん:2005/10/17(月) 21:19:03 ID:jSnb9ldV
> ワーニングでもウォーニングでもどっちでもいいんじゃない?
よかぁない。ワーニングだよ。
912774ワット発電中さん:2005/10/17(月) 21:39:46 ID:BrfHV+tq
そこでスターワーズ
913774ワット発電中さん:2005/10/17(月) 22:07:24 ID:M6K9jpSP
Warningって書けばいいんじゃないの?
gooの英和辞典で聞くとウォーニングと聞こえますね。

で、肝心な方はほっときじゃないか?
よほど厳しいI/Oのタイミングじゃなければ。
Load Capacitaceって、設定するもものじゃなくて、デバイスのタイミングモデルの不備じゃないかと。
914901:2005/10/18(火) 00:47:13 ID:dAt8S/2g
>デバイスのタイミングモデルの不備じゃないかと。

FPGAの先にぶら下がるデバイスの負荷容量(入力容量)を設定する見たいですよ。
915774ワット発電中さん:2005/10/18(火) 12:04:08 ID:B289Dl0u
こんにちは。来訪者へのご案内板でこちらを勧められてきました。

SAMSUNG K9F6408UOC-TIBO や これの容量の多いものを読みたいです。
おそらく、NAND Flash ROM です。良い解決方法が知りたいです。

コンパクトフラッシュやSDカードなんかに入っているメモリです。
カード自体が死んじゃっているのでリーダーでは読めません。
メモリ自体が死んでいないという場合なら、ROMライターとかで
読めそうに思います。

メモリから読んだ内容は全てパソコンへ取り込みたいと思います。

そこで、FPGAを使ってハードを作るのが良いのか、プログラマを
買ったらよいのか、あるいはマイコンを使うのが良いのか教えて
いただければと思います。

BeeProg 定価 ¥149,000
ttp://www.datadynamics.co.jp/elnec/beeprog.html

ネット価格11万円
ttp://www.iczoo.com/sprom/index/sp580u.htm

このあたりを使えばできそうですが、他1式で約20万円コースです。
個人でやっているのでMaxで5万円くらいでと願いたいですが、これ
らを買っちゃうほうが良いのでしょうか。
H8マイコンのソフトは作ったことがあるので、H8ボードは用意しま
したがこれでできるのか、電圧違うのはどうすればいいのかとか、
ICの仕様書は手に入れましたが配線も直結でよいのかとか、
まだよく分かってないです。

読めないメモリは1度きりではなくて継続的に複数手に入ります。
あるいは、これらの情報を判断できる勉強のできるものを教えて
いただけるとうれしいです。
916774ワット発電中さん:2005/10/18(火) 12:39:19 ID:k5f/Ubf6
同じメモリカード買って載せ換えるのが一番簡単でしょ。
内容が壊れてなきゃちゃんとファイルとして見えるだろうし。
917774ワット発電中さん:2005/10/18(火) 13:03:38 ID:ogSIzViU
3日間 25万円(昼食代、消費税込み)
918774ワット発電中さん:2005/10/18(火) 13:24:59 ID:S5mv+e9W
>よかぁない。ワーニングだよ。

お前のようなアホはSONのワークマンでも使ってろボケ
919774ワット発電中さん:2005/10/18(火) 13:27:19 ID:eIa/Kb2n
孫の作業服?
920774ワット発電中さん:2005/10/18(火) 14:23:05 ID:4vwHJmZY
やる気湧く涌く?
921774ワット発電中さん:2005/10/18(火) 15:28:11 ID:MmwTQJBX
Spartan3はコアIPのmultiply accumulatorが使えないって本当ですか?
922774ワット発電中さん:2005/10/18(火) 20:04:55 ID:AltUZjKV
iPODnanoの4GフラッシュとFPGA組み合わせて清音HDD作ってくれ
923774ワット発電中さん:2005/10/18(火) 23:43:42 ID:B289Dl0u
>>916

確かに手っ取り早いように思いますが、同じ型番の
メディアでも中身が違うらしいですし、毎回見当をつけて
購入しなければいけないし、それでだめなときは支出が痛いし、
全部読める機械があればいいような気がしますが、それは無理ですか。

同じメモリカードに載せ替えなら、配線が大変だと思うので、
ROMライターなどに使うソケットを購入しておくというのは
現実的ですか? 数万円するからこれを自作なんて無理ですよね。
924774ワット発電中さん:2005/10/18(火) 23:46:38 ID:IC+FeNF4
馬鹿め、チンコ米語。
正式な和製英語ではワーニングだ。
925774ワット発電中さん:2005/10/19(水) 00:22:35 ID:IDCyrSg0
そろそろいたいからやめとけ
926774ワット発電中さん:2005/10/19(水) 00:27:51 ID:g8t1u6ww
軽石以下だよ。
927774ワット発電中さん:2005/10/19(水) 04:17:24 ID:RciRMDO/
英語ネイティブで日本語もしゃべれるプログラマが、
日本人相手には「ワーニング」と言っていたw
928774ワット発電中さん:2005/10/19(水) 08:43:15 ID:mGV9hnmZ
日本語ではそう発音するんだと思ってあわせてくれてることに気づけ。お前のような間抜けに合わせてくれてるんだ。
例えば、
状況をわかってるネイティブなら"ディレクトリ"と発音してくれる。
929774ワット発電中さん:2005/10/19(水) 09:04:14 ID:rbaMIn6B
directoryは eにアクセントだから ディレクトリでいいんでないの?
もう少し原音に近く書いても「でぃレくたり」だな
930774ワット発電中さん:2005/10/19(水) 09:19:01 ID:mGV9hnmZ
辞書ではdi,dai両方書いてるが、dai-が一般的だ。
931774ワット発電中さん:2005/10/19(水) 09:21:44 ID:mYzYnmQ5
>>928
例が悪いな。
マクロメディアディレクターにしたほうがいいぞ
まくろみぃーでぃあだぁぃれくたぁー
wwwww
932774ワット発電中さん:2005/10/19(水) 09:22:24 ID:mYzYnmQ5
>>930
両方書いてあるなら文句は言えない。
933774ワット発電中さん:2005/10/19(水) 10:34:10 ID:rbaMIn6B
>>930 どこの辞書だ?

directorなら i にアクセント来るから 米語なら ダイレクタと発音するが
dicrectoryは e にアクセントだから 米語でも ディリークタリ だろ
934お祭り好きの電気や  ◆gUNjnLD0UI :2005/10/19(水) 10:34:14 ID:VnrlQ2as
カタカナの限界。 

 どこかで見た資料(?)では英語のところのみアルファベットになってるのが
あったな。

 作業前にはswitchiを切る事。 ← こんな感じ
935774ワット発電中さん:2005/10/19(水) 10:47:43 ID:mGV9hnmZ
>>933
身の回りにネイティブ居ないのかよお前。
居るならすぐに聞け。今、同じ課のイギリス人に聞いたが
directoryはダイレクトリだ。
936774ワット発電中さん:2005/10/19(水) 10:50:31 ID:bzirgFYr
おまいら、そろそろここが何のスレだったか思い出せよ。
937774ワット発電中さん:2005/10/19(水) 11:03:24 ID:rbaMIn6B
同僚のイギリス人が>>935の脳内人物でないなら、ソイツは
フインキとかゲイインとか言ってる日本人と同レベルって事だ
938774ワット発電中さん:2005/10/19(水) 11:05:22 ID:mGV9hnmZ
>フインキとかゲイインとか言ってる日本人と同レベルって事だ

ID:rbaMIn6B 自己紹介してうれしいか?
939774ワット発電中さん:2005/10/19(水) 11:06:07 ID:bzirgFYr
ヒント:オーストラリア人
940774ワット発電中さん:2005/10/19(水) 11:08:28 ID:mGV9hnmZ
職場に英語ネイティブはだれも居ない時点で糞会社決定なんだよ。辞めてしまえよそんな糞会社
あ!糞のお前にsuitableな糞会社だったな ---> ID:rbaMIn6B
941774ワット発電中さん:2005/10/19(水) 11:55:50 ID:JUKQ8d5W
・・・なぜに必死?
942774ワット発電中さん:2005/10/19(水) 21:14:25 ID:s4xixEGu
とりあえず、924と927あたりで収めましょう。
943774ワット発電中さん:2005/10/19(水) 22:38:56 ID:vxnmQYLI
>>940
糞会社かどうかより、俺はお前と同じ会社に居なかった事を感謝してるよ。
944774ワット発電中さん:2005/10/19(水) 22:56:06 ID:m+LxOaOX
なるほど糞会社なんだな。
945774ワット発電中さん:2005/10/20(木) 01:19:28 ID:kV79kPfC
マリー・メアリー・コーナー!

階層を意味するこの言葉、さーてなんて読む?

hierarchy
hierarchical file system

946774ワット発電中さん:2005/10/20(木) 01:39:49 ID:t2MD2bWM
英語では灰荒木だろうが、
ヒエラルヒーとかヒエラルキーとかは英語じゃないので念のためな。
947774ワット発電中さん:2005/10/20(木) 01:47:42 ID:uYHn9b5A
そうだよな。
そういう下らないことに拘るヤツらに限って
そんな漢字は中国にないとか
その漢字は中国ではそんな読み方しないとか
そんな意味で使わないとか
言わないんだよな。

試しに聞いてみたところ
それは国字だの日本語だのと言う。
そのくせワーニングやポテンションメーターは英語だそうだから大笑いだ。
948774ワット発電中さん:2005/10/20(木) 02:02:37 ID:kzPVSt6H
言語粘着厨うざい。FPGAの話に戻れ。
949774ワット発電中さん:2005/10/20(木) 02:03:24 ID:kV79kPfC
>ポテンションメーター

Qがでまつよw
950774ワット発電中さん:2005/10/20(木) 02:42:26 ID:PrHicAb6
みかか発行のISDN解説書には、ディジタル・ハイアラーキーと
書いてあった。天才荒木。
951774ワット発電中さん:2005/10/20(木) 07:17:37 ID:QLcCfUWJ
ポテンショメーターは英語ではなくて
ラテン語彙の英語読み(英語なまり)ではないか?

同じものを純粋な英語ではsliderっていうぞ
952774ワット発電中さん:2005/10/20(木) 09:03:13 ID:bHLSjmNC
電位計
953774ワット発電中さん:2005/10/20(木) 09:25:12 ID:hOK/f1Nm
>>945
減衰器を意味する言葉。なんてよむ?

attenuator

アッテネーターは合ってねーたーw

蛇足。
直角を出す作図道具、なんてよむ?

square

JISではスコヤらしいぞー
954【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 04:2005/10/20(木) 10:18:24 ID:bHLSjmNC
10Gの時代へ

前スレ
03 http://science4.2ch.net/test/read.cgi/denki/1119984464/
02 http://science3.2ch.net/test/read.cgi/denki/1104330395/
01 http://science3.2ch.net/test/read.cgi/denki/1081231226/

ALTERAデータシート
ttp://www.altera.co.jp/literature/lit-index_j.html
ALTERA知識データベース
ttp://www.altera.co.jp/support/kdb/spt-search_kdb_j.html

XILINXデーターシート
ttp://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp?iLanguageID=2
XILINXアンサーサーチ
ttp://www.xilinx.co.jp/support/searchtd.htm
LE数比較
ttp://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm

Lattice
ttp://www.latticesemi.co.jp/products/fpga/index.html
Actel
ttp://www.actel.com/intl/japan/products/fpga/proasicplus/index.htm
quicklogic
ttp://www.quicklogic.co.jp/products_fpga.htm

OpenSources
ttp://www.opencores.org/

某社の宣伝
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html
ttp://www.infohobby.jp/
ttp://natrium42.com/projects/jtag.html
ttp://members.at.infoseek.co.jp/x1resource/xilinx/sp3/sp3stkit.htm
ttp://www.altera.co.jp/education/demonstrations/tools/cubic-cyclonium/onl-cubic-cyclonium.html
ttp://www.parallax.com/detail.asp?product_id=60003
ttp://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
ttp://www.altera.co.jp/products/devkits/kit-dev_platforms_partner.jsp

SDCC / Nios
ttp://sdcc.sourceforge.net/
ttp://japanese.sugawara-systems.com/opencores.htm
ttp://www.altera.co.jp/products/devkits/altera/kit-nios_eval_1C12.html

【Verilog】記述言語で論理設計 Project3【VHDL】
  ttp://science4.2ch.net/test/read.cgi/denki/1123173110/
Design Wave magazine について語るスレ
  ttp://science4.2ch.net/test/read.cgi/denki/1119033095/
【アナる日記】DWM1月号付録で実験したぜぃ【夢オチ】
  ttp://science4.2ch.net/test/read.cgi/denki/1108190169/
オープン開発でゲーム向けコンピュータを作るスレ 注:---挫折した模様---
  ttp://science4.2ch.net/test/read.cgi/denki/1124621751/

********MSXの話題はスレ違いです。こちらへ誘導されてください。********
[レトロ] MSXに関する話題はここだ [懐古趣味]
  ttp://science4.2ch.net/test/read.cgi/denki/1120122219/

---- 他所の掲示板の話題をここに振ってるひとへ ----
技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。
955774ワット発電中さん:2005/10/20(木) 10:21:21 ID:bHLSjmNC
スレ立てのつもりでレスしてしもた スマソ... orz

http://science4.2ch.net/test/read.cgi/denki/1129771211/
956774ワット発電中さん:2005/10/20(木) 10:24:42 ID:ZK0j/O8Q
>>950
NTTはディジタルとはいわんだろ?論文とかは別にして、仕様書とか官報とかにはデジタル、トラヒックってな具合に書いてくる。
しゃーないので、こっちも意見招請とかトラックの類にはそんな風に書き直してる。
957774ワット発電中さん:2005/10/20(木) 12:33:45 ID:4fJzH83U
>>956を見て想像してみる。


A  「現在東京・大阪間のトラフィックが急増しております」
上司「トラフィックって何だ?」
A  「使用した帯域幅のことですが」
上司「それを英語で言うならトラヒックだろ」
A  「???」


===

トラヒックが一発変換できたのはなじぇ? と思って調べてみたら、↓んなものがあった。
ttp://www.ntt-east.co.jp/info-st/network/
ttp://www.ntt-east.co.jp/info-st/network/image/network.jpg

業界用語としては正しいようだな。
958774ワット発電中さん:2005/10/20(木) 12:44:58 ID:kzPVSt6H
>>957
かつては業界=電電公社(現NTT)だったからな。
959774ワット発電中さん:2005/10/20(木) 13:43:11 ID:bHLSjmNC
ビルヂング
960774ワット発電中さん:2005/10/20(木) 13:44:48 ID:bHLSjmNC
日経ネットワークとか日経PCコミュニケーションとか日経新聞とかは
トラヒックで統一されてる
961774ワット発電中さん:2005/10/20(木) 14:43:43 ID:ZK0j/O8Q
NTTもビジネス上ではトラヒック、デジタルで統一してるが、
論文とかは当然トラフィック、ディジタルなんだよ。相手がNTTの研究所の場合対応に苦慮するんだなこれが。
ビジネスといいながら審査するのは研究所がからんでたりするしな。
962774ワット発電中さん:2005/10/20(木) 16:24:44 ID:yHp3k4oc
日立系の人は、CPLDをシーピーエルデーというのかな
963774ワット発電中さん:2005/10/20(木) 17:08:51 ID:q9vDq508
>>959は名古屋人
964774ワット発電中さん:2005/10/20(木) 20:24:24 ID:IE9xyjFd
スレッショルド
スレッシュホールド
どっちでしょうか?
965774ワット発電中さん:2005/10/20(木) 22:45:49 ID:E5bYiUFv
名古屋って確か日本の首都だよな?
966774ワット発電中さん:2005/10/20(木) 23:18:05 ID:QLcCfUWJ
>>965
ハイハイ ワロスワロス
さっさと巣に帰ってちょ
967774ワット発電中さん:2005/10/21(金) 00:12:03 ID:suIF2LpY
磯クロナス、アイソクロナス。
いそきゅうせん、あいそきゅうせん。
えいりあす、あらいあす。
968774ワット発電中さん:2005/10/21(金) 00:13:37 ID:suIF2LpY
>JISではスコヤらしいぞー

スコヤってsquareだったのか。
いまさら猛烈に感動。
969774ワット発電中さん:2005/10/21(金) 00:16:45 ID:q6dE8x4J
新スレも立ったことだし、こっちのスレは単語発音ネタで埋めるつもりなのかね?>皆様
970774ワット発電中さん:2005/10/21(金) 00:21:16 ID:U8xcEqj2
>>962
ほかになんて読むの?シープロド?
971774ワット発電中さん:2005/10/21(金) 00:22:47 ID:q6dE8x4J
>>970
突っ込むところは、最後の「デー」なんじゃないかな。よくわからんが。
972774ワット発電中さん:2005/10/21(金) 00:30:09 ID:U8xcEqj2
ピーエルデー→ピーエルディーってことか。

さくっとうめて、むこうではピーエルディーの話題にしたいものだねw
973774ワット発電中さん:2005/10/21(金) 00:41:53 ID:suIF2LpY
日立モートルはいいけど、日立チェーンストールはいかがなものか....

ストールだったらstoreよりstall。だったら連鎖失速。
974774ワット発電中さん:2005/10/21(金) 00:44:49 ID:xC9PBTOd
Hとか用語の最後は長音にしないと思うけどな
シーピーエルディ
975774ワット発電中さん:2005/10/21(金) 01:02:56 ID:tFH5R3nR
ディ よりも デー の方が聞き取りやすい。
これはもう日本語の特性だから仕方がない。
日本語の中で外来語を使うなら、日本語にあわせるのが一番。
郷に入らば郷に従え。
お前らはもっと日本語に誇りを持て。
いつまでも古くさい「アメリカブーム」に流され続けるな。
976774ワット発電中さん:2005/10/21(金) 01:42:47 ID:zkocJ7zn
ごちゃごちゃ喚いている奴って糞Qだったのかよ。(プ
977774ワット発電中さん:2005/10/21(金) 02:07:39 ID:ozUtZnuT
Fプギャー
978774ワット発電中さん
日立は全社的に、Dはデー、Tはテーと発音する。
TTLはテーテーエル、DTLはデーテーエルだろうな、きっと。
ここにはルネや長L関係の人はいないのかね。

NTTの、トラフィックかトラヒックか、というのは、
たぶん昔、ワープロなんて無くて手書きだった頃に、
短い時間で書け、1枚の紙に多く書けるように、
社内ではできるだけ短い表記でいこうと統一したからじゃないかな。

トラフィック->トラヒック、ディジタル->デジタルで、文字数稼げるでしょ。
たぶん、各人がばらばらにやったら混乱するから、会社の方針で決めたのでは?
(新人教育のときに聞いた希ガス)
日立もNTTも、日本の昔の文書はそういうのが多い。
発音は変でも、それが当時の考えでは正しいことだった。