【Verilog】記述言語で論理設計 Project3【VHDL】/

このエントリーをはてなブックマークに追加
952774ワット発電中さん:2006/02/28(火) 23:23:59 ID:XV6Yi2nE
逆にゲートの遅延を利用してヒゲを出して逓倍する方法もあり
953774ワット発電中さん:2006/03/01(水) 08:57:03 ID:7p3bmPee
プライオリティーエンコーダの普通でない回路を知っている方は何パーセントぐらい居ると思いますか?
954774ワット発電中さん:2006/03/01(水) 21:21:40 ID:0QuVU6Tu
プライオリティーエンコーダの普通でない回路を知っている方を母集合に採れば
プライオリティーエンコーダの普通でない回路を知っている方は100%ですね。
955774ワット発電中さん:2006/03/01(水) 22:56:31 ID:H3wHdZSW
プライオリティーエンコーダの出力をデコードしたものが
d & -d
っていうのなら知ってる。
956774ワット発電中さん:2006/03/02(木) 03:00:27 ID:cypbWxOu

そもそもプライオリティ援交ーだーって 何?
957774ワット発電中さん:2006/03/02(木) 08:45:25 ID:0eajmaei
そりゃま、金持ち優先ってことで
958774ワット発電中さん:2006/03/02(木) 19:44:04 ID:gDtzV18x
ISEの設定で質問です。
HDL記述ウインドウの文字、バックグラウンドの色は変えれないのでしょうか?
959774ワット発電中さん:2006/03/03(金) 11:07:37 ID:ViDZ+KTF
IcarusとかCverで、
シミュレーションを無限ループさせある条件に引っかかったときだけ中止&中止した付近の結果だけ(波形でみたい)を
出力する方法ってありますか?
960774ワット発電中さん:2006/03/03(金) 12:59:03 ID:IyiU4B7L
初期値0,
ある条件になったときだけ1になる信号を用意すればしまいじゃん。
その信号が立ち上がったときを検索すればいいだろ。
modelsimでも使え。
961774ワット発電中さん:2006/03/05(日) 00:36:02 ID:TItb0Ikw
条件の付近”だけ”出力したいんじゃないのか?
条件後なら好きな所で止める記述すれればいいだけだが、手前のほうは…。
962774ワット発電中さん:2006/03/05(日) 03:12:15 ID:VL7nLnsZ
時間指定してダンプは?

initial begin
#xxxx; $dumpvars;
#xxxx; $finish;
end
963774ワット発電中さん:2006/03/09(木) 21:31:35 ID:w9maybtZ
チョッパー回路が合成されないためには、RTL記述時から何か気をつけるべきでしょうか?
それとも合成条件でなんとかするべきでしょうか?
964774ワット発電中さん:2006/03/09(木) 22:52:45 ID:ALIW5UY0
何も考えずに合成条件を厳しくしている。

…本当は記述時に考えたほうがいいと思うんだけどね。
965774ワット発電中さん:2006/03/13(月) 14:42:54 ID:xtwhuFPI
hosyu
966774ワット発電中さん:2006/03/14(火) 01:59:54 ID:bLTnuwHQ
どなたか教えてください。

FPGAにD/Aコンバータつないで遊んでいます。
運良くちゃんと動いているようなので、サイン波形でも出すべと
考えていたのですが、うまい方法が思いつきません。
HDLは、Vrilogです。

マイコンなんかだと、配列に0-90度分の数値を置いて(ROMに配置される)
それを垂れ流しで出来ているんです。同じ方法でやろうと、
Verilogで配列の書き方はわかったんですが、
数値を定義する記述方法がわかりません。
Cでいうところの、
  sin [90] = { 127, 138, 148..... };
みたいな書き方ができるのでしょうか?

宜しくお願いします。
967774ワット発電中さん:2006/03/14(火) 02:27:45 ID:CU5vB0Fa

>>966

reg [7:0] sin [90] = 127, 138, 148....; でいいんじゃないのかな。

968774ワット発電中さん:2006/03/14(火) 13:32:14 ID:bLTnuwHQ
969774ワット発電中さん:2006/03/14(火) 13:34:38 ID:bLTnuwHQ

>>967
ありがとうございました。
やってみましたが、ISE7.1がエラーを表示してダメっぽいです。
なぜでしょうか?

970774ワット発電中さん:2006/03/14(火) 14:12:51 ID:F/tpg4VL
どんなエラーかも書かずに何を期待してる
971774ワット発電中さん:2006/03/14(火) 20:43:05 ID:a+qh9fpM
>>966
制約ガイドのINIT_xxのところに書いてある。
972774ワット発電中さん:2006/03/14(火) 22:04:43 ID:3nrvmR0n
>>970
ひと月前に何かもらったろ。そのお返しだよ。
973774ワット発電中さん:2006/03/15(水) 00:17:40 ID:s2pYgplK
俺は何も貰ってないぞ?
974774ワット発電中さん:2006/03/15(水) 01:16:25 ID:KY+jJnaf
そういや義理のお返ししてないやマズー
975774ワット発電中さん:2006/03/16(木) 20:12:29 ID:SCnBT+Ub
>>974
うわさされてるだろなー。礼儀に欠けるとかな。
そういう評判って結構大事なんだよなー。

ご 愁 傷 様
976774ワット発電中さん:2006/03/17(金) 01:40:01 ID:D+OReN7h
では埋めますね
977774ワット発電中さん:2006/03/17(金) 09:09:59 ID:3UFEqcYm
>>975
ざまぁみやがれwwww
って感じなんだが
オレは一個ももらってないし…
978774ワット発電中さん:2006/03/17(金) 20:22:23 ID:3qRt0BsZ
>>977
いちいちageんな、同志。
979774ワット発電中さん:2006/03/18(土) 21:41:53 ID:ew34LvlM
では埋めますね
980774ワット発電中さん:2006/03/19(日) 20:02:32 ID:2ki6lLX4
どうせならコードで埋めるぐらいの気合が見たい。
981774ワット発電中さん:2006/03/19(日) 20:12:15 ID:5JqDpooe
outp <= '0' when inp="1" else 'z';
982774ワット発電中さん:2006/03/19(日) 20:13:50 ID:6GTObCD7
/* UME */
983774ワット発電中さん:2006/03/19(日) 20:56:37 ID:2ki6lLX4
if CLK'event and CLK='1' then
984774ワット発電中さん:2006/03/19(日) 22:05:06 ID:2U6FGvEl
CLK <= '0';
end if;

end process;
985774ワット発電中さん:2006/03/19(日) 22:28:19 ID:6GTObCD7
// UME
986774ワット発電中さん:2006/03/19(日) 22:45:34 ID:IR82dKly
endmodule
987774ワット発電中さん:2006/03/19(日) 23:06:51 ID:JFrTvySG
FD1S3AX
988774ワット発電中さん:2006/03/19(日) 23:35:11 ID:g+32DgiO
// synthesis attribute UME of "記述言語で論理設計" is TRUE;
989774ワット発電中さん:2006/03/20(月) 00:34:03 ID:2M4bdP6w

process(ck, addr)
begin
if ck'event and ck = '1' then
case addr is
when "0000" => dat <= "01000010";
when "0001" => dat <= "01000010";
when "0010" => dat <= "01000010";
when "0011" => dat <= "00111100";
when "0100" => dat <= "00000000";
when "0101" => dat <= "01000010";
when "0110" => dat <= "01100110";
when "0111" => dat <= "01011010";
when "1000" => dat <= "01000010";
when "1001" => dat <= "00000000";
when "1010" => dat <= "01111110";
when "1011" => dat <= "01000000";
when "1100" => dat <= "01111100";
when "1101" => dat <= "01000000";
when "1110" => dat <= "01111110";
when "1111" => dat <= "00000000";
when others => null;
end case;
end if;
end process;

990774ワット発電中さん:2006/03/20(月) 11:14:53 ID:h/YZ0ksM
>>989

LEDくっつけて動かすと秘密のメッセージが!
991774ワット発電中さん:2006/03/20(月) 22:22:12 ID:UZwNcUGI
-- 秘密でもなんでもいいからコード書けやボケが!!!!!!!!
-- !マーク付けてるヤツってくだらんレスが多い!!!!!!!!
992774ワット発電中さん:2006/03/20(月) 22:39:09 ID:kLeQpk6J
when "0100" => dat <= "00000000";
when "1101" => dat <= "01000000";
when "0011" => dat <= "00111100";
when "0101" => dat <= "01000010";
when "1100" => dat <= "01111100";
when "0010" => dat <= "01000010";
when "1111" => dat <= "00000000";
when "0110" => dat <= "01100110";
when "1110" => dat <= "01111110";
when "0111" => dat <= "01011010";
when "1000" => dat <= "01000010";
when "0000" => dat <= "01000010";
when "1011" => dat <= "01000000";
when "1001" => dat <= "00000000";
when "0001" => dat <= "01000010";
when "1010" => dat <= "01111110";

一応ソースだけスクランブルにしてみた
993774ワット発電中さん:2006/03/21(火) 11:13:40 ID:fG+Gh6Sz
>>991

while(1) {
 fprintf(stderr, "おまえモナー\n");
}
994774ワット発電中さん:2006/03/21(火) 18:13:07 ID:B2s0ifL0
-- いいかげん次スレ立てろや
dat_ochi := true after 2 day;
995774ワット発電中さん:2006/03/21(火) 20:18:48 ID:HgvQV9tJ
signal Project4 : thread range 1 to 1000;
996774ワット発電中さん:2006/03/22(水) 02:20:08 ID:gJ2IBAvH
>>989

>>992
は同じコードを吐きますか?
997774ワット発電中さん:2006/03/22(水) 17:27:58 ID:6RSVJoDy
998774ワット発電中さん:2006/03/22(水) 21:22:26 ID:7PG8Epob
-- 密かに乙
-- >>2以降は確認中ですか?
999774ワット発電中さん:2006/03/22(水) 21:56:02 ID:6RSVJoDy
-- UME
1000774ワット発電中さん:2006/03/22(水) 21:56:51 ID:6RSVJoDy
/* 1000 */
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。