CPUアーキテクチャについて語れ 18

このエントリーをはてなブックマークに追加
1Socket774
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
2Socket774:2010/11/26(金) 17:43:57 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
3Socket774:2010/11/26(金) 17:44:37 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
4Socket774:2010/11/26(金) 17:45:18 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
5Socket774:2010/11/26(金) 17:45:58 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
6Socket774:2010/11/26(金) 17:46:41 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
7Socket774:2010/11/26(金) 17:47:21 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
8Socket774:2010/11/26(金) 17:48:03 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
9Socket774:2010/11/26(金) 17:48:45 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
10Socket774:2010/11/26(金) 17:49:27 ID://lus0/u
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 17
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
part16 http://pc11.2ch.net/test/read.cgi/jisaku/1253517890/
part17 http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/
11Socket774:2010/11/26(金) 19:33:58 ID:/F7vdUOA
何このスレ・・・
12Socket774:2010/11/26(金) 19:59:37 ID:UQyOdLNF
13Socket774:2010/11/26(金) 21:42:28 ID:k1Vd0sXG
HPC用CPU
・SIMD系のシンプルコアメイン
・高速ネットワークコントローラ内蔵
・メモリコントローラ内蔵

みたいなのを作れば、コストはともかくワットパフォーマンスはかなり出せそう
14,,・´∀`・,,)<一番良い -○○○ を頼む:2010/11/27(土) 02:37:32 ID:60rE//DR
さっき思いついたの?
スパコン向けのプロセッサなんて大昔からそれじゃないか。

イニシャルコストとラニングコストをトータルで考えて、多少電力効率が悪くても
市場から低価格で調達でき、短いサイクルでリプレースできる演算ノードを
大量に束ねたPCクラスタのほうが効率がいいなんてこともある。
それで事実TOP500の大半をリッチなアウトオブオーダ実行のx86アーキテクチャが占めている。
15Socket774:2010/11/27(土) 11:32:15 ID:JXAPiHWP
そして現在NVIDIAのようなGPUにその地位を脅かされつつあるx86オンリーのマシン
16Socket774:2010/11/27(土) 11:49:32 ID:qm0IDsXr
GPUはCPUじゃないぞw
17,,・´∀`・,,)<一番良い -○○○ を頼む:2010/11/27(土) 13:17:37 ID:60rE//DR
HPC向けに特化してGPU市場のシェアを失ってるNVIDIAなわけだが。

それはともかく、もともとTesla以前はClearSpeedのようなHPC用アクセラレータが使われてたわけだし
PowerXCellにしろ純粋な「Cellノード」よりもPCIe接続のアクセラレータカードとして提供されてるものが人気だし
(PCIeを超広帯域Ethernetに見立ててホストマシンから「ネットワークブート」する)
いずれにしてもアドオンカードの形態をとる限りはホストマシンがなければ動かない。

マザーボードに刺すアドオンカードが性能の要になってしまうと、ハブとなるホストマシンのCPUは
何でもいいので、x86の地位が脅かされる

逆に何でもいいからx86のシェアが増えたんだけどな。
x86サーバはアドオンカードの母艦として最もコストの安いソリューションだ。
SPARC64とかSXのようなHPC向けに特化した高価なCPUである必要が無いんだ。

つうかそろそろGPGPUバブルも潮時だけどな。
演算性能あたりの転送帯域[GB/FLOPS]の極端に少ないGPUはnBodyがいいところだし、
SIMD演算性能の強化を進めるx86との実効性能差が詰まってる。
一定の分野では積むだけ電気代とプログラミングコストの無駄。
18Socket774:2010/11/27(土) 13:27:46 ID:JXAPiHWP
別にバブルにも発展してませんが
勝手にNVIDIAが温度上がってるだけなんで
19Socket774:2010/11/27(土) 14:05:33 ID:iTOmvrnM
本格的に離陸し始めたNVIDIAのTesla 〜Dell、IBMなどが続々とHPCをリリース
http://pc.watch.impress.co.jp/docs/column/ubiq/20101008_398601.html

NVIDIAのGPGPU,学会から産業界へ,「対応の商用ソフトウェア相次ぐ」
http://techon.nikkeibp.co.jp/article/NEWS/20101112/187380/

スパコンTop500、NVIDIA Tesla GPUを搭載するスパコンが世界一に
http://journal.mycom.co.jp/news/2010/11/16/097/index.html

スーパーコンピューターランキング、上位5つのうち3つがTesla
http://kettya.com/backnumber/2010/netalog201011656120.htm

TSUBAME 2.0が2010年度の実質Green500第1位
http://blog.livedoor.jp/petaflops/archives/51484300.html
20Socket774:2010/11/27(土) 14:21:36 ID:UEkrd0m2
CPUにプラスアルファのアドオンでGPUが乗っている
CPUだけでも構わないんだろうが数を稼ぐには
繋ぎが・・
21,,・´∀`・,,)<一番良い -○○○ を頼む:2010/11/27(土) 16:46:34 ID:60rE//DR
事業仕分けに負けない スパコンの作り方
http://www.ospn.jp/osc2010-kobe/pdf/OSC2010Kobe_HP.pdf

x86がTOP500クラスのスパコンに使われだしたのはNetburstアーキテクチャのXeonでSSE2が
実装されてからだと思ってるが、着実に最上位を競うクラスを占めるようになりつつある。
つか、GPGPUレスのx86クラスタも上位を占めるようになりつつあるよ。
Magny-Coursとか安いしw

FFTでは地球シミュレータが未だに1位だったりするし、GPUが比較的得意なLinpackだけで性能を評価するのも
なんだかなあと思いつつ。
22Socket774:2010/11/27(土) 17:09:35 ID:zl4IlYbK
地球シミュレータも地道にパワーアップしてるしな
「登場当初の」地球シミュレータなら、とっくに陥落してるだろたぶん
23Socket774:2010/11/27(土) 17:18:07 ID:JXAPiHWP
Intelの得意技を使ってLinpackベンチに細工すればいいんじゃないの?
24Socket774:2010/11/27(土) 17:36:50 ID:UEkrd0m2
どうでもいいが事業仕分け(=民主=蓮舫)ってネタ使いだな
25MACオタ>22 さん:2010/11/27(土) 18:04:35 ID:960720iP
>>22
 ----------------
 地球シミュレータも地道にパワーアップしてるしな
 ----------------
確かにその通りで、ちょっとニュースを見て地球シミュレータが G-FFT でトップを維持していたと思い込むのはイタいですね。
http://www.hpcchallenge.org/
 2005 2.3TFlops IBM BG/L, PPC440/700MHz
 2006 2.3TFlops IBM BG/L, PPC440/700MHz
 2007 2.8TFlops Cray XT3, DC Opteron/2.4GHz,
 2008 5.1TFlops IBM BG/P, PPC450/850MHz
 2009 11.0TFlops Cray XT5, SC Opteron/2.6GHz
 2010 11.9TFlops NEC SX-9, SX-9/3.2GHz

2007-2009 の性能向上に比べると、あまり自慢できる数値には見えないような…
26,,・´∀`・,,)<一番良い -○○○ を頼む:2010/11/27(土) 18:16:07 ID:60rE//DR
なんだ、Pentium特許の云々で大恥かいた逆恨みのつもりかね?
27MACオタ>団子 さん:2010/11/27(土) 18:33:05 ID:960720iP
>>26
別に私を誹謗しても、団子さんの信頼度が上がるわけではないのですが…
 ------------
 Pentium特許の云々で大恥かいた
 ------------
せめて具体的なご指摘をどうぞ。

そういえば、団子さんってまた赤っ恥をかいているようですが… Intel叩きのヒトがソースもなしに書いたことを信じ込むなんて(笑)
http://hibari.2ch.net/test/read.cgi/jisaku/1290070423/85-86
 ============
 85 名前:Socket774 投稿日:2010/11/27(土) 11:18:09 ID:615E+S2e
  >>84
  Intelのデスクトップ向けCPUの平均販売価格は70$台
  下位ブランドがあるとしても、メーカーにはかなり値引きして販売していることになる

  自作向けCPUの価格設定は、メーカー製PCのブランドを引き上げるためだろう


 86 名前:,,・´∀`・,,)<一番良い -○○○ を頼む 投稿日:2010/11/27(土) 11:22:31 ID:60rE//DR
  バルクでしかも大量ロットだから小口で化粧箱入りのリテールより安くて当たり前だろ。
 ============
現実にはIntelのデスクトップ向けCPUのASPは2008年Q4で$94。2010年4月の時点で2009年度より上昇しているとのこと。
http://www.xbitlabs.com/news/cpu/display/20090204205823_Microprocessor_Market_Plummets_in_Q4_as_AMD_Loses_Further_Chunk_of_the_Market_to_Intel.html
http://www.eweek.com/c/a/Mobile-and-Wireless/Intel-Leads-a-Steady-x86-Market-Headed-for-a-Record-Q2-677771/
28Socket774:2010/11/27(土) 18:35:42 ID:zfy8Y9kB
何故噛みつく
29MACオタ:2010/11/27(土) 18:44:28 ID:960720iP
Blue Gene/Q に関しては今週の安藤氏のサイトの更新にも新情報が出ていました。
http://www.geocities.jp/andosprocinfo/wadai10/20101127.htm
 ----------------------
 プロセサチップは18コアで,16コアが計算ノード,
 1コアがLinuxを動かす制御ノードで,残りの1コア
 はスペアだそうです。5次元(+/-)ともう1本の合計
 11本のインタコネクトポートを持ち,1本はI/Oドロ
 ワーとの接続で,5次元は,2枚のボードのペアに
 1次元,そして,16×16×16のトーラスの構成に
 3次元で,これで8Kチップで,この8Kチップの塊を
 残りの1次元で繋ぐような説明でしたが,あまり,
 良く理解していません。リンクスピードは片側2GB/s
 と言ってました。そして,筐体内のリンクは電気で,
 筐体間のリンクは光です。
 ----------------------

富士通関係者の割には、京速への評価は低いようで… 以前の事業仕分けを叩いていた記事は義理もあって書いていたのでしょうか?
 ----------------------
 IBMの実装には感心させられます。富士通も京コン
 ピュータのノードボードと筐体を展示していたのですが,
 実装技術の点では,かなり,見劣りがします。
 ----------------------
30Socket774:2010/11/27(土) 22:11:43 ID:PTBFittw
穿ち過ぎ
それだけIBMの実装が凄いってだけだろ
31Socket774:2010/11/27(土) 22:25:25 ID:PTBFittw
> マイコミ連載のコンピュータアーキテクチャの話を書籍化した
> 「コンピュータ設計の基礎」という本が毎日コミュニケーション社から
> 出版され,11月23日あたりから書店に並ぶ筈です。

あら、買わないと
32Socket774:2010/11/27(土) 23:30:10 ID:lJKlER7i
マイコミはいい仕事するなぁ…
33MACオタ:2010/11/28(日) 12:17:42 ID:UhENcUdb
Dual core Cortex-A9 搭載のタブレットは次々と発売が始まっているようで…
http://akiba-pc.watch.impress.co.jp/hotline/20101127/etc_malata.html
 -----------------
 T2はクロック1GHzのTegra 2や10インチの静電容量方式マルチタッチ液晶、さらにメインメモリとして1GBのDDR2メモリを搭載したタブレット型端末。OSはAndroid 2.2を採用している。
 -----------------
ARMの発表は昨年9月末。Tegra 2 の発表は今年1月初頭ということで、今後のリードタイムの参考にはなることでしょう。
http://www.jp.arm.com/pressroom/09/090928.html
http://www.nvidia.co.jp/object/io_1262943776412.html
34Socket774:2010/11/28(日) 12:58:10 ID:3C8IPQV7
ハッシュ計算用の専用コア搭載まだかよ
35Socket774:2010/11/28(日) 18:49:57 ID:d93reXql
ハッシュ計算専用コアなんて積んでも使い道がないだろ

むかしDESクラック専門チップとか作ってた人がいたけど
36Socket774:2010/11/28(日) 18:53:16 ID:Mc+BJAur
AES-NIは使えないの?
Padlockはいけるようだけど
37Socket774:2010/11/30(火) 23:32:04 ID:LNWtn0+i
ソニーのGoogle TV早くも値下げ 「失敗確定」の評価も
http://techwave.jp/archives/51528215.html

欧州委、独禁法違反で米グーグルの正式調査開始
http://www.yomiuri.co.jp/atmoney/news/20101130-OYT1T01069.htm
38Socket774:2010/12/01(水) 05:54:31 ID:HetordaG
AMD Linux向けの“Fusion”用ドライバをリリース
http://northwood.blog60.fc2.com/blog-entry-4407.html
39Socket774:2010/12/02(木) 04:18:11 ID:vmT95mCv
ハッシュつっても、SHA-1やその親戚は将来的に危ない可能性があるし
SHA-3はちょうどコンペ中だし
40Socket774:2010/12/02(木) 08:14:55 ID:MJxYXCiv
ふつうのPC利用法で、ハッシュ計算速度がボトルネックになってるような利用法はないから不要だな
41Socket774:2010/12/08(水) 02:36:57 ID:2L2Z7mPg
42MACオタ:2010/12/08(水) 05:11:47 ID:L1NHntZX
The "H" の Blue Gene/Q記事です。
http://www.h-online.com/newsticker/news/item/Processor-Whispers-About-16-and-17-core-processors-1147534.html
プロセッサに関する詳細は、妙に安藤氏の記事 (>>29 参照)と被っている様な…
I/O ノードの OS が RHEL6 と書いてあるのは新情報でしょうか?Power Architecture をサポートするメジャーな Linux ディストリも減ってきたことですし、順当なところではあるのですが。

その他、ネット上で公開されている Blue Gene/Q の写真をいくつか。
http://twitpic.com/37qjcx (ドロア)
http://twitpic.com/37qk0y (ノードカード、ヒートシンク付)
http://twitpic.com/37qkbp (ノードカード)
43Socket774:2010/12/08(水) 20:13:13 ID:dADdQyAa
どちらもSC10でIBMの人に聞いた話なら被ってても当然じゃないの?
44MACオタ>43 さん:2010/12/08(水) 21:00:00 ID:L1NHntZX
>>43
 ----------------
 どちらもSC10でIBMの人に聞いた話なら
 ----------------
今時ソースロンダリングやら情報の『輻輳』やらは疑うのは当然です。また、"H" の文章は明らかに直接聞いたヒトのモノではありません
…ということで、掲載写真からソースを探しましたが、heise online のこの記事ですね。
http://www.heise.de/newsticker/meldung/SC-2010-IBM-zeigt-BlueGene-Q-mit-17-Kernen-1138226.html

結論としてはコピペサイトは世界中に数多くある…と(笑)
45MACオタ@訂正:2010/12/08(水) 21:08:14 ID:L1NHntZX
上の話、これは間違い。
 ------------------
 結論としてはコピペサイトは世界中に数多くある…と(笑)
 ------------------
"H"の記事は、ドイツのPC雑誌 c't 誌10/26号のこの記事の完全翻訳でした。
http://www.heise.de/ct/artikel/Prozessorgefluester-1144202.html
ちゃんと原著者の名前は入れてあるので、パクリではありません。
46Socket774:2010/12/08(水) 22:21:17 ID:dADdQyAa
明らかに直接聞いたヒトのモノではないってなんで分かるの?
47MACオタ>46 さん:2010/12/08(水) 22:43:17 ID:L1NHntZX
>>46
 -----------------
 明らかに直接聞いたヒトのモノではないってなんで分かるの?
 -----------------
英文では観測した事象と一般的な事実は時制の表現等が異なるのです。
48Socket774:2010/12/08(水) 22:49:01 ID:dADdQyAa
ふーん
根拠ってそんだけなの
49Socket774:2010/12/08(水) 22:59:41 ID:4RZKiOJz
原文は見てないが、伝聞と直接聞いたのとで表現が違うってことだろ
ちゃんとそう表現されてるなら、根拠としては十分だと思うぞ

べつに英文でなくて日本語でもまともな人が書けばそうなってるはずだがw
50Socket774:2010/12/08(水) 23:05:40 ID:dADdQyAa
原文読んでない人に笑われちゃった
51Socket774:2010/12/09(木) 10:13:22 ID:VbUnwqWk
>>29
> 富士通関係者の割には、京速への評価は低いようで… 以前の事業仕分けを叩いていた記事は義理もあって書いていたのでしょうか?

チップ屋さんだから、ボードとかシステムの設計には(自社であっても)ケチをつけたいのでわ?

52Socket774:2010/12/09(木) 12:23:11 ID:/ggyeWn0
IBMが今成すべき仕事はPOWER Macの復刻だろ
53Socket774:2010/12/09(木) 12:29:23 ID:5HOstnjw
>>51
もっと予算があったら、さらによくできるって話では?
54Socket774:2010/12/09(木) 17:44:23 ID:XvBMTuhK
デジカメにリコンフィギュラブルな頭脳を――カシオ「EXILIMエンジンHS」
http://camera.itmedia.co.jp/dc/articles/1012/09/news035.html
55Socket774:2010/12/09(木) 22:45:38 ID:4TYGH/HN
>>54
コンシューマ向け大量生産品でリコンフィギュラブルプロセッサ採用は初?

> ――FPGAと似ていますが、違いは何ですか?
> 今村氏: 確かに再構築可能という点では似ていますが、FPGAは再構築に
> 1秒以上を要するため、電源投入時などに再構成するしかありません。
> それに対してリコンフィギュラブルは、ほぼ瞬時に再構築できます。
> つまり、FPGAとの“表面上の特徴差”としては「その時々において
> 必要な回路に瞬時に作り替えができるところ」になります。
ふむふむ
56Socket774:2010/12/09(木) 23:28:40 ID:LLWDj73N
>>44
ソースロンダリングなのか情報の『輻輳』なのかは知らんが、
top500にも転載されたね。

About 16- and 17-Core Processors
http://www.top500.org/blog/2010/12/06/about_16_and_17_core_processors

著者のAndreas Stillerさんは↓こういう人みたい。

http://www.top500.org/contributors#stiller
57Socket774:2010/12/10(金) 00:57:02 ID:TBFhmGWd
>>55
そういうのなら8年くらい前のウォークマンにもなかったか?
58Socket774:2010/12/10(金) 03:09:01 ID:fx5CQOmu
リコンフィギュラブルなハードには夢と浪漫がある。

atom+fpgaもでたし。
http://emea.kontron.com/images/pr_trades/pr/4222/kontron-msmst.jpg
59Socket774:2010/12/10(金) 05:14:42 ID:TOm+KNdj
>>57
PSPも
60Socket774:2010/12/10(金) 15:00:03 ID:arywK1Nf
リコンフィギュラブルなんて日本企業に使いこなせるかどうか不明

AV機器とか携帯電話とかソフトウェアベースになって、バージョンアップで
どんどん進化するApple製品とかと違って、
日本製品もソフトウェアベースだけど、基本買ったときのまま進化はせずに、
致命的な不具合の修正くらいしか無いからな

このカシオの製品だって、リコンフィギュラブルっていっても、実質的にその機能が使われないままおわるんじゃね?
61Socket774:2010/12/10(金) 16:26:44 ID:5Ktkm5oL
おまいは記事の中身を見て無いな。
62Socket774:2010/12/10(金) 22:30:56 ID:YUZSrYD5
>>60
先月市場に出て、その購入者たちに使われまくっとるがな
http://kakaku.com/item/K0000160812/

【インタビュー】「カシオは、デジタル技術で光学性能を凌駕する」 - EXILIM EX-ZR10開発者に聞く
http://journal.mycom.co.jp/articles/2010/12/08/zr10/index.html
63Socket774:2010/12/23(木) 02:40:13 ID:tFtkgM15
東芝 ソニーに生産設備売却へ
http://www3.nhk.or.jp/news/html/20101223/k10013028251000.html

ソニー、東芝から半導体工場買い戻し スマートフォン用
http://www.nikkei.com/news/headline/article/g=96958A9C93819696E0E0E295E18DE0E0E3E0E0E2E3E29F9FEAE2E2E2

Cell工場買戻しキタ━━━━━━(゚∀゚)━━━━━━!!!!
64Socket774:2010/12/23(木) 09:37:29 ID:G5h0X4zl
>需要が急拡大している高画質のデジタルカメラやスマートフォン向けの半導体の生産に切り替えて、
                                       〜〜〜〜〜〜
>国内での生産体制の増強にあてることにしており、
>近く双方が合意に達する見通しです。

セル()笑
65Socket774:2010/12/23(木) 11:43:15 ID:ITdbLcxw
携帯機器にもうCellが載る時代が来たか
66Socket774:2010/12/23(木) 16:59:31 ID:Jq4y0Tx4
CMOSセンサー用らしいよ。
67Socket774:2010/12/24(金) 18:22:37 ID:DBaNywLI
東芝、LSI事業再編「サムスンに生産委託でほぼ合意」
http://www.nikkei.com/tech/news/article/g=96958A9C9381949EE0E6E2EAE18DE0E6E3E0E0E2E3E2E2E2E2E2E2E2

これで国内先端ロジックプロセスは全滅?
68Socket774:2010/12/24(金) 18:55:18 ID:2X2H/sUV
>>67
ルネサスが40nm持ってる
69Socket774:2010/12/24(金) 19:47:55 ID:DBaNywLI
70Socket774:2010/12/25(土) 14:01:12 ID:9osu7POo
http://hibari.2ch.net/test/read.cgi/jisaku/1235699613/320

Larrabeeといい団子が注目するとろくな事が無いようだな
71Socket774:2010/12/25(土) 14:14:02 ID:uigLKF0l
IBMもそのうち研究のみになりそう(^_^;)
72,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/25(土) 14:20:44 ID:myJxe2xA
ん?ルネサス山形セミコンが撤退でもしたか?

「日本版シリコンバレー」ってのは壮大な皮肉だよ。
九州がいまどうだ
73,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/25(土) 15:04:35 ID:myJxe2xA
74Socket774:2010/12/25(土) 17:24:03 ID:N4gwdeu9
>>71
最新プロセスはGFとサムソンに任せると既に記事があったが
75Socket774:2010/12/25(土) 19:52:47 ID:9osu7POo
次世代プロセスの開発が凍結されたっちゅう事は実質的には将来の整理対象にリストアップされたも同然
で百姓、じゃなくて弱小県の山形が焦って足掻いてるってだけのニュースだから暗くなきゃ何だと?

三連うんこの疫病神に憑かれると田んぼだらけの山形が
ぺんぺん草も生えない不毛の地にされちゃうんだね
こわやこわや
76,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/25(土) 21:47:04 ID:myJxe2xA
組み込みは枯れたプロセスを何世代にわたって使い倒すのが常道だから
すぐさま日本から生産拠点がなくなるわけじゃないけどな
77Socket774:2010/12/26(日) 05:27:59 ID:7HZBqFDE
逆に20nm以下が普通になったらTSMCから中古の22nmライン購入とか……ないか。
78Socket774:2010/12/26(日) 15:28:37 ID:5tVhhszo
最先端プロセス導入するには数千億円かかるし、日本じゃもうそんなプロセスに投資できる会社がなくなってしまった
79,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/26(日) 15:31:22 ID:7QyxRYIu
だって組み込みなんて単価やっすいもの。京速が当たれば単価の高い国産CPUが注目を集めて・・・
ってありえねえ
80Socket774:2010/12/26(日) 15:38:04 ID:JcnBMLAg
>>79
注目を集めても仕様非公開とかやって自爆しそうw
メモリを1MB以上使うためには富士通モード(TM)にしなくてはなりませんとか。
富士通に金払わないと64KBセグメントの狭い範囲しか使えないの。
81Socket774:2010/12/26(日) 17:14:17 ID:AjQeqpST
富士通、次世代スパコン輸出へ…世界市場再参入
http://www.yomiuri.co.jp/atmoney/news/20101225-OYT1T00847.htm

まさか売れるとは・・・
82,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/26(日) 17:19:26 ID:7QyxRYIu
半導体で日台連合、エルピーダ社が資本提携へ
http://www.yomiuri.co.jp/atmoney/news/20101225-OYT1T00401.htm
83Socket774:2010/12/27(月) 10:12:48 ID:CTHx89tv
京速やった甲斐があったなあ
84Socket774:2010/12/27(月) 16:28:41 ID:HgIguC6s
投入した税金を回収出来るだけ売れればな。
85MACオタ>81, 83-84 さん:2010/12/28(火) 17:19:43 ID:3EbF4gav
>>81 >>83-84 さん
ITER計画のスーパーコンピュータは実験装置そのものをフランスのカダラシュに設置することとバーターで、青森の六ヶ所村に建設されるモノです。
つまりお財布も設置場所も日本ということで…
http://www.jaea.go.jp/02/press2010/p10042801/index.html

原研がFX1 (SPARC64 VII)を導入したときからの既定方針ということです。
http://pr.fujitsu.com/jp/news/2009/07/16-1.html
86Socket774:2010/12/28(火) 18:30:09 ID:J/DQzDbO
マッチポンプですな。
87MACオタ:2010/12/28(火) 18:32:55 ID:3EbF4gav
数字の根拠は定かではありませんが、IBMのイベント『渋谷テクニカルナイト』のプレゼンによると、POWER7の開発費用は$3.2Bなんだとか。
http://public.dhe.ibm.com/software/dw/jp/events/tn-20101215.pdf (P.16 参照)
最新プロセッサの開発には、この程度の金額に見合う市場規模が必要ということでしょうか…

参考までに、以前ゲハ板に書き込んだ時に調べた関連分野の市場規模は次の通り。
http://kamome.2ch.net/test/read.cgi/ghard/1286751039/113
・2009年のサーバー市場規模は432億ドル、同時期のHPCの市場規模は86億ドル
 http://www.idc.com/getdoc.jsp?containerId=prUS22224510
 http://www.idc.com/getdoc.jsp?containerId=prUS22263210
・HPCの売上のうち、x86のシェアは2007年で70%程度。RISCの市場は減少中。
 http://pdfcast.org/pdf/the-strategy-of-the-market-share-leader-in-high-performance-computing
・IBMの商用Unix (=POWER) サーバーの2009年売上はおよそ64億ドル
 http://www.computerworld.com/s/article/9130126/Sun_deal_could_make_IBM_unbeatable_in_Unix_server_market
・POWER7使用のスーパーコンピュータBlue Waters はプロジェクト全体で4年半で2億ドル(0.44億ドル/年)
 http://en.wikipedia.org/wiki/Blue_Waters
・ゲームコンソールの市場規模は2008年のハードウェアのみで 78億ドルとのこと。
 http://vgsales.wikia.com/wiki/NPD_2008_in_review
88Socket774:2010/12/28(火) 18:51:51 ID:niwT9sM0
製造設備への投資もあるので実際にはもっと市場規模が必要です。
89MACオタ>88 さん:2010/12/28(火) 19:02:04 ID:3EbF4gav
>>88
 --------------
 製造設備への投資もあるので実際にはもっと市場規模が必要です。
 --------------
今年はランキングが大分落ちましたが、IBMは世界ランキングTop10のファウンダリです。ファブへの投資金額は別口で計算すべきかと…
http://www.eetimes.com/electronics-news/4087184/Foundry-rankings-New-firm-emerges-Samsung-IBM-lag?pageNumber=1
90Socket774:2010/12/28(火) 19:11:38 ID:niwT9sM0
別口で計算するしかないが、最新ファブの何割かしらないがハイエンドプロセッサに食わているのだから、
実質、製造設備にも投資していることになる。
91MACオタ:2010/12/28(火) 19:11:54 ID:3EbF4gav
>>63 さんが紹介しているSONYの半導体工場買戻しのネタ、少しだけコメントしておきます。
PS3関連のニュースとして取り沙汰しているヒトが少なくないようですが、実は PS3 用の半導体は既に大半が購入品となっています。
以前に紹介した iSuppli のコスト分析レポートですが、製造元も記されています。
http://www.isuppli.com/Teardowns/News/Pages/Sony-Gets-One-Step-Closer-to-Breakeven-Point-with-Latest-PlayStation-3-Design.aspx
 ・CELL/B.E.: IBM
 ・RSX: Nvidia
 ・I/O Bridge Controller: SONY
 ・Bluetooth/WLAN: Marbell

つまり、SONY/東芝で製造していたのは2009年末の時点でサウスブリッジだけ。
RSXに関しては、今年 40-nm プロセスへの移行が話題になっていましたから、TSMC 製造なのでしょう。
http://pocketnews.cocolog-nifty.com/pkns/2010/04/post-eed3.html
92Socket774:2010/12/28(火) 23:37:47 ID:DpCydmWb
>>87
IBMも結構限界に近いのかな
93Socket774:2010/12/29(水) 17:21:29 ID:rjD5FVZC
>>91
これから、工場で生産したものが載るってことでしょ。
94MACオタ>93 さん:2010/12/29(水) 19:30:08 ID:6DAB7thQ
>>93
 -----------------
 これから、工場で生産したものが載るってことでしょ。
 -----------------
その説を他人に信用して貰いたいと思うなら、当該工場で 45-nm SOI プロセスや 40-nm バルクプロセスでの製造を行っているというソースが必要だと思いますよ。
95MACオタ>90 さん:2010/12/29(水) 19:44:46 ID:6DAB7thQ
>>90
IBMの研究開発費の総額を調べてみたのですが、年間で$5-6Bというところのようです。
http://www.zdnet.com/blog/btl/how-hp-thinks-about-r-d-its-about-new-products-not-spending/30924
 ------------------
 For comparison’s sake, IBM spent $5.82 billion on revenue in 2009, down 8.2 percent from 2008. As a percentage of revenue, R&D spending remained 6.1 percent of IBM’s revenue.
 ------------------
POWER7のの開発期間が4年+1年(搭載システムのバリデーション)として、IBMの総研究開発費の10%超というのはちょっと巨額過ぎます。$3.2Bという額は、色々含めて膨らませた金額ではないでしょうか。
96Socket774:2010/12/29(水) 22:50:07 ID:rjD5FVZC
>>94
>>91の内容で、CELLをこの工場で生産しない根拠になるの?
97Socket774:2010/12/29(水) 22:57:56 ID:rjD5FVZC
プレス発表には、この工場でCELL製造してるって書いてあるけど。
http://www.toshiba.co.jp/about/press/2010_12/pr_j2402.htm
98Socket774:2010/12/29(水) 23:25:54 ID:QCCpE2JY
Cellは作っているけど、最近のPS3で使っている45nmのCellは作ってないんじゃないの?
これから作るとなると、Fabを45nmに転換しないといけないから、さらに先の話に。
99Socket774:2010/12/30(木) 00:09:27 ID:4VYptci4
POWERの後継プロセサ開発する費用の半分もPOWER Macの復刻に注ぎ込めばいいのに
IBM

何のために名を連ねたんだか
100Socket774:2010/12/30(木) 03:08:27 ID:J47i4Vqe
>>95
単純に複数年じゃねーの? それでも適切かわからんけどw

PowerPCに期待するのはわかるが、亜流とかバンバンでてきたりしてくれないと
今一夢がふくらまない。
101Socket774:2010/12/30(木) 04:46:39 ID:EOLLFX1O
>>98
そこにRSXも生産しています、って書いてるけど
いまのRSXってPS3用の40nmのチップしか無くない?
102,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/30(木) 13:29:47 ID:CUtdQGqX
PS3用じゃなくて民生用(Cellワークステーション)のじゃね?
103MACオタ:2010/12/30(木) 14:53:28 ID:Oyq9qGvX
Semiaccurate が Ivy Bridge が Slot 1 時代のような『CPUカード』に戻るという噂を伝えています。
http://www.semiaccurate.com/2010/12/29/intel-puts-gpu-memory-ivy-bridge/
かつては外付L2のためだった訳ですが、今回は統合GPU用VRAMのためなんだとか…
VRAMに3D構造 (メモリスタッキング)を採用するのが新機軸となります。

"interposer"と書いてありますから、見た目はかつての PowerMac G3 用 CPU カード状になる模様。
http://www.adoptamac.com/images/zif.jpg

コンセプト自体は、かつてATI が Mobility Radeon で好んだ手法です。
http://www.legitreviews.com/article/122/3/
104Socket774:2010/12/30(木) 16:03:54 ID:92IKBITW
>>103
>>96>>97に答えて欲しいんだけど。
105MACオタ>104 さん:2010/12/30(木) 16:13:49 ID:Oyq9qGvX
>>104
>>96 に関しては、特に文句を付けられる要素は無いと思うのですが?
>>97 に関しては、長崎セミコンダクタマニュファクチャリング(株)の約款に書いてあるからでしょう。会社の約款は現状が変化していても簡単に変更できませんから。
106MACオタ@訂正:2010/12/30(木) 16:22:56 ID:Oyq9qGvX
上のカキコミですが、少し訂正。

誤: 約款
正: 定款

ですね。
107MACオタ@補足:2010/12/30(木) 16:38:14 ID:Oyq9qGvX
>>103 ですが、インターポーザー基板をシリコンプロセスで作るのがもう一つの新機軸でもあるようです。
この場合インターポーザー用ダイの面積がコストに直結しますから、>>103でリンクしたインターポーザー基板の写真のような大きさではなく、見た目は通常のプロセッサのチップと同じになりそうです。
そうだとすると、VRAM と プロセッサチップで表面高さが異なると冷却が問題になりそうなのですが、どうなるのでしょう?
 ・VRAM 側は購入品となるので、プロセッサ側のシリコン基板の厚みを調節する
 ・減価償却の終わったプロセスで製造することでインターポーザー基板が意外に大きい
などが考えられます。
ちなみに TSV や SOI ウェハの製造法などを調べれば判るように、シリコン基板を必要な厚みに調整するのは既存の製造技術の範疇に入ります。
108Socket774:2010/12/30(木) 18:46:36 ID:ugj+aASj
具体的な事が出てくるとすれば、来年のVLあたりかなあ?
109Socket774:2010/12/30(木) 19:33:00 ID:PSyIJS6V
>>103

きたか…!!

  ( ゚д゚ ) ガタッ
  .r   ヾ
__|_| / ̄ ̄ ̄/_
  \/    /
110Socket774:2010/12/30(木) 19:45:55 ID:x8ESh9WM
Westmereでも、CPUとGPU統合チップとの高さが違ったけど、
そのノウハウでは対応できないような高さの違いが出るってこと?
111MACオタ>110 さん:2010/12/30(木) 19:53:15 ID:Oyq9qGvX
>>110
同じパッケージに乗るプロセッサダイとVRAMダイで高さが異なると、ヒートシンクとの接触に問題が生じるのでは?
112MACオタ:2010/12/30(木) 20:05:40 ID:Oyq9qGvX
すっかり忘れてましたけど、Intelの市販プロセッサってヒートスプレッダで覆われているので、パッケージ内の複数チップの高さの違いってあまり影響しないのでしょうか?
113Socket774:2010/12/30(木) 20:26:45 ID:ugj+aASj
そもそも多少の高さの違いなんてパッシベーションでどうにかなるんでないの?
114MACオタ:2010/12/30(木) 21:12:49 ID:Oyq9qGvX
積層したDRAMの厚みですが、TSVのような特殊なプロセスを使用しない場合、それなりの厚みになるようです。
http://www.touchbriefings.com/pdf/23/gsc032_t_tessera.pdf (P.3 Fig.3参照)

考えてみると、それ以前に汎用DRAMチップを使う場合、バス幅が512bitなんて製品は無いような… 知財の問題がありますからDRAMそのものを Intel で製造するとも思えませんし、大手DRAMベンダと特注品の供給に関する交渉の過程で、この情報が漏れてきたのでしょうか?
115MACオタ:2010/12/30(木) 22:40:23 ID:Oyq9qGvX
PS3 Linux の復活となりますかどうか…
http://piccolo33.dip.jp/blog/?p=2132
 -----------------
 これで何ができるようになるかというと、praivate key を見つけたということは開発者が Homebrew に署名できるようになったということで、非正規のアプリも正規のアプリと見分けがつかなくなるということです。
 [中略]
 彼らが取り組んでいるのはすべてで PS3 で動作する Linux (AbsentOS) を作ることだそうです。
 -----------------
116,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/30(木) 23:10:33 ID:CUtdQGqX
まもなく拡張版Cellに匹敵する倍精度100GFLOPSオーバーのx86プロセッサが2〜3万で買えるようになるのに
PS3でLinuxやりたいやつどれだけいるかねえ
117MACオタ>団子 さん:2010/12/30(木) 23:18:08 ID:Oyq9qGvX
>>116
いまや存在しなくなった、普通のヒトが開発につかえる PowerPC プラットフォームが復活するのは喜ぶべきことなのです。
いっそ IBM がハッカーに資金援助をしても良い位なのですが…
118,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/31(金) 02:27:26 ID:dfbJD9gX
わざわざ小難しいことするくらいならG4やG5の中古でも買っておけという感じだな。
別にPowerPCという命令セットがなくなっても困らないけどな俺は
FPGAのコントローラとして載ってるやつも新しいのはAtom ExxxやARMだもんなぁ

そもそもPS3に食らい付いたのはPowerPCが好きな人間じゃなくて低コストのスパコンが組みたい連中でそ
貧乏研究室には格好のエサだしな。
119Socket774:2010/12/31(金) 11:44:21 ID:BYgQ+kBW
世界中の人が本当に望んでいるのはPowerMacの復活だろ
120Socket774:2010/12/31(金) 12:44:51 ID:xVtI4hTJ
マックユーザーはマックでありゃなんでもいいんじゃね。
121Socket774:2010/12/31(金) 14:18:49 ID:uKjNwPtY
むしろPowerQNAPがでてくれればだな……
122Socket774:2010/12/31(金) 14:19:53 ID:2IJychTs
ItaniumMACが今こそ必要
123Socket774:2010/12/31(金) 15:48:07 ID:wG/c2ho6
AlphaAXPを…。
124Socket774:2010/12/31(金) 16:06:22 ID:Iz76oUtf
ARMでいいよiPadのソフト動くMacができる
125MACオタ>124 さん:2010/12/31(金) 16:48:18 ID:5pUDtTJ/
>>124
 --------------
 ARMでいいよiPadのソフト動くMacができる
 --------------
おりしも、そう言った噂が出てます。
http://www.semiaccurate.com./2010/12/29/evidence-points-apple-designing-arm-laptops/

ARM も Apple 発のプロセッサアーキテクチャですから、世の中がこちらに向かうのも悪くは無いのですが…
http://www.arm.com/about/company-profile/milestones.php
 =================
 Advanced RISC Machines (ARM) spins out of Acorn and Apple Computer's collaboration efforts with a charter to create a new microprocessor standard. VLSI Technology becomes an investor and the first licensee
 =================
126,,・´∀`・,,)<一番良い -○○○ を頼む:2010/12/31(金) 20:22:05 ID:dfbJD9gX
Windows 7上で動くAndroidの実行環境が出るほうが先だな。
(実際にこれは作ってる企業がある)

127MACオタ:2011/01/01(土) 05:40:30 ID:eNucVXeC
新年おめでとうございます。
まずは昨年のISSCCで発表された PpwerEN (=Wire-speed Power) & A2-core に関する資料から。
http://www.ece.rutgers.edu/lecture/slides/PowerEN.pdf
P.23 のアクセラレータ命令の動作フローが判り易くなっているのではないでしょうか。

日本語の資料も出てきました。
http://www-06.ibm.com/ibm/jp/provision/no67/pdf/67_article4.pdf

せっかく開発した新チップということで、海外では学生向けのキャンペーンをやって開発者を募っていたりするようですが、このあたりは64-bit Power アーキテクチャの認知度を上げるために>>117の様な動きが欲しいところです。
http://www.comp.dit.ie/fyp/wp-content/uploads/2010/11/Flyer.pdf
128MACオタ:2011/01/01(土) 07:00:02 ID:eNucVXeC
こちらは Blue Gene シリーズ開発におけるシミュレーションについてのプレゼン。
http://www.wintersim.org/Plenary/TitanH.pdf
前半部が今までの Blue Gene の紹介なのですが、P.19 に Sequoia (20PFlops Blue Gene/Q) の構成が良く判る図があります。
129MACオタ:2011/01/01(土) 07:43:49 ID:eNucVXeC
>>128 のリンク先の BG/L や BG/P のダイ写真を見れば判るように、Book-E APU (Auxiliary Processing Unit) として実装された "Double Hummer" FPU は取って付けたような代物になっています。
一体化したコアとして設計された汎用プロセッサと比較すると、ロード・ストアや整数レジスタへのアクセス命令の実行レイテンシで不利であろうことは仕方の無いことなのでしょう。

それでもダイサイズを有効活用するために、SoC の各モジュールは工夫して詰め込んである様子が見てて取れるのですが、>>127のリンク先の PowerEN のダイ写真を見ると、A2コアは4コア単位でモジュール化されています。
IBMがどの程度設計をケチっているかによりますが、このモジュール構造をそのまま使っているとすると、BG/Q 用プロセッサの FPU は APU どころかアクセラレータとして実装されているかもしれません。
一方で、Top/Green 500 で公開された 1.68 GFlops/W という電力効率に対して、2 GFlops/W という目標は諦めていないようでもあります。はたして、A2 コアのアクセラレータサポート機能をこのための切り札として使う可能性も残されています。

まだまだ、今後の新情報は楽しみなようで…
130Socket774:2011/01/01(土) 11:25:43 ID:4HuECibu
やっぱりIBMオタと改名すべきだな
131,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/01(土) 17:32:39 ID:IWntw59S
もう68kでも持ち上げておけよ
132( ^^) _-○○○:2011/01/03(月) 03:34:31 ID:TbUfSh2j
最近"Power7コア x1 + SPU x8 + KeplerGPC x1" 3.2GHz + XDR2 4GB構成のEntertainment Computerが出ないかなーと妄想してるw
133Socket774:2011/01/03(月) 18:09:26 ID:2teaWDxo
次期MacOSのUI見りゃARM統一も時間の問題だろ
つまりMacOSX for x86のオープンソース化も近いなw
Dar
134MACオタ>133 さん:2011/01/03(月) 18:17:02 ID:A/Axc2Zo
>>133
 --------------
 次期MacOSのUI見りゃARM統一も時間の問題だろ
 --------------
そのココロは?
Apple ほどUIとプロセッサアーキテクチャに相関は無いことを証明してきた会社も無さそうですが…
135Socket774:2011/01/03(月) 18:27:42 ID:iey7pdiE
ARMならグループ企業で作ってる、iPadと統一すれば開発の手間が省ける
……動機として考えられるのはそのへんか。あとポータブル機の持続時間

逆に移行しない理由としては演算パワー。
普通なら互換性も理由なんだが、Appleは気にしないからなそのへん
x86に匹敵するARMができたら、やっちゃいそうな気はするね
136MACオタ:2011/01/03(月) 19:08:12 ID:A/Axc2Zo
今のところ ARM のアーキテクチャ・ライセンスを所持していることを公開している会社は、Marvell, Qualcomm, Infineon, Microsoft。
これに加えて、2008年に Apple と噂される "leading handset OEM" がアーキテクチャ・ライセンスを取得しています。
http://www.eetimes.com/electronics-news/4192811/Apple-with-P-A-is-possible-ARM-architecture-licensee

また、今年は "major semiconductor company who will target the Server market" が新たにアーキテクチャ・ライセンスの契約を結んだとか…
http://armnews.wordpress.com/2010/10/26/arm-q3-2010-results/
137Socket774:2011/01/04(火) 20:53:14 ID:hO03I3HB
AMD、Fusion APUこと初のGPU統合型プロセッサを発表
http://pc.watch.impress.co.jp/docs/news/20110104_418001.html
138Socket774:2011/01/06(木) 14:40:51 ID:JPcB49Rn
SandyBridgeは、GPUとしての性能はよくわからんが、
CPUとしての性能はかなり高くてしかも低価格だな
139Socket774:2011/01/06(木) 17:57:58 ID:uZmr4Btu
来ましたね。

【CES 2011レポート】NVIDIAカンファレンス編
〜GPU統合型ARMベースCPU「Project Denver」の開発を表明
http://pc.watch.impress.co.jp/docs/news/event/20110106_418198.html

Microsoft、次期WindowsでARMアーキテクチャをサポート
http://pc.watch.impress.co.jp/docs/news/event/20110106_418205.html
140Socket774:2011/01/06(木) 18:03:22 ID:0FsSTTDf
PowerPCのWindowsのときは大ゴケしたけど、パソコン用狙いじゃなけりゃ大丈夫か?
141Socket774:2011/01/06(木) 18:05:52 ID:G/DHX5gw
>>78
量産工場建設への一社単独投資はしないだろうけど
プロセスの研究開発への投資はしているし今後も続けるだろう
142Socket774:2011/01/06(木) 18:16:26 ID:11vNJYMv
>>140
過去のソフトウェア資産を活用できないWindowsに何の価値もないだろ。
143Socket774:2011/01/06(木) 18:40:59 ID:i3Q9gZyi
AlphaAXP版NT4に謝れ。
144Socket774:2011/01/06(木) 18:52:18 ID:OVEnrmwU
>>140
.NetFrameworkを使ったソフト専用なんじゃないかな?
145Socket774:2011/01/06(木) 19:46:33 ID:nWzXKcSU
MIPSには謝らんでよし
146Socket774:2011/01/06(木) 21:11:01 ID:hjqdbxLs
ARMばっかでつまんない^^
147Socket774:2011/01/06(木) 21:18:22 ID:Par0ZUQK
>>142
WindowsでExcel使う事が仕事だと思ってるバカ経理がいること考えたら
これは大きな一歩だと思う。
148Socket774:2011/01/06(木) 21:21:38 ID:uf7Mq6lo
なんでExcelが出てきた?
149Socket774:2011/01/06(木) 21:22:41 ID:hNKHs5by
昨晩から未明にかけての大原vs本田vs笠原vsその他ライター連中のアレを
誰かtoggeterにまとめておいてくれ
150Socket774:2011/01/07(金) 00:42:58 ID:99kZTkMk
松岡はんが気になる事を呟いとる
http://twitter.com/#!/ProfMatsuoka/status/22827640163405824
151Socket774:2011/01/07(金) 03:10:28 ID:k/nNCyQs
>>149
各人のつぶやき読んだ感じだと
大野氏が一番まともで次点がTak.Nishimと大原。
笠原と本田はどーしよーもない。
152Socket774:2011/01/07(金) 03:20:14 ID:imZwdrv4
何の話かkwsk!
153Socket774:2011/01/07(金) 10:56:32 ID:a7uRv6NR
x86とWindowsはオワコンという話でピリピリしてた
154Socket774:2011/01/07(金) 13:01:11 ID:fWAnDDhZ
とうとうNvidia の高性能CPUであるDenverが公開。タブレットやPC用もそうだが
実はスパコンも視野に入れてるのだ。詳細は勿論秘密だけど。
http://bit.ly/f5ELEq http://bit.ly/hXal42 @torii_h

これ秘密も何もすでにARM Macへの移行話の中ですでに出てるやン
155Socket774:2011/01/07(金) 13:03:14 ID:fWAnDDhZ
つまり近い将来上から下までARMとMacOSが全てを握ると考えれば
納得いくですよ
156Socket774:2011/01/07(金) 13:19:14 ID:eL4Ih/Ii
やべー。ぜんぜんワクワクしないw
157Socket774:2011/01/07(金) 14:03:24 ID:PNVQ9FCu
ARMはいいけどアポーはやめて…
158Socket774:2011/01/07(金) 14:44:05 ID:h012w4bH
Appleいいやんw なんか時価総額が今年中に全米1位な予想らしいですよ
Apple好きでMacも2ケタ持ってるけど、そこまで評価される理由がわからんw

スーパーコンピュータ向けって、なんか非力なPowerPCで組んだのとかあったよね
x86を超える、あるいは並ぶ性能がなくても、そこそこでなんとかなるもんなんじゃないの
ただし電気食わなきゃだけど

……ARMが主流になったら、インテルがバカ速いARM作ってくれそうだからそれはそれでいいかな
159Socket774:2011/01/07(金) 14:44:08 ID:vHV2oF3R
ドライバとか使うヤツの教育とか全部変えなきゃいけないのがめんどくさいから
Windows支配が続いて欲しいと思う俺がいる。
以前はMSあんまり好きじゃなかったのにな。

Officeが動いてる画面とか見るに付け、スマフォのみならず最低でもネットブックはサポートされる。
お役所のクライアントとか大量採用されそうな気がするんだけどなぁ。
160,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/07(金) 16:47:34 ID:CXY+0DlN
ローエンドGPU市場を追われたからやむなくARMで食いつなごうって発想だけどな
161Socket774:2011/01/07(金) 21:27:02 ID:uvF416ha
www.yusuke-ohara.com/weblog2/archive/2011/01/post_247.html
162Socket774:2011/01/07(金) 21:56:43 ID:IBPlag28
SoCってGPUが良ければそれでいいって物でもなくて
周りの回路も強力じゃないとやってけないんじゃないかと思うが、その辺はどうなんだろう?

てかAtomですら重いっていわれてるのに、ARMで十分な性能が出るのか?
出たとしてx86を置き換えるメリットってどれだけあるのだろうか。
163Socket774:2011/01/07(金) 22:09:57 ID:fWAnDDhZ
NVIDIAの救世主となるか
164Socket774:2011/01/08(土) 01:56:02 ID:6G7g1tYL
>>162
x86は消費電力はじめ色々と無駄が多い 競争も少なくcp悪いし
165,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/08(土) 02:11:53 ID:ALxHtQ1m
ARMと組み込み向けPowerPCの消費電力って同程度だろ
PowerPCはx86に全く及ばなくなったからAppleに見限られてPC・ワークステーション市場から撤退した。
高性能のARM作っても似たようなものになると思うよ。
てか、ARMの省電力の要ってメモリ帯域をけちることだもの。
だからハイエンドでもLPDDR*の32ビットシングルチャネルしかサポートしない。

そんなものをそのまんまPCにもってきても力不足は明らかだし、
仮に省電力かなぐり捨ててPCに匹敵する性能のARMの実装を作ったところでそのIPは
ハンドヘルド端末市場にもっていけないからスケールメリットが得られず死ぬ。
166Socket774:2011/01/08(土) 02:17:26 ID:qP+U4LFK
>>165
大意では同意するが、Intelのようなパラノイアな企業がストップかけないのがARMの魅力だと思うぞ。
メーカー側の論理で業界に一定の地位を築くかもしれない。
167,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/08(土) 02:24:11 ID:ALxHtQ1m
ちなみに言うとAtomより更に省電力なx86の実装は可能だと思います

・メモリバスの最適化
45nmのAtomはPC用のメモリをそのまま使っているが、32ビットLPDDR*用に
足回りを作り直せばそれなりの省電力にはなる。

・single issueにする。
命令長検出が1サイクルあたり1命令になるのでx86のホットスポットである
パイプラインフロントエンドがよりシンプルになる。

168Socket774:2011/01/08(土) 02:55:49 ID:K0mYRUdn
PowerPCがパソコンから撤退せざるをえなかったのはCHRPぽしゃったからだろ
Macも非x86(当時)としちゃ売れてたがそれだけじゃ無理ってんで組み込みとかサーバにシフトした
結果パソコンに適したのが開発後回しにされた
169Socket774:2011/01/08(土) 04:09:46 ID:YLRrwzcv
重要なのはソフトでなくノウハウの方じゃないか
170,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/08(土) 07:22:46 ID:ALxHtQ1m
ネットブックのはしりであるハンドヘルドPC市場において圧倒的な高性能でSuperHやMIPSを蹴散らし
組み込み上位レンジにおけるARM ISAの支配力を高めるのに貢献したのが実はIntelのXScaleなのでした。
171Socket774:2011/01/08(土) 07:33:08 ID:6G7g1tYL
x86の過去の資産なんて何の意味もないことがこの辺読めばわかる

http://www.yusuke-ohara.com/weblog2/archive/2011/01/post_247.html#more
172Socket774:2011/01/08(土) 09:50:31 ID:yRW/aN1b
そういえば、x86が強い理由の一つにIntelの製造技術があるような…

>>171
Windows PCとして売るなら必要でしょ。
そしてPCとして売らないならそもそもWindowsにこだわる必要がない。
173Socket774:2011/01/08(土) 11:59:21 ID:K0mYRUdn
まあ、趣味の品としてはx86もそろそろ飽きがきてるので別のも出てきてほしいんだが
ARMじゃいまいち面白みが足りない
cell(でなくてもいいんだが)くらいぶっとんだのがいいなあ
174( ^^) _-○○○:2011/01/08(土) 12:33:36 ID:aBg0Pipv
そこでCell SoCの出番です^^
175Socket774:2011/01/08(土) 13:56:56 ID:68RT+D9E
ぶっとんだものか
再起動せずに書き換えられるプログラマブルロジックはもう目途が
立ってるらしいから、プログラムが動き出したら中のクリティカルパスを
判別して専用の加速ロジックを生成する、くらいでどうよ
176Socket774:2011/01/08(土) 14:08:08 ID:vFGYHzXb
すごく有機的なコンピュータだな。
177Socket774:2011/01/08(土) 14:11:29 ID:qP+U4LFK
>>171,172
過去のソフトウェア資産は重要だと思うけど
世間の一般企業にとって一番重要なのはプリンタドライバとMS-Officeだと思う。
178Socket774:2011/01/08(土) 16:28:23 ID:o7L/p9Uv
CPUとOSの組み合わせに上に互換性の重要性が存在するんだけどね
片方だけ取り出して互換性ってそんなに重要か?とか言っても意味なし
179Socket774:2011/01/08(土) 16:55:11 ID:1ozfVKQF
>>173
一応MIPSがアンドロイドで何とか復権しようとしてるみたいだが、果たしてどうなるやら。
180Socket774:2011/01/08(土) 17:02:31 ID:4+AEGzbJ
>>141
プロセスは、半導体製品作る電機メーカーと、製造機械納入する装置メーカーの共同開発みたいなのだったけど、
いまじゃ、日本の電機メーカーは最先端プロセスでの半導体製品をどこも作らなかくなったから、
投資なんてほとんどなくなったじゃん
181Socket774:2011/01/08(土) 17:14:50 ID:efzwTCU7
>>180
2010年月に東芝が四日市にサンディスクと合弁で20nmの工場を2011年春
竣工予定で建設中。

ttp://www.toshiba.co.jp/about/press/2010_07/pr_j1401.htm

ロジックは確かに新規投資がほとんど無いけどNAND等のメモリ向けであれば
まだまだ先端プロセスに設備投資をしているよ。
182Socket774:2011/01/08(土) 17:17:42 ID:68RT+D9E
>>180
二行目は、物を作る前に作れるかどうか探る段階、
基礎研究、論文、特許レベルの話だよ。そこはやめていないという意味
183Socket774:2011/01/08(土) 18:41:16 ID:1ozfVKQF
>>179
こんな記事が有った。

【2011 INTERNATIONAL CES】
MIPS、Android搭載のスマートフォン・タブレットに搭載
http://k-tai.impress.co.jp/docs/event/ces2011/20110107_418672.html
184Socket774:2011/01/08(土) 22:21:36 ID:qP+U4LFK
MIPSはロマンだったんだけどな。
近年すっかり中国人のイメージがついてしまった。
185( ^^) _-○○○:2011/01/08(土) 22:56:45 ID:aBg0Pipv
Cellの司令塔をMIPSにしていれば・・・
186Socket774:2011/01/08(土) 23:12:27 ID:7rsMl0gO
それはEmotion Engineだな。
187MACオタ>171 さん:2011/01/09(日) 13:53:27 ID:UclTAD6q
>>171
大原氏を信仰するのはご自由ですが、x86より更に古いメインフレームの市場は現在でも活発です。
http://www.gartner.com/it/page.jsp?id=1479923
 ----------------
 The 'Other' CPU category, which is primarily mainframes, also added to the revenue increase for the quarter with growth of 9.9 percent.
 RISC/Itanium Unix servers remained in a slump with drops of 10.1 percent in shipments and 9.5 percent in vendor revenue compared with the same quarter last year," Mr. Hewitt said.
 ----------------
引用部の通り、『新しい』筈のRISC市場の方が縮小傾向だったりして…
188Socket774:2011/01/09(日) 14:08:52 ID:JNQO5t8+
日立の中の人曰くCOBOLやらの資源を今さら書き直したくないという理由が大半らしい
189Socket774:2011/01/09(日) 14:26:47 ID:BrYwiJmf
市場が活発でも新たな担い手が育ってないからどうなるんだろうね。
雇用延長でとりあえずしのぐ予定だったのが、
そのメインフレーム技術者が全然応じないなんてことにもなってるし。
190MACオタ:2011/01/09(日) 14:50:54 ID:UclTAD6q
SPEC2006ですが、2010Q4は 128-core 以上のスーパーハイエンドクラスの登録が追加されています。このクラスの SPEC2006-rate の結果をまとめてみましょう。
■SPEC2006 Rates
Processor       cores Int(base/peak) Fp(base/peak)
Xe X7560/2.26G   1024  20600 / -    16000 / -
Xe X7560/2.26G    512  10400 / -    6840 / -
POWER7/4.0G     256  9930 / 11300   9640 / 10500
Ita2 9040/1.6G    1024  9030 / -    10600 / -
Op8384/2.7G      768  8840 / -     6500 / -
Xe X7542/2.66G    384  7660 / 8190   6390 / 6600
POWER7/4.25G    128  5350 / 6130   5260 / 5860
Xe X5570/2.93G    128  3150 / -     2550 / -
Ita2 9150M/1.66G   256  2890 / 3350   - / -
SPARC64 VII+/3.0G 256  2850 / 3150   2270 / 2550
Ita2 9040/1.6G     256  2720 / 2970   3420 / 3510
SPARC64 VII/2.88G 256  2400 / 2590   1930 / 2100
191MACオタ@続き:2011/01/09(日) 15:05:38 ID:UclTAD6q
192MACオタ@ここまで:2011/01/09(日) 15:16:55 ID:UclTAD6q
193Socket774:2011/01/09(日) 17:16:33 ID:SUoQ+PSx
Itaniumはクロックの割に健闘してんな
やっぱり開発の遅れと2世代遅れのプロセスが敗因なのかのう…
194Socket774:2011/01/09(日) 19:04:27 ID:O1kRZgV7
敗因は同じ会社がx86やってるからじゃないの
インテルがx86やってなかったら全力でかかってただろうしさ

x86やってないインテルが存在できたかどうかはおいといてくれw
195MACオタ>193 さん:2011/01/09(日) 19:21:12 ID:UclTAD6q
>>193
 --------------------
 Itaniumはクロックの割に健闘してんな
 --------------------
むしろ3年前の Montecito にやっと追いついた (FPだと未だ及ばない)富士通の存在価値に疑問を感じるべきでは?
196Socket774:2011/01/09(日) 20:35:48 ID:8QbZiwXD
Itaniumなんて実質的にPA-RISC後継プロセッサとしてしか使われていない

IntelがIA-64流行らせようとしてる中、AMDがx86と互換性のあるx86-64作ってもう市場はそっちにもってかれた
いまのIntelも、x86-64と命令互換の64bitになった
197,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/09(日) 20:45:04 ID:4R88OE+W
x86ってコンパクトで1命令あたりのオペレーション密度が高いからね。
Itaniumがメモリ-レジスタ間演算に対応したリッチなVLIWなのも
モダンなx86マイクロアーキの設計思想を引き継いでると思ってるが。

RISCって回路構成をシンプルにしてクロックで稼ぐ思想だけど、そのクロック数の限界で
x86に及ばなくなったのよね。 
デコーダも演算ユニットも簡素にできるから省電力が要求される組み込み上位レンジが
一番美味しい市場かと思います。
198Socket774:2011/01/09(日) 20:45:36 ID:khcKs/Vd
仮想化サポートが早かったのもメインフレームが生き残っている一因かもしれない

仮想化の起源は40年以上前のメインフレーム
ttp://it.impressbm.co.jp/e/2009/06/16/883
仮想化の基本技術そのものは新しい考え方ではなく、
メインフレームの時代にかなりの部分で完成されたもの
ttp://japan.zdnet.com/sp/feature/mf1/story/0,2000056694,20095400,00.htm
199MACオタ>団子 さん:2011/01/09(日) 21:09:14 ID:UclTAD6q
>>197
 ----------------
 x86ってコンパクトで1命令あたりのオペレーション密度が高いからね。
 ----------------
その説にすがりついて他のアーキテクチャを叩いているようですが、他人を納得させるには、二つの疑問に回答する必要があると思いますよ。
 ・なぜx86以外の CISC は消え、新しい ISA は実用化されないのか?
 ・x86とそれ以外の過去の CISC の優劣は?
200Socket774:2011/01/09(日) 22:20:03 ID:8QbZiwXD
いまのx86なんて、CISCとRISCのいいとこどりしたようなプロセッサじゃん
命令セットだけは従来のCISCベースの互換性をたもったまま、
プロセッサ内部的には、両方の特徴を持ったような感じになってる
201,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/09(日) 22:26:19 ID:4R88OE+W
Thumb2以降もはや固定長命令ですらなくなったARMもCISCとRISCのいいとこどりだなう

202Socket774:2011/01/09(日) 22:33:31 ID:khcKs/Vd
いいとこどりしているとして、それをやるには代償を支払ってるわけだ。
代償に見合うメリットを得ているのかどうか
ソフト資産とか考慮しない場合、メリットが代償を超えているのなら、
同じようにいいとこ取りを狙う他のアーキテクチャが興隆してくるはずだが
してないんじゃないか? 

つまり、x86が払っている代償はいいとこどりのメリットだけでは割に合っておらず
ソフト資産等のメリットと合わせ技でようやく見合っているのではないか
203Socket774:2011/01/09(日) 23:08:36 ID:xKloPISl
Intelが強いのは命令セットじゃなくて回路設計やプロセスに人と金をかけてるおかげだろ
204Socket774:2011/01/09(日) 23:09:35 ID:8YIEdiwL
Intelが強いのはCPUと提灯記事にキャッシュを盛ってるおかげだろ。
205MACオタ>団子 さん:2011/01/10(月) 06:27:52 ID:gxcqpMlS
>>201
 -------------
 固定長命令ですらなくなったARM
 -------------
その ARM に対して x86 のデコードは約25%の電力効率上不利になると、Pat Gelsinger は語っていたとのことですが?
http://aceshardware.freeforums.org/arm-seems-to-be-gathering-momentum-is-this-the-new-wave-t966-45.html
 =============
 Once again, I asked this directly, and the answer I got was ~25% power overhead for x86 decode. That is the number I use, more or less, and it is obviously workload dependent.
 The problem is that the more intense the workload, the more you are beating the x86 portions of Atom vs (hopefully) dedicated hardware on the ARM part.
 =============
206MACオタ@補足:2011/01/10(月) 06:34:57 ID:gxcqpMlS
上の件は、昔のこのカキコミの方が判り易くまとめてありました。
Intelの次世代CPUについて語ろう 38 http://pc11.2ch.net/test/read.cgi/jisaku/1235460118/172
207MACオタ@訂正:2011/01/10(月) 06:38:07 ID:gxcqpMlS
失礼しました。>>206はリンク間違いです。こちらが正。
CPUアーキテクチャについて語れ 11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/172
208Socket774:2011/01/10(月) 08:01:10 ID:snpZ6jIj
ま、いわゆるCISCがいいのだと仮定したとして、より優れたCISCがあっても不思議じゃないわな
というか8ビットのころから「○○のほうが上」論争はさんざんあったわけだし
209( ^^) _-○○○:2011/01/10(月) 11:29:49 ID:6nmq65Xm
オレゴンなら、オレゴンならきっとやってくれる!!
210Socket774:2011/01/10(月) 12:02:24 ID:yx4w3/5A
まぁ今だにNT 4.0や2000使ってる会社や役所もあるんだしねぇ・・・
211,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/10(月) 15:24:06 ID:Jbmx1Xys
現行のAtomの電力面での不利ってDDR*デュアルチャネル(もともとはRDRAMだけど)
まで対応した広帯域バスによるところも大きいのかねえ
212MACオタ:2011/01/10(月) 15:55:13 ID:gxcqpMlS
例の Charlie Demerjian 氏の Ivy Bridge のスタックドVRAMの噂 (>>103参照)、>>114 で 512-bit 品の汎用DRAMなんてあるの?という疑問を書きましたが、これって規格化されるようです。
http://www.arm.com/files/pdf/AT_-_Negotiating_the_Maze.pdf (P.26)
 ------------------
 Wide-IO
 ・Achieves higher bandwidth through wider data bus: x512 I/O arranged as 4X128b channels
 ・Lower power through lower frequency (200MHz), SDR
 ・Stacking chanllenges: manufacturing, thermal
  - Controller and system design for multi-channel & large I/O count
 ・Spec finalisation target: 2011
  - Production forecast 2012-2013
 -------------------
今年中に規格制定に関してのニュースが聞こえてきそうです。
213MACオタ@ついでに:2011/01/10(月) 16:00:58 ID:gxcqpMlS
>>211
 -----------------
 現行のAtomの電力面での不利ってDDR*デュアルチャネル(もともとはRDRAMだけど)まで対応した広帯域バスによるところも大きいのかねえ
 -----------------
上でリンクしたプレゼンによると、ARMの最新メモリコントローラ DMC-400 は 4-channel DDR3-1066MHz をサポートするとのこと。
もはやPC並みの広帯域ということで…
214Socket774:2011/01/10(月) 16:05:37 ID:9rvX8jcF
その後VRAMもオンダイになりソケットに戻って「ファミコンカセットプギャー」が繰り返されるのか?
つーか接触が云々という理由でピンソケットからLGAソケットになった筈だが、ピンよりも接触が怪しいスロットを今更使えるのか?
どう考えてもソケット形状の方がいいだろ
215MACオタ>214 さん:2011/01/10(月) 16:09:37 ID:gxcqpMlS
>>214
 --------------
 「ファミコンカセットプギャー」が繰り返されるのか?
 --------------
>>107で訂正を入れましたけど、普通のプリント基板の上に実装することにはならなそうです。
216Socket774:2011/01/10(月) 16:10:12 ID:r34Qyagd
スロットってどこから出て来たんだ?
217Socket774:2011/01/10(月) 16:12:07 ID:snpZ6jIj
いつになったら全部入りチップになるんだろうな?
218Socket774:2011/01/10(月) 17:04:04 ID:nRSUeyWw
デコーダで25%以下しか差がないのなら、
プロセッサ全体では誤差の範囲だなあ、もはや。
219,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/10(月) 17:04:38 ID:Jbmx1Xys
可変長命令にフロントエンドの不利は明らかだ。
この点において固定長命令の利点は明確で、4命令並列デコードするのに16バイト引っ張ってきて
4バイト単位で境界区切ればいいってのは設計としては本当に楽ですもん。
可変長命令だと投機的に頭だしをして帳尻を合わせるアルゴリズムが必要。
ARMでもThumb2みたいな長さ混在のエンコーディング使うと1〜2割のパフォーマンスロスがあるようだしね。

あとはARM側の利点としてプレディケートによって分岐予測機構が簡素化できること。
これは最近のA9あたりではあまり意味ないか。

同等性能のAtomとARMのCPU単体としての電力効率が理論上で25%程度で済んでるとすれば
あとの差は足回りの実装差だろう。
もちろんメモリ帯域が重要なベンチマークではAtomは64ビットDDR2をサポートするAtomに分があるわけですけどね。
220Socket774:2011/01/10(月) 17:06:43 ID:nRSUeyWw
>>219
デコーダがそもそも25%も消費電力食ってないのに、
25%もプロセッサ全体で差が出る訳ないだろ。
デコードでの違いが25%以下。
221MACオタ:2011/01/10(月) 17:17:20 ID:gxcqpMlS
>>218, >>220 さん
 ----------------
 プロセッサ全体では誤差の範囲
 ----------------
プロセッサ全体性能にどの程度影響があるかについての Gelsinger 氏の評価は >>207 のリンク先を辿れば書いてありますよ。
222Socket774:2011/01/10(月) 17:30:35 ID:nRSUeyWw
プロセッサ全体の話なんてどこにも書いてないぞ。デコードの電力の話だろ。
数%しかダイエリア食ってないデコーダが
100Wのうち25W以上も消耗しているなんて珍説披露乙だな。
223MACオタ>222 さん:2011/01/10(月) 17:36:54 ID:gxcqpMlS
>>222
 -----------------
 プロセッサ全体の話なんてどこにも書いてないぞ。
 -----------------
以下、該当部分の翻訳です。
『(デコーダーでの)200%差があると追いつくのは無理。150%はかなり難しいレベルで100%なら大丈夫。そして Intel ではそのレベルに(デコーダーのオーバーヘッドを)抑えることが可能であると考えている』
224Socket774:2011/01/10(月) 17:47:21 ID:nRSUeyWw
変な訳するなよ。
200%でも悪くない
だろ。で25%しかオーバーヘッドがない。
だからStrongARM切った。
225MACオタ>224 さん:2011/01/10(月) 17:52:04 ID:gxcqpMlS
>>224
"It wouldn't be worth it" を 『悪くない』と約する様では、試験に落ちますよ(笑)
226Socket774:2011/01/10(月) 17:53:32 ID:nRSUeyWw
試験に落ちるな。
まあどのみちプロセッサ全体で大したことないことにはかわりない。
227,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/10(月) 18:06:06 ID:Jbmx1Xys
Sandy BridgeでμOPs cacheによる消費電力の削減効果は平均で10%程度らしい
228MACオタ:2011/01/10(月) 20:39:48 ID:gxcqpMlS
>>212に引き続き Wide I/O DRAM 規格の話題ですが、ちょうど来月の ISSCC に Samsung より次のような発表が予定されています。
http://isscc.org/doc/2011/AP_Full.pdf (P.68参照)
---------------------
28.5 A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os using TSV-Based Stacking

A 1.2V 1Gb mobile SDRAM with 4×128 DQ per each is fabricated. It consumes 330.6mW read power during 4-channel operation, achieving 12.8GB/s data bandwidth.
Test correlation techniques to verify functions through microbumps are developed. A stack of 2 dies with 7.5um diameter TSVs is fabricated and tested, resulting in 76% overall package yield.
---------------------
"package yield" 76%ということで、これが TSVパッケージの不良率のみを示すものであるとすれば実際のチップの歩留まりはもう少し低いのかもしれません。
しかし、携帯機器関連の市場が立ち上がるより1年前に大量購入してくれるのなら、Intel に競争力のある価格で提供する可能性はあるのかも…
229Socket774:2011/01/10(月) 20:44:12 ID:r34Qyagd
単独サプライヤーで採用するとは思えんけど
230MACオタ@続き:2011/01/10(月) 20:46:21 ID:gxcqpMlS
Elpida は今年10月の日経の広告記事によると、こんな現状の様です。
http://techon.nikkeibp.co.jp/NEAD/focus/elpida/elpida_3.html
 --------------------
 同社は,入出力インタフェースのバス幅を拡張した次世代モバイルWide I/O DRAMとTSVを使った実装技術の開発を着実に進めている。
 [中略]
 同社は広島工場内に専用ラインを設置し,TSVおよびTSVを使った多点接続に向けた量産技術の開発に取り組んでいる。
 さらに,2010年6月には,半導体後工程を手掛ける台湾の力成科抜(Powertech Technology Inc.)およびファウンドリ大手の台湾聯華電子(United Microelectronics Corp.(UMC))と,
 先端プロセス向けのTSVの技術開発とビジネス展開を共同で進めることで合意したことを発表している。
 --------------------
231Socket774:2011/01/11(火) 00:51:45 ID:znyJVwEF
尤も顧客から支持を得なければ如何なるものも無意味だし。
232Socket774:2011/01/11(火) 00:54:23 ID:C/I4RRrD
全くだ。
性能や使いやすさやコストで勝っていたのに、営業やロビー活動で負けて消えていった規格の何と多いことか・・・
233MACオタ:2011/01/11(火) 01:11:33 ID:J/tPONxu
Wide I/O DRAM の規格に関しては、ピン(マイクロバンプ)配置と機械的精度が指定されるだけのようです。
電気的特性は指定されないということで、規格化は早いものの初期には単独サプライヤで開始される可能性も否定できないかもしれません。
234MACオタ:2011/01/11(火) 07:26:02 ID:J/tPONxu
トンでもないニュースが飛び込んできました。
AMDのCEO Dirk Meyer が辞任したとのこと。
http://www.marketwatch.com/story/amd-chief-executive-resigns-cfo-takes-interim-job-2011-01-10
235Socket774:2011/01/11(火) 12:02:28 ID:3LhJtobM
そんなに桟橋がすごかったのか><
236Socket774:2011/01/11(火) 20:24:47 ID:zO85Xjvz
AMD終わったな
237Socket774:2011/01/12(水) 08:34:33 ID:WpoMmSni
PearPC would need a 150-GHz PC to run OS X in real time
238MACオタ:2011/01/12(水) 18:08:25 ID:9hlojG9G
IDG が ARM の CEO, Warren East のインタビューを掲載しています。
http://www.networkworld.com/news/2011/011111-arm-ceo-pc-market-not.html
- ARM 自身は PC 向けプロセッサは志向しない。PC 向けの実装の話は(アーキテクチャライセンスまで買った) Microsoft に聞いてくれ
- いまのところはまだ 64-bit ALU は必要ないと判断した
- ARM ベースのサーバー製品が広まるのは2014以降になるのでは?
- ARM 自身は省電力技術に注力する。
239MACオタ:2011/01/12(水) 18:29:39 ID:9hlojG9G
AMDのグタグタを尻目に、Globalfoundries は強化に邁進しているようです。2011 の投資額は Intel を越える $5.4B になる見込みとのこと。
http://www.eetimes.com/electronics-news/4212079/Globalfoundries-to-double-capex
なお Gartner の予想では 2011 の半導体ファブへの投資のトップは Samsung の $9.2B, 2位は TSMC の $5.7B で Globalfoundries は3位になる見込みとのこと。
240MACオタ:2011/01/12(水) 22:11:18 ID:9hlojG9G
どうやら AMD は Meyer を追い出して ARM コア路線に追従するようです。
http://www.digitimes.com/news/a20110111PD215.html
 -----------------
 AMD heading in right direction on ARM but too slow, say Taiwan notebook makers
 Yenting Chen, Taipei; Adam Hwang, DIGITIMES [Wednesday 12 January 2011]
 
 AMD starting to develop display processors to support ARM architectures is correct in terms of marketability and market potential, but its pace is too slow compared with Nvidia's success with Tegra 2, according to Taiwan-based notebook makers.
 -----------------
真偽はともかく、『x86コアを持たない Nvidia の先は暗い』と主張していたヒト達って(笑)
241Socket774:2011/01/12(水) 22:40:23 ID:rxFbkfSl
http://jp.wsj.com/IT/node_168806
> AMDは最近、複数の省電力製品を発表しているが、マイヤー氏は
>先週CESで、利幅が少ないことを理由にタブレット型端末やスマート
>フォン(多機能携帯電話)にはあまり乗り気でないことを明らかにしていた。
> 市場調査会社エンドポイント・テクノロジーズ・アソシエイツのアナリスト、
>ロジャー・ケイ氏は、AMDの取締役会は同社の携帯端末市場での成長の
>遅れとマイヤー氏のビジョンに不満を持っていたのだろうと分析する。

ここら辺が原因ならARMに手を出すという流れ自体は納得出来る。
x86にもちゃんと注力してくれるならいいけどねえ…。
242Socket774:2011/01/12(水) 22:58:45 ID:vEGFcBJF
とはいえ、どこでも手を出せるARMで、AMDの強みが活かせるとも思えんのだが
243MACオタ>242 さん:2011/01/12(水) 23:03:48 ID:9hlojG9G
>>242
現Nvidiaと同様に、『コアはARM、差別化は GPU で…』ということの様です。
それでは ATI だけで良かったのではないか?という疑問は禁句なのかもしれませんが。
244Socket774:2011/01/12(水) 23:16:13 ID:WDttlMZo
ATIはCPUのノウハウがないだろうに
245Socket774:2011/01/12(水) 23:25:27 ID:gqND5Ko3
携帯向けGPUの開発チームはAMDにあるの?
246Socket774:2011/01/12(水) 23:27:15 ID:WDttlMZo
クアルコムに売った
247Socket774:2011/01/12(水) 23:40:01 ID:l1q5qqT9
GPUがあれば勝てるんなら、どこかがnVidiaを買ってると思うんだが。
248Socket774:2011/01/12(水) 23:45:47 ID:WDttlMZo
よくインテルがNv買えばいいのにって見るけど
独禁法に抵触する可能性が高い
249,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/12(水) 23:59:13 ID:xgrHFGnU
NVIDIAを買うくらいならMervellの株でも買い占めたほうがいい
250MACオタ>244 さん:2011/01/13(木) 00:10:00 ID:+stx4UeS
>>244
 -------------
 ATIはCPUのノウハウがないだろうに
 -------------
アーキテクチャライセンスを買って独自実装をしない限り、CPUのノウハウは不要です。
Nvidia も Tegra 2 の段階まではアーキテクチャライセンスは持っていませんでした。
251Socket774:2011/01/13(木) 02:32:07 ID:Mk+TjBPX
Appleみたく自前のMS専用ARMを作るってことだな
252Socket774:2011/01/13(木) 05:01:29 ID:gUVPTfMj
しかし、ARMへの流れはホンモノなんかねえ
x86の性能が過剰気味とはいえ、ARMは逆に言って現状じゃ不足気味だし
住み分けにしても、携帯デバイス中心だから単価低いし
253Socket774:2011/01/13(木) 05:12:36 ID:2EMM++Ko
ARMの効率で言えばx86互換性捨てて向こう取るほど良いのか甚だ疑問だ。
AMDはBobcatでAtomのサルマネやるって可能性もある。
ファブ外に出したからビジネスモデルは違うだろうが。
254Socket774:2011/01/13(木) 05:23:30 ID:gUVPTfMj
いつぞやIBMが試作してたというx86コードも動かせるPowerPCみたく
ARMコードも動くx86とかがあるとネタとしてはおもしろいんだが
255Socket774:2011/01/13(木) 05:28:59 ID:bFA6/Dqt
そろそろ迫ってきたAtomの次世代アーキが見ものだな
256,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/13(木) 05:57:04 ID:tXE0aH+g
現状最強のARMがこれ。
http://jp.advfn.com/news__45120396.html

ようはIntelからMarvellにわたったXScaleの末裔ですが。
Atom 1.6GHzで1コアあたり4000MIPS程度なので、10W以下で16600 DMIPSって数字はそんなに凄くは無いです。
デュアルコアのCore i5 430UM程度の数字だし、Quad-Core Atomを作ったとしてもこの程度は軽く達成できる気がしますですよ。
257Socket774:2011/01/13(木) 09:17:33 ID:SFgZttIl
Winやx86 に限っていない貴重なスレなのでネタ振り

Graph500で頭一つ抜け出しているCray ThreadStorm processor
ttp://journal.mycom.co.jp/articles/2011/01/12/sc10_hpc_challenge/002.html
258Socket774:2011/01/13(木) 22:55:41 ID:sVV58bWF
>>254
IBM、そんな面白そうなことしてたんですかΣ(゚Д゚)
本命はIntelですが、AMDからARMコードが動くx86が出ると更に面白くなりますね!!
259Socket774:2011/01/13(木) 23:27:38 ID:j4JTLLh0
タブレット端末、AndroidとWindowsのシェア争いはAndroidがリード
http://www.rbbtoday.com/article/2011/01/13/73447.html

国内発売もしくは発売予定の13社25製品の搭載OSは、10社15製品で
Androidが採用されていた。Windowsを採用したのは2社4製品。AppleはiPadを
合計6製品発売中のため、iOSのシェアは1社6製品ということになるとなっている。

タブレット端末25製品のディスプレイサイズは、本のサイズにあてはめると、
文庫本相当の7型がもっとも多く11製品となっている。
A5サイズ相当の9型〜11型は9型が6台、10型が5台、11型が1台だった。
260Socket774:2011/01/13(木) 23:43:49 ID:O89bvVmA
OSの問題というよりその上で動くアプリのタブレットへの最適化度が問題だな
261Socket774:2011/01/14(金) 00:09:40 ID:5LajIsvt
むしろAtomのサルマネBobcatに期待してる
262Socket774:2011/01/14(金) 00:16:18 ID:+QU0amJ0
日経平均76円高、米インテル決算発表待ちで様子見=13日後場
http://news.finance.yahoo.co.jp/detail/20110113-00432633-mosf-market

明和証券・シニアマーケットアナリストの矢野正義氏は
「いままで米インテルの決算と米企業業績はほぼイコールの関係だった。
きょうの相場もインテルの決算発表待ちで手控えという見方は間違いではない。
ただ、足元ではパソコンよりも、スマートフォンや、タブレット型PCが
脚光を浴びている。インテルも今年からタブレット向けを投入するため、
期待感はあるが、現状の米企業決算を占ううえでは、明朝のインテルよりも、
18日発表の米アップルの決算を注目しておきたい」との見方を示している。
263Socket774:2011/01/14(金) 00:54:41 ID:PB2DNwsa
>スマートフォンは、2010年12月に市場が急激に拡大し、
>販売台数で携帯電話の約半分(48.1%)となった。
>OS別で見ると、Androidが78.2%まで大きく上昇。iOSは21.1%だった。
ttp://av.watch.impress.co.jp/img/avw/docs/420/070/html/bcn30.jpg.html

いつの間にかこんなことになっているのか
264Socket774:2011/01/14(金) 02:10:36 ID:alFyZlhr
PCとスマフォ市場を同じ市場と取るかで印象がが変わるな。
265Socket774:2011/01/14(金) 08:36:13 ID:1wCzZQJo
まあ、世間のイメージが「WindowsはPC、スマートフォンはAndroidかiPhone」だから
Windowsがタブレットやスマートフォンに食い込むのは至難の業でしょ。
266Socket774:2011/01/14(金) 23:27:41 ID:UO7giX9L
ネットブックが一瞬で過去の遺物になってしまうとかIT業界の流れは速すぎてついて行けない
267Socket774:2011/01/14(金) 23:53:31 ID:79H9+9qG
そりゃソフトや動画がどんどん重くなってるのに、今更低性能CPU+XPのマシンなんか役に立たないでしょ。
それに加えてそもそも発展途上国向けのソリューションで、先進国向けはあくまでも「二台目・三台目用」なのに
家電も客も無知故に「一台目」「メインマシン」として売ったり買ったりして痛い目見たのもある
268Socket774:2011/01/15(土) 05:19:24 ID:18H1rs8O
>>252
消費電力で今の50倍くらいの上げ余地があるのはとてつもなく大きい それでもまだ50wくらい
269Socket774:2011/01/15(土) 05:21:00 ID:18H1rs8O
コストの安さもarmの絶対的な強み
270Socket774:2011/01/15(土) 09:37:04 ID:L1jBXXGA
そのメリットのどれだけがARMであるということに依拠してるかが問題。
271,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/15(土) 10:56:23 ID:XkMIfZBZ
ARMを消費電力50倍上げてもPowerPC 970の再現にしかならない
クロックあたり性能ではRISCは不利
272Socket774:2011/01/15(土) 11:46:51 ID:YDipBvy7
そうはいっても、x86自体CISCの中でも決してほめられたもんじゃないからなあw
それに970けっこうよかったじゃん。
273Socket774:2011/01/15(土) 12:03:41 ID:18H1rs8O
>>271
クロック当たりの性能なんて消費者には何の意味もない

コストと低消費電力が全て

今のarmのメインosは無料でついてくるしw
274,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/15(土) 12:26:03 ID:XkMIfZBZ
>>272
970電力効率悪いじゃん。
IPC(というかクロックあたり性能)はCore MAに遠く及ばないし。



> コストと低消費電力が全て
だからARM(笑)を消費電力50倍にしても性能50倍なんかにスケールしねーっての。
むしろPCのメモリ規格をサポートする一番高性能な実装でAtomと大差ないレベルにおちついてるのが現実
>>256
275Socket774:2011/01/16(日) 11:49:14 ID:tttiZHxP
>>263
iPhone使えないキャリアのユーザーにAndroidが相当売れてるから、
Appleがこれまでの1国1キャリアを捨てて、複数キャリアにiPhone供給するようにして反撃してるな
276Socket774:2011/01/16(日) 12:31:43 ID:In51irXm
白熱電球並のプロセサを携帯機器に載せるというか>NVIDIA
277Socket774:2011/01/16(日) 12:48:35 ID:tttiZHxP
いいかげん低消費電力競争はなんとかしてほしいわ
PCのCPUなんて、クロック6GHzとか余裕で作れるのに、
わけのわからん消費電力競争でクロックあげれずに、いつまでも3.xGHzのまま
278Socket774:2011/01/16(日) 13:09:35 ID:TPkR2zVi
そうはいうが、非常識な消費電力だって採用されなかったx704の倍くらい電気食ってるぞ今のハイエンドw
むしろ下げなきゃヤバい というかノートのほうが売れる時代だからノートに入るあたりが条件になるだろ
279Socket774:2011/01/16(日) 13:42:57 ID:BSODu40o
SBからは内蔵GPUもノートの方がリッチになったりするからな
外付けGPU付けられないからという理由はあっても、安いデスクトップより安いノートの方がスペック良くなる時代
280,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/16(日) 13:51:48 ID:GGvmaQGK
だってノート用CPUのほうが高く売れるもの。
同じマスクの4コアでもデスクトップでは300ドル以下だがノートでは最上位は600ドル以上。
281Socket774:2011/01/16(日) 14:47:20 ID:dkHBDzus
>>275
反撃っていうか、キャリアの縛りが切れ始めたのかと
282Socket774:2011/01/16(日) 15:52:57 ID:N9VEKJ4I
デスクトップのハイエンドはサバ向けのおこぼれ
ミドルやローはノート用向けの流用

中堅というかもとはイメージを支えていた辺りが
存在感をなくす中抜けはCPU、コンピュータ製品に限らず
他の分野の製品でも起きた現象
283,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/16(日) 16:27:46 ID:GGvmaQGK
いまやデスクトップはサーバorモバイル向けCPUの選別落ちを捌くために存在する市場

284Socket774:2011/01/16(日) 17:51:04 ID:O3waLMxh
>>283
確かに〜。
285Socket774:2011/01/16(日) 20:19:32 ID:gs0ejuV0
やっとMediaGXの時代が来たなw
286Socket774:2011/01/16(日) 21:33:31 ID:BSODu40o
そもそもCoreアーキからはモバイル向けだったはずのペンMがデスクトップも支配下に置いたわけだし
ノートとデスクトップの逆転はもっと前からの流れ
そして今やノートがARMアーキテクチャのタブレットやスマホに押されまくってるという

小は大を兼ねる時代
287Socket774:2011/01/16(日) 22:14:23 ID:Pp1X8Rkk
そのPenMは元々サーバ・ワークステーション向けだったPentiumProが……
288,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/16(日) 22:37:58 ID:GGvmaQGK
Bulldozerってハイエンドデスクトップ主導への思想回帰に思えるけどどうなるかね?
289Socket774:2011/01/16(日) 22:38:14 ID:cY9TcTya
Haswellに期待∩(゚∀゚∩)age
290MACオタ:2011/01/16(日) 23:15:32 ID:KbMtPHud
古の Dhrystone MIPS の後継として組込プロセッサの性能評価団体 EEMBC が "Coremark" を提唱しています。
Dhrystone と同様にメモリや I/O の影響を排除したベンチマークということになりますが、各種プロセッサの結果が集まってきているようです。
http://2.bp.blogspot.com/_pEfSMUbljUA/S9yFTQn2xoI/AAAAAAAAAD8/P2r0WkVYhZI/s1600/Benchmark_ipad_iphone_atom_arm_cortex_apple_a4_nvidia.JPG
もっと広範な結果を見たい方は Coremark のサイトへどうぞ。
http://www.coremark.org/benchmark/index.php?pg=benchmark

Coremark のソフト自体もソースをダウンロードして自分で測定することが可能です。また一般のヒトも EEMBC に登録すれば結果を公式に登録できるようで…
http://www.coremark.org/download/index.php?pg=download
291Socket774:2011/01/16(日) 23:27:05 ID:In51irXm
プリンターの制御にPOWER7が必要なのだろうかと思ったりもするが
まあありなんだろうな
292,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 00:23:25 ID:VMX07LBa
> メモリや I/O の影響を排除したベンチマーク
メモリ帯域が狭いくせにあたかもx86なみに高性能であるかのようにARMをのさぼらせてる害悪ベンチマーク
という側面もあるね。
293Socket774:2011/01/17(月) 00:59:54 ID:zkCTywGk
CellにはPOWER7が必要
294Socket774:2011/01/17(月) 01:40:30 ID:OKDKOAhY
>○○並に高性能であるかのように##をのさぼらせてる害悪ベンチマーク

HPC分野ではLINPACKのことだな
295Socket774:2011/01/17(月) 01:51:14 ID:+njlOULO
あれもメモリ帯域の狭さを隠すベンチだな
296,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 02:06:11 ID:VMX07LBa
NetWalkerでFirefoxやOpenOfficeなどPC環境用のアプリ使ったことのある人は
ARMがPCの代わりになるなんて口が割けても言えないはずですよん
297MACオタ>団子 さん:2011/01/17(月) 02:20:54 ID:lMo4FSvY
>>296
 ---------------
 NetWalkerでFirefoxやOpenOfficeなどPC環境用のアプリ使ったことのある人は
 ARMがPCの代わりになるなんて口が割けても言えないはずですよん
 ---------------
言いたいことは判らないでも無いですけど、それ少なくとも Coremark の批判にはなっていませんよ。見ての通り、前世代の PC と比較しても 1/3 の性能ですから…

- NetWalker (i.MX515): 1827.00 Coremark
- Pentium M 760/2GHz: 6240.00 Coremark
298,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 02:35:29 ID:VMX07LBa
案の定何も通じてないようだ
299某スレ38 ◆.E2TEo4Lhs :2011/01/17(月) 02:36:20 ID:wD6wIk0P
>>296
PC-Z1ユーザーです.

FireFoxは,強制シングルウィンドウモードのアドオンとあと2つぐらいで,Flashを再生させないなら結構いけますよ.入れるアドオンで軽量化や最適化のみ…それ以外を入れるとかえって使えなくなります.
chaikaとか2chリーダ系のアドオンは付けると後が大変です.
板のソートに時間がかかる,DATファイルの保存のことなど実用的とは言い切れませんでした.
ある程度の最適化で止めて,ふつうの文章メインのサイト周りで抑えるのが限度ですね.
PDFとかSWFとか地雷があるとフリーズが入りますから…

OpenOfficeは,ファイルは読めても使いたくなかったです.遅いし読みにくいのにカーソルを合わせるのが大変でした.
おまけに画面の割に解像度が高いから文字が小さくて拷問です.
付属のキーボードが非常に打ち込みにくくて,これで操作するのは無謀でした.

あとは,Qt4のEmbeddedライブラリをセルフコンパイルすると2日かかりました.
QtCreatortとかちゃんと準備されてますが,これで開発を行うのは無理ですね.
別のところで専用のクロスコンパイル環境が必須だと思いました.
Ubuntuベースの3Gなしのスマートフォン的なものと考えると,それなりには楽しめます.
常用しろと言われたら,LetsNoteR2当たりでも中古で買ってきてSSD化など改造するでしょうね.

PentiumIII 800MHz PC-100-128MBx3にPuppyLinuxを入れたのとある意味で体感差が少なかったです.
300MACオタ>某スレ38 さん:2011/01/17(月) 02:45:14 ID:lMo4FSvY
>>299
 -----------------
 PentiumIII 800MHz PC-100-128MBx3にPuppyLinuxを入れたのとある意味で体感差が少なかったです.
 -----------------
Coremark の検証的には、興味深い意見ではあります。

- NetWalker (i.MX515): 1827.00 Coremark
- Pentium iii/866MHz: 1945.53 Coremark
301,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 03:12:00 ID:VMX07LBa
Pentium IIIの800MHzってFSBのスペック2種類あった気がするが
EBじゃないほうならFSBは64bitの100MHzだし、実効メモリ帯域的にはNetWalkerのそれと同程度じゃね?
i.MX515のスペック確認してきたが
> mDDR and DDR2 SDRAM, 16/32-bit, 200 MHz

Atomは腐ってもP4バスだからある程度重たいアプリでもそれなりには動いてくれる。
実は今ここ書き込んでるのもAtomネットブックにHHKB ProでブラウザはV2C(Java Swing製)だったり。
302MACオタ>団子 さん:2011/01/17(月) 03:26:28 ID:lMo4FSvY
>>301
 ---------------
 Atomは腐ってもP4バス
 ---------------
ビジネスアプリに対するメモリの寄与を過大に評価しすぎなのではありませんか?
昔、Pentium-M ノートが Opteron 搭載サーバーより SPECint で好成績だったことは覚えているでしょう。
303,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 03:41:25 ID:VMX07LBa
FSB 400/533MHzでメモリはデュアルチャネルサポートですが。
初期のOpteronはPC2400、最上位で3200程度だし、

DDR2メモリにいたってはAMDは2006年にようやく対応した程度で、第2世代Centrinoにあたる
Pentium M+i915GMと比べても明らかに遅れをとっておりました。
304,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 03:54:34 ID:VMX07LBa
ついでにいうと初期のOpteronはNUMA型マルチプロセッサ構成でソケット分だけメモリ帯域がスケールするから
MPサーバで支持されたわけで、もともとXeon(共有FSB)と比べて1ソケットでの帯域で優位があった
わけではないです(HyperTransportは別として)
あとはメモリコントローラ統合した分レイテンシで有利だ、とかね。

IntelとAMDが1GHz超の激戦を繰り広げていた頃、オタ先生の愛したMacのPowerPC G4はFSB 133MHzないし
166MHz止まりで、ご自慢のAltiVecもろくに性能を引き出せてなかったわけですがその点の認識は如何ですか?
SGEMMとかN-Body向けにはキャッシュ容量さえ多めに積んでれば十分かもしれないけどね。
305MACオタ>団子 さん:2011/01/17(月) 04:06:51 ID:lMo4FSvY
>>303
知らないって書けば良いと思うのですが…

- Pentium-M 755/2GHz: 1541/1528 (peak/base)
 http://www.spec.org/cpu2000/results/res2004q2/cpu2000-20040614-03081.html
- Opteron 146HE/2GHz 1354/1289 (peak/base)
 http://www.spec.org/osg/cpu2000/results/res2004q1/cpu2000-20040209-02854.html

Dell Precision M60 ノートの仕様はこちら
http://support.dell.com/support/edocs/systems/wsM60/en/UG/specs.htm
i855PMチップセットの仕様はこちら
http://www.intel.com/Assets/PDF/datasheet/252613.pdf (P11参照)
 -----------------
 Directly supports one DDR channel, 64b wide (72b with ECC)
 -----------------
306Socket774:2011/01/17(月) 04:25:30 ID:3bno5pAZ
この時点で要求帯域飽和してたのかな?
307,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 04:50:53 ID:VMX07LBa
やれやれまた恥の上塗りか

> - Pentium-M 755/2GHz: 1541/1528 (peak/base)
>  http://www.spec.org/cpu2000/results/res2004q2/cpu2000-20040614-03081.html
> - Opteron 146HE/2GHz 1354/1289 (peak/base)
>  http://www.spec.org/osg/cpu2000/results/res2004q1/cpu2000-20040209-02854.html

言いたいことはわからなくもないが、前者がDDR-333MHzで後者は400MHzで、
倍ほど違うキャッシュメモリの容量差で覆せる程度。
(つーか FPのほうはOpteron有利だからIntしか引用しなかったんだろ?)

どうせなら同じCPUで足回りの性能への影響を提示したほうが良かったな。
Pentium Mを引き合いに出すなら780(2.26GHz)+915GMのスコアがあるからこっちを前者と比較すべきだ。
http://www.spec.org/cpu2000/results/res2005q3/cpu2000-20050805-04445.asc

> SPECint_base2000 1812
> SPECint2000 1839

とまあ、同じ世代のPentium Mでも足回りが違うだけでクロックあたり性能は飛躍的に向上している。


当然ながらARM(ハイエンドでも32ビットLPDDR*サポート止まりのあまりに貧弱なメモリのみサポート)が
Atomを含めたPC向けのプロセッサに対して遜色ない性能を出せる根拠にはなり得ませんな。
もちろんキャッシュメモリを何十MBも積むというなら話は別ですが。
308,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 05:09:58 ID:VMX07LBa
飛躍的に、は良い過ぎか。
むしろ同じ時期のがあるじゃないか。

Intel Corporation Intel D815EEA2 motherboard (1.0 GHz, Pentium III processor) 402/408
http://www.spec.org/cpu2000/results/res2001q3/cpu2000-20010827-00832.asc

Intel Corporation Intel D815EEA2 motherboard (1.0B GHz, Intel Pentium III proc  452/454
http://www.spec.org/cpu2000/results/res2004q4/cpu2000-20041115-03611.asc

同じクロックでもFSB(100MHzと133MHz)が違うだけでこれだけ差が出るわけで。
309Socket774:2011/01/17(月) 05:15:27 ID:3bno5pAZ
貧弱って言っても855PMを越える程度の帯域があるわけでしょう。32bitDDR2って。
ARMがキャッシュ積んでAtomとの差を覆すのは想像できないが。
310MACオタ>団子 さん:2011/01/17(月) 05:29:55 ID:lMo4FSvY
>>307
 -----------------
 (つーか FPのほうはOpteron有利だからIntしか引用しなかったんだろ?)
 -----------------
ビジネスアプリの指標にSPECfpは使いませんよ(笑) 付け加えれば SPECfp2000 そのものは、メモリ帯域に大きく影響されます。
団子さんの言い回しって、2004年当時の某信者さんたちとあまりに良く似ていますね。

メモリ帯域とコア性能の関係で、団子さんご推奨の Petium-M 780 + DDR2 667MHz を中心に調べるとこんな感じになります。
■2MB L2を持つ x86 CPU の SPECint2000
- Pen4 670/3.8GHz + DDR2-667 x 2ch: 1834/1834 (peak/base)
 http://www.spec.org/osg/cpu2000/results/res2005q4/cpu2000-20051031-05015.html
- PenM 780/2.26GHz + DDR2-533 x 2ch: 1839/1812 (peak/base)
 http://www.spec.org/osg/cpu2000/results/res2005q3/cpu2000-20050805-04445.html
- Pen4EE 965/3.73GHz + DDR2-1066 x 2ch: 1872/1870 (peak/base)
 http://www.spec.org/osg/cpu2000/results/res2006q3/cpu2000-20060725-06846.html
- Core2 E6400/2.13GHz + DDR2-667 x 2ch: 2173/2163 (peak/base)
 http://www.spec.org/osg/cpu2000/results/res2006q3/cpu2000-20060821-07083.html

メモリよりコアの影響の方が大きいように見えるのが普通かと…
311MACオタ>団子 さん:2011/01/17(月) 05:34:38 ID:lMo4FSvY
>>308
こちらに関しては、L2が小さかった古〜い世代のプロセッサ限定では?
脊髄反射で書き込むのは恥の上塗りですよ。
312Socket774:2011/01/17(月) 05:35:47 ID:3bno5pAZ
その論法もミスリードだろ。多要因からなってるのに一つの要因では説明つかないとして、
別の一つまたは少数の要因が支配的だと主張する。
313,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 05:36:32 ID:VMX07LBa
残念ながら、期待の(笑)Tegra2は2コアでL2 1MBなのですよ。
要するにAtomと変わらん

> 貧弱って言っても855PMを越える程度の帯域があるわけでしょう。32bitDDR2って。

組み込み向けのメモリクロック考えてね!
314MACオタ>312 さん:2011/01/17(月) 05:42:34 ID:lMo4FSvY
>>312
 ---------------
 その論法もミスリードだろ。
 ---------------
ひとつの常識として、プロセッサの進歩の過程でメモリの階層は多段化され、(所謂)メインメモリの性能に対する影響は緩和される方向にあります。
今回の話題は別に謎を解いている訳では無く、基礎を知らない方に実例を挙げて説明しているだけなのです。
315Socket774:2011/01/17(月) 05:46:05 ID:3bno5pAZ
>組み込み向けのメモリクロック
よくこっちの分野はしらんのだけど、DDR2の667という記述もある。
まあベースクロック200MHz近くないと越えないので確かに越えてないが。
316,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 05:47:13 ID:VMX07LBa
つか、このオッサン寝ぼけて256KBのL2がふる〜い時代って言っちゃったよ。
現行ARMは生きている化石なんだな。
(i.MX515のスペックシートをよーくみてきなさい)

コアの性能を言い出すとますますARMの立場なくなるぞ。
ARMはやはりRISCだ。x86と比べれば1命令あたりのオペレーション、特にメモリ操作が弱い。
だから3-Wayパイプラインのアウトオブオーダ実行にしても2-WayのAtomとの差が詰められない。
(Dhrystoneのようなキャッシュに収まるベンチマークですら)
317MACオタ>団子 さん:2011/01/17(月) 05:56:07 ID:lMo4FSvY
>>316
 ----------------
 現行ARMは生きている化石なんだな。
 (i.MX515のスペックシートをよーくみてきなさい)
 ----------------
『現行』ARMだからと言って Cortex-M0 から Cortex-A15 まで同一視する気でしょうか?
>>290のリンク先にあるようにCortex-A9の上位プロセッサはCoremarkで6000近くに及ぶのに対し、団子さんが痛い目にあったらしい NetWalker のプロセッサである i.MX515 は 1800 程度の性能です。
3倍違うモノを同一視する根拠がさっぱり判りません。
318Socket774:2011/01/17(月) 06:01:13 ID:3bno5pAZ
話の大元はi.MX515だよな。そもそも団子の主張に対する反論という形で始まってるし。
319MACオタ@訂正:2011/01/17(月) 06:03:30 ID:lMo4FSvY
>>317
誤) Cortex-A9の上位プロセッサはCoremarkで##6000近くに及ぶのに対し、##
正) Cortex-A9の上位プロセッサはCoremarkで##10,000を越えるのに対し、##

>>290のリンク先で、"Nufront NuSmart 2816 (dual-core Cortex-A9) 2.0GHz"の登録をご確認下さい。
ちなみに『6000近く』というのは Tagra2/1GHz の登録です。
320MACオタ>318 さん:2011/01/17(月) 06:06:56 ID:lMo4FSvY
>>318
 --------------
 話の大元はi.MX515だよな。
 --------------
いえ、>>292
 ==============
 ARMをのさぼらせてる害悪ベンチマーク
 ==============
という中傷に対して、より多面的なベンチマークである SPECint2000 を例にとって説明しております。
321Socket774:2011/01/17(月) 06:08:06 ID:OKDKOAhY
非PCデバイスはユーザーがDIMMを差し替えるような
プラットホームでなくてもかまわないだろう。

DIMMモジュールをつなぐような大食らいのインターフェイスではなく
省電力ハイパフォーマンスのメモリインターフェイスしか
想定してないんじゃないの?ARMを検討しているメーカーって。
Mobile XDR とかSPMTとか。
322Socket774:2011/01/17(月) 06:14:14 ID:3bno5pAZ
>>320
読み返してみたが、たどれるのは>>296,297辺りからだな。
その時点でi.MX515が出てるんだからi.MX515が代表って事でいいだろ。同一視はできないが。
323,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 06:15:35 ID:VMX07LBa
> >>290のリンク先にあるようにCortex-A9の上位プロセッサはCoremarkで6000近くに及ぶのに対し、団子さんが痛い目にあったらしい NetWalker のプロセッサである i.MX515 は 1800 程度の性能です。
> 3倍違うモノを同一視する根拠がさっぱり判りません。

クロックもコア数も違うものを同一視するのも意味不明だな
CoreMarkでは>>308のような足回りの違いによる性能差は出ないんだろ?
それじゃ全く参考にならんよ。

たとえばサーバに使うとして、たとえばHDDってそれだけで1台だけでもアイドル10W程度食うし、
RAIDを組めばその台数分+コントローラの分だけ食う。
CPUだけ(性能犠牲にして)消費電力下げても電力効率があがるわけではないし、むしろ
ある程度まではCPUの消費電力上げてでも性能を引き上げないとかえって全体の電力効率が悪くなる。
324MACオタ>322 さん:2011/01/17(月) 06:18:42 ID:lMo4FSvY
>>322
 ---------------
 同一視はできないが。
 ---------------
そこが大切ということかと
>>317-319で書いたように、コアアーキテクチャがCortex-A8/A9という範疇でも Coremark で3-5倍の差があるのですから。
325MACオタ>団子 さん:2011/01/17(月) 06:25:23 ID:lMo4FSvY
>>323
もしかして Coremark/GHz と Coremark の区別ができていないのではないですか?
>>290の画像の方ではなく、こちらをご覧下さい。
http://www.coremark.org/benchmark/index.php

 -------------------
 足回りの違いによる性能差は出ないんだろ?
 -------------------
足回りの影響の議論は>>310でも見て考えてください。どの道 Dhrystone やら Coremark で語っても無意味ですから。
ただし、Coremark もマルチソケットのスケーラビリティに関してはバス依存の興味深い結果が出ていますよ。

電力の話題は、また適当なデータを見つけた時にでも。ソースのある話題でしたら歓迎しますが…
326,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 06:30:35 ID:VMX07LBa
>>322
OMAPでもなんでもいいけどCortex A8世代の製品レベルの実装ではL2 256KBのものが殆どだぜ

http://eetimes.jp/news/3380
>  Schorn氏によれば、Ospreyのチップ面積は、45nmプロセス技術で製造するIntel社のAtomプロセッサの
> 1/3〜1/4の大きさになる。また、ARM社は、Embedded Microprocessor Benchmark Consortiumの
> 「Coremark」ベンチマークでOspreyを評価したところ、どちらの実装も1.6GHz動作のAtom N270を
>上回る性能を発揮したという。消費電力低減を重視した実装では800MHzの動作周波数でAtomの
> 性能を上回り、実行速度について最適化したバージョンでは2GHz動作でAtomの2.5倍の性能を発揮する。

これが大いなるミスリードなんだよな。
PCでも十分通用すると思い込んじゃう痛い人が出てくるわけだ。

本気でノートPCの市場でARMを勝負させるならよりPC寄りのベンチマークで比較すべきだ。
たとえばWebブラウザのパフォーマンスを評価するならSunSpiderあたりも有効だ。
と思ったがiPadの悲惨な性能を思い出して吹いた
327Socket774:2011/01/17(月) 06:33:53 ID:3bno5pAZ
>A8世代の製品レベルの実装ではL2 256KBのものが殆どだぜ
いやだから、L2が256kbなものが代表的な現行ARMプロセッサってことでいいんでね?
A9以上はほとんど製品化されてないんだから次世代だろ。もうすぐ色々出て来るけど。
328MACオタ>団子 さん:2011/01/17(月) 06:36:48 ID:lMo4FSvY
>>326
 ----------------
 Cortex A8世代の製品レベルの実装
 ----------------
ま・さ・か、とは思いますが、まだ脳内で Cortex-A9 搭載製品は世に出ていないことになっているのでしょうか?
http://pc11.2ch.net/test/read.cgi/jisaku/1255132918/175
 ================
 175 名前:,,・´∀`・,,)っ-○○○ 投稿日:2009/10/22(木) 22:22:59 ID:QfHQkvVn
 ちなみに、いまARMが宣伝してる40nmプロセスのA9なんて実際製品に組み込まれるのは3〜4年先(あるいはポシャる)
 ================
329,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 06:46:20 ID:VMX07LBa
A9は実製品レベルでは殆ど出てなくてむしろARM10/11あたりがこれからA8に移行していくような段階だしな。
どのみちARMお得意の量産によるスケールメリットを享受できる段階ではない
330MACオタ>団子 さん:2011/01/17(月) 06:50:39 ID:lMo4FSvY
>>329
 --------------
 A9は実製品レベルでは殆ど出てなくて
 --------------
量販店で売ってますが?
http://kakaku.com/item/K0000123232/
331,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 07:00:33 ID:VMX07LBa
1つしか示せない、しかも「第2のNetWalker」とも称されるほど売れてない製品しか
提示できない時点で、「ほとんど出てない」の否定になってないな。

いわゆるガラケーなどに採用されている最も普及してるゾーンの製品はARM10/11止まりがほとんどでA8移行はまだこれから。
332MACオタ>団子 さん:2011/01/17(月) 07:12:21 ID:lMo4FSvY
>>331
 ----------------
 いわゆるガラケーなどに採用されている
 ----------------
ガラケーでPCのアプリケーションを走らせることは無いでしょうし、スマートフォン以外に上位のプロセッサに移行する必要も無いのではありますまいか?
ARM11の移行先は Cortex-A5 というロードマップだった筈ですが…
http://www.jp.arm.com/pressroom/09/091022_2.html
 ---------------
 Cortex-A5ユニプロセッサは、既存の多数のARM926EJ-S^(TM)、ARM1176JZ-S^(TM)プロセッサライセンシにとって魅力的な移行パスとなります。
 ---------------
333,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 07:16:21 ID:VMX07LBa
PS3がハックされたからPowerPCが復活するだとかあほなこと言ってるおっさんの恥の上塗りはもう秋田
334MACオタ>団子 さん:2011/01/17(月) 07:25:47 ID:lMo4FSvY
>>333
捨て台詞ですか…
気を楽にして聞いて欲しいのですけれど、過去に書き散らした『予言』の多くが外れて笑い物になっているという自覚はあるのでしょう?
書き込む前に、
 1. ソースになる情報を検索してみる
 2. 予想と違うシナリオも検討してみる
 3. (情報ソースに関しては)過去の履歴を調べる
程度で、随分恥をかく頻度は減ると思いますよ。
それから、『読者は自分と同じ情報ソースを持っている訳では無い』ということを踏まえて文章を書かないと。
335Socket774:2011/01/17(月) 07:29:48 ID:v1WRs2SL
いつも団子は敗走宣言するから結果がわかりやすいな。
336MACオタ>335 さん:2011/01/17(月) 07:36:58 ID:lMo4FSvY
>>335
済みませんが煽らないで下さいな。
337Socket774:2011/01/17(月) 07:42:31 ID:dkrWZj67
1〜2年前くらいの団子さんの発言を見直せば分かる、
その未来予測のガチ外れっぷり。
338Socket774:2011/01/17(月) 11:02:08 ID:GWD7Uao6
ログ読んで思ったけどMACヲタがいう「メモリバスはビジネスアプリに与える影響は小さい」ってんなら
メモコン統合デュアルチャンネルのOpteronとFSBのPentiumMでSPECint逆転しててもおかしくないんでないの
メモリバンドの影響のでかいfpの方はOpteron勝ってんだろ?
339Socket774:2011/01/17(月) 11:05:24 ID:Ulfakdde
団子さんはIntelマンセーしたいために色々こじつけてるだけです><
340Socket774:2011/01/17(月) 12:48:23 ID:oKCflcsj
IBMの仮想化技術PowerVMが気になる
341Socket774:2011/01/17(月) 14:10:49 ID:Q6ST6poB
オンダイキャッシュで512MBとかやるつもりならいいだろ
342,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 18:35:05 ID:6K+BY6Ez
ちなみにCortex A8とA9はクロックあたり性能に大した違いはないです。
命令ウィンドウが多少大きくなりissue数が増えた(fetch/decodeは同じ)のと、
クロック上限が伸びたのと、複数コアをサポートしてる程度の違い。

ARMとx86でSunSpiderの性能比較あるけどこんな感じ
http://pc.watch.impress.co.jp/docs/column/hot/20100726_383354.html
http://laniusbucephalus.blog49.fc2.com/blog-entry-337.html

CoreMarkのスコアではAtom N450とiPadのA4は1.x倍程度の差。
クロック数さえおいつけばAtomと並ぶでしょうし、コア数が増えればそのまんま性能2倍になるでしょう。
そういう単純なベンチマークです。

ところが、SunSpiderの結果を見る限りでは、N450とA4の性能差は4倍にもなっています。
CoreMark(笑)はオフィスアプリどころかよりライトなネット閲覧の実効性能を推し測るのにすら
向いてないことになります。



もちろん低性能の原因はメモリ帯域不足だけじゃない可能性もありますよ。
たとえば、ARMは即値フィールドが12ビットと小さいために、大きめのワークセットでのメモリアドレッシングが
極端に弱いのですが、8ビット〜16ビットも含めた組み込み向けの小さいメモリ空間に特化したCoreMarkでは
そういう性能は測れません。
ARMは32ビット定数をロードするのにすら2命令かかります。
343Socket774:2011/01/17(月) 18:54:57 ID:OvAHNCJJ
MACオタには頑張って団子をここに隔離しといて欲しいなあ
344,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 19:04:08 ID:6K+BY6Ez
ARMのキャッシュメモリが1コア256KBだの512KBだの止まりなのはごく単純な理屈だけどな。
キャッシュ3Mも4Mも積んだら低コストじゃなくなってしまうだろ?
345,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 19:14:55 ID:6K+BY6Ez
ちょっと調べてみた。
Apple A4のL2キャッシュは640KB、メモリバスは64ビットと、(製品レベルの)A8/A9の実装と比べても大幅に強化されてる。
そこらのスマートフォンより高性能な要因としては十分だ。

(逆に、ここまでやってなんでAtomネットブックに圧倒的大差で負けてんの?って話になるが)
346Socket774:2011/01/17(月) 20:42:29 ID:+njlOULO
JavaScriptのベンチはJITの実装状況がx86とARMでどうなんだという問題があるな
347,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 22:09:44 ID:6K+BY6Ez
最速といわれるChromeのV8エンジンですらx86に特化した命令スケジューリングの最適化などは基本的にしないよ。
この点はインオーダ実行かつ命令ペアリングに縛りのあるAtomにはむしろ不利なはずだ。
そもそもSunSpiderはRegexとかDOM操作など組み込みの機能をふんだんに用いた総合ベンチマークだから、
単純にスクリプトだけの実行性能だけでパフォーマンスが決まるわけではない。

というか、A4もSafariもSunSpiderすらもAppleの技術製品ですので。
それでA4が負けるというのは要するに性能が足りないんだろう

もちろん「Core MarkならAtomにも勝てる!」とか必要以上の期待さえしなければ
十分消費電力レンジに見合った性能を発揮してくれるので、ここはむやみに叩く必要もない。
ケータイアプリ開発者は遅いなら遅いなりにそれに合わせてアプリケーションをチューンしてくれるだろ?

一方では大半のPCアプリはAtom向けに作られていない。
Atomに弱みがあるとすればその点だ
348Socket774:2011/01/17(月) 22:13:01 ID:Lueg3rRd
インオーダーCPUとHTTの相性ってどうなの?
349MACオタ>団子 さん:2011/01/17(月) 22:29:30 ID:lMo4FSvY
>>342
ARM系プラットフォーム (& Intel Atom)上のSunSpider ですが、昨年6月頃の時点でこんな結果との事。
http://www.umpcportal.com/tag/sunspider/
- Android 2.1 on Tegra 2 -- 9 seconds (Tegra prototype shown in video)
- Android 2.2 on Snapdragon 1Ghz -- 6 seconds. (Nexus One, Google V8 engine)
- Android 1.6 on Snapdragon 1Ghz -- 24 seconds (Xperia X10)
- Android 1.6 on Snapdragon 1Ghz - 54 seconds (Dell Streak prototype)
- Chrome on Atom 1.6 -- 2 seconds (average netbook, Google V8 engine)

プロセッサ性能との相関より、現在鋭意開発中との感が…
もう少し、色々な環境が整うのを待ってから評価しても良いのでは?
350MACオタ>338 さん:2011/01/17(月) 22:39:34 ID:lMo4FSvY
>>338
当時の、
・Pentium-M (コア優秀/FSB・メモリはノート向け相応)
・Opteron (コア普通/メモリ性能最優秀)
という状況に対して、
 SPECint2K (コアの影響大/メモリの影響小): PenM↑、Opteron↓
 SPECfp2K (コアの影響小/メモリの影響大): PenM↓、Opteron↑
という結果で納得がいきませんか?
351MACオタ>340 さん:2011/01/17(月) 22:42:35 ID:lMo4FSvY
>>340
興味があるのは仮想化技術の PowerVM ですか?それとも、その上のx86アプリ実行環境 Lx86 の方ですか?
352MACオタ:2011/01/17(月) 22:55:35 ID:lMo4FSvY
もうあちこちで伝えられていますが、IBMとSamsungが20nmプロセス以降をターゲットとした、大規模な共同開発を始めるというニュース。
http://www-03.ibm.com/press/us/en/pressrelease/33369.wss
 ---------------
 IBM and Samsung today announced they will collaborate on basic research into new semiconductor materials, manufacturing processes and other technologies.
 ---------------
はっきり言って、SONYに引き続きIBMが喰いモノにする黄色いサルを見つけてきた…というだけのような。
ターゲットは "mobile computing" と銘打っているのは、時代を反映しています。
353,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 22:58:35 ID:6K+BY6Ez
待てど待てど成果は出ないと思うけどなぁ
ワンチップマイコンまでひっくるめた組み込み向けのベンチマークで
数十〜数百MBのメモリを消費するアプリケーションを使ったときの弱点なんてわかるはずがない。
ARMでサーバ組みたいベンダーもそれなりにはいるようだし、SPECint/SPECfpのスコア出してくるまで待ちましょうか。

12ビットのメモリ空間=4KB(笑)
354MACオタ>団子 さん:2011/01/17(月) 23:12:18 ID:lMo4FSvY
>>353
逆に数万個の組込向けプロセッサで構成されるシステムが、ピーク性能比で効率80%を越える実性能を叩き出しているのも事実かと。
355Socket774:2011/01/17(月) 23:18:15 ID:o+/pElsv
>>352
まあ、サムスンはソニーほど馬鹿じゃないだろうから、どうなるか見物。
356,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 23:29:45 ID:6K+BY6Ez
SPECfpは除外したりLINPACKの話を持ち出したり詭弁者は良い訳に必死だな
357MACオタ>団子 さん:2011/01/17(月) 23:41:06 ID:lMo4FSvY
>>356
 --------------
 SPECfpは除外したりLINPACKの話を持ち出したり
 --------------
LINPACKは連立方程式の解法という、一つのアプリケーションです。
SPECfp2000に関しては、ビジネスアプリとの相関を語る『何か』を持ってきてから、再度お越し下さい。まあ、177.mesa 位?
358Socket774:2011/01/17(月) 23:50:37 ID:OKDKOAhY
サバ期待の人が重視するのってSPEC CPUじゃないんじゃないの?
http://www.spec.org/benchmarks.html
359,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/17(月) 23:55:16 ID:6K+BY6Ez
Webブラウザやオフィスアプリで大規模行列式なんて解きませんよ。

LINPACKは結果さえあってれば演算順序すら問われない。
グリッドをうまく分割すれば同時アクセスするメモリ空間やその帯域を絞ることが可能。
例としては不適。

360MACオタ>358 さん:2011/01/17(月) 23:58:09 ID:lMo4FSvY
>>358
SPEC CPU は伝統的にワークステーション向けベンチマークなのです。だから商用サーバーにはほとんど関係ない SPECfp が含まれている訳で…
http://www.spec.org/spec/
 ------------------
 The System Performance Evaluation Cooperative, now named the Standard Performance Evaluation Corporation (SPEC), was founded in 1988
 by a small number of ##workstation vendors## who realized that the marketplace was in desperate need of realistic, standardized performance tests.
 [強調部はMACオタによる]
 ------------------
361Socket774:2011/01/18(火) 00:01:00 ID:Q6ST6poB
ハイエンドの牙城POWERの聖域に踏み込む気かARM
無謀過ぎるだろ
362MACオタ>団子 さん:2011/01/18(火) 00:00:59 ID:3kHCobth
>>359
 -------------
 結果さえあってれば演算順序すら問われない。
 -------------
ソフトウェアってそういうモノなのでは(笑)
363Socket774:2011/01/18(火) 00:05:10 ID:H6HQd4ht
Apple A4って64bit CPUなの?まじで?
364,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 00:14:02 ID:dCf8OTyG
>>358
そうだよ。
12ビットの即値で表現できないオフセット量が必要になると極端に性能が低下するのがARM。
つーか4GBの論理アドレスとページングで1TBまでの物理アドレス対応とかいくらなんでも無謀だろ。

ただ、Javaバイトコードをダイレクトに実行できる実装もあるから
JSPサーバなんかだと意外とスペックの割りには健闘するかもよ(笑)

>>363
初代Pentiumも64ビットだと思うならそうなんじゃないですか?
365MACオタ:2011/01/18(火) 00:21:02 ID:RYYYST7C
ITJungle の T.P. Morgan 氏の記事からですが、Linuxのカーネルパッチやら IBM の技術資料から "POWER7+" に関する言及が現れてきたとのこと。
http://www.itjungle.com/tfh/tfh011711-story02.html
 -------------------
 That said, I saw in the Linux for PowerPC mailing list that the Power7+ hardware type was added to the Linux kernel in November 2010.
 And here is a bug fix for DB2 on AIX that affects machines with Power5, Power5+, Power6, Power7, and Power7+ processors.
 -------------------
具体的には、この辺。確かに POWER7+ 用の PVR (Processor Version Register) の値が確保されています。
http://www.mail-archive.com/[email protected]/msg48049.html
 ===================
 This adds the POWER7+ cputable entry for the PVR 0x004a0000. Rest is the same as vanilla POWER7.
 ===================
https://www-304.ibm.com/support/docview.wss?uid=swg1IZ00735
 *******************
 Effected AIX and Linux CPUs include:
 AIX on Power5, Power5+, Power6, Power7, and Power7+
 *******************

POWER6+ は、結局何がどう"+"無しと違うのか判らないような代物だったので、大きな期待は禁物かもしれません。
366Socket774:2011/01/18(火) 00:37:22 ID:kFaA40FR
団子の書き込みを素直に信じられたあの頃
ああLarrabee…
367,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 01:22:55 ID:dCf8OTyG
でも現実はこうだから。
俺を信じろなんていう気は無いが現実からは目をそらさないでほしい

http://pc.watch.impress.co.jp/docs/column/hot/20100726_383354.html
http://laniusbucephalus.blog49.fc2.com/blog-entry-337.html

368MACオタ>団子 さん:2011/01/18(火) 01:30:58 ID:RYYYST7C
>>367
>>342 に自分で書いたリンクを貼り直すとは…
まだ若いのにボケたのか、火病ってコピペに走るのか(笑)
369,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 01:40:48 ID:dCf8OTyG
http://www.slashgear.com/toshiba-ac100-tegra-2-benchmarks-tip-the-performance-weve-been-waiting-for-3099414/?utm_source=feedburner&utm_medium=feed&utm_campaign=Feed:+slashgear+(SlashGear)
> Carrypad also say they’re getting SunSpider JavaScript benchmark results of 9,300

自称AtomのIPCを超えるらしい最先端のCortex A9をもってしてもこの程度ですがw

手元のEeePC(Atom 1.6GHz), Chrome7でSunSpider 0.9.1を動かしてみましたが
V2CのほかFlashのページも開いてましたが1467msでした。
A15あたりならAtomネットブックに追いつけるかも?とは言いましたが、買いかぶりすぎだったようです。
370,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 01:41:33 ID:dCf8OTyG
スコア詳細

============================================
RESULTS (means and 95% confidence intervals)
--------------------------------------------
Total: 1465.5ms +/- 2.4%
--------------------------------------------

3d: 217.3ms +/- 2.9%
cube: 80.3ms +/- 6.7%
morph: 64.3ms +/- 7.8%
raytrace: 72.7ms +/- 2.4%

access: 155.5ms +/- 6.0%
binary-trees: 10.1ms +/- 27.4%
fannkuch: 57.4ms +/- 4.7%
nbody: 58.9ms +/- 6.6%
nsieve: 29.1ms +/- 29.4%

bitops: 135.5ms +/- 5.5%
3bit-bits-in-byte: 12.0ms +/- 4.9%
bits-in-byte: 29.1ms +/- 14.4%
bitwise-and: 43.8ms +/- 3.1%
nsieve-bits: 50.6ms +/- 13.4%

controlflow: 11.8ms +/- 3.8%
recursive: 11.8ms +/- 3.8%

crypto: 111.4ms +/- 10.5%
aes: 47.2ms +/- 16.6%
md5: 32.5ms +/- 8.0%
sha1: 31.7ms +/- 22.1%

date: 193.7ms +/- 12.0%
format-tofte: 84.1ms +/- 14.5%
format-xparb: 109.6ms +/- 15.5%

math: 171.1ms +/- 3.5%
cordic: 52.5ms +/- 3.6%
partial-sums: 80.9ms +/- 6.0%
spectral-norm: 37.7ms +/- 4.8%

regexp: 40.9ms +/- 7.1%
dna: 40.9ms +/- 7.1%

string: 428.3ms +/- 3.6%
base64: 29.0ms +/- 2.6%
fasta: 70.8ms +/- 6.5%
tagcloud: 111.4ms +/- 8.1%
unpack-code: 119.9ms +/- 2.5%
validate-input: 97.2ms +/- 11.0%
371MACオタ:2011/01/18(火) 01:41:59 ID:RYYYST7C
話は一転するのですが、>>331の団子さんの『第2のNetWalker』というコメントに惹かれてモバイル板の Dynabook AZ のスレッドを見に行きました。
[Android] 東芝 dynabook AZ part3 [Tegra] http://hibari.2ch.net/test/read.cgi/mobile/1291937483/l50
購入した方には失礼なのかもしれませんが、モバイル板では"MorphyOne"スレッド以来の大笑いをさせてもらいました。

色々な意味で、過去も新しいプラットフォームってこんな感じでしたっけ…
372,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 01:46:50 ID:dCf8OTyG
他のアプリ殺して再計測。

============================================
RESULTS (means and 95% confidence intervals)
--------------------------------------------
Total: 1269.2ms +/- 1.9%
--------------------------------------------

スコア詳細は
http://bit.ly/hpVjRL
373MACオタ>団子 さん:2011/01/18(火) 01:47:32 ID:RYYYST7C
>>369
リンク先の"Toshiba AC100"って噂の Dynabook AZ そのものですよ。
374,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 01:58:54 ID:dCf8OTyG
海外モデルらしいよ
これより速いAndroid搭載ARM端末って現状存在しないよね?
375MACオタ>団子 さん:2011/01/18(火) 02:06:05 ID:RYYYST7C
>>374
 --------------
 これより速いAndroid搭載ARM端末って現状存在しないよね?
 --------------
いえ、>>371のリンク先を見て貰えば判るかと思いますが、速いとか遅いとかいうレベルの問題では無さそうなのですが…
376Socket774:2011/01/18(火) 02:32:01 ID:DT6mbPrd
こんな結果も出てるし、やっぱりJITの塩加減だと思うんだがね
ttp://www.iphonehacks.com/images/10-Jul/ios_v_android.jpg
377,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/18(火) 02:57:39 ID:dCf8OTyG
実際問題JavaScriptのパフォーマンスの重要性を訴えてるのは当のARM本家なのでした。
http://www.jp.arm.com/document/magazine/pdf/IQ_2010SpringP64-68.pdf

>>376
V8のほうがSquirrel Fishより速いからそんなもんでしょ。x86上のSafariとChromeでもそんな傾向。
つーか、Sun SPARCといいCellといいARMといい、x86にくらい付こうとするCPUってなんでこうも微妙なんだろうな。

べつにAtom向けにチューンされたOSやアプリなんて使ってないんだぜ。
378Socket774:2011/01/18(火) 03:21:05 ID:Y4fWJ7Zh
>>291
個人向けプリンタなら、プリンタ側のCPUとかは極限までしょぼくして、
全部Windowsのプリンタドライバでやってしまおうみたいな方向が少し前まであったね

最近は、Macやデジカメ、PDA等いろんなデバイスに対応しないといけないから、そうでもなくなった感じだけど
379Socket774:2011/01/18(火) 04:01:19 ID:9jV/BT6b
>>378
Java Virtual Machine搭載のプリンタとかあるんだな
ttp://journal.mycom.co.jp/articles/2011/01/14/ricoh_java2010/index.html
380Socket774:2011/01/18(火) 17:55:29 ID:2vuV30dr
IBM、モバイル向け半導体技術でARMとの提携を拡大
http://www.itmedia.co.jp/promobile/articles/1101/18/news057.html
381Socket774:2011/01/18(火) 18:04:58 ID:WZ6ocoCC
低スペにJava搭載とか電気ポッドかよ
382Socket774:2011/01/18(火) 18:08:00 ID:goN7b7VE
ArF液浸で14nmプロセスを確立へ,IBMと凸版が共同開発契約
http://techon.nikkeibp.co.jp/article/NEWS/20110117/188789/
>次世代リソグラフィー技術であるEUV(extreme ultraviolet)を導入せずに14nmプロセスの構築を目指す
383Socket774:2011/01/18(火) 18:25:14 ID:WZcfoXID
プロフェッショナル業務用機、特にラスタイメージ処理が必要とされる機種の場合RIPエンジンの置き方
次第でプリンタ本体側プロセッサの処理性能要求度合いが変わるんだろうなぁ…。
384Socket774:2011/01/18(火) 18:26:21 ID:WZcfoXID
「必要とされる」じゃなくて「より重要視される」だった。
385Socket774:2011/01/18(火) 18:47:55 ID:YBaPO3a5
プリンタにそれほど高度な処理能力が必要ってイメージが無いな。
テキスト主体だからかプリンタジョブを適切に処理してくれりゃそれでいいと思ってたから。
386MACオタ>380 さん:2011/01/18(火) 21:03:59 ID:RYYYST7C
>>380
このニュース、以前から ARM との設計協力が報じられている Globalfoundries から製造レシピをまきあげたような気が…
387Socket774:2011/01/18(火) 21:04:07 ID:WZ6ocoCC
今のプリンターはカードスロットとタッチ液晶搭載でPC無しでレタッチできるんだぜ
俺らには無用の長物かも知れんが結構売れてるんじゃないか
388Socket774:2011/01/18(火) 22:36:51 ID:ir23BW3T
POWER7+は期待厳禁同意(>_<)

IBM、SONYを食い尽くして次の狩場に移動ですね^^
389Socket774:2011/01/19(水) 03:51:30 ID:0IH4BJQZ
390Socket774:2011/01/19(水) 04:00:00 ID:c0fAqJ3B
獲物はARMとSamsungと凸版か
391Socket774:2011/01/19(水) 05:05:46 ID:cabwww1l
凸版は45nmから関わってて延長だけどずっと獲物なの?
392Socket774:2011/01/19(水) 11:20:29 ID:bUgEPoF6
IBMは鯖部門に隔離しれ
393Socket774:2011/01/19(水) 11:37:51 ID:oL9ruX7Q
ARMも凸版もSamsungも従来の契約を延長、深化しただけ。
オタは何故かIBMが他社を食い物にするという流れに
したいみたいだがナンセンスだな。
394Socket774:2011/01/19(水) 13:02:44 ID:m1uHqX4q
http://www.realworldtech.com/forums/index.cfm?action=detail&id=115949&threadid=115949&roomid=2
Topic: IBM, GF switch to gate last at 20nm

「やっぱりgate firstじゃ作れないんでgate lastにします」
周回遅れが一発当てようとして失敗しました。
395Socket774:2011/01/19(水) 13:26:55 ID:tF4jKjZR
となると、gate lastでの量産技術のノウハウ蓄積が、1世代分さらに遅れるってことか
396MACオタ>393 さん:2011/01/19(水) 21:06:47 ID:4s+H7sCi
>>393
 --------------
 オタは何故かIBMが他社を食い物にするという流れに
 --------------
要は誰が費用負担をしているのかという問題なのですが、凸版はいざ知らず、Samsung, Globalfoundries あたりは IBM の客として技術を買っている客の立場な訳ですが、なぜか知財を吸い上げられる羽目になっているような…
ARM の件は IBM のプレスリリースにあるように、ISDA (International Semiconductor Development Alliance: IBM, GlobalFoundries, Freescale, Infineon, NEC, Samsung, ST, 東芝の7社連合) alliance の中心として、同じ製造レシピが使えるのがミソです。
http://www-03.ibm.com/press/us/en/pressrelease/33405.wss
 ================
 “IBM has a proven track record of delivering the core research and development that is relied upon by major semiconductor vendors worldwide for their advanced semiconductor devices.
 Their leadership of the ISDA alliance, which features a diverse set of top-tier companies as members, is growing in importance as consolidation trends in the semiconductor manufacturing industry continue”
 said Simon Segars, EVP and general manager, ARM physical IP division.
 ================
397MACオタ>394 さん:2011/01/19(水) 21:11:52 ID:4s+H7sCi
>>394
その話、20nm 以降の話題で 32nm プロセスは "Gate First" で製造されます。
詳細は EETimes のこの記事をどうぞ。
http://www.eetimes.com/electronics-news/4212271/IBM--fab-club--switches-high-k-camps
 ------------------
 In other words, IBM and its partners have acknowledged that its highly-touted, gate-first technology is basically a one-node solution.
 ------------------
さんざん持ち上げた "Gate First" プロセスが、一世代限りの技術で終わってしまった…というのがポイントです。
398MACオタ:2011/01/19(水) 21:37:45 ID:4s+H7sCi
さて、IBMの業績が発表されています。POWERサーバーの動向を計るには、TheRegister の Morgan 氏の記事が判りやすいかと。
http://www.theregister.co.uk/2011/01/18/ibm_q4_2010_numbers/
- Power Systems 全体としては 2010Q4 は前年比 +2%
- RISC Unix 市場のシェアは +3%
- POWER7 搭載のローエンドサーバーは +30%
- 同ミッドレンジは +7%
- ハイエンドは? ただし、上記の値から計算すると縮小。景気と Power 795 の遅れが原因?
- メインフレームは z196 効果で +4%。この6年で最大の売上とのこと。
- マルチソケットのハイエンド PC サーバーは +30%

半導体部門は前年同期比 +30% とのこと。
http://www.ibm.com/investor/4q10/presentation/4q10.pdf (P.20 参照)
399Socket774:2011/01/19(水) 22:10:19 ID:oL9ruX7Q
半導体企業の研究開発費ランキング、TSMCが初のトップ10入り
http://www.eetimes.jp/news/4518

相変わらずIntelだけは飛び抜けてるな


インテル、アイルランドのファブに5億米ドルを投資
http://www.eetimes.jp/news/4523

22nm以降は米国外でも製造するつもりなのかな
400Socket774:2011/01/19(水) 22:10:45 ID:cabwww1l
Exascaleの話

SC10 - Exascaleに立ちはだかる消費電力とプログラミングの壁
ttp://journal.mycom.co.jp/articles/2011/01/19/sc10_exascale/menu.html

Jaguarのようなスタイルでいけると思ってる人は誰もいない
401Socket774:2011/01/19(水) 22:31:01 ID:CVsfAUGB
Panasonicも32nmは"Gate First"プロセスで製品化してたけど…
Uniphierも来年一杯になるのかな?
402Socket774:2011/01/19(水) 22:51:54 ID:Zx968Aaa
403Socket774:2011/01/20(木) 11:16:53 ID:1EUr+lK3
一見面白そうだが、古いノートから液晶はずしたら似たようなものになるよな
404Socket774:2011/01/20(木) 11:38:32 ID:Nj38U7RK
Windowsプリインストールって書いてあるけどSDブートとも書いてある。
SDにWinowsが入ってるのか?

つか、安さが魅力だな。
鯖用にFitPC2検討してたけど、性能にこだわらなきゃこっちがいいかも。
405Socket774:2011/01/20(木) 12:18:46 ID:TMUKD+TB
>POWER7 搭載のローエンドサーバーは +30%

ここがこれからの主戦場なんじゃね?
406Socket774:2011/01/20(木) 20:55:23 ID:WSmpoBYE
シスコ・システムズ、マルチコア・プロセッサ・メーカーのTileraに出資
投資先のマルチコア・プロセッサ技術を製品に採用するかどうかは不明
http://www.computerworld.jp/news/trd/190400.html
407Socket774:2011/01/20(木) 23:42:45 ID:52CyhACU
MSXかPC-8001か。サンコーから昭和風味の一体型デスクトップが登場
http://www.gizmodo.jp/2011/01/msxpc-8001.html
CPUがMSTI PMX-1000(Vortex86MX) 1GHz
408Socket774:2011/01/21(金) 01:48:23 ID:0vlj80CW
mP6ってどんなのだっけ? ということで検索してたけど、
どうもFPU強化で整数は残念という代物だった模様。
ステージ数削減してあるからIPCがむしろ上がってる可能性もあるが。
409Socket774:2011/01/21(金) 02:29:37 ID:SCISA4Mb
>>408
良く分からないのが、HD-BENCHやると整数爆速で小数激遅という結果になるところ。
410Socket774:2011/01/21(金) 02:32:14 ID:0vlj80CW
>>409
なんか読んだ説明と印象と……いろいろ違うなぁw
どっちかってーと整数速い方が嬉しいが。
411Socket774:2011/01/21(金) 02:40:03 ID:SCISA4Mb
>>410
当時の記録が残ってたんで貼ってみる。定格で動作しなかったのでダウンクロックしてるけど。
HDBENCH Ver 3.22による演算能力 (C)EP82改/かず
測定条件 FSB95x2.0=190MHz 2.3Vで測定
Processor mP6 190.38MHz[RiseRiseRise family 5 model 0 step 4]
OS Windows 2000 5.0 (Build: 2195) Service Pack 1
テスト項目 結果 1MHz当たり 1W当たり
Integer 15,037 78.98 2,760
Float 3,571 18.76 655

ちなみにMMX Pentiumのオーバークロック結果。
測定条件 FSB95x3.0=285MHz 2.8Vで測定
Processor Pentium(MMX) 286.15MHz[GenuineIntel family 5 model 4 step 3]
OS Windows 2000 5.0 (Build: 2195) Service Pack 1
テスト項目 結果 1MHz当たり 1W当たり
Integer 10,426 36.44 499
Float 7,878 27.53 377
412Socket774:2011/01/21(金) 02:57:05 ID:0vlj80CW
>>411
そこまでmP6に執着があるわけじゃないが、考えるネタとして大変ありがたい。

MMXが速くて整数が伸びてるとかそんなことだったり……しないかな。
413Socket774:2011/01/21(金) 08:11:24 ID:BUKsW/RK
直系らしいが全く同じできてるわけでもないだろうしなあ

ただ、このくらいのシステムで浮動小数点とかいじりたおすわけないので
(その用途なら高性能CPU使うだろうし)
整数に特化ってのが正しい方向性だと思うな
414Socket774:2011/01/21(金) 15:26:17 ID:0vlj80CW
RiSEはスーパースカラじゃなかったか?
実はだいぶ変わってる?
415Socket774:2011/01/21(金) 22:10:01 ID:EaqZN2OF
mP6のことを書いている資料によれば、スーパースケーラ。
デコーダが3つあって実行ユニットはIntが3、
FPU2つ(FPUとFXCH)とMMX3つが別パイプっていう仕様のCISCだった。

Vortex86は、FPUが削減されてる奴と付いてるやつで名前をSXとDXに
分けたおっさんホイホイ仕様だったかな。
416Socket774:2011/01/22(土) 05:45:07 ID:ADPVdPB4
そこまで減ってると、仮にWindowsXPが動いたところで、お目当てのソフトが動くとは限らんなw
417Socket774:2011/01/22(土) 18:21:26 ID:lWfKVcoA
1チップx86ってトコロか…
BGAっぽいから半田付けは無理そうだが
418,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/22(土) 20:27:28 ID:3hFL2v+D
Flash Playerは最近のはSSE2必須だから正直Web端末としても使えそうにない。
それなら最初からAtomネットトップでも買っておいたほうが無難。
419Socket774:2011/01/22(土) 20:49:11 ID:ADPVdPB4
というか、電池の持ちが売りになるだろうから、競合はむしろARM各種だよな
420Socket774:2011/01/22(土) 21:29:56 ID:b3LAK3ob
>>419
ノートじゃあるまいし電池で使ったりしないでそ
421Socket774:2011/01/23(日) 05:03:50 ID:eJ58CI+L
>>418
それはゴミだな

今やなんの特徴もないし高いだけ
422Socket774:2011/01/23(日) 12:43:46 ID:S6ySr0d3
>>420
なんか、これを使ったノートがあったはず。乾電池でも動くやつ
423Socket774:2011/01/23(日) 13:23:25 ID:Tuqiev+3
424Socket774:2011/01/23(日) 16:04:08 ID:7CKAX6gf
425Socket774:2011/01/23(日) 16:06:53 ID:kzGoSq82
単三8本は無理しすぎだろ
426Socket774:2011/01/23(日) 18:31:39 ID:ueblF19a
ThinkPadにもあったな>乾電池
427Socket774:2011/01/23(日) 18:45:46 ID:S6ySr0d3
どうせならポケコンくらいのサイズで一つ欲しい気もするな
HPの200LXの現代版みたいなやつがさ

ネットブックみたいなのだといまいち萌えが足りない
428Socket774:2011/01/24(月) 00:02:30 ID:Porc+Ljp
429,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/24(月) 00:18:08 ID:+GU+BDue
ありきたりすぎてつまらん。
むしろ.NETを動かすだけなら今までのWindows CEでも十分なんだが。
430Socket774:2011/01/24(月) 08:00:27 ID:nL8MHkhc
そもそもARMでWindowsがそれほど力を持てるとも思えないしな
MSofficeが使えるくらいじゃないの利点。それもx86と完全互換とは限らないし
(Mac版とかそうだよね、たしか)

iOSとアンドロイドで出来上がってる業界に切り込んでいくには、高コストも問題
パソコンと違って出荷時にWindows積んでない機器が山ほどあるからね
パッケージ版が1万超えじゃ、物好きしか買わないだろうし、かといって
ARM版値下げしたらx86版も値下げしろってなるだろうし、Appleと違って
基本的にソフト屋だから新OS3000円とかやれる企業じゃないしな
431Socket774:2011/01/24(月) 19:45:12 ID:0RrUXu9q
ARMを潰すためとか
432Socket774:2011/01/24(月) 20:17:02 ID:Aw9TNqIx
てかmicrosoftが10年以上かけて築きあげたpda市場をわずか数か月で完全粉砕したのがgoogle

アメリカでpc-at機が登場した時より遥かに力強いムーブメント

今年中に累計20億台くらいになるのだろうか 時代は完全にandroid

去年の時点で出荷台数が完全に逆転している
433Socket774:2011/01/24(月) 20:30:48 ID:S4DsDzJy
例えるならば、MSXが出た時のような高揚感があるよな
434Socket774:2011/01/24(月) 20:37:07 ID:nL8MHkhc
MSXはがっかり感しかなかった気も……2ならともかく無印はファミコンにも負ける性能だし

PDAといえばニュートンは禿が止めてるからしょうがないとして、Palmは今どうしてるんだ?
435Socket774:2011/01/24(月) 20:39:42 ID:2BXvCLp8
スマートフォン作ってるよ。
最近HPに買収された。
436Socket774:2011/01/24(月) 22:48:44 ID:PIkVRm75
この世界は買収されても消えちゃう場合が多いからな
437Socket774:2011/01/25(火) 00:08:01 ID:FTwyyNmh
■元麻布春男の週刊PCホットライン■
CESの陰の主役はApple
http://pc.watch.impress.co.jp/docs/column/hot/20110125_422332.html
438Socket774:2011/01/25(火) 00:56:22 ID:H+wZ6I25
>>430
ぶっちゃけ完全互換じゃないならフリーのオフィスソフトでいいよなあ。
439Socket774:2011/01/25(火) 00:57:56 ID:H+wZ6I25
>>434
禿ニュートンがiPHONE・iPADじゃね?
440Socket774:2011/01/25(火) 06:23:10 ID:f16vHdws
>>439
企業は同じだけど、禿不在の時期のめぼしい技術は飼い殺しだよ
ニュートンといい、ハイパーカードといい……
441Socket774:2011/01/25(火) 14:27:04 ID:MhKt0vcl
ニュートンの手書き文字認識機能だけiPHONEに組み込めないかな。
禿は近いうちに死ぬだろうし。
442Socket774:2011/01/25(火) 15:48:42 ID:MklkNONZ
GLOBALFOUNDRIES、2011年は28nmプロセスを立ち上げへ
http://pc.watch.impress.co.jp/docs/news/20110125_422500.html

やはり20nmはGate-Lastに移行する模様。
スケジュールは変わってないっぽい。
443Socket774:2011/01/25(火) 21:47:50 ID:rK3YvsZq
> 2011/01/25 21:43:43
> 掲載許可が出たので http://twitpic.com/3t9h3s
444,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/26(水) 02:00:31 ID:dr6spKyF
世界初のAPU(笑)
APUなんて独自名称使ってるのはAMDだけだもんな
445Socket774:2011/01/26(水) 10:03:50 ID:hsa7zlaq
航空機関係でも使ってるぞ
補助動力装置
446Socket774:2011/01/26(水) 15:11:53 ID:YCC2vguB
>Gate Lastへの変更に対し同氏は、「カスタマニーズによるもの。
>他のファウンドリが同世代のプロセスにGate Lastを採用しようとしており、
>我々としてもGate FirstかGate Lastかでその部分での差別化を
>提供するつもりはなく、むしろカスタマにいらぬ混乱を与えないため
>Gate Lastを選択した面もある」と説明する。
http://journal.mycom.co.jp/articles/2011/01/26/gf_2011/index.html
447Socket774:2011/01/26(水) 15:34:14 ID:hsa7zlaq
作り方はともかく、使い方で何か違うのかな?
448Socket774:2011/01/26(水) 16:28:00 ID:JmUxdLY6
デザインルールとかそのあたりでしょ
同じ製品をTSMCとGFの両方で作りたいという顧客が居た時に
どちらもgate-lastであったほうがレイアウトの修正が少なくて済む
449Socket774:2011/01/26(水) 17:54:46 ID:hsa7zlaq
なるほど納得いった
450Socket774:2011/01/26(水) 19:05:11 ID:dhCiZAco
>>444
実際このレベルに達している(達する)のもamdだけでしょ
451,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/26(水) 20:17:32 ID:dr6spKyF
このレベル(笑)
なにもAccelarateできないお笑いAPU(笑)

ARM+DSPのほうがまだソフトあるよ
452Socket774:2011/01/26(水) 21:00:46 ID:zDARkyhZ
>このレベル

=ただくっ付けただけ
453Socket774:2011/01/26(水) 21:02:59 ID:IY8kdFNY
>>450
団子の主張に乗るわけではないが、ソフトウェアの最適化等々でn社に負け、
実害ないとはいえi社のものより統合性が低い。
ソリューションとしてみれば見る価値はあるが、所詮初物だろう。
454,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/26(水) 21:32:32 ID:dr6spKyF
QSVはL3を使ってCPU-GPU間の高速なデータ交換を行うなど内蔵ならではのパフォーマンスメリットを活かしているが
アプー(笑)はそもそもメリット自体が無いよね。
てかOpenCL対応GPUコアをダイに統合した製品を出荷したのはSandy Bridgeのほうが先なんだろ。

世界初のAMD Pugeraccho Unkoの方向で
455Socket774:2011/01/26(水) 21:38:08 ID:zDARkyhZ
というか、L3はCPUとメモリの帯域の食い合いを押さえる
緩衝材としての役割のほうが結構大きいかも
456Socket774:2011/01/26(水) 22:49:01 ID:IeYYrWca
のわりにはゲームでZacateに迫られる場面も
457Socket774:2011/01/26(水) 23:07:22 ID:zDARkyhZ
24SP相当の6EUのHD2000がか?

それにしてもZacateは爆熱のがっかりサンだったなぁ
458Socket774:2011/01/27(木) 01:12:12 ID:N89IRVED
>>457
3dmarkは同価格帯のatomの十何倍のスコアだけどな

発熱は筐体の設計とかでも全然違うだろう
459,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/27(木) 06:19:36 ID:UaT9qFW4
>同価格帯のatom

そんなものはない。
1万は違うし。
460Socket774:2011/01/27(木) 07:27:30 ID:jN7Y9CVI
>発熱は筐体の設計とかでも全然違うだろう

ごめんうちのnanoU3100サンはITX-100の窒息ケースで
CPUファンに抵抗かませて回転半分にしてるけど
boic 24時間回してても温度は40℃なんだ

でもあのサイト構成見たら、そんな窒息ケースじゃないみたいだし
SSD,HDDx2とか1200w電源とか
ケースにすら入れてなかったんじゃないかね
461Socket774:2011/01/27(木) 16:54:30 ID:3d17vTso
年末に出るSONYのポータブルゲーム機が4core ARM だってさ
462Socket774:2011/01/27(木) 17:12:53 ID:uReVvsUC
tegra3か
463MACオタ:2011/01/27(木) 17:34:30 ID:9e12woPI
>>461 さん
NGP の仕様はこちら。
http://www.playstation.com/psmeeting2011/spec_jp.html
GPU は PowerVR ですので、Geforce 系 GPU 搭載の Tegra とは異なります。結果的に PS3 開発で色々イヤな仕打ちをうけた IBM & Nvidia とは手が切れたということなのかも…
もはや携帯機とは言え、もはやゲーム分野はテクノロジ・ドライバーでは無いことが示された結果と言えるかもしれません。

それにしても ARM + SONY という組み合わせは、いかにも団子さんの火病の琴線に触れそうな…
464Socket774:2011/01/27(木) 17:37:39 ID:A0WomI3o
465MACオタ:2011/01/27(木) 17:41:34 ID:9e12woPI
>>234 のような大事でもなければ、基本的に AMD の話題には触れたくないのですが、この路線は前CTO、Phil Hester の辞任時には流れが決まっていたようです。
興味のある方は、後任にあたる現サーバー部門 CTO, Don Newell 氏の経歴を検索してみて下さい。

ちなみに現在、AMD には全社を統括する CTO は不在で、部門CTOという職種があります。
 Donald Newell: Corporate Vice president and Server Chief Technology Officer
 Eric Demers: Corporate Vice President and CTO of the Graphics Division
 Joe Macri: Corporate Vice President and Client Division CTO
466Socket774:2011/01/27(木) 17:44:15 ID:vjeU+E7Z
3DSどころかNGPも手グラは不採用か
どうなっちまうんだ
467Socket774:2011/01/27(木) 17:50:28 ID:/5NPIMFT
とはいえ、アンドロイドなりiPhoneなりでゲームできちゃうからなあ
携帯ゲーム機に未来はあるのか? すげえゲームやりたいなんてごく少数だろうしさ
468Socket774:2011/01/27(木) 18:53:22 ID:W8yws1eE
だからPS SUITEとかコンテンツの元締めになろうとしてるんじゃない
NGPはアンドロイド市場に切り込むPSファミリーの旗船っぽい

PowerVRに+が付いてるってことはソニー側で拡張してるんだろうけど、どんな仕様なのか気になる
469Socket774:2011/01/27(木) 19:08:51 ID:N89IRVED
時代はすっかり変わってしまった

マイコミジャーナル
アクセスランキング(パソコン) 一週間
1位 【レポート】iOS 4.3と次世代iOSデバイスの噂を整理 - iPad 2のRetina採用は本当か?
2位 【レポート】iPhone独占販売契約すべて終了、フラッシュに続く大型投資 - Apple Q&A
3位 iPad 2/iPhone 5はCDMA/GSM両対応? - Qualcommのベースバンドチップ採用で
4位 iPhone 4のネジが分解困難なペンタローブに - iFixitレポート
5位 【特集】「Sandy Bridge」完全攻略!! Core i7-2600KとCore i5-2500Kを徹底的に試す
470Socket774:2011/01/27(木) 19:24:52 ID:/5NPIMFT
いいか悪いかはおいといて、PCはここ数年(というか10年以上か?)
ただ大容量で速くなるだけだからなあ。話題としてはちと弱い
わくわく感じゃ、どうしてもタブレットとかゲーム機とかには負ける
471Socket774:2011/01/27(木) 19:49:08 ID:XxWQkI00
タブレット端末なんて今まで散々彼方此方の会社に
手垢付けられて結局捨てられてきた玩具じゃん
ワクワクしてんのは広告代理店や投資家だけだろ
472Socket774:2011/01/27(木) 19:50:22 ID:N89IRVED
896 名前:Socket774[] 投稿日:2011/01/27(木) 17:33:57 ID:3Y3rXdN4
<次世代携帯型エンタテインメントシステム(コードネーム:NGP)>
CPU ARMR Cortex?-A9 core (4 core)
GPU SGX543MP4+
スクリーン
(タッチスクリーン) 5インチ(16:9)、960 x 544、約1677万色、有機ELディスプレイ
マルチタッチスクリーン(静電容量方式)
背面タッチパッド マルチタッチパッド(静電容量方式)
カメラ 前面カメラ、背面カメラ
サウンド ステレオスピーカー内蔵
マイク内蔵
センサー 6軸検出システム(3軸ジャイロ・3軸加速度)、3軸電子コンパス機能
ロケーション GPS内蔵
Wi-Fiロケーションサービス対応
キー・スイッチ類 PSボタン
電源ボタン
方向キー(上下左右)
アクションボタン(△、○、×、□ボタン)
Lボタン、Rボタン
左スティック、右スティック
STARTボタン、SELECTボタン
音量+ボタン、音量−ボタン
ワイヤレス通信機能 モバイルネットワーク通信機能(3G)
IEEE 802.11b/g/n (n = 1x1)準拠(Wi-Fi) (インフラストラクチャーモード/アドホックモード)
BluetoothR 2.1+EDR準拠(A2DP/AVRCP/HSP対応)
473Socket774:2011/01/27(木) 19:51:04 ID:N89IRVED
899 名前:Socket774[sage] 投稿日:2011/01/27(木) 18:08:46 ID:Sr7khg1y
>>896


発表は追っていたが、ゲームハードとしては面白い。
ハードオタのオレ的には欲しくてたまらん。
サービス展開の方向性でもかなり欲しい。
認証方式だが、android端末全てにゲーム解放する
PS Suiteの展開が面白すぎるわ。
やり方的にMSのやり方ににているが。
474Socket774:2011/01/27(木) 19:51:46 ID:N89IRVED
>>899
思い切ったことやったね
現在稼動している携帯電話の数は50億台
今のペースで行ってもその半分はスマホに置き換わり、恐らくは40億台近くに達する

史上最大のゲームプラットフォームになるね
その一割でもPSPに誘導できればとんでもない数になるな
※しかも2画面式の任天堂はスマホには進出できない

遠く無い将来にはソフトメーカーの対応もQuadroidベース一色になるね
475Socket774:2011/01/27(木) 19:52:28 ID:N89IRVED
>>899
arm勝利の瞬間だな
476MACオタ:2011/01/27(木) 19:53:48 ID:9e12woPI
NGP 用 SoC に関しては、製造プロセスや使用するファウンダリは興味深いですが、技術的に新しいモノは何も無さそうです。

>>472 さん
その転載に >>463 のリンク先以上の情報は含まれているのでしょうか(笑)
477Socket774:2011/01/27(木) 19:57:20 ID:N89IRVED
>>476
近いうちに覇権が交代する

技術なんて二の次、三の次 歴史を振り返ると
478MACオタ>475, 477 さん:2011/01/27(木) 20:02:00 ID:9e12woPI
>>475
 ------------
 歴史を振り返ると
 ------------
存在しない >>899 へコメントするようでは、あなたに見えているのは『歴史』でなく妄想と断定できそうですが(笑)
479,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/27(木) 20:02:07 ID:UaT9qFW4
ゲハにもいたんだけどな。
「ARMはディスプレースメントが小さすぎてメモリアクセスに弱い」とか言ってるGKボーイが。
理屈はその通りだと思うがPSPのMIPSもどっこいだぜ。

PSP2の仕様はありなんじゃね?
むしろdocomoなのが気に入らないw
480Socket774:2011/01/27(木) 20:10:41 ID:N89IRVED
>>478
転載しているだけなんだが 流れ読めない?

ちなみにあなとのことは嫌いじゃないよ
481MACオタ>団子 さん:2011/01/27(木) 20:11:37 ID:9e12woPI
>>479
 --------------
 「ARMはディスプレースメントが小さすぎてメモリアクセスに弱い」
 --------------
POWER ISA も命令に埋め込み可能なディスプレースメントの直値は16-bitです。256-core の巨大 SMP に使用されているのに、ゲーム機で問題があるとも思えませんが…
482MACオタ>478 さん:2011/01/27(木) 20:12:54 ID:9e12woPI
>>480
 -------------
 ちなみにあなとのことは嫌いじゃないよ
 -------------
所詮 匿名掲示板ですので、嫌われるより情報価値の無いカキコミの方が迷惑なのですが…
483Socket774:2011/01/27(木) 20:17:03 ID:N89IRVED
>>482
覇権が変わりそうなことは認めないの?
484Socket774:2011/01/27(木) 20:18:15 ID:N89IRVED
>>482
認証方式だが、android端末全てにゲーム解放する
PS Suiteの展開が面白すぎるわ。
やり方的にMSのやり方ににているが。



に注目してね
485MACオタ@補足:2011/01/27(木) 20:19:08 ID:9e12woPI
>>480
ちなみに転載は、このように行います。覚えていただけると有難いのですが…
http://hibari.2ch.net/test/read.cgi/jisaku/1295350899/896-902
486Socket774:2011/01/27(木) 20:20:16 ID:A0WomI3o
この人、自分の書き込みを見て欲しいだけなのでは

>>472-475
http://hibari.2ch.net/test/read.cgi/jisaku/1295350899/896,899,902,912
487MACオタ>486 さん:2011/01/27(木) 20:25:33 ID:9e12woPI
>>486
 ------------
 この人、自分の書き込みを見て欲しいだけなのでは
 ------------
他人の意見なら改変を加えないで引用するのも不思議は無いですが、自分のカキコミを一々個別に貼り付けるキチガイなヒトなんていないのでは?
488,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/27(木) 20:36:12 ID:UaT9qFW4
あそに売るとしちゃった時点で自社ハードウェアに拘るのは辞めたんだなとは思ったが
しかしやろうとしてることはガラケーまでサポートするBREWの2番煎じなんだよな>PS Suite
489Socket774:2011/01/27(木) 20:39:17 ID:3d17vTso
>>471
片手 携帯電話サイズ、文庫電子ブックサイズ
両手 タブレット
両手両膝(or机) ノートPC

デバイスとして両手だけで操作できるものが
空席にはならないってことだよ。
分厚い下敷きキーボードなしというスタイルが重要なのではないから
別の形でもかまわないと思う
490Socket774:2011/01/27(木) 20:41:56 ID:N89IRVED
msが10年以上手がけてきたwinmeを一瞬に消し去ったandroidモデルを舐めてはいけないなあ
491MACオタ:2011/01/27(木) 21:51:06 ID:9e12woPI
前スレでカキコミがあったように、今年の ISSCC で次世代 Itanium, Poulson に関する発表があります。
http://hibari.2ch.net/test/read.cgi/jisaku/1274809074/843
IDC系のこの記事、特に ISSCC の予稿集以後の新情報はありませんが、参考になる数値があります。
http://www.pcworld.com/article/217694/intel_ibm_to_discuss_new_highend_chips_at_isscc.html
 ================
 The bulk of Intel's business comes from x86 chips. Intel ships Itanium chips in small volumes -- about 30,000 to 40,000 per quarter
 ================
492Socket774:2011/01/27(木) 21:58:46 ID:EEhngYFk
2011年のモバイルアプリDL、177億件に――Gartner予測
http://www.itmedia.co.jp/news/articles/1101/27/news068.html

iPadのエンタープライズ市場への普及が加速――米Good Technology調べ
http://www.itmedia.co.jp/enterprise/articles/1101/27/news064.html
493Socket774:2011/01/27(木) 22:04:20 ID:/Phc1s4t
>>491
少ねぇww
494Socket774:2011/01/27(木) 22:09:13 ID:6ed+hpTm
>>463
ドリカスdisってんじゃねーよ
495Socket774:2011/01/28(金) 02:23:27 ID:L7bw2oi9
ゲーム開発各社から「PS3のゲームをフルスペックで移植できる」

Unreal Engine 3がほぼフルスペックで動きそうなことや,PS3からの移植の簡単さを各社がアピールしていた
5インチ(16:9)、960 x 544、約1677万色、有機ELディスプレイ

# マルチタッチスクリーン(静電容量方式)
6軸検出システム(3軸ジャイロ・3軸加速度)、3軸電子コンパス機能
# ロケーション
GPS内蔵
Wi-Fiロケーションサービス対応

(3G)
IEEE 802.11b/g/n (n = 1x1)準拠(Wi-Fi) (インフラストラクチャーモード/アドホックモード)
Bluetooth 2.1+EDR準拠(A2DP/AVRCP/HSP対応)


http://www.4gamer.net/games/000/G000000/20110127032/

恐ろしい時代 正直pcいらないかもw



496Socket774:2011/01/28(金) 02:30:47 ID:lXsRXPBv
>>487
ID:N89IRVEDはキチガイ
まで読んだ
497Socket774:2011/01/28(金) 03:11:22 ID:9H+oQQhX
PS4(?)は、出るとしたら強化Cellだと思ってたが
このぶんだとARM化のほうがありえそうだな

いや、仮に出るとしてもまだけっこう先だろうけど
498Socket774:2011/01/28(金) 06:36:57 ID:4bfOR9L5
ID:N89IRVED=ID:L7bw2oi9
499Socket774:2011/01/28(金) 07:14:47 ID:4bfOR9L5
あぁ、AMDはARMに行ったほうがいいのかもな
独自開発する必要がないから技術力がなくてもいい
500Socket774:2011/01/28(金) 14:56:07 ID:nyUFxCnN
500
501Socket774:2011/01/28(金) 16:54:10 ID:/mxtY6vs
もう少し解像度は盛ってもよさそうだけど
パネルがパネルだけに
502Socket774:2011/01/28(金) 20:08:52 ID:L7bw2oi9
>>499
40年近く世界最強半導体企業と戦争して生き残ってきた唯一の企業に対して何言ってるの

関連会社は今や世界一を伺うほどの半導体製造専門会社なんだが 東芝もメモリー以外は製造を委託するくらいの大会社だ

Toshiba Chooses GlobalFoundries for Fabrication


http://www.bit-tech.net/news/hardware/2011/01/26/toshiba-globalfoundries-fab/1
503Socket774:2011/01/28(金) 21:16:07 ID:4bfOR9L5
売り払ったファブが何だというんだ?
AMDから離れたものはうまく行ってるって?
そういやATIの組み込みもAMDから離れて活躍したな

ARMの独自core開発にリソースを分けて
ただでさえIntelに大幅な差をつけられているのに
さらに差を広げられたいのか?

ARMに参入したところで既存に対抗できるわけでもない
x86の経験なんて所詮よそからの取り込みでしかないのでないも同然
そもそも類似技術なんか使ったらIntelが黙ってないだろうな
504Socket774:2011/01/28(金) 22:10:41 ID:zx3/DLwU
>>495
PCと据え置きは、さらに上の性能になるんだがな。
505Socket774:2011/01/28(金) 22:21:03 ID:HOOif5Jw
やっぱりAMD盲ってこういう人ばかりなのねw
506,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/28(金) 22:28:29 ID:BEDl1xa0
AMDが手放したK6〜K7世代の設計技術者がいまARM本家のアーキテクトやっているよ
優秀な人材はみんなAMDを離れていくってことだな。
507Socket774:2011/01/28(金) 23:28:48 ID:XGojXg+F
>>501
年寄りには辛くなるのでNGP LLがラインナップされるんですねw
508Socket774:2011/01/29(土) 02:19:52 ID:4WEIAQOf
>>503
連結決算対象なんだが

100億$以上を製造だけに投資しているから製造技術でもintelを抜くのは必至

zacateの性能は対抗のatomの遥かに上なんだが グラフィック性能は軽く10倍以上なんだがな atomの性能の低さは異常だ
509Socket774:2011/01/29(土) 02:22:40 ID:4WEIAQOf
>>506
決算良かったからまたいいのを引き抜けるな

必要な時だけ雇えばいいわなコアの人材を除けば
510Socket774:2011/01/29(土) 02:25:54 ID:4WEIAQOf
>>504
売れ行き落ちてるねえ なぜだろうね
511Socket774:2011/01/29(土) 02:32:04 ID:+RbP2KoD
>>508
今年の投資規模は54億$Intelとやっと同額程度
勘違いすんな
512Socket774:2011/01/29(土) 02:43:53 ID:4WEIAQOf
>>511
製造で世界一ならすぐにintelを超えるさ 餅は餅屋
513Socket774:2011/01/29(土) 03:28:26 ID:W7cdta0e
脳内お花畑の人はご遠慮願いたいのだが
514Socket774:2011/01/29(土) 03:48:15 ID:gjVWNRe0
むしろGFは投資効率悪い気がする。
Intelは28nmを、TSMCは32nmをスキップなのに唯一全部やる予定。
まあでかくなっちゃえば関係ないのかもしれないが。
515Socket774:2011/01/29(土) 05:57:11 ID:6A13OOzp
ID:4WEIAQOf=ID:N89IRVED=ID:L7bw2oi9
516Socket774:2011/01/29(土) 06:01:15 ID:6A13OOzp
>zacateの性能は対抗のatomの遥かに上なんだが

(笑)
ttp://pc.watch.impress.co.jp/docs/column/tawada/20110128_423038.html
517Socket774:2011/01/29(土) 06:04:50 ID:6A13OOzp
>zacateの性能は対抗のatomの遥かに上なんだが

(笑)
ttp://pc.nikkeibp.co.jp/article/news/20110126/1029814/?P=1
518Socket774:2011/01/29(土) 06:11:45 ID:6A13OOzp
>連結決算対象なんだが

GLOBALFOUNDRIESの業績が、2010第1四半期よりAMDの連結対象から外されました
519Socket774:2011/01/29(土) 07:45:47 ID:4WEIAQOf
>>517
ゲームベンチではatomはテストされてないね




起動すらできないから当然だけどねw
520Socket774:2011/01/29(土) 07:47:37 ID:4WEIAQOf
>>518
これは失礼

しかし30数パーセント保有する大株主(第2位)であることには違いない
521Socket774:2011/01/29(土) 08:03:52 ID:5LOxvrLL
連結の対象じゃないけど、持分法での対象だから、決算には影響するよ。
Q4はGFが黒字っぽいので、純利益がGFのせいで減るってことはなかったね。
522Socket774:2011/01/29(土) 09:00:32 ID:ATqC/hE4
AMDがここでARMにいったら
本当にただの笑い者だな
523Socket774:2011/01/29(土) 11:09:29 ID:MQKgI1WL
>>491
そんなに作ってるのか
HPすげえな
524Socket774:2011/01/29(土) 13:31:10 ID:4WEIAQOf
>>522
3年後には誰も不思議に思わなくなる
525Socket774:2011/01/29(土) 14:15:22 ID:VITqM4A+
ARM は無理だとおもうが。
526Socket774:2011/01/29(土) 14:55:11 ID:1TQr4kmy
問題:
以下の文章を読んで、誰の言葉か答えなさい。(10点)

「ARM!ARM!ARM! どいつもこいつもARM!
なぜだ!なぜやつを認めてこのおれをみとめねえんだ!!」
527Socket774:2011/01/29(土) 15:04:54 ID:w/cIHhAk
「貴官はARMの才能を示すのに空論ではなくソースを示すべきであろう」
528Socket774:2011/01/29(土) 15:33:21 ID:4WEIAQOf
529Socket774:2011/01/29(土) 15:36:45 ID:4WEIAQOf
Epic Unreal Engine Sony NGP Tokyo Press Conference Demo
http://www.youtube.com/watch?v=WyNSJHafxrA

Unereal Engineと、
1週間もかからずにNGPに移植されたPS3用ソフト「Dungeon Defenders」の実機デモ

とか
530Socket774:2011/01/29(土) 15:39:56 ID:5TCezXdm
少なくともいくつかの企業はARMサーバーに本気みたいだな

http://eetimes.jp/news/3922
Marvell(サーバ向けARMプロセッサの出荷を計画)

http://www.datacenterknowledge.com/archives/2010/08/16/smooth-stone-gets-48m-for-low-power-servers/
Smooth Stone(4800万ドル調達)

http://eetimes.jp/news/3946
IBM Meyerson氏は「我々は今、汎用プロセッサや汎用コンピュータを
使う時代から、特定用途向けのプロセッサやサーバを使う時代へと
移りつつある。今後ユーザが、特定用途向けの機器を実際に使って
検証することになるだろう。その結果、ユーザーはこれらの機器を
家電のように扱うようになるだろう」と述べた。
また、Meyerson氏は、ARM社のコアを採用したプロセッサを搭載した
サーバに、サーバー・メーカーが向かう傾向は、IBM社製の
スーパー・コンピュータ「BlueGene」の設計時に起こったことを
思い出させると述べた。
531,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/29(土) 15:58:43 ID:yqL2DANS
IntelのノートPC用コアがサーバプロセッサに転用した前例はあるし(Yonah→Sossaman)
Atomの64ビット、EIST、VTが全部有効なバージョンってのも、カードとして温存してる段階だろうな
532Socket774:2011/01/29(土) 16:04:45 ID:4WEIAQOf
>>531
in order
533,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/29(土) 16:20:04 ID:elMr+yLD
In-Orderだからどうした?
アウトオブオーダ実行は電力効率を悪化させる。

ちなみにCortex A9はリオーダバッファを実装していない
# OoOといえるのか謎。というかこれがOoOならAtomもある意味OoOだし
534Socket774:2011/01/29(土) 16:42:10 ID:5TCezXdm
http://eetimes.jp/news/4128
ARMは(64bitに対応してないから)サーバ市場への参入に失敗する
(英市場調査会社アナリスト)

http://eetimes.jp/news/3922 (= >530)
「サーバ市場の大部分は、32ビットで対応可能だ」
Marvell、Milner氏

http://www.eetimes.jp/news/3891
>限られたサーバー市場向けに売り込むことを目指している。
>同じデータセンターでも、「MapReduce」や「Apache Hadoop」を利用している
>米Google社や米Yahoo社のデータセンターはターゲットにしていないようだ。
>MapReduceやApache Hadoopは広大なメモリー空間に展開したデータを
>処理するための技術であり、広大なメモリー空間を確保するには
>64ビット・プロセッサが欠かせないからだ。

>「ARMプロセッサ搭載サーバーが家電量販店ですぐに
>手に入るようになるとは考えないでほしい、これには時間がかかる」
535Socket774:2011/01/29(土) 16:44:07 ID:5TCezXdm
メモリバンド幅についてはこんな記事

http://eetimes.jp/news/4042
欧州委員会がARMプロセッサ搭載サーバの研究に補助金を出資

>3次元構造のDRAMを使うことで、プロセッサがメモリに
>高速にアクセスできるかどうかを検証する。
>サーバに負荷が掛かると、ほとんどの場合メモリが
>ボトルネックになる。プロセッサが複雑な計算を高速に
>処理する性能を備えてもメリットはほとんどない。
536Socket774:2011/01/29(土) 16:51:03 ID:aXIiaePS
サーバの中でもよりニッチな部分を攻めようっての?
537Socket774:2011/01/29(土) 16:57:42 ID:5TCezXdm
長いから切ったけど
>ARM社は、同社の32ビット・プロセッサを、米Amazon社や米Facebook社が運用している
>インターネット・データセンターなど、限られたサーバー市場向けに売り込むことを目指している。

記事としては、サバ市場の全てではないといってるだけだろう。
どちらがニッチなのか俺はよくしらない
538Socket774:2011/01/29(土) 17:14:26 ID:5TCezXdm
ARMプロセッサの競争について

ARMの一番の良いところは省電力ではない
http://blogs.itmedia.co.jp/kichi/2011/01/arm-34f8.html

Dell、Prince氏
信頼できるプロセッサ・メーカーは、数社しかない。
Broadcoms、Texas Instruments、Marvell etc.
http://eetimes.jp/news/3946  (= >530)
539Socket774:2011/01/29(土) 17:21:54 ID:k+hLtk1g
ARM VS POWERか
かつての対Alpha戦を思い出すな
540Socket774:2011/01/29(土) 18:26:10 ID:r4yS69kr
そういやSPARCは今どうなってんだ?
541Socket774:2011/01/29(土) 18:38:13 ID:e6sO2xcw
ARMはSSDのコントローラーに使われてる。
JMicron JMF612/616/618、
Indilinx Barefoot、
Marvell全部、
サムチョン全部…
そういう意味ではPCにARMは使われてるな
542Socket774:2011/01/29(土) 19:25:56 ID:7hbtghkS
MIPSも静かだ…
543Socket774:2011/01/29(土) 20:07:56 ID:Q8bIOjoD
>>540
富士通のSparc64とSunUltra-Sparc-T3のみが生き残ってる。
ちなみに家に興味本位で買ったSparcワークステーションがあるが
なんの役にもたってない。

544Socket774:2011/01/29(土) 21:24:10 ID:lZILbo+p
>>541
なんでIntelをディスってるの?
545Socket774:2011/01/30(日) 03:39:15 ID:gArsFhOk
CES 2011:米AT&T、超ハイスペックなAndroidスマートフォン「Motorola ATRIX 4G」を発表、
Tegra 2、1GBメモリ、1,930mAhバッテリー(大幅に更新)
http://juggly.cn/archives/16804.html
>下記仕様のようにかなりハイスペック端末ですが、ATRIX 4Gの特徴はそれだけではありません。
>ATRIX 4Gには「Laptop Dock」と呼ばれる11.6インチディスプレイを搭載したキーボードドックが専用に提供されます。
>端末をドック背面(液晶裏)にセットすることでドックのディスプレイにwebtopと呼ばれるマルチ画面なUIで操作することができ、プリインストールされているFirefox 3.6を使ってPC間隔のWEBサーフィン(死語?)を楽しむことができます。
>「Laptop Dock」に接続した場合、端末・ドックトータルの連続使用時間は36時間にもなるとのこと。「Laptop Dock」の厚さは僅か13.9mm。

「Motorola ATRIX 4G」は2月中に発売?Laptop Dockの価格は$150で意外と安い
http://juggly.cn/archives/18793.html

時期尚早な気もするが、スマホで勝てないとWindows終了の可能性が出てきたのが面白い。
MSがWindowsをARMに対応させようとしてるのも納得。
546Socket774:2011/01/30(日) 04:06:33 ID:+Gujzgd3
アーキテクチャーの戦いなんて近づきつつある世界大戦の前に何の意味もないけどな
547Socket774:2011/01/30(日) 07:11:49 ID:Uz8WvxTo
http://akiba-pc.watch.impress.co.jp/hotline/20110129/etc_redfox.html
Atom搭載のAndroidタブレット

別チップセットが必要な世代のAtomはチップセットが65nmとか
130nmとかなのでプラットフォームの電力でARM系と勝負にならない。
32nmでワンチップになるMedfieldからが本命だろう

Moorestown、MedfiledはMeeGoやAndroidだけで
Windowsはサポートしないって情報だったが、
ARM版Windowsの情報が出ても方針は変わらないのかな
548Socket774:2011/01/30(日) 08:13:53 ID:8iV8tT7y
まあ、Windowsタブレットは連戦連敗だし、スマートフォンにも安くて強力なOSあるし
Windowsがなくてもいいんじゃないかねえ。もちろんあって損するもんでもないが
549Socket774:2011/01/30(日) 08:18:12 ID:P8ofcT+d
>>547
タイトルは「体感速度はTegra以上という声も」と書くのが精一杯だし

記者もかなりatomに自信なさそうに書いててワロタ

こんなゴミに56,980円も出す馬鹿いないだろ

現実にはx86 androidは全く競争力ない

バッテリー動作時間が4.5時間って実質3時間くらいだろう


Medfield使ってもコスト競争力はあるのかねえ
550Socket774:2011/01/30(日) 08:21:00 ID:P8ofcT+d
551Socket774:2011/01/30(日) 08:42:07 ID:8iV8tT7y
androidにおけるx86って、NTのときのPowerPCやAlphaの立場だからな
ARMの倍くらいの能力(速度にしろ省電力にしろ)があっても苦しいところ
552Socket774:2011/01/30(日) 09:07:13 ID:8b+tYq47
PCH変えられているけど、OakTrailのWindows対応版がOakTrailじゃないの?
ARM版というか、SoC版WindowsならI/Oしょぼくても大丈夫だろうから、
Medfieldやその後継チップだとWindows対応させるんじゃないかな。
553Socket774:2011/01/30(日) 09:09:05 ID:8b+tYq47
OakTrailの〜じゃない、MoorestownのWindows対応版がOakTrailだ
554Socket774:2011/01/30(日) 10:34:15 ID:+Gujzgd3
ARMの台頭でインテルが再び狂い出すw
POWER系のとの勝負は諦めたのか
555Socket774:2011/01/30(日) 12:02:24 ID:8iV8tT7y
POWERはともかく、ARMなら自分でも作れるだろインテルは
556Socket774:2011/01/30(日) 12:33:04 ID:zl0n5mH5
最近CPUという言葉自体が死後になってきてるよな
557Socket774:2011/01/30(日) 12:41:19 ID:JTpcD9O8
なんか勘違いの情弱が多いが、
現行のAtomはMoorestownですらないから、
ARMとまともに戦える製品ではないってのは耳が痛い程言われていること。
MoorestownとMedfieldからが問題なんだよ。
現行のx86 androidは習作みたいなもん。
558MACオタ:2011/01/30(日) 12:53:03 ID:cPGr7eFO
1/18 に開催された IBM Fab 連合 "Common Platform" の Technology Forum 2011 は Semiaccurate.com の Charlie Demerjian 氏がレポートを連載してくれています。
http://www.commonplatform.com/tf2011/
現在までに5本の記事が掲載されており、まだ続くのかもしれませんが、ここまでのまとめ。
"Samsung talks about future fabs"
http://www.semiaccurate.com./2011/01/19/samsung-talks-about-future-fabs
・32/28nm での製造を行っているのは7社に過ぎない
・20nm プロセスの開発には、建設費別で$1.5Bを要する
・HKMG 製品の状況は Intel 3年リードして独走。Common Platform が遅れて2位、僅かに遅れて TSMC が3位か。
・"Gate First" と "Gate Last (Intel 方式)" を比較すると、前者は 2x の縮小が可能であるのに対し、後者は 1.6x 程度。しかし歩留まりは "Gate Last" が 20% 以上?優れているため、コスト上は優位に立つ。
・Samsung は TSV に先立つ 3D 積層技術として LDP-PoP (Laser Drilled package on package) を開発しており、従来より面積比で33%, 厚さで13%小さくなる。LDP 技術はダイあけた穴を通して配線を行う技術

"Global Foundries on the importance of compatibility"
http://www.semiaccurate.com./2011/01/20/global-foundries-importance-compatibility/
・Globalfoundries は設計ツールの開発に$250Mの投資を行う予定である
・最新の300mmウェハfabのコストは$5-7B。将来は更に高騰する。
・顧客側の設計コストは28-nmプロセスで$40-50M。
559MACオタ@続き:2011/01/30(日) 12:54:05 ID:cPGr7eFO
■What comes after 11nm?
http://www.semiaccurate.com./2011/01/24/what-comes-after-11nm/
・Common Platformのロードマップは、20nm (2012) -> 14nm (2014) -> 11nm (2016)。
・32/28nm: 2nd gen. immersion lithography
・20nm: Source Mask Optimization (SMO) + 3rd gen. immersion litho.
・14nm: SMO + 4th gen. immersion litho. + double patterning
・EUV は 14nm - 11nm 世代で登場か?
・SMOは例のスーパーコンピュータで干渉パターンをフィードバックしてマスク設計するという技術 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/685
・SMOのための光源制御には(プロジェクタ等に使用する)DLPが想定されている?
・トランジスタ構造のロードマップは次の通り
 - 22nm: bulk & PDSOI
 - 14nm: FinFET
 - 11nm: ETSOI (Extremely Thin SOI)
・11nm 以降のトランジスタ構造として検討されている技術は以下の通り
 - 8nm, 5nm: silicon nanowire + FDSOI
 - 3nm: carbon nanotube 等、炭素系材料
・"Gate First" と "Gate Last" の性能上の比較は、前者が電力効率で 6% 程度優れているものの、歪による性能向上は後者の方が大きい。
560MACオタ@続き:2011/01/30(日) 13:08:56 ID:cPGr7eFO
■IBM shows off 20nm low power wafer
http://www.semiaccurate.com./2011/01/24/ibm-shows-20nm-low-power-wafer/
・掲載写真の通り、20nm Gate Last プロセスの試作ウェハも披露。量産直前の段階まで進んでいる intel 程では無いにせよ、大きく遅れている訳でも無い模様

■ARM talks about 20nm CPUs and chips in your eye
http://www.semiaccurate.com./2011/01/28/arm-talks-about-20nm-cpus-and-chips-your-eye/
・顧客であるARMの講演
・ARMコアが最新プロセスの検証用に使用されるようになっている。
・32nm世代でのスケジュール
 2008年7月 IBM で Explorer と呼ばれる試作チップの製造
 2008年10月 IBM で Cortex-M3 製造
 2009年5月 Globalfoundries で Cortex-M3 製造
 2009年6月 IBM が Alpha版 PDK (Product Development Kit) 提供開始
 2009年7月 Samsung が Alpha PDK 提供開始
 2010年2月 ARM の 全IP を Samsung が 32LP TC2 プロセスで提供
・現在 20nm プロセスで Cortex-M0 の試作ウェハが製造されている
561Socket774:2011/01/30(日) 13:19:32 ID:wPlzouUw
>>555
以前StrongArm(XScale)の主要ライセンシーだったIntelが、「やっぱり組み込みも
IAだよね!」ってXScale捨てて投入したのがAtomなんだがw
562,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/30(日) 17:16:28 ID:lfa1af58
>>556
生まれながらの死語「APU」ってのもありますけどね
563,,・´∀`・,,)<一番良い -○○○ を頼む:2011/01/30(日) 17:20:54 ID:lfa1af58
>>557
勘違いの情弱乙
MoorestownことZ6xxシリーズは去年発表済みで搭載製品もいくつか出る。
564Socket774:2011/01/30(日) 18:47:07 ID:JTpcD9O8
幾つか出るってまだでてないんだろ?
565Socket774:2011/01/30(日) 18:52:50 ID:JTpcD9O8
IntelのサイトみてもZ600の情報なんて出てこないし、プレスリリースだけで
量産出荷してないだろこれ。
566Socket774:2011/01/30(日) 20:11:26 ID:h3Aqx7VJ
団子が一番の情弱だった件
567Socket774:2011/01/30(日) 22:09:30 ID:wFzpXwP2
>>520
http://www.eetimes.com/electronics-news/4211766/ATIC-takes-control-of-GlobalFoundries
ATIC takes control of GlobalFoundries
Mark LaPedus
12/28/2010 11:08 AM EST

| SAN JOSE, Calif. - As part of a new and complex transaction,
| Advanced Micro Devices Inc. (AMD) has reduced its stake in
| GlobalFoundries Inc. from about 30 percent to 14 percent.

昨年12月の時点でGLOBALFOUNDRIESにおけるAMDの支配率は14%に低下。
これはGFの巨大な新規投資をすべてATICの財布に頼っているためです。
CPUの製造を委託している内は完全に手放すことはないでしょうが、
来年にも一割を切り、ただでさえない存在感を失うことは確実です。
GFに縛られずFabを選ぶ立場になろうとしているのです。
連結から外れてやっと黒字が出るようになりましたし、万々歳じゃないですかね、
株持ってる人は。
568Socket774:2011/01/30(日) 22:15:14 ID:UKUMtWKI
これってAMDにとっていいことなの?
569Socket774:2011/01/30(日) 22:18:03 ID:8b+tYq47
でも、高クロックのCPUの製造経験あるのGFくらいじゃないの?
ファウンダリ専業だと。
570Socket774:2011/01/30(日) 22:20:14 ID:wUXj4Ach
尻尾切ったと思ってたが
逆に尻尾に本体切られたって
571Socket774:2011/01/30(日) 22:22:34 ID:UKUMtWKI
>>570
real man have fabs
いや、本体はfabの方でAMDが尻尾だったんじゃね
572Socket774:2011/01/30(日) 22:25:16 ID:HyUd6p5D
AMDの収益力でGFのような巨大な工場を維持していけるなんて
詳しく財務諸表読まなくてもだいたいわかりそうなもんだけどな

Intelくらい出せればいいがIntel自身も工場への投資で1年分の
純利益がふっとびそうだし、いずれは自重で潰れるだろうから
ファンダリー事業でも展開すんじゃないの
573Socket774:2011/01/31(月) 03:18:15 ID:eXppLoQo
>>572
子会社が親会社超える例なんていくらでもあるけどな

イトーヨーカドーと711とか富士電機と富士通とか
574Socket774:2011/01/31(月) 06:52:14 ID:ryvZItTJ
MACオタがゲハ板で発狂してたけど、なんでスイッチはいったん?
NGPが魅力的だったのでスイッチ入って必死で叩いてたのけ?
575Socket774:2011/01/31(月) 13:38:58 ID:Dr1nficW
CPUがPowerじゃなくてARMだからだろ?
576Socket774:2011/01/31(月) 15:36:08 ID:obBt/SDx
名が体を表すならPOWERオタだよな
577Socket774:2011/02/01(火) 00:08:41 ID:PMxOtJuA
IBMオタ
578Socket774:2011/02/01(火) 18:26:38 ID:tASQcm/k
インテルSandy Bridgeのチップセットに欠陥、出荷停止・リコールへ。
修正品の生産開始
http://japanese.engadget.com/2011/01/31/sandy-bridge/

何やってんのや
579Socket774:2011/02/01(火) 19:31:04 ID:KmbMlArv
アーキテクチャと何の関係が?
580Socket774:2011/02/01(火) 23:15:09 ID:0LfCifor
CPUアーキテクチャとは関係なさそうですな
581Socket774:2011/02/02(水) 02:24:34 ID:ScVg1NZl
MBに半田付けされているチップセットの方がソケットから抜くだけの
CPUよりもリコール費用は嵩むのかな
シリコンダイのコストなんてどちらもたいしたことないよね
582Socket774:2011/02/02(水) 08:37:00 ID:p2dxvhLT
>>578
シリコンレベルで作り直しとか書いてあるぞ。
大丈夫なのか?
583,,・´∀`・,,)<一番良い -○○○ を頼む:2011/02/02(水) 18:15:13 ID:zBQLcg/Y
Socket H1とH2なんで分けたんだろうな?
Tick-Tockが機能してないと思う。Intelは傲慢すぎたんだ。
584Socket774:2011/02/02(水) 19:42:26 ID:j0UwsxT9
内蔵しすぎるとこういう時に大ダメージが
バグでデュアルディスプレイできなかったGPUもあったな
585Socket774:2011/02/02(水) 19:49:13 ID:Rx9lYkm0
おや、団子さん冷静な分析
586Socket774:2011/02/02(水) 23:28:54 ID:TmaFy5PS
元麻布大先生がTick-Tockモデルの弊害とか言ってすでに記事にしてるがな
587Socket774:2011/02/02(水) 23:36:00 ID:AbIF8WDo
VIAを見習って5年前のチップセットでもc7,nano,eden x2,nano x2,quad coreがうごくようにしろと?
588MACオタ:2011/02/03(木) 00:08:24 ID:xnjEUBFH
Jetway が VIA nano L2007 直付マザーボードを発表しています。
http://www.jetway.com.tw/jw/motherboard_view.asp?productid=813&proname=MVB-VX9GSM
 - VX900 chipset
 - VIA Nano L2007 1.6GHz, 25W (65nm)

VIA のサイトには L2007 というのは見当たらないのですが…
http://www.via.com.tw/en/products/processors/nano/
589Socket774:2011/02/03(木) 00:21:39 ID:U9CFweHG
選別落ちだな
2000シリーズでも25Wなら1.8GHzのL2100がある
1.6GHzのL2200は17Wだし
1.6GHz 自動クロックアップの U2250が8W

ついでに現行品
VIA Nano L3050 * 1.8GHz * 800MHz * 20W * 500mW
VIA Nano L3100 * 2.0GHz * 800MHz * 22.5W * 500mW
VIA Nano U3100 * 1.3+GHz * 800MHz * 6.4W * 100mW
VIA Nano U3200 * 1.4GHz * 800MHz * 6.5W * 100mW
VIA Nano U3300 * 1.2GHz * 800MHz * 5.4W * 100mW
VIA Nano U3500 * 1GHz * 800MHz * 4W * 100mW

近未来品
ttp://ad.digitimes.com.tw/110103_VIA_leaderboard.swf

そのちょっと先
ttp://cfile9.uf.tistory.com/image/190C7A524D3DA5221701BE
590Socket774:2011/02/03(木) 10:02:23 ID:IwVezFDN
nano X2はL2 4MBらしいな
591Socket774:2011/02/03(木) 21:50:18 ID:MyIzNtX7
AMDはいつになったら同時マルチスレッディングを導入するんだ?
特許関係で使えないのか?
592Socket774:2011/02/03(木) 22:10:16 ID:OCvGRp3K
FPU部分がSMT。
WillametteのSMTのボトルネック改善版がクラスタードアーキテクチャ、らしい。
AndyGlewの説明によると。
593Socket774:2011/02/04(金) 00:37:30 ID:dHbUzFj0
大コア少しか小コア多数かってとこで、小コアと大コアくっつけたようなのをもってくるあたりは
考え方としては好きだね
実用上どうかはモノが出てきてからの話になるけどさ
594Socket774:2011/02/04(金) 01:57:40 ID:jDmeLHZV
VIAは選別品を見かけることが殆ど無いのに選別落ち品は良く見るなぁ。
595Socket774:2011/02/04(金) 02:11:08 ID:U3IsuS7P
俺たちに普通でいることの大変さを教えてくれているんだよ
596Socket774:2011/02/04(金) 08:50:42 ID:BYaHNyx0
ようやくイスラエルに核が落とされる日が来た様だ。
長かった
さよならIntel
597Socket774:2011/02/04(金) 09:35:59 ID:dHbUzFj0
戦争になりそうだったら、開発チームとかすぐ避難させるだろw
というか戦争になったら日本も危ない。経済的な意味で
598Socket774:2011/02/04(金) 12:50:20 ID:hAG7aV5y
>>572
よくみたら間違ってるな

× AMDの収益力でGFのような巨大な工場を維持していけるなんて
○ AMDの収益力でGFのような巨大な工場を維持できないなんて

いかに資本分配が重要かがわかる一例ですな
599Socket774:2011/02/04(金) 18:59:31 ID:oU6HVIIO
先端半導体の投資規模は半端無いからなあ。
AMDの売上全部注ぎ込む必要があるくらいだし。
600Socket774:2011/02/04(金) 19:48:37 ID:AQ3Mp5ag
今の寡占状態でこそ成立する(寡占状態でないと成立しない)ビジネスモデルが僅かでも綻びを見せた時が
Intel終焉のとき
当然その場合はAMD(のx86ビジネス)のほうが先に逝くけど
それが10年後か、20年後か あるいは数年後か
601Socket774:2011/02/04(金) 20:56:38 ID:+kpFT6jE
ここでSandybridgeを買ってこそ真の男というもの。
602Socket774:2011/02/04(金) 20:59:07 ID:SnxL9c73
買っても別に損はしないんだが
603Socket774:2011/02/05(土) 00:24:28 ID:Mi7uEj9L
幸か不幸か桟橋は藁Penのような超糞プロセサじゃないんだよな
604Socket774:2011/02/05(土) 05:01:29 ID:b+8LoUN4
今もってるやつらは4月から交換になるので問題は発生しない
不利益を被るのは欲しくても4月まで買えないのと、小売
2月後半から提供となってるが、メーカー優先だろうからね
605Socket774:2011/02/05(土) 05:38:39 ID:NTMuuJBS
「シリコンもグラフェンも超える」、新たな半導体材料をスイスの大学が発表
http://www.eetimes.jp/news/4569
606Socket774:2011/02/05(土) 06:53:22 ID:irUZqHW3
アーキテクチャーじゃないけど、意外な所来たな。
周期表見た感じ炭素とか来ると思ってた。
607Socket774:2011/02/05(土) 09:32:19 ID:NRNlbzVm
>>602
マザー5月になるそうだから旬は半年ちょっとしかないな
608Socket774:2011/02/05(土) 09:35:07 ID:NRNlbzVm
>>604
P67/H67/Z67 チップセット内のSATAポートにクロックを付加しているトランジスタが
過度に電力を供給していてそのリークが機器にダメージを与えている
ビットエラーが蓄積されていき、それが溜まり過ぎると最悪機器が使えなくなる

欠陥はSATAポートにクロックを供給しているPLLの経路のトランジスタに
与える電圧が高過ぎたためリーク電流が想定以上になり、トランジスタのばらつきによっては
リークが増大して行き最終的にはSATAポートの破壊に至る

つまり、経年劣化という表現は誤りでSATA機器を使えば確実に被害をこうむるが
そのSATAポートに機器をに接続しようがしまいがクロックジェネレータが動いてる限り
放っておいても勝手に壊れる

そしてその崩壊を回避する手段は無い
609Socket774:2011/02/05(土) 10:10:01 ID:c0HI+nD0
妄想でないなら
ソースをだせ
610Socket774:2011/02/05(土) 10:27:23 ID:2LWiL3IG
>回避する手段は無い

sata3のポートは平気なんでしょ?
611Socket774:2011/02/05(土) 10:29:07 ID:CZaEi4BV
ビットエラー?
612Socket774:2011/02/05(土) 14:46:07 ID:irUZqHW3
>>610
無効化して電源カットしないとダメだから使わなければ回避というわけではない。
そしてそんな方法があるのかもわからない。
613Socket774:2011/02/05(土) 14:53:31 ID:xKm24JCW
614Socket774:2011/02/05(土) 15:09:06 ID:c0HI+nD0
で、ソースは?
615Socket774:2011/02/05(土) 15:14:37 ID:NN/WllaX
ないんじゃね?
616Socket774:2011/02/05(土) 15:53:31 ID:9nQlhozp
IC設計したことない人には分からないだろうけど
予備素子使って配線で修正するのは極めて普通のこと。
むしろ、ウェルから直さないといけないとかだったら
マジであほすだし。
Intelの技術者がそんなアホの訳ない。
617Socket774:2011/02/05(土) 17:08:21 ID:mxOoisro
>>608
全然違うだろ
つーかビットエラーが蓄積って何だよw

経年劣化でビットエラーレートが規定値以上に上昇し、速度低下する
さらに劣化してエラーレートが一定以上になると動作そのものが不可能になる
が正解
618Socket774:2011/02/05(土) 17:15:04 ID:/WrN5qLo
>>616
安藤さんが書いてるみたいに、E-MIGによるIR Dropが原因なら
むしろECっつーよりは配線そのものを直さなきゃダメだろ
619Socket774:2011/02/05(土) 20:53:29 ID:b+8LoUN4
http://www.geocities.jp/andosprocinfo/wadai11/20110205.htm

 2011年1月31日にIntelは,Sandy Bridgeと一緒に使われるチップセットでCougar Pointのコードネームで開発されてきた
Intel 6 Series ExpressとXeon用のC200チップセットに問題が発見されたと発表しました。
ハードディスクやDVDドライブなどを接続するSATA Uポートが使っているうちに劣化し,性能が低下したり,動作しなくなるとのことです。

  2011年1月31日のCNETによると,このSATAポートの問題はポート2〜5のSATA Uだけで発生するとのことです。
ポート0,1の高速のSATA Vポートでは発生しないので,通常のノートPCのようにポート0にハードディスク,
ポート1にDVDドライブという環境では問題にならないようです。

  2011年1月31日のEETimesによると,IntelのSteve Smith氏は,ノートPCの更新までの3年の間にこの故障が出る確率は,
通常の使用では5%,高温環境や使用頻度が高い場合は,この3倍程度になり得ると説明しています。
このバグは,かなり上の層のメタル修正で直したとのことで,想像ですが,電源配線かSATA信号線かの配線が細いとか
ビアの数が不足とかで電流密度が若干基準オーバー になっており,製造ばらつきで線が細めになったりと悪い方に振れたチップは,
時間が経つとマイグレーションで配線が切れるという類の問題ではないかと思われます。

  高温,高電圧での加速寿命試験はやっている筈ですが,これをSATA Uポートに負荷をつけた状態で稼働させながら行うのは難しいので,
検出できなかったのではないかと思われます。

  現在のチップは即時出荷を停止し,修正版のチップが出てくるのは2月の遅い時期で,本格的に量産が立ち上がるのは4月とのことです。
修正が上層のメタルなので,下層までの仕掛ウェファは使えるので,最初の修正版がでるまでの時間は短かくなっています。
620Socket774:2011/02/05(土) 21:19:31 ID:PzMVO+1u
↑全部はるなよ馬鹿
621Socket774:2011/02/05(土) 21:26:42 ID:b+8LoUN4
残念
全部じゃなかった
622Socket774:2011/02/06(日) 04:20:04 ID:tMXMbfhk
623Socket774:2011/02/08(火) 19:38:03 ID:dIhpJiw8
GLOBALFOUNDRIES社がIP/EDAベンダーとの連携を強化、
「Cortex-A9」を用いたSoCプラットフォームの開発も
http://ednjapan.cancom-j.com/news/2011/2/7875

 Meyer氏は、このような考えに基づいた設計インフラの事例を2つ挙げた。1つは、ARM社と共同で開発したSoCプラットフォーム
「Semper」である(図1)。Semperは、デュアルコア構成のCortex-A9を中心に、2次キャッシュメモリーのコントローラやSCU
(Snoop Control Unit)などと組み合わせて最適化を図ったマクロである。

主に、high-k(高誘電率)絶縁膜技術を用いた28nmプロセスで生産することを想定している。GLOBALFOUNDRIES社によれば、この
製造プロセスでSemper製品を製造した場合、2GHz〜2.5GHzの動作周波数を達成できるという。なお、既存の40nmプロセスで製造した
場合の動作周波数は1.5GHz〜2GHzとなっている。
624Socket774:2011/02/08(火) 21:46:01 ID:T69ilpc/
頭良い人教えて
なんでARMがこんなに勢力伸ばししてるの?
モバイル向けかもしれないけどそれほど高性能とは思えないのに・・
625Socket774:2011/02/08(火) 22:02:36 ID:3YteqhjU
あ、おれ頭悪いから教えるの無理。
すまん。
626Socket774:2011/02/08(火) 22:51:04 ID:Y6JQchQs
>>624
ヒント:スマホ
627Socket774:2011/02/09(水) 01:47:07 ID:cXmpr3It
628Socket774:2011/02/09(水) 02:46:21 ID:W6NTS1wW
http://juggly.cn/archives/20009.html#more-20009

2chの馬鹿識者の御高説とは裏腹に性能数倍でなおかつ消費電力が半分以下になるarm
629Socket774:2011/02/09(水) 07:59:35 ID:75LLHtAl
と、毎度の馬鹿が必死です
630Socket774:2011/02/09(水) 17:39:04 ID:zzLGteq3
年間出荷個数でARMがx86よりも多くなったのは
ずいぶん前のことじゃないかな。
 何らかのプログラムを実行するプロセッサの需要 >> PC CPUの需要
で、個数では桁違い。ARMの単価は安いけどな。

それでも圧倒的な数の需要があるから、開発を継続できて
徐々に高性能の側に守備範囲を広げようとしている
631Socket774:2011/02/09(水) 17:41:03 ID:o+kf1o3S
>>628
モバイル向けSoCとは思えない様な機能が満載だな。

まあ性能については実物が出てきてからしか評価は出来んが。
632Socket774:2011/02/09(水) 17:44:03 ID:zzLGteq3
PowerPCやらMIPSやら日本のメーカーのプロセッサやらが
ARMを苦しめる可能性はあったはずだが
いまいちさえない業績なので色々な分野でARMの一人勝ち
633Socket774:2011/02/09(水) 17:44:22 ID:o+kf1o3S
>>630
歴史は繰り返す、ってやつだな。

汎用コンピュータがミニコンに、ミニコンがWSに、WSがパソコン(x86)に、
そしてPCがモバイル(ARM)に、って感じで。
634Socket774:2011/02/09(水) 17:55:10 ID:zzLGteq3
確かに主役は交代しているね。でも脇役になったメインフレームも
完全消滅はしていない(一応新製品が出る)のは面白い
635MACオタ:2011/02/09(水) 17:56:22 ID:KKGuElXi
Sony の NGP に関してはスマートフォンに容易に追いつかれるという見方も多く、私自身もゲハ板の次世代スレッドでビジネスモデルに疑念を呈して叩かれたりしています。
で、さっそく TI から Cortex-A15 + PowerVR SGX544MPx の OMAP 5 が発表されています。
http://newscenter.ti.com/Blogs/newsroom/archive/2011/02/07/not-just-a-faster-horse-ti-s-omap-5-platform-transforms-the-concept-of-mobile-615064.aspx
http://www.ti.com/ww/en/omap/omap5/omap5-platform.html
 - 28-nm プロセス
 - Cortex-A15 マルチコア (up to 2GHz)
 - PowerVR SGX544 マルチコア
 - dual channel LPDDR2 (OMAP5430) / dual channel DDR3(L) (OMAP5432)
 - 2011H2 (sample), 2012H2 (market)

例によって、団子さんが搭載製品の市場投入時期の予測で大騒ぎするのが次の楽しみでしょうか?
636Socket774:2011/02/09(水) 20:14:06 ID:a2BXDJs+
>>635
NGPの発表後に開発してもうできたって言いたいのか?
637Socket774:2011/02/09(水) 20:52:31 ID:T2VgYGlB
x86とARMは10年は共存だろう、いや、もっとかな。
638MACオタ>636 さん:2011/02/09(水) 20:54:11 ID:KKGuElXi
>>636
 --------------
 NGPの発表後に開発してもうできた
 --------------
そんな主張をするヒトがいたら、キチガイだと思って間違いありません。
639Socket774:2011/02/09(水) 21:43:40 ID:A4QobErk
ARMアーキテクチャーならPPC G5 3GHzと同等の性能のプロセサを携帯電話に載せられるということか?
640Socket774:2011/02/10(木) 06:09:02 ID:u+2ZuOuk
「今現在は」無理、「将来的には」十分ありじゃね?

ARM出初めのNewtonの頃、あのMacIIfxと互角の演算能力と聞いて
なんちゅうバケモンだと思ったもんだよ
641Socket774:2011/02/10(木) 07:18:48 ID:OvBZu/n0
>>638
お前の主張は、SCEが仕様を公開したから他社は容易にパクれる、だったろ?
自らキチガイ宣言ってキチガイゆえか
642Socket774:2011/02/10(木) 12:57:08 ID:11wHDD9b
臭いゲハのネタをここに持ち込むなよ…
643Socket774:2011/02/10(木) 22:24:22 ID:u+2ZuOuk
CPUとかおんなじでも、互換性のないハードはいくらでも作れる
ソースは8bit時代のパソコン各種
644Socket774:2011/02/11(金) 09:45:42 ID:VkS60CuE
>>639

そういやPowerPCの動向がさっぱり出てこないけど、どうなってんのかなあ?
PPC476FPのロードマップの最新が知りたいよ。
645Socket774:2011/02/11(金) 18:46:27 ID:7Dj3Rdsb
昔はレーザープリンタの中に居たけどなぁ>PPC
646Socket774:2011/02/11(金) 22:18:09 ID:EZiCK1T3
CPU関連のスレでゲートファースト、ゲートラストの話があったが、
自作板にゃ縁がないがパナソニックは32nmゲートファーストで
2010年から量産してるんだな

ttp://panasonic.co.jp/corp/news/official.data/data.dir/jn100915-1/jn100915-1.html

プロセスの開発はルネサスもかんでたらしい
647Socket774:2011/02/12(土) 16:06:44 ID:vD2MQqAy
>>645
今はレーザープリンタの中の人のARMだもんな
648Socket774:2011/02/12(土) 19:07:43 ID:RD3RXUZf
ARM Macが本当に登場しそうでドキドキなんだが
649Socket774:2011/02/12(土) 19:18:48 ID:7FsarybY
>>645
>>647
i960の事も思い出してあげてください
650Socket774:2011/02/12(土) 19:39:00 ID:EOWxI687
さすがにMacに投入するにはまだパワー不足だろw
68→PPCで倍近く、PPC→x86でもやや上昇してたからエミュでもなんとか移行できたけど
x86→ARMだとパワーダウンだからな……

ARMの単価からすると両方積むという技もないとはいわないが
それなら逆にx86でエミュやっちゃえばすむ話だしな
651Socket774:2011/02/12(土) 20:28:46 ID:qSBUjrQN
@Windows上でARMがx86に匹敵もしくはそれ以上のパフォーマンスで動くなら文句はない。
Aさらに省電力ならなお言うこと無い。

@達成できる見込みはある?
652Socket774:2011/02/12(土) 20:34:08 ID:f4kVAh9k
Atomぐらいの性能なら既に
A-15 3GHz 4coreが本当に来年に出るんなら、yonahくらいの性能まではいくんでねの?
DMIPSさえ考えてなくて適当に言ってるけど
653Socket774:2011/02/12(土) 22:39:21 ID:qSBUjrQN
対象がATOMって辺りお察し下さいってこと?
654Socket774:2011/02/12(土) 22:47:58 ID:f4kVAh9k
まぁパフォーマンスにはそんなに期待すんなって事。
10年後はどうなっとるか知らんが。

そんなもん誰に訊かなくても分かるだろ
655Socket774:2011/02/12(土) 23:55:32 ID:RD3RXUZf
x86系以外はほとんどその詳細を明かさないから
656Socket774:2011/02/13(日) 00:18:41 ID:6zUXTSyd
やはり桟橋を凌駕する性能をピーク消費電力1W未満で実現するのは
アーキテクチャー次第で実現はできないか
657Socket774:2011/02/13(日) 01:13:12 ID:Eqsgeo1E
ノキアは“危機”に直面している――同社CEOが社内メモで警鐘
iPhoneとAndroidスマートフォンの躍進で「プラットフォーム弱体化」に焦り
http://www.computerworld.jp/topics/move/190658.html

NokiaとMicrosoftが戦略的パートナーシップを締結
http://www.rbbtoday.com/article/2011/02/11/74276.html

NokiaがMicrosoftとの提携を確認, Windows PhoneをメインのOSにし, 大規模機構改革も
http://jp.techcrunch.com/archives/20110210nokia-confirms-microsoft-partnership-new-leadership-team
> 噂ではMicrosoftは、この乗り換えのためにNokiaに数億ドルを払ったそうである。

Nokia+Microsoftパートナーシップは、「3強3OS時代」の幕開けか
http://satoshi.blogs.com/life/2011/02/nokia2.html

「Nokiaの決断には失望した」……インテル、ノキアとMSの提携に関して
http://www.rbbtoday.com/article/2011/02/12/74279.html

ノキア、マイクロソフト戦略提携 - 関係者の反応は「まだら模様」
http://wirelesswire.jp/Watching_World/201102121621.html

> 同社の株価は一時、2009年7月以来最大の下げ幅となる2ケタ
> (Bloomberでは14%、またWall Street Journalでは18%)の下落を記録した。

> サードパーティ開発者からは、ノキアがWindowsベースの開発ツール
> 採用を表明したことに対する落胆の声もあがっており、GigaOMでは
> 「エロップ氏(のCEO就任)はノキアに起こったことのなかで最悪の出来事だ」

> マイクロソフト・ウォッチャーとして知られるMary Jo Foley氏はZDnet
> ブログに掲載したコラムのなかで、ノキアがWindows Phoneの開発に
> 深く関与することになる点を挙げながら、同社と競合するHTCやサムスン
> でさらなるAndroidシフトが進むとの可能性を示唆している。
658Socket774:2011/02/13(日) 01:20:58 ID:faxB3R/8
Qt関係者にとっては悪夢…
Nokiaさんどうしてしまったん…
659Socket774:2011/02/13(日) 11:15:51 ID:y3uVQfjT
>>649
子孫がRAIDで頑張っているJamaica
660Socket774:2011/02/13(日) 11:21:40 ID:gU7sKzTw
スマートフォンでの出遅れを挽回したいんだろうけど
Androidだと後続の挑戦者の立場に成り下がる、
携帯電話世界一のプライドがあるからそれは嫌、
WinPhoneでどんでん返しができれば再び先端 って狙いかな?
どうみても無理があるよね
661Socket774:2011/02/13(日) 11:28:17 ID:gU7sKzTw
しまった、出遅れってのは変だな。2009年から2010年でシェア低下、だ。

スマートフォン
>Nokiaの「Symbian」は1位を守ったが、
>シェアは2009年の46.9%から37.6%に落ち込んでいる。
携帯全体
>Nokiaが28.9%で首位を獲得したが、
>2009年の36.4%からは落ち込んだ。
ttp://japan.cnet.com/news/business/20426115/
662Socket774:2011/02/13(日) 11:44:46 ID:8y8r8oUV
問題はOSの調達コストだな
iPhoneもAndroidも不自由しないだけのシェアとエコシステムを確保してるから
ユーザとしてはMSのOSである必然性はほとんどない。

あとMSの裏切りも怖いな
シャープだったかドタキャンでひどいめにあってたろw
663Socket774:2011/02/13(日) 12:10:40 ID:9Po8xWTR
664Socket774:2011/02/13(日) 17:48:23 ID:zeY1JRlD
>>660
いやセキュリティとかWindowsサーバーとの連携とか、を上手くやれれば
企業向けにはかなりいい線行きそうな気がするぞ。
665Socket774:2011/02/13(日) 17:59:29 ID:G0n4TYd+
その市場はもうRIMが押さえちゃってる
666Socket774:2011/02/13(日) 23:37:40 ID:FTbbf6Mq
IntelはメインストリームでもSoCを目指してるの?
だとするとそのうち全てのインターフェースがCPUに直結するようになるんだろうか。
667Socket774:2011/02/14(月) 00:38:21 ID:glnmKCUr
そのためには最初にDRAM飲み込まないとダメだぞ。
ピン数がすぐに足りなくなるから。
668Socket774:2011/02/14(月) 17:19:20 ID:HS56QttK
>628 のTI OMAP5 のブロック図
ttp://journal.mycom.co.jp/photo/news/2011/02/10/099/images/002l.jpg

A15 Dual、M4 Dual、
”平均”消費電力はOMAP 4 より減ると言ってるな

OMAP 4
ttp://plusd.itmedia.co.jp/mobile/articles/0902/18/news131.html
669Socket774:2011/02/14(月) 21:07:27 ID:cnJ59T7d
NokiaとMicrosoftがスマートフォン分野で連携
http://pc.watch.impress.co.jp/docs/column/hot/20110214_426777.html

これだけ聞くと、今もNokiaはMeeGoにコミットしているようだが、同じ2月11日
付けの人事で、これまで同社でMeeGoを担当してきたAlberto Torres副社長
の辞任を発表している。Elop氏がMicrosoft出身であることも含め、Nokiaが
MeeGoとの距離を置くことは間違いないだろう。

今回の発表でElop氏は、MeeGoの問題点として、高機能でハードウェアに
対する要求が高いため、ローエンドのスマートフォンをカバーできないこと、
急速に成長しているAndroidのエコシステムに対抗できる成長のスピードが
MeeGoエコシステムに欠けており、それを実現するには莫大な投資が必要
になることを挙げている。そしてMeeGoの進捗速度が、これまでも決して
十分なものではなかったことを認めている。

NokiaとIntelの蜜月は1年8カ月あまりで潰えたわけだが、実質的にはもっと
早く終わっていたのだろう。2010年8月24日、IntelとNokiaは、共同でユーザー
インターフェイスとユーザーエクスペリエンスに関するラボを設置しているが、
親密度に疑いがなかったのはここまで。それからわずか5日後の8月29日に
IntelはInfineonのワイヤレス事業を買収すると発表している。これはIntelに
とって、NokiaのIPが必ずしも必要ではなくなったことを意味する。

Windows Phone 7がサポートしているプロセッサアーキテクチャはARMだけで、
IntelのAtomはサポートしていない。加えてMicrosoftは、2010年7月にARMの
アーキテクチャーライセンスを取得している。おそらく将来のWindows Phoneも
ARMアーキテクチャをベースにしたものになるだろう。

仮にIntelとNokiaの提携が生きていたとしても、もはやNokiaのスマートフォンに
Atomプラットフォーム(MoorestownあるいはMedfield)が採用される可能性はない。
670Socket774:2011/02/15(火) 03:14:45 ID:DH82dUu5
そこは逆にWindows8における統合がIntelに+なんじゃね?
671Socket774:2011/02/15(火) 03:21:49 ID:uMvH12sn
AMDに気を使わなくなる的な意味で?
672Socket774:2011/02/15(火) 03:27:26 ID:DH82dUu5
670はARMに対してって事ね。
Windows8のX64、ARM版がそれぞれどういうエディションで出てくるかわからないけど、
主要部分が同一ソースならWindows8モバイルもx64版が「たぶん」出るでしょう。
Nokiaが使うかはわからんけど、メーカー側の選択肢としては残る可能性が高い。
673Socket774:2011/02/16(水) 16:08:25 ID:p2UVwa3Z
MicrosoftがNTカーネルとCEカーネルをマージして、CEカーネルのようにソースコードを公開する?
ありえない気がするけどねぇ。
674Socket774:2011/02/16(水) 20:08:39 ID:BvRAHrNw
winって移植性のない設計してるようにみえるんだけど
675Socket774:2011/02/16(水) 20:09:49 ID:p2UVwa3Z
NTT、マイクロマシン技術を用いたデジタル演算の新しい手法を開発 
http://release.nikkei.co.jp/detail.cfm?relID=273733&lindID=1
>微細な板バネを振動させ、複数の論理演算を同時に実行できる新しいデジタル演算の手法を開発

次々世代の半導体進化に 超微細「光源」を開発、宇大大学院
http://www.shimotsuke.co.jp/news/tochigi/local/news/20110208/454414
>開発に成功した光源は、波長6・5〜6・7ナノ(10億分の1)メートル。
EUVより半分程度短い。
676Socket774:2011/02/16(水) 20:18:08 ID:qLK4ZKVb
>>674
マイクロカーネル採用して移植前提で設計されたのがNTカーネルなんだが
昔はPowerやAlpha版があったって何度言えばいいんだ

つうかカーネルのコード公開しなくたってMSがx86とx64とARMそれぞれ向けにコンパイルするだけの話じゃん
677Socket774:2011/02/16(水) 20:21:29 ID:BvRAHrNw
汗で移植性あるの?
678Socket774:2011/02/16(水) 23:42:26 ID:VLPzMynU
「実はプレステ3で300万個の量産実績」、ソニーがコアレスパッケージの進展明らかに
http://www.eetimes.jp/news/4593
コアレス構造の半導体パッケージは、コスト低減や性能向上といったメリットがある一方で、
安定した品質で大量に製造することが難しいとされていた。しかしソニーはすでに、
民生機器用のLSIにコアレス半導体パッケージを適用し、大量に生産しているという。
EE Times Japanに対して明らかにした。
「実は、プレイステーション 3向けのCellプロセッサの量産に2010年4月から適用している。
すでにコアレスパッケージ品の累積出荷数量は300万個を超えた」
(同社の半導体事業本部 ハイブリッドシステムソリューション事業部 先端実装製品部で統括部長を務める大出和志氏)。
コアレスパッケージ品でこのような大量生産に成功したのは、ソニーが世界初だと主張する(図1)。
679Socket774:2011/02/17(木) 00:02:49 ID:v/ViUlKA
680Socket774:2011/02/17(木) 00:06:38 ID:AoZW1nwp
またSH-4か。SH-4はこの先生きのこれるのか?
681Socket774:2011/02/17(木) 00:25:44 ID:XouhEOUf
armじゃやりにくいところをSH4Aにやらせるんでしょ
682Socket774:2011/02/17(木) 00:27:04 ID:CnOe10Vw
x86とARMは当分大丈夫そうとして、他はいろいろ厳しいだろうな
683Socket774:2011/02/17(木) 01:01:29 ID:mWrBzhg0
>>678
アレ?
>>91-106辺りでMACオタなんかが
現在CellやRSXを製造してるラインだ、って書いてあった記事を全力否定してたけど
45nmSOIプロセスラインをソニーが持ってないと>>678の記事がおかしくなるんじゃ?
684Socket774:2011/02/17(木) 01:33:04 ID:mWrBzhg0
>>683
あー半導体実装の話だから
プロセスはまた別の話か。
MACオタすまなかったな。
685Socket774:2011/02/17(木) 02:00:33 ID:/OwZlxXF
x86といっても、
IntelとAMD、VIAがあるけど、Intel以外は厳しいね。

正確には、
Intel vs Armと言ったほうがよさそう。
686Socket774:2011/02/17(木) 02:33:22 ID:KqSRoYH/
>>683
でもブルドアップ層だけソニーの工場まで運んできてから形成するなんてできるんかな?
687Socket774:2011/02/17(木) 07:31:31 ID:sEOfR09p
>動画処理には同社独自の「VPU5HD2」を専用回路として搭載
>約92%消費電力を低減
>オーディオ処理にもやはり同社の専用回路「SPU2F」を搭載
>消費電力はやはりソフトウェア処理よりも88%削減

>679はカーナビ向けだけど、他もこういう傾向は強まっている
Intel v.s. ARM は リッチコア v.s. ライトコア でもあり
ソフトウェア v.s. SoC でもあるのではないかな

IntelもSoCはやるつもりなんだろうけど、ARMのようにいくつもの
会社から色々なSoCを選べるような状態を作るのは簡単ではないだろう
688Socket774:2011/02/17(木) 08:18:46 ID:MfbEmxgE
どちらかというと Intel vs 需要と値段 な気がするな。
性能が高いことで提供できる受動的な一般人にとって素晴らしい体験が早いうちに出てこないと、PCでARMが優勢になる可能性も高くなる予感。
689Socket774:2011/02/17(木) 08:27:50 ID:/IDI5+UA
x86の利点=互換性
ARMのPCなんて携帯と出来ること変わらんので携帯で済む。
690Socket774:2011/02/17(木) 08:44:36 ID:sEOfR09p
iOSのアプリやAndroidのアプリあるいはその他で出来ないことを
必要とする人がどれくらいいるのか、将来どれくらい残るのかだわ
691Socket774:2011/02/17(木) 09:55:32 ID:u6HF8KRZ
IntelってArmのアーキテクチャ設計に介入出来るんだよね
Armが市場を制しても負けはありえない
692Socket774:2011/02/17(木) 10:02:33 ID:/IDI5+UA
Androidの開発環境
http://developer.android.com/sdk/index.html
>Download the Android SDK
>Windows
>Mac OS X (intel)
>Linux (i386)
iOSのSDKはMacOS10.6のみ
つまりx86のみ。プゲラ
693Socket774:2011/02/17(木) 10:15:08 ID:vlJ1euhU
毎年1製品という勢いで新製品を投入していく。
KAL-ELの倍の処理能力を持つとされる「WAYNE」(ウェイン)を2012年に、その5倍の性能を持つ「LOGAN」(ローガン)を2013年に、
そしてTegra 2の75倍近い性能を持つSTARK(スターク)を2014年にリリースするという。


そしてTegra 2の75倍近い性能を持つSTARK(スターク)を2014年にリリースするという。


http://pc.watch.impress.co.jp/docs/news/event/20110217_427525.html

694Socket774:2011/02/17(木) 10:24:37 ID:vlJ1euhU
CPUの処理速度を測るCoremarkのスコアではTegra 2の5840も大きく凌ぐのはもちろん、
Core2Duo T7200 の10136をも超える11354。消費電力についても従来よりもさらに効率化し、「HD動画の連続再生で最大12時間」と表現されています。

" Kal-El " (おそらく Tegra 3) はすでにサンプル出荷を開始しており、搭載製品は年内にも登場する予定。
具体的にはタブレット製品が8月、携帯電話向けでは年内 (ホリデーシーズン) のタイムフレームが明らかにされています。



Core2Duo T7200 の10136をも超える11354



intel w

695Socket774:2011/02/17(木) 11:11:42 ID:CnOe10Vw
ARMが急速にのびるみたいなことがいろいろいわれてるが、高性能CPUの需要が
今までなかったぶんを食いつぶしてるだけなので、そのうち頭打ちにはなる
その頭打ちの状態でx86より上にきてるか下なのかはわからないけどさ
696Socket774:2011/02/17(木) 11:27:01 ID:fN7WIO3W
タブレットでTegraが猛威を振るってるようだなw
インテルおわたw
697Socket774:2011/02/17(木) 12:17:17 ID:FwKNrpFl
dual Atomに負けるcoremarkのスコアで威張られても
4coreで
698Socket774:2011/02/17(木) 12:58:40 ID:sEOfR09p
>>688
動画を快適に扱えるようになったら、次の演算需要は
一般人に何があるのかだなぁ 動画の扱いも大半の人間は受身だしね
699Socket774:2011/02/17(木) 13:55:02 ID:D1e+OUSU
700Socket774:2011/02/17(木) 14:21:57 ID:CnOe10Vw
やせてるのって手術後はずっとだからw
701Socket774:2011/02/17(木) 14:47:29 ID:MfbEmxgE
>>698
PCゲームは普及中だけどGPUが頭打ちだし。
H.265(制定中)は普及したとしてもアクセラレーションで対応されるだろうし。
高性能化による操作のレイテンシ改善はでかいけども、一般人がどこまで気にするかは不明。
# というか今のWebブラウズの一番のボトルネックは相手サーバー側。
となると自己満得られて社会にも役立つBOINCぐらいか?
702Socket774:2011/02/17(木) 15:18:27 ID:sEOfR09p
一般人でもとても能動的にやっているPCの用途が一つだけある。
コミュニケーション。 絶対的にマシンパワーを必要とする
コミュニケーションのアプリケーションがmail やblog 並みに
人気になればパワフルなCPUへの需要が続くかもしれない。

そういうものが出現せず”キラーアプリ”の不在になったら
ノートPCやディスプレイ一体型PCで事足りているような層は
ライトなCPUコアのSoCマシンでも不自由しなくなるだろう
703Socket774:2011/02/17(木) 17:56:57 ID:CnOe10Vw
むしろ省電力で電池長持ちのほうがよろこばれそうだよな、そっち方面
704Socket774:2011/02/17(木) 19:09:07 ID:tnzZTd8l
スティーブ・ジョブズが癌治療を受けていることが判明 余命6週間との診断
スティーブ・ジョブズがスタンフォードがんセンターにて癌治療を受けていることがわかった。
Samuel Jacobson医師博士は、
「レントゲンから判断すると、彼は末期がんに近い。持って6週間だろう」
と語った。
http://thenextweb.com/apple/files/2011/02/xlarge_img_9126.jpg

http://thenextweb.com/apple/2011/02/17/steve-jobs-may-have-just-six-weeks-to-live-receiving-treatment/

一体どういうことだよ!?
説明しろよMACオタ
705Socket774:2011/02/17(木) 19:17:29 ID:tnzZTd8l
ごめんなさい。
ただの釣りでした。
706Socket774:2011/02/17(木) 20:01:31 ID:PVb9kPlb
100万頭以上はいるんじゃない?
北海道のエゾ鹿だけで70万頭近くいるらしいし
707Socket774:2011/02/17(木) 20:02:17 ID:PVb9kPlb
誤爆しました
708Socket774:2011/02/18(金) 00:17:15 ID:YkawM1UZ
やっとISSCCだね。
なんか面白い情報出るかな〜?
709Socket774:2011/02/21(月) 17:22:32.59 ID:Gr4A3GSv
低消費電力でパフォーマンスアップの模索
Fully-Depleted SOI: Is it the light at the end of the nanometer Moore’s Law tunnel?
Building a high-performance, low-power ARM processor core with 20nm FD-SOI

ttp://eda360insider.wordpress.com/2011/02/14/
710Socket774:2011/02/21(月) 18:37:51.26 ID:pQG1xXXf
>>708
proceedingsを見た限りでは
Bulldozerに関しては性能、動作周波数、消費電力の具体的な数字の言及はなし。
ただ例年のAMDと比べると回路実装についてはかなり突っ込んだ内容になっている。
711Socket774:2011/02/21(月) 19:10:06.32 ID:5mRNm8zx
>>709
旧世代比性能バルクが25%アップで
FD-SOIが80%アップ&消費電力最大40%減
ここまで差が開いてるとARM勢も全部FD-SOIに行きそうだな
コストもバルクより減ると謳ってるし
22nや旧SOIもなくなってこれに集約するからAMDのロードマップも20nだったんだろうか?
712Socket774:2011/02/21(月) 19:57:35.85 ID:52NnGenw
一番の問題はムーアの法則が終わりそうなこと。
半導体の集積度があがり続ければAIとか今だと厳しいけど可能になる応用はいくらでもある。
IBMのワトソンがクイズ王を破ったくらいだし。
713Socket774:2011/02/21(月) 20:25:04.38 ID:pQG1xXXf
more than mooreて散々言われてるけど
714Socket774:2011/02/21(月) 20:26:29.68 ID:dTSRS3Tb
人間にチェスの勝負で勝った時点でデータベースに基づく記憶力や演算に基づく判断力をコンピュータが超えることは想像できた。
しかし突き詰めれば最適な答えがわかってる問題が難なく解けるからって知性は持たないでしょ。
715Socket774:2011/02/21(月) 21:01:10.73 ID:q8XvPRqJ
あとは好奇心と向上心だと思うの
716Socket774:2011/02/21(月) 21:30:49.16 ID:Rk7Yq9WO
そもそも機械は知性はもたない
知性の証明のような自称があったとしても機械に可能になった時点で、それは知性の証明ではなくなるのだ

ってネタはともかく、そろそろ〜歳児なみの知能とかいった話が出てきてほしいとこだよね
犬とかで三歳児なみだったっけか
717Socket774:2011/02/22(火) 08:39:50.45 ID:Fp72F34P
知性はハードよりソフトの話で内科医。
718Socket774:2011/02/22(火) 08:47:13.80 ID:mcymjXtD
ハードとソフトとが分離できるようなモノで
脳の代替が務まるかどうかはまだわからないんじゃないかな
719Socket774:2011/02/22(火) 08:50:28.58 ID:mcymjXtD
FPGAメーカーのロードマップには再起動せずに書き換えできるチップが
予定されてるんだよね。そういうのが量産されたら、何か面白いものを
作ってくれる奇人が現れるのではと期待している
720Socket774:2011/02/22(火) 09:40:52.45 ID:Kp301uZ4
汎用の問題解決エンジンってことなんかな>知性
721Socket774:2011/02/22(火) 16:20:14.99 ID:1vGWKZXy
もともとのノイマン型コンピュータはプログラムを書き換えながら実行するものだったのに
めんどくさいからという理由でデータとプログラムはきっちり分けたほうがいいという結論に
なったんだろ?>>719のが出てきたところで面白いことなんて人間には出来ないだろうな
722Socket774:2011/02/22(火) 19:30:55.22 ID:QiTe/9Kn
起動時にx86とPPCを書き換えます
723Socket774:2011/02/22(火) 19:52:35.81 ID:vB+U98wG
>>721
プログラムの一部分を抽出して
FPGAに回すことで高速化する研究はされている
それ以外は普通に実行
724Socket774:2011/02/23(水) 00:27:47.20 ID:1sy3F/Xk
>>719
もう既にDRPがあるじゃねえか。
725MACオタ:2011/02/23(水) 03:44:47.91 ID:R3m7UK36
ISSCC での新Itanium "Poulson" の講演の概要が出ています。
http://eandt.theiet.org/news/2011/feb/intel-poulson-isscc.cfm
 - 3.1B Transistors
 - 52MB on-die cache (うち 32MB が "last-level cache")
 - Ring-based system interface. 理論帯域幅 700GB/s
 - 11-stage in-order パイプライン
 - パイプラインはフロントエンド(命令処理)/バックエンド(実行ユニット)分離構成
 - フロントエンドは "Replay & Flush" 機構採用により演算リソース利用効率が向上
 - バックエンドの構成は、合計6つの演算ユニット。12-port
  Integer Unit x 2, FPU x 2, Memory unit x 2, Branch unit x 3
 - 内蔵電力センサにより動的に電圧/動作周波数可変
 - TDP: 170W (Tukwila は 185W)

先行命令を追い越さない in-order 構成ではあるものの、11段パイプラインには "Replay & Flush" のためにそれなりのサイズの命令バッファが存在する模様。
726MACオタ:2011/02/23(水) 04:13:03.16 ID:R3m7UK36
同じく IBM の z196 プロセッサに関する講演の概要はこちら。
http://eandt.theiet.org/news/2011/feb/ibm-zenterprise-isscc.cfm
 - 4-core, 1.4B transistors, 45-nm SOI
 - クロックパルスの波形最適化による効果は1.5%程度
 - 65-nm -> 45-nm プロセスの変更に対応する効果は 18% 程度
 - POWER7からの主要な変更点は二つ
  配線層を追加 (11-layers -> 13-layers) して配線遅延を減少。結果的に L3 レイテンシも減少
  クリティカルパスに Low-Vth トランジスタを追加
 - OoOE を含む諸々の改良で前世代より電力効率は 25% 向上
  
727Socket774:2011/02/23(水) 13:08:48.15 ID:ESRYfFaX
【ISSCC2011レポート】
IntelとAMDが次世代64bitプロセッサの概要を公表
http://pc.watch.impress.co.jp/docs/news/event/20110223_428720.html

> Poulsonは、トランジスタ数に換算すると31個という膨大な数量の回路素子を集積した、超大規模プロセッサである。
31個ワロタw
728Socket774:2011/02/23(水) 13:30:30.10 ID:VYVDozIz
31億に直ってるのを確認した。
729Socket774:2011/02/23(水) 13:41:52.54 ID:ESRYfFaX
>>728
ほんとだ、直ってるw
この程度の誤字は訂正・更新の記述をしないんだなww
730Socket774:2011/02/23(水) 15:09:15.91 ID:GJaIcddf
>>727
3種類のフリップフロップとか8トランジスタのメモリセルとか、トランジスタ
レベルで性能アップを計ってるのが面白いな。

その分シリコン面積が増えるが、微細化で上がった集積度の利用方法としては、
GPU統合とかの方法よりも面白みがあるな。
731Socket774:2011/02/23(水) 15:29:34.05 ID:PD0rCgI3
Itaniumも将来はGPU内蔵してきそうだな次の22nmはサンディブリッジの次の22nmの
奴の要素を取り入れてくるだろうし
732Socket774:2011/02/23(水) 15:39:54.44 ID:CVv7+Cnl
ItaniumにGPU統合しても、トランジスタの無駄だろう。
コア1個でも増やす方がマシ。
733Socket774:2011/02/23(水) 16:54:10.95 ID:kVxbZNyQ
OpenCLとかが普及して、演算にざくざく使われるようになったら便利そうだが
734,,・´∀`・,,)<一番良い -○○○ を頼む:2011/02/23(水) 17:13:11.05 ID:+WQQUw+z
IPFは性能に目をつぶっても高信頼性が欲しい人のためのソリューション
信頼性を犠牲にしてスループットを稼ぐGPUなんて使うのはXeon DPまでで十分。
735Socket774:2011/02/23(水) 20:04:19.11 ID:kVxbZNyQ
そこで信頼性を確保したGPUっぽいベクトルプロセッサを……
736Socket774:2011/02/23(水) 20:04:31.46 ID:BRUmoI/j
モバイルの消費電力最大77%削減、東芝が回路開発
http://www.yomiuri.co.jp/net/news/internetcom/20110222-OYT8T00410.htm
>従来のフリップフロップ回路内にあったクロックバッファをなくすことで、それに必要な電力を削減した。
>ひとつの nMOS 素子とひとつの pMOS 素子を組み合わせた回路(Adaptive Coupling 回路)を追加して、保持するデータの強さを自己適応で制御し、回路動作を安定化させた。
>基本構成のシンプル化により、フリップフロップ回路全体での素子の数は24個から22個に減らしており、従来回路と比較しても、セル面積は増加しない。
737Socket774:2011/02/25(金) 16:28:36.82 ID:4i8vN6Zn
もうアーキティクチャレベルではCPU1コア単体の性能アップは困難だから、
トランジスタやフリップフロップレベルでの改善や改良が主流になるのかな?
738Socket774:2011/02/25(金) 20:39:34.23 ID:qRUS1Olt
そのレベルでの改善って、微細化の弊害を埋める程度にしかなんないでしょう
例えば、ハフニウムなんか使わなくたって130nmまではリーク電流なんか大きな問題じゃなかったわけで
739Socket774:2011/02/26(土) 06:14:18.33 ID:s1TzUN2h
Thunderbolt凄すぎワロタ。全てのポート置き換えを狙ってる予感。GPU繋ぐにはレイテンシがちょっと厳しいのかな?

http://plusd.itmedia.co.jp/pcuser/articles/1102/25/news045.html
>転送速度は1チャネル当たり10Gbps。
>ホストから周辺機器を接続する形態では、デジィーチェーンに対応するが、遅延を低く抑えており、インテルの資料では、7デバイス接続環境でも8nsで同期できるという。

http://www.eetimes.jp/news/4626
>インテルは、2013年までにT(テラ)ビット/秒をサポートするとほのめかす。
>インテルは、最終的には光ケーブルをサポートする予定であり、転送速度を高められる上に、数十mの伝送距離に対応できる可能性があると述べている。
740Socket774:2011/02/26(土) 12:13:23.23 ID:7O6ayJdL
速いバスさえ安価に用意できれば、わざわざ他のバス用意する必要ないもんな
キーボードとかだけならUSB低速どころかADBでも過剰な速さだったわけだが
741Socket774:2011/02/26(土) 14:21:20.63 ID:/2iKsjOq
>>739
メインメモリより10倍速いのか
DRAMはいい加減に少し進歩しる
742Socket774:2011/02/26(土) 14:31:44.68 ID:aOBRsIuJ
>>739
そんな高速ポート作られてもなあ。
ディスプレイにするには帯域足りないし、ストレージには速すぎる。

intelは遊んでないで、さっさとUSB3を固めろよ。
743Socket774:2011/02/26(土) 14:50:25.35 ID:aYpa69q/
とにかくルネサスエレにいっぱい稼いでもらいたい
744Socket774:2011/02/26(土) 15:09:47.47 ID:Ygpz6PQ8
>>742
> ディスプレイにするには帯域足りないし
え?足りてるだろ?
745Socket774:2011/02/26(土) 15:19:13.17 ID:s1TzUN2h
古い情報によれば"1ポート当たり2米ドル未満で実装できるように設計されている"って話だから、USBはオワコン。
http://eetimes.jp/news/3335
ドライバ地獄上等です^q^
746Socket774:2011/02/26(土) 18:16:23.37 ID:7O6ayJdL
>>741
おそらく単体ではそんなに速くデータは出し入れできないだろうけど、たくさんのデバイスが
同時にバス使っても大丈夫……ってことになるんじゃないのそれ
747Socket774:2011/02/26(土) 19:44:08.52 ID:TEPfCf6b
メタル線よりも早い光ファイバーLANが未だ一般受けしないのと同じく、USBの最大の利点である下位互換を切り捨てて普及させるのは難しんじゃね。
748Socket774:2011/02/26(土) 20:37:09.95 ID:aoX6gAiA
Intelの最新テクノロジを最速で実装するのがMacだなんて時代が来ようとはw
749Socket774:2011/02/26(土) 21:37:41.18 ID:7O6ayJdL
USBを普及させたのがiMacだってのを忘れてないか
750Socket774:2011/02/26(土) 21:44:21.93 ID:CsSSfMhe
USBを普及させたり、IEEE1394を潰したり
林檎さんパネェっす
751Socket774:2011/02/26(土) 21:44:24.90 ID:uzPXqTTa
Macのシェアで普及とかないわw
752Socket774:2011/02/26(土) 21:56:30.82 ID:7O6ayJdL
何ヶ月もパソコン売り上げのトップがiMacだった時期があってだな、その時期にUSB機器がどっと出たんだよ
PC向けはキーボードやマウスはPS2のほうが便利&ストレージには遅かったのでUSB機器がなかなか出なかったんだ
753Socket774:2011/02/26(土) 21:57:23.06 ID:7O6ayJdL
PS2じゃねえ、PS/2だ
754Socket774:2011/02/27(日) 00:09:45.30 ID:VN60+i/V
プリンタはかなり早い時期からUSBに対応していたがな。
755Socket774:2011/02/27(日) 00:20:15.77 ID:6um2Mfbz
マウスやK/BはPS/2でも特に大きな不満が出てなかったからUSBになかなか移行
しなかっただけで、PS/2の方がUSBより便利だったわけではない。
特にホットプラグ不能(知らずに無理矢理行うと最悪M/Bのヒューズを飛ばす)な点は
現在の視点からではかなり致命的。
756Socket774:2011/02/27(日) 01:05:32.71 ID:a7hzLVY+
USBを普及させたのはIntelがチップセットに載せたから。Appleは関係ない。
757Socket774:2011/02/27(日) 01:15:57.84 ID:GtCynHTG
>>752
>何ヶ月もパソコン売り上げのトップがiMacだった時期があってだな

これ機種別ランクだろw
Macは種類少ないから上位なだけなのに
PCよりMacが売れてたなんて勘違いしてるのか??
758Socket774:2011/02/27(日) 01:23:29.57 ID:d4J6jm1C
>>744
DisplayPort 1.2の最低仕様の1レーンでも5.4Gbpsだから1本しか通らない。
1080pを2枚、と考えただけでもう無理。そこまで求めなければOK。

>>745
ネイティブプロトコルがPCIeとDisplayPortしかないというのを忘れてないか。
コネクタを変換するだけでそのまま繋がるのはディスプレイだけ。
USBデバイスは繋がらない。SATAドライブも繋がらない。
これらはThunderboltとデバイスの間にホストコントローラを挟まなければならない。
759Socket774:2011/02/27(日) 01:26:11.02 ID:d4J6jm1C
Thunderboltとはつまり、PCIe cableに毛が生えたもの。I/Oをそのまま引っ張り出してんだから
そりゃ汎用性は高いさ。その代わり、そっから先はデバイスが面倒みなけりゃならない。

匡体から出るケーブルを1本にまとめて見かけをシンプルにし(Appleが好きそうだろ)、
繋ぐデバイスへのプロトコル変換は外付けのI/O箱におまかせ、ってこと。
I/O箱の中ではThunderboltからPCIeに戻し、PCIe対応の既存ホストコントローラを載せる。
USB bridgeとかSATA bridgeとかGbE bridgeとかね。

早い話、Thunderboltとは汎用I/O外付け箱インターフェイスだ。
ドッキングステーション用ケーブルだ。
本体を小さくするためにI/Oカードを箱の中から追い出したのだ。
他のプロトコルの置き換えにはならない。ひとつレイヤが下だからだ。

その代わり、デバイスの自由度は格段に上がる。価格も上がるが、スケールメリットでカバー。
つまり初期はThunderbolt外付けI/O boxに既存プロトコルのデバイスを繋げて後方互換を確保。
次第にこの機能をデバイス側へ移動して行き、たとえば外付けディスクはSATAディスクと
SATA to PCIeコントローラ、PCIe to Thunderboltコントローラを匡体に内蔵するという構成になり
(LaCie Little Big Disk*がこれ。現段階では高コスト)、次の段階ではこれらを統合して
SATA to ThunderboltやUSB to Thunderboltになり、コンピュータの箱を開けてPCIeカードを挿す、
という概念を消滅させるのがIntelの目的なのだ。これはISAからPCIへの移行が始まった頃からの
計画だから、昨日今日始まった話ではない。むしろ、やっとここまで来た、という感じだ。

* LaCie Little Big DiskのプロトタイプはIntel 510 SSDを2台RAID0で内蔵して700MB/sだそうだ
から、中身はSAS 2.0コントローラだろう。外販しているSATA 6GbpsコントローラはPCIe 2.0 x1で
500MB/sのものしかないからだ。これだけ取ってもまあ、LaCieらしい値札がつくことが想像できる。
760Socket774:2011/02/27(日) 01:53:53.56 ID:6um2Mfbz
デザインパクったPCが出たり、(Mac用か否かに関わらず)周辺機器の外装が猫も杓子も
トランスルーセントになったりする程度には売れたw>iMac
761Socket774:2011/02/27(日) 01:54:30.04 ID:d4J6jm1C
IntelがUSBを最初に実装したのは1996年2月の430HX。
WindowsでUSBが使えるようになったのがWindows 95 OSR2で1996年の12月。
ところが実際にはこのペアはバグだらけでまったく動かず、まともな実装とドライバは
1998年4月の440BXと8月のWindows 98を待たなければならなかった。初代iMacの登場も1998年8月だ。
iMacは最初からまともなUSBを搭載していたため、登場と同時にUSB周辺機器売場はボンダイブルーの
安っぽい透明匡体一色に染まった。それ以前はUSB周辺機器は探さないと売場がないくらいだった。
iMacが登場する以前のUSBは死産という印象しかなかったのだ。

死にかけていたAppleを救ったiMacは同時に、死にかけていたUSBも救ったのだ。
とか書くとマカーっぽくて騙されやすいから気をつけろ!
762Socket774:2011/02/27(日) 02:56:40.12 ID:H9GxplWF
初期のはUSB1.0で、BX/iMacの頃に1.1になったな。
初期は特にOHCI勢のドライバが悲惨なことになっていた記憶がある。
レガシーフリーを掲げてPS/2をわざわざ塞いでた98-NXなんてのもいたが、
こいつは忘れてもいいレベルだ。
763Socket774:2011/02/27(日) 03:10:51.49 ID:z8FdzZeK
USBを完全にサポートするWindows 98とiMacのデビューが重なっただけであって、
仮にAppleが存在しなかったとしてもUSBは普及しただろうさ。
当時のMacのシェアなんて5%くらいしかなかったんだから。
764Socket774:2011/02/27(日) 11:03:16.99 ID:wSGoDVbS
MACの功績は大きいぞ。トランスルーセントの機体と周辺機器が
線一本でつながるスタイリッシュさは、それまでのDOSV機にはないものだった。
周辺機器がどいつもこいつもiMACカラーに合わせたトランスルーセント色になったりもした。
765Socket774:2011/02/27(日) 11:44:28.71 ID:H6hPGuxF
バカーには心底呆れる
766Socket774:2011/02/27(日) 13:29:19.25 ID:6iwC2+Us
ワット当りの性能がSBの10倍ってどんなマジックなんだよ>ARM
767Socket774:2011/02/27(日) 16:49:13.00 ID:BuuPR9l5
FireWireを忘れないであげて下さい。
768Socket774:2011/02/27(日) 18:53:37.63 ID:OSlS8Ma1
i.Linkの方がもっと忘れられてるから安心しろ
769Socket774:2011/02/27(日) 19:05:54.20 ID:wSGoDVbS
>>766
それは簡単だろ。

0.1wで10の仕事ができるARMがあるとするだろ。
1wあたり100の仕事ができる計算だ。

で、SBが70wで700の仕事ができるとする。
1wあたり10の仕事ができる計算だ。

これで1wあたりARMはSBの10倍の効率というわけだ。
770Socket774:2011/02/27(日) 23:16:49.24 ID:Q07Rz9sF
>759
そういうプランだからこんな発言が出てくるわけか

>「同一の形状で規格が異なるインターフェイスがあることは
>エンドユーザーに対する混乱を招かないか?」

>「エンドユーザーであればこそシンプルであることが重要。
>ディスプレイをつなげば映像が映り、記憶装置をつなぐことで
>記憶装置が稼働するほうがわかりやすい。むしろMini DisplayPortに
>対して、Thunderboltの技術を適用したと考えると良い」
ttp://pc.watch.impress.co.jp/docs/topic/feature/20110225_429532.html

この担当者の言い分には賛同できないなぁ
771Socket774:2011/02/27(日) 23:21:54.66 ID:GQytQkiu
LightPeakのときの計画だと、プロトコルは他にも色々載せるつもりだったから、
後のバージョンで、USBやSATAにも対応するんじゃないの?
PCIeだから、いろんなプロトコルに対応できるって規格じゃなかったんだし。
772Socket774:2011/02/27(日) 23:32:39.17 ID:6um2Mfbz
PC側は(PHY経由で)バスブリッジ直結、ホストチップは周辺機器側に積むから
「なんでも繋がる」ってだけの話でそ
773Socket774:2011/02/27(日) 23:40:37.59 ID:XWPz/9KJ
つまり、バスは安いが周辺機器は高い?
774Socket774:2011/02/27(日) 23:59:53.02 ID:a7hzLVY+
>>773
そのバスってのを、どの範囲のことを言うか次第じゃねえか?
775Socket774:2011/02/28(月) 13:31:32.18 ID:aCArAopv
Cバス
776Socket774:2011/02/28(月) 14:13:10.40 ID:2A2ioUVU
NuBus
777Socket774 :2011/02/28(月) 16:44:29.88 ID:Kid5gjBb
VLバス
778Socket774:2011/02/28(月) 17:14:15.42 ID:2A2ioUVU
NESAバス
779Socket774:2011/02/28(月) 17:16:04.32 ID:ioXq63EW
三重交通バス
780Socket774:2011/02/28(月) 18:25:52.76 ID:9XUVYRLT
ガス爆発バス
781Socket774:2011/02/28(月) 21:49:17.38 ID:FKr1mqkM
モニタ側にGPU積んだりすることも可能になったりするわけか
782Socket774:2011/03/01(火) 09:09:17.45 ID:b3EmOZBf
熱の分散考えるとそれもよさそうな気もしないでもないな
ただディスプレイの商品寿命よりGPUのほうが短いのが気にかかるが
783Socket774:2011/03/01(火) 09:22:53.83 ID:YbdbP5CD
ディスプレイにGPUスロット
784Socket774:2011/03/01(火) 09:30:04.62 ID:cfE4cvbN
なつかしのSocketXとかMXMとか言ってみる。
785Socket774:2011/03/01(火) 21:53:15.94 ID:iIiJ9AOX
PCIeって筐体内拡張カードだけじゃなく、筐体外ケーブル接続も将来的にはサポートってことになってたような
それでしょう?
786Socket774:2011/03/01(火) 21:57:17.11 ID:l/tJwBK1
PCIeのケーブルはPCI Express External Cablingとして規格化されている。
Thunderboltは別。
787Socket774:2011/03/01(火) 22:48:56.13 ID:teUy+14Q
PC筐体外に出さなくても5インチベイ搭載で前面吸気ファンにすりゃ
エアフローは現状より改善するんじゃね?>GPU
788Socket774:2011/03/02(水) 10:01:28.55 ID:CFD+YYcp
SCEI、日本でもリアルタイム映像制作システム「GScube」を公開
http://pc.watch.impress.co.jp/docs/article/20000912/scei.htm
789Socket774:2011/03/02(水) 22:22:52.47 ID:A71i7/28
書き込みテスト
790Socket774:2011/03/05(土) 03:23:16.85 ID:ZMRLTtwc
PCの起動時間をゼロにする新開発「アトムトランジスタ」はまるで人間の脳
http://zasshi.news.yahoo.co.jp/article?a=20110304-00000001-dime-sci
791Socket774:2011/03/05(土) 13:31:54.98 ID:Rd0z+/Ax
LightPeakって本当に10Gbps以上駆動させるチップ製造できるの?
792Socket774:2011/03/05(土) 17:45:34.79 ID:MK6t+Ejw
SATA3やUSB3.0のサポートにもたついてるIntelじゃあちょっと心配だよな。

まあそのもたつきがIntel社内での、LigtPeakとの綱引きの結果なら関係無いだろうが。
793Socket774:2011/03/05(土) 19:59:46.32 ID:BBheTZKM
コスト落とせるかどうかの方が問題
794Socket774:2011/03/05(土) 23:21:25.86 ID:Sw0t8deG
「これは新概念の組み込みハード基盤」、ザイリンクスがARM集積FPGAの詳細を発表
http://eetimes.jp/news/4629

らしいですわー
価格が安ければ既存の製品をどんどん置き換えていきそうだけど、さて…

>小規模品のZ-7010は百万個規模の大量購入時に15米ドルを切る単価で供給すると言う

でもPCIe付きのZ-7030はいい値段しそうな悪寒

とりあえず、既存製品さようならなのかな…
最後のパライソが今消えたのかも試練
795Socket774:2011/03/06(日) 04:54:10.97 ID:O/aeZ5zq
Thunderboltの何が嫌かって、特許やらでほぼIntelしか供給できない
パターンになりそうなのが嫌だ
796Socket774:2011/03/06(日) 16:10:04.91 ID:MlWTbTfY
RDRAMですね。分かります。
797Socket774:2011/03/06(日) 18:22:33.84 ID:JFK1cWMC
そもそもPCIeのシリ/パラ変換周りがRAMBUSの基礎技術ベース
798Socket774:2011/03/08(火) 19:52:10.34 ID:NBe3KgC7
AMDスレで頑張っているようだ・・・
799Socket774:2011/03/09(水) 00:17:46.89 ID:rlEF4GkH
Q6600とAtom D510って32bitと64bitのどちらで利用した方がパフォーマンスがいいでしょうか?
800Socket774:2011/03/09(水) 11:50:31.63 ID:KwGqGj3X
800
801Socket774:2011/03/09(水) 12:10:42.26 ID:cQkjpItd
Nokia、UI開発のQtライセンス事業を売却へ
http://journal.mycom.co.jp/news/2011/03/08/060/index.html

NEC、シリコンフォトニクスを利用した小型集積光スイッチを開発
http://journal.mycom.co.jp/news/2011/03/08/093/index.html
802MACオタ:2011/03/10(木) 06:05:34.59 ID:FLxYwAEb
久しぶりに Power アーキテクチャの話題ですが、POWER8 は2014年頃に 22-nm プロセスで登場予定とのこと。
http://www.cse.scitech.ac.uk/disco/mew21/presentations/IBM.pdf (P.5 参照)
http://www.nyherji.is/servlet/file/store718/item47176/version1/Power%20Roadmap%20-%20October%202010.pdf (P.2 参照)
803MACオタ:2011/03/10(木) 08:54:33.58 ID:FLxYwAEb
NVIDIAの Finantial Analyst Day の Jen-Hsun Huang CEO のプレゼンで "Denver" コアのスライドが出ていたそうで…
http://www.brightsideofnews.com/news/2011/3/9/nvidia-reveals-64-bit-project-denver-cpu-silicon-die.aspx
804MACオタ@補足:2011/03/10(木) 09:01:19.53 ID:FLxYwAEb
>>803
ぱっと見の印象ですが、整数レジスタと思しき部分の面積から判断して、
 ・SIMDは無し、又は小規模
 ・スーパースケーラの並列度も小さい
 ・そもそもコア自体が非常に小規模
と言った感じを受けます。演算能力の大半を GPU (= Accelerator) に負わせるのではないでしょうか?
805Socket774:2011/03/10(木) 09:02:27.70 ID:usdSxmIM
>>741
DRAMの進化が停滞したのはラムバスのRDRAMを締め出したからだ。
アレがもっと普及していたらこんなことにはならなかった。DDRと言う安易な
方向に行ったのがそもそもの間違い。おかげでDDR4が二転三転している
806Socket774:2011/03/10(木) 09:05:25.64 ID:usdSxmIM
>>802
POWER7+は来年ぐらい32nmで登場でしょうね
807Socket774:2011/03/10(木) 09:14:30.89 ID:usdSxmIM
POWER7+は質的進化ではなく量的進化なので
オクタコア化実現とL4キャッシュ追加と新命令追加ぐらいでしょう
そして、POWER8はCellを吸収して8コア+SPE32コアになると予想する
808Socket774:2011/03/10(木) 13:19:11.22 ID:Qeisr13Z
POWER7は最初からオクタコアじゃん
809Socket774:2011/03/10(木) 13:23:07.20 ID:CUoWZkFs
なに?タコだと?
誰がタコじゃぁゴルア
810Socket774:2011/03/10(木) 14:12:29.34 ID:0Twq1S5W
そんなこと言ってないでゲソ
811Socket774:2011/03/10(木) 14:45:03.85 ID:7MGILQ4Q
>>805
メモリセルにDRAM使ってる限りたいして変わらんよ。
812Socket774:2011/03/10(木) 16:32:14.94 ID:wQyNRLq5
>>804
Fusionですね。分かります。

>>811
DRAMが駄目ならSRAMにすればいいじゃない、と思ってた時代が有りました。
813Socket774:2011/03/10(木) 16:39:47.51 ID:DKG9zHQZ
>>811
CASレイテンシの実時間が10年以上あまり変わってない(クロック数ではどんどん
増してる)のが典型的だな。
814Socket774:2011/03/10(木) 17:38:40.41 ID:mROu5DZa
昔のスーパーコンピュータはメインメモリSRAMだったんだよな。
当時のPCのメインメモリとは格が違った。
815Socket774:2011/03/10(木) 18:01:08.00 ID:DKG9zHQZ
キャッシュにしても486〜P5世代ではメイン8〜64MBに対し256KB(M/B上の二次キャッシュ)
だったのが現在ではメイン2〜16GBに対し2〜12MB(CPU内の三次キャッシュ)と後退してる。
816Socket774:2011/03/10(木) 21:38:52.82 ID:5tjodcld
ttp://technews.tmcnet.com/news/2011/03/10/5367247.htm
Dell: ARM servers could face software issues

Beyond ARM processors, Dell will continue to expand in the low-power server space with x86 chips.
The company will announce new microservers by the end of the month, which could come with the option of low-power netbook chips,
a Dell spokesman said.

またviaのでnano x2とか使ったら面白いのに
817Socket774:2011/03/11(金) 04:35:35.81 ID:rZkki0Px
POWERはオワコン
ARM以外いらねぇよ
818Socket774:2011/03/11(金) 05:10:28.86 ID:j+64j6ua
armはソフト面で問題があるからdellはarmやらねって
819MACオタ:2011/03/11(金) 05:15:28.78 ID:ApxEWS+7
>>803 のダイ写真、Fermi のダイ写真を適当に彩色しただけでした(笑)
詳細はこちら。
http://www.xtremesystems.org/forums/showpost.php?p=4774302&postcount=12

腐れルーマーは見慣れたつもりでしたが、私も修行が足りませんね…
ただし、株価関連の公式のイベントでの資料ですから、ダイレイアウト自体は設計中の実物と関連がある可能性は否定できません。
820Socket774:2011/03/11(金) 05:42:22.85 ID:RSJURmp3
Nvidia(笑)wwwwwwwwwwwwwwwwwwwwwwwwwwwwww
821Socket774:2011/03/11(金) 07:54:06.45 ID:mBKXZ+2w
L1命令キャッシュとデコーダ・スケジューラが妙に離れてたり配置からして変だなと思ったけどネタ画像かよww
822Socket774:2011/03/11(金) 10:31:48.28 ID:j386Np8M
ぶった切りカードといいネタが好きな会社だなw
823,,・´∀`・,,)<一番良い -○○○ を頼む:2011/03/11(金) 14:17:18.23 ID:FGwsCOzd
>>799
OSは64ビットでいいじゃん

>>801
Qt, KDE, WebKitあたりってMS的には潰したい技術だろう
MSと提携した時点で必然的にこうなることは見えてたわな
824Socket774:2011/03/11(金) 14:30:14.85 ID:mBKXZ+2w
そもそもCore2とAtom比較する時点でおかしくね?
825Socket774:2011/03/13(日) 06:23:40.84 ID:qZYyxXG3
Core2時代は32>64だったと思う。
Atomは知らんが。
826Socket774:2011/03/13(日) 09:25:35.60 ID:E2fCXY2q
Core2でも64のほうが速いよ。他ほど伸びないってことで苦手扱いされてたけど
827Socket774:2011/03/13(日) 16:05:32.79 ID:EOatxBQ5
64bitって普通に使ってる分には意味ないよね
office2010 64bit版使うと早いとか聞くけど
828Socket774:2011/03/13(日) 16:51:41.50 ID:E2fCXY2q
x86に限って言えば、一般ユーザからは少し速くなってメモリが多量に使えて互換性落ちるってとこだから
そこに意味を見出せないなら「意味がない」かもしれんね
829Socket774:2011/03/14(月) 16:46:24.75 ID:IGO+0pMT
CELL は捨てられた子。

デュアルコアです:
今度の“Z”は新エンジン、東芝“REGZA”「Z2シリーズ」登場
http://gamez.itmedia.co.jp/games/articles/1103/02/news045.html

 新エンジンは、これまで「CEVO ENGINE」と呼ばれていたもの。「CELL EVOLUTION」が語源という通り、CELL Broadband
プロセッサ上でソフトウェアとして動作していた部分をLSIに起こした。具体的には、40ナノメートルのプロセスルールを採用
したデュアルコアプロセッサをメインCPUとして、専用グラフィックアクセラセーターを組み合わせた統合型チップで、メイン
CPUの処理能力(MIPS値)は従来型「レグザエンジン」の約3.4倍という。
830Socket774:2011/03/14(月) 18:23:24.44 ID:mXh8mARJ
特定の映像処理に汎用プロセッサを使うのは非効率だから
数が見込める普及帯ではASIC起こしましたという当たり前の話。
831Socket774:2011/03/14(月) 18:48:27.16 ID:8FeBEZTM
つまり今までのCell REGZAは電気食いのお荷物だったと
まあ家電製品には使うには無理があったよね
何でも汎用プロセッサでっていうのは無駄が多いと

そう考えてみるとIntelは確かに商業的にうまくやってるけど
結局
832Socket774:2011/03/14(月) 18:52:39.71 ID:8FeBEZTM
PC、サーバー分野についてだけで
それを超えた領域については難しいということなんだろうな
HPCや組み込み、スマートフォン、タブレットといった
範囲外までx86を浸透させるのはやはり難しそうだね
833Socket774:2011/03/14(月) 18:55:41.85 ID:8FeBEZTM
間違えた、HPC自体には浸透してたよな
ただ、これからさらに規模が大きくなると
x86単独では難しいんだろうな
834Socket774:2011/03/14(月) 19:02:07.79 ID:dywXLgXa
全く逆。専用プロセッサの方が隙間商売でどんどん食われてる。
唯一最近GPUだけが数十億ドルの市場で儲かっている。
835Socket774:2011/03/14(月) 21:19:40.96 ID:dBu1CuWs
結局、商品が成熟してない時には汎用プロセッサで、成熟したらASICなのかね。

ってことはパソコンは極端な未成熟商品だな。
836Socket774:2011/03/14(月) 21:54:13.41 ID:teAznQPT
ARM化ってのも、ある意味ASICみたいなもんかもな
ネット端末として動けばいいっていう最低限みたいな
837,,・´∀`・,,)<一番良い -○○○ を頼む:2011/03/15(火) 01:01:39.77 ID:2XBaraf5
家電みたいな使い切り・大量生産のものに汎用プロセッサ乗せるのなんて無駄だしw
838Socket774:2011/03/15(火) 22:15:52.70 ID:hTSZc5/P
最近専用プロセッサというジャンルに注目しはじめた奴が勘違いしているようだが、
専用設計のIC、プロセッサは歴史が長い。
寧ろ汎用的なマイコンや開発が短納期なFPGAに市場食われまくって
最近はレアになったせいで逆に話題になっているという感じ。
839,,・´∀`・,,)<一番良い -○○○ を頼む:2011/03/15(火) 23:03:33.80 ID:2XBaraf5
PC以外だとルータみたいなプロトコルのアップデートが必要な機器でAtomとかARMを載せるのは割とわかる。
PLANEXの無線LANルータは熱くなりすぎなので心臓に悪い。
どんな石載ってるんだ?
840Socket774:2011/03/16(水) 01:51:38.83 ID:UsS6Mbtx
>>839
ちと検索してあたった分解サイトで
台湾のカビウムという会社のSTARプロセッサーというのが写真に写ってた。
ARM系らしい。んなに喰ってるとは思えんのだがなー。
841Socket774:2011/03/17(木) 13:58:05.10 ID:ER9WJMlS
通信系はPowerPC系のシェアが高いんじゃなかったっけ
842Socket774:2011/03/17(木) 14:48:17.62 ID:r7MVAWEn
家庭用ルータはARM系が多い。PowerPCの製品も探せばあるかもしれないけど。
例外としては100Baseの時代に一世を風靡したSuperOptはSuperHだったようだ。
843Socket774:2011/03/17(木) 17:23:48.92 ID:7ewBSagK
モトローラが通信系企業な関係かな?
844Socket774:2011/03/17(木) 21:53:01.14 ID:AxAjhkuV
セルラーだっけ・・・
845Socket774:2011/03/22(火) 18:22:17.76 ID:3mR7aQvs
チャンドラシーカ退職、理由は不明。
http://pc.watch.impress.co.jp/docs/news/20110322_434334.html
Atomの携帯市場への食い込み失敗やノキアの裏切りが原因かねえ
846Socket774:2011/03/25(金) 17:15:46.08 ID:WR+JzbUn
TSMCの28nm 高性能低消費電力(HPL)プロセス
Xilinx、28nmプロセスを採用したFPGA製品の出荷を開始
ttp://japan.xilinx.com/japan/j_prs_rls/2011/fpga/28nm-first-shipment-kintex-7-fpga.htm
847Socket774:2011/03/28(月) 01:20:32.21 ID:LHRld9tR
MARCH(明治大学青山学院大学立教大学中央法政大学)
成成明学獨國武(成蹊大学成城大学明治学院大学獨協大学國學院大学武蔵大学
日東駒専
848Socket774:2011/03/29(火) 19:01:42.04 ID:83X4L8aW
豊橋技科大がシリコン基板にIII-V素子を集積、発光素子の統合が可能に
http://eetimes.jp/news/4701
849Socket774:2011/03/30(水) 08:30:36.92 ID:4JhF7V2N
分子ビームでは量産は厳しいな。
動作する構造を作れることがわかったのが成果っぽい。
今後は安く作る方法か
850Socket774:2011/03/30(水) 22:51:57.84 ID:9ADHmiAw
MIPS、64ビットのマルチスレッド/マルチプロセッサIPコアの開発計画を発表
http://journal.mycom.co.jp/news/2011/03/30/097/index.html
851Socket774:2011/03/31(木) 01:12:34.22 ID:rMO+X89r
スマートフォン搭載OS、2015年には「Android」シェア40%に…シードP調査予測
http://www.rbbtoday.com/article/2011/03/30/75651.html

IDC、世界スマートフォン市場で「2015年にはWindows PhoneがAndroidに次ぐ2位に」と予測
http://www.itmedia.co.jp/enterprise/articles/1103/30/news016.html
852Socket774:2011/03/31(木) 15:40:54.00 ID:/l2PqWv3
>>850
周回遅れっぽい気もするが、是非頑張って欲しいところ。
853Socket774:2011/04/01(金) 07:36:29.30 ID:mo4jpZiU
ARMとx64の中間のセグメントを狙ってるのはわかるが、採用する理由がない。
SuperHに比べりゃ英語圏な分マシなんだろうか。
854Socket774:2011/04/02(土) 23:57:16.65 ID:v7o5UZhR
既にAndroidタブレットでも512Mや1G積んでる機械がある訳で
携帯端末でも4G以上メモリ積む余裕は欲しいってな要求はあるだろう。
855Socket774:2011/04/03(日) 11:23:38.48 ID:ciCsYoN0
8ビットCPUで64KBのメモリが扱えたんだから、32ビットで4G超えもできるだろ
856Socket774:2011/04/03(日) 12:28:11.65 ID:nP4+wvfZ
つPAE
857Socket774:2011/04/03(日) 19:06:24.68 ID:rrHmbKNv
64kBの窓を開けて切り替えるんだっけ・・・
858MACオタ:2011/04/05(火) 08:11:29.07 ID:xnm2zCxZ
やっとLlanoの出荷が始まったとのリリースが出ています。
http://blogs.amd.com/fusion/2011/04/04/%E2%80%9Cllano%E2%80%9D-apu-is-shipping/?utm_source=feedburner&utm_medium=feed&utm_campaign=Feed%3A+amd%2Fall+%28All+of+AMD%29
なぜか公式ブログでプレスリリースで無いのが謎ですが…

IBMファブ連合も 32nm の出荷に漕ぎ着けたということで。
859Socket774:2011/04/10(日) 11:04:57.56 ID:kYuu0g3U
みんなで勢ぞろいして、喜んでるのがいいね。w
860Socket774:2011/04/10(日) 11:14:49.84 ID:I9sFhMHH
特に問題があったって話も聞かないので、ブルさんも製造関連では安心できるかな
861Socket774:2011/04/10(日) 12:45:36.29 ID:FtUoUdZX
>859
なんの事?
862Socket774:2011/04/10(日) 19:32:41.43 ID:NEjDDkXl
863Socket774:2011/04/15(金) 08:52:47.74 ID:Yxmx/Jig
国内携帯販売、スマートフォンがケータイ抜く――GfK調べ
http://www.itmedia.co.jp/promobile/articles/1104/08/news117.html

消費者の興味はタブレットへ移行、PC出荷は苦戦……米ガートナー調査
http://www.rbbtoday.com/article/2011/04/14/76162.html
864Socket774:2011/04/15(金) 23:13:42.93 ID:iSCs0Zeg
「任天堂「プロジェクト・カフェ」詳細がリーク」
■CPU: IBM製のカスタムPowerPC 3コア
やたらとXbox 360の名前が出てきますが、01net.いわく「カフェ」は「Xbox 360のいとこ(Une cousine de la Xbox 360)」
だそうです。
アーキテクチャはXbox 360にとても似ていて、マシンパワーはXbox 360よりやや上、そして開発者にとってもフレンドリー。
Xbox 360とのマルチプラットフォームについては「子供でもできるくらい単純(une simplicité enfantine)」。

http://www.kotaku.jp/2011/04/wii2_tablet.html


誰だ、Wii後継がPPC476だとか言ってたの。
865Socket774:2011/04/15(金) 23:57:40.30 ID:iCY3l5NH
>>864
それってCPUとGPUなんかは既存の物を拝借。
またコントローラー回りに金を掛けますよって事か。
任天堂らしいっちゃらしいが、MSが嫌がりそうなハードだなw
866Socket774:2011/04/15(金) 23:58:54.92 ID:71R5U3ol
Xbo360のCPUコアだってCellのPPEからの流用だって言うじゃない
867Socket774:2011/04/16(土) 00:50:49.24 ID:K1R534z9
XBOX360のほうが1年先行で出てるから逆じゃね?
868Socket774:2011/04/16(土) 01:17:10.57 ID:pjssuijC
>>839
あのさ、キチガイバカの生活保護受給者のおっさんがCPU語るとか無理だからw
そんなことより、在日のビターもんがバカにされてると、急にビターもんを
バカにする方も悪い、どっちもどっちだみたいな暗にビターもんを
擁護する単発が湧くが、それもビターもんの自演なんだよって認めたら?
869,,・´∀`・,,)っ-○○○:2011/04/16(土) 03:56:47.17 ID:IjG782mV
YOU落ち着きなよ
チョコレートもコーヒーもビター・ブラックが最高さ
現代日本の鐚は一円玉さ
文は昔の通貨さ
江戸時代で言うと寛永通宝さ
今日も決め手のZENIが飛ぶZE!
870Socket774:2011/04/16(土) 04:10:15.33 ID:x/Juun4J
>>867
事実かどうかは知らんが、IBMがMSに情報を流したって報道はあったよ
871,,・´∀`・,,)っ-○○○:2011/04/16(土) 04:18:11.44 ID:IjG782mV
CellのPPEの部分に関してはソニーはIBMがどことライセンスしようが
関与できない契約になってたんじゃね?
もちろん、作ってくれと依頼したのはソニーだろうが。
872,,・´∀`・,,)っ-○○○:2011/04/16(土) 04:26:39.78 ID:IjG782mV
IBMに頼むとして現実問題PPE派生アーキテクチャ以外の選択肢は無いんじゃねーの?
Wii上位互換だとすればVMXとは別にGekko/Broadway互換の64ビットSIMD命令も載るんだろうな。
あとはVSXとかw
873MACオタ>団子 さん:2011/04/16(土) 13:28:21.70 ID:ju8zDUMV
>>872
 -------------
 IBMに頼むとして現実問題PPE派生アーキテクチャ以外の選択肢は無いんじゃねーの?
 -------------
むしろ A2 コアでしょう。いまさら PPE に最先端の省電力技術を実装するのは IBM としても面倒なのでは?
874MACオタ>864 さん:2011/04/16(土) 13:55:36.10 ID:ju8zDUMV
>>864
 ------------
 誰だ、Wii後継がPPC476だとか言ってたの。
 ------------
ちょうど放置していたネタですが、PPC476はG3より大きくクロックが上がったという訳でもなく、コア性能的に『G3にやっと追いついた』というレベルですので、アップグレードパスとしてはちょっと考え難いのでは?
下記資料はPPC46xとPPC47xの違いをまとめたモノです。
https://www-01.ibm.com/chips/techlib/techlib.nsf/techdocs/030D3293A4397B93852577C90050DA29
性能に関してはP.4に次のような記述があります。
 ・PPC46x: 2.0 DMIPS/MHz
 ・PPC47x: 2.71 DMIPS/MHz
PPC G3 の Dhrystone は 2.32 DMIPS/MHz 程度でした。
http://www.cn.power.org/resources/power/pdf/02.IBM.PowerPC.Products.Family.pdf

組込向けがかつてのハイエンドデスクトッププロセッサを抜いたというのは、当然の技術の進歩とは言え、個人的には感慨があります。
875Socket774:2011/04/16(土) 14:36:48.13 ID:0d7GyoVz
360とマルチにして欲しいならPPEの方がいいような
876,,・´∀`・,,)っ-○○○:2011/04/16(土) 17:20:47.35 ID:IjG782mV
32nmプロセスならPPEの3〜4コアでもコスト・消費電力ともにそれなりに小さい筐体に
収まる程度にはなるのでは?
任天堂はそれこそ「枯れた技術の水平思考」だからHD機を1から作るよりは
既存のCPUを流用したほうがマシだと考えるのは当然でしょう。

さて、問題はアウトオブオーダ実行で3+1命令同時発行のG3派生プロセッサの性能を
PPE派生で超えられるかという問題ですな。
案外Broadwayをそのまんま混載してWii互換を実現するのかもしれないけどw
877Socket774:2011/04/16(土) 18:57:53.10 ID:n6Xd0lYd
>>874

考えにくいって、あんたが最初に言い出したことだわな。
878Socket774:2011/04/16(土) 19:09:22.41 ID:h+TaDo0r
CellのPPEは恐ろしいまでに機能削ってるコアだから製造プロセス考えると拡張の余裕はあるんじゃね
879MACオタ>877 さん:2011/04/16(土) 19:11:10.60 ID:ju8zDUMV
>>877
 --------------
 あんたが最初に言い出したことだわな。
 --------------
そんなこと書きましたっけ?ソースをよろしくお願いします。
携帯機ならともかく任天堂の据置コンソールの後継機に性能の飛躍は必要そうに見えますが…
880,,・´∀`・,,)っ-○○○:2011/04/16(土) 19:37:18.71 ID:IjG782mV
BroadwayとPPEってPentium IIIとAtomみたいな関係だよね。
Atomのほうが高クロックで動き、足回りはリッチでSIMD性能はあるが
アウトオブオーダ実行のPentium IIIを完全に上回れるかというとやや不安だよね。
分岐予測も弱いし。

今回は90nmプロセスから32nmあるいはそれ以降になるわけで、クロックを上げるよりは
最深30段くらいあるパイプラインステージ数を半分くらいにして分岐予測を強化するとか
できそうだし、そっちのほうが性能が上がりそうな気はするね。
881Socket774:2011/04/16(土) 20:58:37.42 ID:8CCKY3LF
>>879

CPUアーキテクチャについて語れ 16
425 :MACオタ@続き[sage]:2010/01/25(月) 00:01:16 ID:EtwJE1f0
もう少し大胆に予測してみましょう。

まず、PPC476。 2-issue の PPC440 シリーズから一気に 5-issue OoOE に高性能化を
図りました。共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう
が、これって仕様としては明らかに PPC750 (PowerPC G3) シリーズの後継に当たります。
おそらく IBM が狙う顧客は任天堂でしょう。
882Socket774:2011/04/16(土) 21:00:20.41 ID:8CCKY3LF
ゲームハード】次世代機テクノロジー480【スレ】
7 :MACオタ>5 さん:2010/01/25(月) 01:25:00 ID:O5uRvqvI0
>>5
  ----------------
  2年後にPPE強化版Wii
  ----------------
任天堂は PPC 750 後継の PPC 476 系コアと見ます。こちらのリリース予定は4Q 2010
ですね。カスタムチップ化しそうですから、製品になるのはもっと先かと。
http://www-03.ibm.com/press/us/en/pressrelease/28399.wss
883Socket774:2011/04/16(土) 21:01:09.17 ID:Dv/0GxV3
XCGPUの32nmか28nm化+αだったりして。
GPUがR700世代になっただけとか。
Wii互換は主要チップを1チップ化して別搭載。
884,,・´∀`・,,)っ-○○○:2011/04/16(土) 21:27:06.94 ID:IjG782mV
MACオタのブーメランすげえな
885Socket774:2011/04/16(土) 22:09:23.30 ID:8CCKY3LF
>>882

>2年後にPPE強化版Wii
これ書いた奴、時期も内容もほぼ的中ですな。
そしてそれに突っ込んで、全部間違えるMACオタっていう構図。
886,,・´∀`・,,)っ-○○○:2011/04/16(土) 22:10:53.16 ID:IjG782mV
ゲハ民以下だな
887MACオタ>881-882 さん:2011/04/16(土) 23:03:54.89 ID:ju8zDUMV
>>881-882
よく読まずにコメント…という謗りは受けて当然ですが、どちらも任天堂の『携帯機』のつもりで書いてました。私自身はwiiって書いてないですよ。
>>864は『リーク』と称しているようですが、腐れルーマーの類ではないでしょうか?今度こそ外れて笑われるの覚悟で PPE は無いと書いておきます。

888MACオタ:2011/04/16(土) 23:16:57.03 ID:ju8zDUMV
ところでゲームコンソールってHD解像度の次世代機が出るような話になっているのですか?
市場が縮小しているという話しか聞こえてこないのですが…
889Socket774:2011/04/16(土) 23:32:57.80 ID:9EBtKZzZ
家庭用ゲーム機っておおむね5年サイクルで次世代機に切り替えてるから、
00年代半ばに登場した現行機(PS3、Wii、XBox360)はいずれもそろそろ
モデルチェンジ時期を迎える。
890Socket774:2011/04/16(土) 23:34:29.66 ID:Vx+SzSoF
HD解像度でフォトリアリスティック3D CGって方向性だけじゃ
進化させても売れないだろうから、KinectとかNUI方面の進化が
鍵なんだろうが、それで市場が維持できるかどうかは謎。
891,,・´∀`・,,)っ-○○○:2011/04/17(日) 00:09:26.15 ID:YV7dVUBu
>>887
それは余計に傷を深くしたな
PPC470じゃDS後継機のパワーレンジに到底収まらないことくらいサルでも解りそうだが。
案の定3DSはARM10のデュアルだった
892,,・´∀`・,,)っ-○○○:2011/04/17(日) 00:12:28.99 ID:YV7dVUBu

というか、 MACヲタほどの腐れルーマーがいるのか?

893Socket774:2011/04/17(日) 01:00:09.64 ID:ayMfYYFn
ARM10?
894,,・´∀`・,,)っ-○○○:2011/04/17(日) 01:06:29.65 ID:YV7dVUBu
え?11だったっけ。ARMv6ファミリはよくわからん。
895Socket774:2011/04/17(日) 01:18:58.96 ID:D94+EDdz
>>887

それはおかしい。
任天堂の携帯機は、ずーっとARM系でPPCは載せたことがない。これはSCEも同様だ。PSPには
MIPSが載ってるからな。対してPPCは据置き3ハードの全てに載っている。
次世代ゲーム機でPPCの話になったら、据置きしかありえない。

> PPC750 (PowerPC G3) シリーズの後継に当たります。
と書いたら、自動的にPPC750CLカスタムが載ってるWii以外に、なんの話をしてるんだ?
ARMを載せてきた任天堂の携帯ハードに、PPC750の後継がどうのこうの何て全く関係ない話だろう?

そもそも>>882の引用に、はっきり「Wii」と引っ張っておいて、携帯のつもりでしたはないだろう?
自分で引用したんじゃないのか?

  ----------------
  2年後にPPE強化版Wii
  ----------------
って。
896,,・´∀`・,,)っ-○○○:2011/04/17(日) 02:23:55.66 ID:YV7dVUBu
http://logsoku.com/thread/dubai.2ch.net/ghard/1264349129/

なるほど、記録に残るってのはこういうことなのか
897MACオタ>895 さん:2011/04/17(日) 03:56:38.79 ID:q3V77qUk
>>895
 ----------------
 対してPPCは据置き3ハードの全てに載っている。
 ----------------
あのカキコミの時点では深く考えていませんでしたが、その後調べた範囲では Wii 独自の機能は ARM で実現していると聞いています。PowerPC は互換性維持のために載せているような気も…
仮に PowerPC 採用するとすれば A2 の可能性が最も大きいと思いますが、ARM にゆるやかに移行するのがコモディティハードウェアを選ぶ任天堂らしい選択に見えます。
http://en.wikipedia.org/wiki/Wii_system_software
 ================
 The Wii's firmware is in the form of IOSs (thought by the Wii
 homebrew developers to stand for 'Input Output Systems' or
 'Internal Operating Systems'[2]), which run on a separate ARM
 architecture processor to other Wii software (nicknamed Starlet
 by the Wii homebrew community, as it is physically located inside
 the graphics chip, the Hollywood, so it is a small part of Hollywood).
 ================
898Socket774:2011/04/17(日) 07:40:36.83 ID:QnxKomIi
何気に他にもブーメラン喰らってるんだなオタ
9 :MACオタ :2010/01/25(月) 01:29:21 ID:O5uRvqvI0 (6 回発言)

前スレに書いたコレ、どなたかご意見いただけますか?
  ---------------------
  ところでMSは「同世代で性能を(上にも下にも)変えない」という掟を守る
  保証はあるのでしょうか?
   - バージョンごとにUIを変えるWindows
   - 圧倒的なシェアNo.1なのに、リボンUIを強制して悪評紛々のOffice
   - コンソールもHDD有り無しが混在
  と、企業風土的に色々臭いところがあるような…
  ---------------------

統合チップにわざわざ機能ブロック追加してまで性能維持してるっつうの
899Socket774:2011/04/17(日) 08:50:23.93 ID:se1TIFHE
>>890
とはいえ、競合(してるというには弱いがw)のPS3や360はすでにHDなんで
安く出せるならHD機がほしいとこではあるね任天堂
900MACオタ>898 さん:2011/04/17(日) 09:39:23.40 ID:q3V77qUk
>>898
 --------------
 統合チップにわざわざ機能ブロック追加してまで性能維持してるっつうの
 --------------
この件、私もXCGPUの発表で納得しました。
でも正当な技術的可能性を語って恥とは思いません。そもそも『ブーメラン』は正当な予測の方を叩いたり馬鹿扱いして悦に入ってるヒトに成立するような…
901Socket774:2011/04/17(日) 10:07:31.28 ID:D94+EDdz
>>895

いや、その前にPPCの話をしておいて、携帯のつもりでしたってのが正しくないことを認識してよ。
PPCの次世代機の話をしてたんでしょ? それなら通常読み手は携帯機の話だとは思わない。

それ以前に自分で
  ----------------
  2年後にPPE強化版Wii
  ----------------
と引用しておきながら、Wiiの話じゃなくて携帯機の話をしていたと言うなら、議論以前に
会話が成り立たない。普通の人は引用したら、「ああ、PPE強化版Wii」と思うんだよ。
あなたがそれを認めず、今後もその調子で書き込まれたら迷惑千万な話だ。

あなたがそういう日本語のお約束ができない人間だと宣言するのか、何かを間違えたと認
めるのか、どっちかにしてください。
902Socket774:2011/04/17(日) 10:20:09.94 ID:D94+EDdz
>>901
引用は>>897の間違いです。
903MACオタ>901 さん:2011/04/17(日) 10:40:15.92 ID:q3V77qUk
>>901
当時、少々思い込みがあって書いていたことは>>887
 ---------------
 よく読まずにコメント…という謗りは受けて当然ですが、
 ---------------
とお詫びしておりますが、これを読まずに非難を続けるのは、『議論以前に会話が成り立たない。』行為とは思わないのでしょうか?
904Socket774:2011/04/17(日) 11:31:55.94 ID:+vRBf6mY
その後に続けてるんだから…
言っとけば良いってもんじゃないでしょ
905Socket774:2011/04/17(日) 12:09:22.62 ID:D94+EDdz
>>903

ほう。つまり

「2年後にPPE強化版Wii」

をコピペし、上下に
---------------
をつけているにも関わらず、「内容を読んでいない」ことがあるというわけだね?
これから読む方は、あなたがこういう引用していても全く関係ないことを書いている
可能性を考えてチェックする必要が出てくるわけだ?

あなた日本人か、本当に。
906,,・´∀`・,,)っ-○○○:2011/04/17(日) 12:44:33.84 ID:YV7dVUBu
愚者は言い訳に忙しい、とはよくいったものだ
907Socket774:2011/04/17(日) 12:46:41.99 ID:jYahssxJ
ゲームネタが来るとなんだかんだで伸びるな
908Socket774:2011/04/17(日) 12:47:57.41 ID:HiTpH42Q
ゲハ脳は空気読めない基地外が多いからな
909Socket774:2011/04/17(日) 14:31:09.05 ID:hM9myBXh
これまで見てきたコテハンに一人の例外もいないというのがよくわかる流れ
910Socket774:2011/04/17(日) 19:57:37.57 ID:a5Ei0jMl
なんかゲハでは任天堂がコアゲーマー捕りに行くみたいに言われてるけど、
噂されてるスペックからしてそれはねーだろw て感じだな。
むしろ思いっきり無視してるように、俺には見える。

だってそうだろ?
2012年末発売で360よりちょっと上って感じの性能だぜw
360やPS3で遊んでて、自分をコアゲーマーと認識してる奴からしたら、
これで次世代名乗んのかよ!って馬鹿にされた気分だろうw
だったら奴らは任天堂には手を出さず、720やPS4をおとなしく待つだろうな。

任天堂からしたら、やはりどうでもいい話だがw
911Socket774:2011/04/17(日) 20:19:38.85 ID:se1TIFHE
ほんとのコアなら全機種買いだろうからおいといて、高価な高性能機って選択はないと俺も思うな
PS3といい3DSといい、その戦略でコケるパターンがこのところ相次いでるし

まあ、360よりちょい上なら、やっとPS3世代機を任天堂も出すのかって感じだね
912Socket774:2011/04/17(日) 20:51:53.63 ID:V7WNdGhG
>>895
ゲームボーイはZ80です
913Socket774:2011/04/17(日) 21:14:22.07 ID:1GLy9q7K
>>903
これからは自身の間違いを認めないコテの妄想文に付き合う必要性は無いな。
914MACオタ>905 さん:2011/04/17(日) 21:14:51.18 ID:q3V77qUk
>>905
 ---------------
 チェックする必要が出てくるわけだ?
 ---------------
ディスカッションの場なのですから、疑問があれば突っ込むのは当然はないでしょうか?
やたらに日本人であることにアイデンティティを感じているようですが、『静聴するのが日本的』と思っているのなら、それは悪しき習慣でしょう。

そもそも IDF にしろ、Power.org の会議にしろ、日本を飛ばして中国/中国語で行われる昨今、わざわざ日本語で技術論を語るのは日本人以外にいる訳もないでしょうに…
915Socket774:2011/04/17(日) 21:23:42.12 ID:1GLy9q7K
>>914
ちょっと顔なり洗ってきて頭冷やせ。
916MACオタ:2011/04/17(日) 21:29:46.68 ID:q3V77qUk
中国と言えば、中共の国策プロセッサ開発プロジェクト、『龍芯』は企業化して TSMC 28-nm での製造予定とか。
http://semiaccurate.com/2011/04/15/china-to-fab-its-own-microprocessors/
 ----------------------
 He (中国科学院コンピュータ研究所 Weiwu Hu 教授) has now set up Longhorn -- a commercial company to further the development, sales and marketing. Longhorn currently has 300 employees, but Weiwu expects it to expand to about 1,000 in 3 years.
 So far Longhorn has used a foundry for manufacturing, but that is about to change dramatically.
 ----------------------
現在、龍芯3Bは 65-nm で 8-core、3Cは 16-core 化と伝えられてます。45-nm をとばして 28-nm に進むということで、微細化で更なるマルチコア化を進めるのか、コア強化を図るのかは注目でしょう。
917Socket774:2011/04/17(日) 21:33:17.87 ID:se1TIFHE
どっちも頭冷やせ
918Socket774:2011/04/17(日) 21:46:42.65 ID:rxLKztBX
マヒー
919Socket774:2011/04/17(日) 21:49:59.33 ID:fRjBLrDr
マイヤヒー
920Socket774:2011/04/17(日) 21:53:00.68 ID:XDPRjPYy
アイデンティどうのこうのじゃなくて文法がおかしい事を指摘してるだけだろ
相変わらず話そらし言い訳番長だな
921Socket774:2011/04/17(日) 23:03:42.95 ID:cTOc2KrL
オタさんには珍しいチョンボだなあ
922,,・´∀`・,,)っ-○○○:2011/04/17(日) 23:18:16.47 ID:YV7dVUBu
よくあることです。
ごまかせば見逃してくれると思ってるからこういう対応なだけで、これもいつものこと。
今回は食いつかれた相手が悪かっただけ。
923Socket774:2011/04/17(日) 23:32:37.26 ID:cTOc2KrL
普段はやばげになると姿消すんだけど
今回は変な言い訳して墓穴掘っちゃった
オタさんにしちゃ珍しいよ
924Socket774:2011/04/17(日) 23:38:43.30 ID:D94+EDdz
>>914
>疑問があれば突っ込むのは当然はないでしょうか?

普通は、そんなところは突っ込まないでしょ。
「2年後にPPE強化版Wii」と引用してたら、当然Wiiのことを話していると読み手は理解する。
これはもう、会話の基本中の基本で、それができない人は根本的に意思疎通ができない人だよ。

まぁいいや。自分で「まともな会話ができない人」と宣言するなら、それでいいけどね。
これから先、あなたにレスつけるときは、「この引用の内容を書いてますか?」といちいち確認
する要があると、わかっただけでも収穫だったと言うべきか。
925Socket774:2011/04/20(水) 15:47:08.45 ID:fHGZ0ES3
Gartner、世界の半導体市場シェアを発表……Broadcomが初のトップ10入り
http://www.rbbtoday.com/article/2011/04/19/76311.html
926Socket774:2011/04/20(水) 18:37:31.63 ID:wAxfIoTD
BrodcomってLANのチップ作ってるってイメージしか無いな。
927Socket774:2011/04/20(水) 23:27:03.32 ID:hhDaoYgy
Brodcomはh.264とかのデコーダチップでよく見るよ
DLNA再生機とか安価なメディアプレイヤとかでよく見る
928Socket774:2011/04/21(木) 18:15:27.24 ID:rE+Rhpe4
ttp://www.gartner.co.jp/press/html/pr20110418-01.html

Broadcomが競合するものの多いQualcommに肉薄した、という見方でいいのかな
929Socket774:2011/04/21(木) 22:06:59.38 ID:AENOa1SY
930Socket774:2011/04/27(水) 21:46:15.50 ID:TWDXB3Qg
ARMがAMDに売り込みかけてるってさ

ARM working on AMD to drop x86
http://www.eetimes.com/electronics-news/4215518/ARM-working-on-AMD-to-drop-x86
931Socket774:2011/04/28(木) 00:56:32.31 ID:Ncoy35RH
イギリス人なに考えてるんだか。今さらAMDがARMやっても誰も得しないぞ。
片手間でやるならいいけど。
932Socket774:2011/04/28(木) 19:25:44.01 ID:DqSjBmf9
工場売却しちまったからな
933Socket774:2011/04/29(金) 10:42:19.80 ID:FcO/fcty
やっぱり団子は理解してないんだな。

>>533
> ちなみにCortex A9はリオーダバッファを実装していない

リオーダバッファはあるぞ。OoO Write back stageというのがそれだ。
934,,・´∀`・,,)っ-○○○:2011/04/29(金) 10:59:30.06 ID:c15ZwRFu
インオーダはA8のほうだったっけな。A9ってA8と比べて性能伸びて無い気がするんだが。
935Socket774:2011/05/04(水) 10:51:30.62 ID:Aj8PRwwR
IntelがAppleのARMをFabりたかってるんじゃないかってさ

Intel looking at Apple's ARM chips, says analyst
http://www.thinq.co.uk/2011/5/3/intel-looking-apples-arm-chips-says-analyst/
936Socket774:2011/05/04(水) 10:52:16.23 ID:Aj8PRwwR
×Fabりたかってる
○Fabりたがってる
937Socket774:2011/05/04(水) 15:52:17.83 ID:Ajl/mwiA
Intelはファウンダリ事業を始める
パートナーは高利のApple
Arm勢の中で高価格帯を独占するAppleを取り込めば
Intelにとってx86vsARMなどどうでもよくなり
Intelvsその他ファウンダリの構図に変わる
プロセス先行そのままに勝利が確定する
938Socket774:2011/05/04(水) 17:34:07.71 ID:3NILUH3L
Atom終わったなw
939Socket774:2011/05/04(水) 18:57:12.52 ID:8Wjm0ZLg
Apple印になったA4・A5がサムスンで作られてるのは
3層PoP(Package-on-Package)構造が他じゃ作れないかららしいが・・・
940Socket774:2011/05/05(木) 00:09:55.50 ID:4fDQnr6B
そういや最近、サムソンとAppleって訴訟合戦やってたな
941Socket774:2011/05/05(木) 13:23:15.30 ID:J/UfjFeY
>>916
そういやこれってMIPS専用になったんだっけ?

マルチ化を進める場合、コア結合バスをどうするか、が肝だと思うが、AMDやIntel
とは違う独自な方法を目指したりするのかな?
942Socket774:2011/05/05(木) 17:38:51.72 ID:kwPJJuIL
>>941
SSEと1対1対応になるSIMD拡張命令を実装して
CMS方式でx86互換を目指しているらしい。
943Socket774:2011/05/05(木) 19:00:47.09 ID:lXJSRWrh
Transmetaとな。
944MACオタ>941 さん:2011/05/05(木) 20:23:40.96 ID:WcPfhOtP
>>941
 --------------
 コア結合バスをどうするか、
 --------------
AXI bus とのことですから、ARM標準に乗る様です。
新しい目の技術資料は昨年の Hot Chips のサイトからどうぞ。
http://www.hotchips.org/index.php?page=hot-chips-22
"GS464V: A High-Performance Low-Power XPU with 512-Bit Vector Extension"
945Socket774:2011/05/06(金) 02:46:20.92 ID:i/NvVwcp
インテルの立体構造のはまだ話題になってない?
946MACオタ>945 さん:2011/05/06(金) 03:42:14.34 ID:loAjc1br
>>945
十分話題になっていますが、さすがにプロセスに関する詳細は公開されていません。
期待されるメリットはこちら。
http://download.intel.com/newsroom/kits/22nm/pdfs/22nm-Details_Presentation.pdf
947MACオタ:2011/05/06(金) 04:55:14.57 ID:loAjc1br
POWER7搭載のスーパーコンピュータ、BlueWateres 用のノード。(下記リンクの写真の様なヤツ)
http://journal.mycom.co.jp/articles/2010/01/03/supercomputer2010/index.html
特にプレスリリースは出ていなかったような気がするのですが、Power 775 として販売されるようです。
http://www-03.ibm.com/systems/power/hardware/775/index.html
- 256-core/node
- up to 2TB/node (128-DIMM slots)
- Water Cooling
- Operating Systems: AIX 7.1
948MACオタ@補足:2011/05/06(金) 05:05:53.94 ID:loAjc1br
上の Power 775、とりあえず一般に販売されるのは 3.83 GHz とか。
 ------------------
 Densely packaging up to 3,072 POWER7 processor cores per rack, each one running at 3.83 GHz, the 256-core Power 775 supercomputing node is designed for speed and tuned for performance.
 ------------------
949Socket774:2011/05/08(日) 10:02:36.80 ID:wiVhQ1jN
当然出てくるよな
ARM Macになるぞーって吹く奴が
950Socket774:2011/05/08(日) 15:25:18.70 ID:sFXYj0M5
>>942
まだそんな事を目指してるのか。

まあ某国のもんじゅと同じで、一旦始めちゃうと止められないんだろうけどね。官需絡みは。
951Socket774:2011/05/08(日) 21:37:51.76 ID:3t9jwA3D
もんじゅが止まったのは研究開発の失敗じゃなくて単なる設計ミスによる事故が原因だからそのたとえは本質的じゃないな
952Socket774:2011/05/08(日) 22:51:05.27 ID:prpmpuQG
IDにMPU記念カキコ

核燃料廃棄物を処理することを主眼として
増殖を諦めて炉心の燃料棒の密度を下げて
ナトリウム冷却止めればいいんじゃね?
増殖はもう尖閣の油田とかあるしイラネ
と思うのだが素人なのでよくわかりません
953Socket774:2011/05/08(日) 23:59:32.08 ID:T3aVfVsj
>>952
増殖諦めてU235だけで賄おうとすると石油より先に枯渇する
化石燃料はCO2排出がry
954Socket774:2011/05/09(月) 01:07:13.12 ID:Ji/7STZ3
いくら尖閣に油田があろうと採掘しなければ絵に描いた餅だ。
955Socket774:2011/05/09(月) 01:07:54.28 ID:Ji/7STZ3
ってここCPUアーキテクニチャースレじゃん。逆に誤爆したかと思ったわ。
956Socket774:2011/05/09(月) 01:23:16.23 ID:GMtYQZJ2
ブルドーザーどうなってんの
957Socket774:2011/05/09(月) 07:46:07.96 ID:DtyU3A30
CPUとビデオカードの熱で発電しよう。
ケースファンぐらいはまわせるんじゃないかw
958Socket774:2011/05/09(月) 20:57:28.40 ID:Iq/iOsLP
アップルが2013年からノートPCにARMプロセッサを採用へ――ニュースサイトが報道
http://www.computerworld.jp/topics/move/191477.html

団子さんが「ねーよ」と言って一週間もしないうちにこれである。
どこまでの確かさがあるかは不明だけど、
本当だとしたら団子さんの逆神っぷりは感動的にすげーわ…。
959Socket774:2011/05/09(月) 22:50:06.38 ID:GMtYQZJ2
なんにせよ競争はいいことだ
960Socket774:2011/05/10(火) 00:07:49.96 ID:CPEc9fu+
>>958
どこにも公式発表は書かれてないだろ。
世の中の通念とかけ離れている証明にはなっても逆神かはまだ確定ではない。
961Socket774:2011/05/10(火) 00:32:08.63 ID:WzLkwZVn
元ネタはデマ氏の記事だろ
962,,・´∀`・,,)っ-○○○:2011/05/10(火) 12:14:52.76 ID:8dES4uQW
ソースは全部同じwwww
頭悪いんじゃねーの?
963,,・´∀`・,,)っ-○○○:2011/05/10(火) 12:17:05.36 ID:8dES4uQW
> 団子さんが「ねーよ」と言って一週間もしないうちにこれである。
俺がいつ無いなんて言った?引用してみろよw
964Socket774:2011/05/10(火) 13:57:11.23 ID:ZbDeXACA
つーかARMのはiPhoneとかとおなじiOSでOSXとは話が違うんじゃねーの?
965Socket774:2011/05/10(火) 14:16:35.46 ID:CPEc9fu+
iOSはOSXのサブセットと言われるので
iOSの話だとしても全く違う話とも言い切れない。
966Socket774:2011/05/10(火) 14:46:05.51 ID:2H8nYJqL
いろんな汁で汚れたコスを、嬢がすっぱだかの上に着るのに興奮します
967Socket774:2011/05/10(火) 15:38:39.17 ID:Rz5e/Dur
966が息してない
968,,・´∀`・,,)っ-○○○:2011/05/10(火) 15:46:05.72 ID:8dES4uQW
茶利デマの頭の沸き具合は有名だな。
こいつがいくら的外れな記事書いてきたと思ってるんだ。
確かな「情報筋」なんて持ってねーだろ。

そしてMSがSkype買収
969Socket774:2011/05/10(火) 15:50:56.65 ID:rsTfRfQb
嫁に見えてウラヤマ…と思った俺w

ARMの話はAppleがネットブックを出すと考えると割に妥当な気が。
教育向けにもうDVDは要らなくて、必要なのはキーボードだけなんだよ、きっと。
970,,・´∀`・,,)っ-○○○:2011/05/10(火) 15:55:43.78 ID:8dES4uQW
いまどきFlash完全否定とか斜め上をいくAppleのやることですからね
971Socket774:2011/05/10(火) 16:04:58.69 ID:15kTQWBK
>そしてMSがSkype買収
まだ未決定だってば。交渉期限は9日。
972Socket774:2011/05/10(火) 16:07:19.92 ID:WTYUVjEu
チャーリーがアテになるのはNVIDIA叩いてるときだけ
973Socket774:2011/05/10(火) 16:08:13.19 ID:15kTQWBK
9日って昨日じゃん。すまん。
ウォール・ストリート・ジャーナル報道によるとほぼ決定なのか。
974Socket774:2011/05/10(火) 16:23:25.03 ID:Rz5e/Dur
>>968
Intelの中の人とは知り合いが居るらしくNehalemの記事ではお世話になったけどな
INQから独立してサイト埋めるための飛ばし記事が増えたな
975Socket774:2011/05/10(火) 16:54:41.99 ID:KwSiZD56
976Socket774:2011/05/10(火) 22:20:10.73 ID:skHTFJNi
とうとう俺のjornadaやちょいパソにiOSが輝く時が来るのか………!
977Socket774:2011/05/10(火) 22:22:09.27 ID:CGqgYPyq
へぇ
978Socket774:2011/05/11(水) 02:44:49.72 ID:8noDtmuT
iPadの27インチモデルをまず出せ
話はそれからだ
979Socket774:2011/05/11(水) 06:05:42.32 ID:Zf04HwZu
>>978
画板、屋外写生スタイルですね、わかります
980Socket774:2011/05/11(水) 13:31:45.80 ID:e2vuux1n
米Intelは4日(現地時間)、22nm世代のプロセッサで世界初となる3次元型トライゲートトランジスタを採用し、2011年末より製造開始すると発表した。
て今知ったけど、あまり大きなニュースになってなさそうだけど、
プレーナ型のトランジスタ構造からの進化って、30年か、40年ぶりの大革命じゃね。
981Socket774:2011/05/11(水) 13:37:12.17 ID:qbDWeuWK
>>980が知るのが遅かっただけで、PCや技術系のニュースサイトは
大抵取り上げてたけど?
982Socket774:2011/05/11(水) 13:54:17.32 ID:e2vuux1n
知らないのは、俺だけだったのかーー
でも世間一般の反応は、なんかすごそー、とか淡々としてるね。
983Socket774:2011/05/11(水) 14:13:25.39 ID:vbBZlaNw
既定路線だし実物は先だし
intel早いなくらいしか感想がない
984Socket774:2011/05/11(水) 15:31:44.23 ID:Zf04HwZu
インパクトが小さいわけじゃないが
考案、実証から量産までの年月がくそ長いから一時に体感しないだけだ
985Socket774:2011/05/11(水) 20:16:24.29 ID:/NV0Fw4x
>>982
トランジスタの改良は今一何がよくなるのか解りにくいからな。
986Socket774:2011/05/11(水) 20:18:54.40 ID:JWjbs5uf
次スレは
987Socket774:2011/05/11(水) 20:20:18.01 ID:BxjnL8/3
研究段階じゃすでに色んな未来技術が発表されてるってのも大きいかもな
FinFETを量産にこぎ着けたのは凄いんだが未来技術と見比べると見劣りするという(当たり前だが

今回のFinFETには歪Si使ってるのかな
数年前に東芝あたりが歪Siの適用について発表してた気がするけど、量産性を考えると第一世代じゃ無いかな
988Socket774:2011/05/12(木) 00:26:30.74 ID:UNzWJ5vs
全ての要素技術を統合できるようになったから量産プロセスに適用されたんだよ
つまりHigh-k/Metal-gateを量産できてないIBM等のIDMやファウンダリはFinFETも量産できないということ
989Socket774:2011/05/12(木) 05:15:23.92 ID:cX4CcMmx
>>987
http://journal.mycom.co.jp/articles/2011/05/09/intel/index.html
当然のように歪Siですね。
後には化合物が控えているというけど、はてさて。
まあ、暫くは量産プロセスでのインテル無双は止まらんでしょう。
990Socket774:2011/05/12(木) 16:22:06.58 ID:fS+GHLWq
暫くも糞も遥か昔からずっと無双だわアホか
991Socket774:2011/05/12(木) 17:58:48.57 ID:ePOFt7wP
別に今までそうじゃないとは書かれてないから
 (今後も)しばらくは と読んだけどな
992Socket774:2011/05/12(木) 18:05:10.40 ID:3bWeLHeJ
これからもIntelの無双が続くなんて書かれなくても分かりきっていたこと
993Socket774:2011/05/12(木) 18:11:23.74 ID:fL1Bjpse
最近はエミッタ、コレクタ、ベースはご無沙汰なんですか?
994Socket774:2011/05/12(木) 18:32:33.55 ID:cX4CcMmx
>>990
>アホか
つ(鏡)
90nmあたりでリーク目標未達の駄々漏れプロセスを作っちまって、
Pentium4の路線を大幅に修正することになった事実を忘れるとはw
995Socket774:2011/05/12(木) 18:56:29.69 ID:AsNDEelS
プロセスルールや製造技術の発展の話をしてるんじゃないのかね。
大昔のPC雑誌を見る限り、AMDのCPUが同クラスのIntelCPUよりも高いクロックで回ってても一回り大きなプロセスルールを使ってるってことはままあったみたいだし。
996Socket774:2011/05/12(木) 19:19:40.17 ID:fS+GHLWq
プロセスと設計の違いも解ってないアホでしたね
997Socket774:2011/05/12(木) 19:26:02.76 ID:cX4CcMmx
>>995
AMD対インテルの軸で言うと、プロセスルールに関しては
0.18uでは全く、90nmでもたいした差はついてないですね。

>>996
そもそも、オレは量産プロセスと言っただけで、プロセスルールなどとは
一言も言っていないわけだが?文盲なのかwww
998Socket774:2011/05/12(木) 19:31:22.30 ID:cX4CcMmx
>>996
そもそもこれが設計だけの問題か?真性のバカだろw
ttp://journal.mycom.co.jp/special/2004/trendspring/index.html
999Socket774:2011/05/12(木) 20:05:08.53 ID:AsNDEelS
新スレは?このスレで終焉を迎えるの?
1000Socket774:2011/05/12(木) 20:09:26.74 ID:sDkc8iIc
・想定以上のリーク電流≒想定以上の消費電力
・バスインターフェイスの電圧振幅が規定されたスペックに合わない(μPGA478版Prescottのみ)
という事は周知の事実だし、
回路設計で対策できたことも事実。

107 :Socket774 [sage] :2005/08/31(水) 19:47:45 ID:ZL7v5pz5
http://pc.watch.impress.co.jp/docs/2003/1010/kaigai031.htm
同じ90nmのDothanの遅れについては、現在、消費電力と熱が原因らしいことがほぼ
明らかになっている。そして、同じ90nm世代のPrescottの抱える問題もここにあるようだ。

http://pc.watch.impress.co.jp/docs/2003/1027/kaigai038.htm
現在のBステップのμPGA478版Prescottを搭載した場合、バスエラーが発生
するケースが見つかったことにあるという。その原因は、バスインターフェイスの
電圧振幅が、規定されたスペックに合わないケースが出たからだという。

314 :Socket774 [sage] :2008/03/22(土) 02:56:56 ID:cW/iC8Gj
Intelの90nmは低消費電力

http://www.xbitlabs.com/articles/cpu/display/pentiumm-780_9.html
http://journal.mycom.co.jp/articles/2006/12/20/marvell/001.html (intel開発、intel生産)
10011001
1台のマシンが組み上がりました。。。
新しい筐体を用意してくださいです。。。。

         自作PC板@2ch http://hibari.2ch.net/jisaku/