【CRISC】CPUアーキテクチャについて語れ【EPIC】3

このエントリーをはてなブックマークに追加
1>∀<)っ-○●◎- ◆Pu/ODYSSEY
【天麩羅】

お前らいい加減、無能なAMD房・Intel房に振りまわされず、
エンコ時間がどうとかPIがどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、

フリップフラップ回路が小さいPentium Mマンセー、
CISCなのに内部はRISCなPentium 4マンセー、
x86なのに32/64bitコンパチなOpteronマンセー、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
2Socket774:2006/02/04(土) 18:48:52 ID:a8Z41XR/
2het
3>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 18:49:32 ID:/wNwBa14
VC++の最適化がとてつもなく糞な件

; Listing generated by Microsoft (R) Optimizing Compiler Version 13.10.3077

; (中略)
PUBLIC?transpose@@YAXQAD0@Z; transpose
; Function compile flags: /Ogty
; File c:\documents and settings\fusianasan\my documents\hoge.cpp
_TEXTSEGMENT
_a$ = 8; size = 4
_b$ = 12; size = 4
?transpose@@YAXQAD0@Z PROC NEAR; transpose

; 4 : void transpose(char a[8], char b[8]) {

pushebp
movebp, esp
andesp, -8; fffffff8H

; 5 : __m64 m = *((__m64*)a);

moveax, DWORD PTR _a$[ebp]
movqmm0, MMWORD PTR [eax]

; 6 : for (int i = 8; i-- ; ) {

movecx, DWORD PTR _b$[ebp]
moveax, 8
$L948:

; 7 : b[i] = _m_pmovmskb(m);
; 8 : m = _m_paddb(m, m);

movqmm1, mm0
deceax
pmovmskb edx, mm0
paddbmm1, mm0
movBYTE PTR [eax+ecx], dl
movqmm0, mm1
jneSHORT $L948

; 9 : }
; 10 : _m_empty();

emms

; 11 : }

movesp, ebp
popebp
ret0
?transpose@@YAXQAD0@Z ENDP; transpose
_TEXTENDS
END
4>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 19:05:50 ID:/wNwBa14
同じコード@ICC 8.1

; -- Begin ?transpose@@YAXQAD0@Z
; mark_begin;
IF @Version GE 612
.MMX
MMWORD TEXTEQU <QWORD>
ENDIF
IF @Version GE 614
.XMM
XMMWORD TEXTEQU <OWORD>
ENDIF
ALIGN 4
PUBLIC ?transpose@@YAXQAD0@Z

?transpose@@YAXQAD0@ZPROC NEAR
; parameter 1: 8 + ebp
; parameter 2: 12 + ebp
$B1$1: ; Preds $B1$0
push ebp ;4.38
mov ebp, esp ;4.38
and esp, -8 ;4.38
mov edx, DWORD PTR [ebp+8] ;4.6
movq mm0, QWORD PTR [edx] ;5.23
mov eax, DWORD PTR [ebp+12] ;4.6
mov edx, 7 ;6.18

; LOE eax edx ebx esi edi mm0
$B1$2: ; Preds $B1$2 $B1$1
pmovmskb ecx, mm0 ;7.12
mov BYTE PTR [edx+eax], cl ;7.5
paddb mm0, mm0 ;8.9
add edx, -1 ;6.18
cmp edx, -1 ;6.3
jne $B1$2 ; Prob 90% ;6.3
; LOE eax edx ebx esi edi mm0
$B1$3: ; Preds $B1$2
emms ;10.3
; LOE ebx esi edi
$B1$4: ; Preds $B1$3
mov esp, ebp ;11.1
pop ebp ;11.1
ret ;11.1
ALIGN 4
; LOE
; mark_end;
?transpose@@YAXQAD0@Z ENDP
_TEXTENDS
_DATASEGMENT DWORD PUBLIC FLAT 'DATA'
_DATAENDS
; -- End ?transpose@@YAXQAD0@Z
_DATASEGMENT DWORD PUBLIC FLAT 'DATA'
_DATAENDS
END
5Socket774:2006/02/04(土) 19:24:21 ID:fGs8Vom0
その例ではVC++よりICCの最適化が優れている事はわかったが何が言いたいの?

斜め上を突っ走る人間のする事は理解できん。
6>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 19:29:54 ID:/wNwBa14
VC++がアフォなだけかと。
7Socket774:2006/02/04(土) 20:03:27 ID:heuhKxUf
>>2-6
板違い帰れ。
8>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 20:16:16 ID:/wNwBa14
もっとも、このコード自体あんま良くない。
アンロールしても依存関係で並列化できるところが少ないし。
分岐ペナルティの話にしてもPentium Mならループ専用の分岐予測器があるので完全ノーミスでもおかしくない(これは良いことだけど)

この例だと_m_psllq(m, 1);で代用可能なのでいいんだが、どーやら両引数に同じ変数を入れると常に無駄なコードを吐くらしい。
_m_pxor(m, m);でレジスタクリアするとか。まぁこれは_mm_setzero_si64()を使えばいいのだが、
_m_pcmpeqb(m, m)とか、 _mm_setone_si64とかないから、どうしようもないじゃないですか。
全ビット立てたQWORD値を読み込んだほうが速いです、マジで。使えません。

まともに最適化ノウハウを教えないIntelが悪いのか、MSがタコなのかは知らないけど
ここまでコンパイラがアフォだからこそ逆に、x86ではASM直書きする価値があるんですわ。

GCCやCWのAltiVec拡張はASMで書き直す必要ないくらいまともなコード吐いてくれる。
Cレベルでソフトパイプライニングは余裕。というかレジスタ決めうちじゃない分Cのほうがやりやすい。
アルゴリズムのチューニングに注力できる。

Mac開発者これから大変だな。GCCもVC++と似たようなレベルよ。
9Socket774:2006/02/04(土) 20:23:46 ID:jHMZW75q
Mac用にもIntelがコンパイラ提供するんだろ?
10>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 20:33:09 ID:/wNwBa14
IntelがLinux版程度のものでも無償提供するかどうかは疑問だけどね。
また、IntelにしてみればObjective-C対応のコンパイラなんて作ってられないだろうし、
そうなるとコンパイラの使い分けが必要になったり。どちらにしろ開発者は苦労するね。

AppleがC/C++向けのフレームワークの開発再開してくれれば俺は喜んで禿について逝く。
Cocoa大嫌い。
11Socket774:2006/02/04(土) 20:54:50 ID:RVjdZHR5
変な粘着が住み着いてしまいましたねorz
12Socket774:2006/02/04(土) 21:22:16 ID:DNyS4NCb
細粒度のデータフローマシンがお好き?
13Socket774:2006/02/04(土) 23:29:19 ID:9T+qF3Go
>>糞固定
前のスレでも我慢してたがCPUアーテクチャを語るスレだといい加減気づけ。
というか、何を語りたいのか意味不明だから勘弁してくれ。
文章垂れ流しをしているようにしか見えないから。チラシの裏に(ry
いずれにせよ、かなりスレ違いだからさ、その辺を空気読めよ。
14>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/04(土) 23:57:24 ID:/wNwBa14
まぁなんだ、CPUアーキテクチャの特性を知らずしてソフトの最適化を語るほど愚かではないから
基本的に突っ込まれたら知ってる範囲で問答しようぜよ。

何ならDinamic BindingやPolymorphismなどのオブジェクト指向的機能を取り入れた
コードを処理するのに有効な間接分岐予測の実装について小一時間でも。
MacOSはPentium Mベースのアーキテクチャに移行して正解かもわからんね。
JavaとかObjective-Cでありがちなスタック処理も間接分岐も強い。
こういう切り口でいくらでも議論は成り立つと思うがな。

無論当方とて別板別スレの同窓会やりたい気はないのだが、ストーカーって怖いものだね。
15Socket774:2006/02/05(日) 00:02:38 ID:L0pHnhnR
IBMはもうPC用よりもゲーム用等に適した作りのチップを作る事に力を入れたいから切り捨てたって事なんでしょうね、きっと…
16Socket774:2006/02/05(日) 00:24:29 ID:e3rOXwOO
サーバ用マルチコアの習作なんじゃないの?
17Socket774:2006/02/05(日) 00:33:50 ID:bVzEMXwj
> 知ってる範囲
公園の水溜りより狭く浅い知識で語られても迷惑千万だからもうくんな。
18>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/05(日) 00:36:30 ID:R0hFAHW0
これでもR8CやSHも叩いたことあるが。あとGeForceのシェーダもな。
19Socket774:2006/02/05(日) 00:39:45 ID:bVzEMXwj
その程度の基礎的なことで知識があると勘違いしているのか。
いい加減そのみかん箱より狭い世界から外に出たほうがいいぞ。
20>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/05(日) 00:42:27 ID:R0hFAHW0
>>19は博学らしいのでさぞ語ってくれるのだろうなwww
21Socket774:2006/02/05(日) 01:00:26 ID:FSQuBh5V
お前の個人スレじゃないんだから、
もちっと発言を減らせ。
22Socket774:2006/02/05(日) 02:11:29 ID:Jfoz0fjZ
>>団子
VC++の最適化が気に入らないならMS news groupや開発者blogとかに書けばいい。
意外にまともに対応してくれる事もあるぞ。まぁ、あのコードの意味は突っ込まれるかも
しれんがな・・・

gccの最適化が気に入らなければ・・・中略・・・自分でやれって言われるかもしれんがな・・・

どちらにせよDinamicとか書いている英語力では(ry

ところでRISCとCISCはカバーしているがEPICは叩いた事ないのか?
23Socket774:2006/02/05(日) 02:30:25 ID:bVzEMXwj
>>20
さんざ語ってきてるし他の連中も語ってきてるし、
何よりお前間違い指摘されても理解できてねーし。
そのちり紙より薄いプライドに泥塗られて火病る前にちったぁ雰囲気読め、吉外。
24Socket774:2006/02/05(日) 02:40:28 ID:e3rOXwOO
団子が話に参加してもいいけど、団子の話するのはやめにしよう。
うざいものがよけいうざくなる。
PWRfecientとかそういうのの話はないのか。
25>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/05(日) 03:26:22 ID:R0hFAHW0
>>22
Itaniumは実機は叩いたことは無いがいちおう無駄に命令セットの概要は知ってるお。
コンパイラも走らせたことがある。最適化見てどんな動きするかは大体予想しつつ。
あー、IPFsimなんてのもあったかな。

流石にリッチな命令セットらしく、IA64用VC++でも比較的思い通りのコードを吐くらしい。
GCCあたりもだけど、レジスタが多くて3オペランド以上(非破壊的)な演算だと
まともなコード吐くコンパイラって多いと思う。

予想なんだけど、VC++のコンパイラエンジンってもともと古典的RISC向けに組まれてるんじゃないの?

VCの吐いたコード見ると気づくんだけど
$L948:
movq mm1, mm0
dec eax //←なんでここでフラグ更新してるの?
pmovmskb edx, mm0
paddb mm1, mm0
mov BYTE PTR [eax+ecx], dl
movq mm0, mm1
jne SHORT $L948//条件ジャンプはここよ

条件jump命令とフラグ更新命令の間にフラグを更新しない命令(ここではMMX/SSE命令)を後に何個か連続させることで
パイプラインストールを回避するってのはRISCプロセッサの最適化の常套手段だった希ガス。
んで、RISC向けのコンパイラエンジンに少しずつx86特有の最適化手法を取り入れたのが今のVC++と。
x86のGCCもそんな感じだし。

別のコードだけどテキストセグメントが肥大化しすぎてPentium 4向けの最適化でかなり痛い目にあったことがある。
Pentium IIIやMじゃたいした問題じゃなかったけど、Pentium 4ってL1キャッシュがかなり小さい
(NorthwoodでHT有効なら4kbyteまでしか使えない)から、1関数でセグメントが数KBとか食うと
それだけでキャッシュミスの頻度が上がるのね。

上のコードはHacker's Delightに載ってるBitwise TranposeのSSE最適化版ね。
オリジナルとはまったく別の方法を使ってるけど。

はいはい PWR / efficient PWR / efficient
26Socket774:2006/02/05(日) 03:34:12 ID:lLevhF0Z
コンパイラが馬鹿コード吐いて困ってます
コントロールレジスタを使わなくて済む整数キャスト用の命令付けてください
27Socket774:2006/02/05(日) 03:43:12 ID:hWI4B0Pg
そうだな、せっかくの良スレをたかが一匹の糞で潰すのはもったいない。

そういえばAMDとIntelでマルチコアへの見方が違うけど面白いな。
28Socket774:2006/02/05(日) 04:59:03 ID:uWF8TQ8B
RISCも何も普通にスケジューリングするとそうなる
29Socket774:2006/02/05(日) 05:31:42 ID:R3agvamu
パイプラインが導入された時点でフラグ変更命令とフラグ非変更命令の
入れ替えなんてのは普通にあったな。
30Socket774:2006/02/05(日) 12:57:47 ID:cQxSzYmp
OSもハードで実装した例とかってある?
めっちゃ軽そうやん?
31>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/05(日) 13:20:23 ID:R0hFAHW0
>>28 つーわけでIntel先生の最適解
icl hoge.cpp /FA /Ox /c /G{5,6,7} で検証
・/G5 Pentium
mov eax, 7
$B1$2:
pmovmskb ecx, mm0
mov BYTE PTR [edx+eax], cl
paddb mm0, mm0
dec edx
cmp edx, -1
jne $B1$2

・/G6 Pentium III向け。
mov eax, 7
$B1$2:
pmovmskb ecx, mm0
paddb mm0, mm0
mov BYTE PTR [edx+eax], cl
dec edx
cmp edx, -1
jne $B1$2

・/G7 Pentium 4, Pentium M向け。decをadd -1に置き換えているのはNetBurstの特性配慮かと。
mov eax, 7
$B1$2:
pmovmskb ecx, mm0
mov BYTE PTR [edx+eax], cl
paddb mm0, mm0
add edx, -1
cmp edx, -1
jne $B1$2

OoOの無い第5世代アーキテクチャ(もっともSSE Pentiumなんてモノは存在しないが)向けを含め
全てjccはフラグ変更の直後になってる。
これを模範とすればVC++の最適化の挙動ってやっぱり奇妙と言わざるを得ない。
試してみればわかるがループの中身をもっと増やした場合、VC++は際限なくフラグ書き換えを前のほうに持ってくる。

VC++の想定してるアーキテクチャは
・フラグ書き換えは条件ジャンプ命令よりできるだけ前のほうに持ってきたほうがいい
・src, destが同一レジスタのオペレーションはペナルティがあるので別レジスタに値コピーしたほうがいい

なまじIDE付きのWindowsコンパイラ製品で最速だからx86に最適化されてると思われがちだが
この辺の動き見る限りではx86にチューニングされてるとは言いがたい。 
32>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/05(日) 13:28:46 ID:R0hFAHW0
格納するアドレスがecx+eaxだからって考え方もあるか。
老婆心ながらループを for (int i = 7; i >=0; i--) {} に変えてみたらどうなるか試してみましたよ。

PUBLIC?transpose@@YAXQAD0@Z; transpose
; Function compile flags: /Ogty
_TEXTSEGMENT
_a$ = 8; size = 4
_b$ = 12; size = 4
?transpose@@YAXQAD0@Z PROC NEAR; transpose
; Line 4
pushebp
movebp, esp
andesp, -8; fffffff8H
; Line 5
moveax, DWORD PTR _a$[ebp]
movqmm0, MMWORD PTR [eax]
; Line 6
movecx, DWORD PTR _b$[ebp]
moveax, 7
$L947:
deceax←意地でもフラグ変更はここ!
; Line 7
pmovmskb edx, mm0
; Line 8
movqmm1, mm0
movBYTE PTR [eax+ecx+1], dl ←ここで+1してるあたりもう必死かと。
paddbmm1, mm0
movqmm0, mm1
jnsSHORT $L947
; Line 10
emms
; Line 11
movesp, ebp
popebp
ret0
?transpose@@YAXQAD0@Z ENDP; transpose
_TEXTENDS
END
33Socket774:2006/02/05(日) 13:48:57 ID:S6MmMDNc
>>30
OS専用命令やハードウェアなら実装されている。
例えばMMU等ハードウェアや管理系命令、タスク間&マルチプロセッサ通信命令等。
34Socket774:2006/02/05(日) 16:37:00 ID:FSQuBh5V
>>25
> Itaniumは実機は叩いたことは無いがいちおう無駄に命令セットの概要は知ってるお。

知ったかぶり表明ですね。
勇気がありますな。


35Socket774:2006/02/05(日) 17:56:15 ID:uWF8TQ8B
SYMBOL
36Socket774:2006/02/05(日) 19:04:17 ID:qQ2o4RPr
シムボル
37Socket774:2006/02/05(日) 23:52:29 ID:t2Dj6f++
>>団子
スレ違いって何度も言われているのに何でVCの話を必死になって続けてるの?
38>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 00:24:12 ID:WwONqoe8
単に「MMX/SSEの最適化が出鱈目」ってことで脳内解決しとくわ。
ここには分岐予測のアルゴリズムについて語れる人が居ないということもわかった。
39Socket774:2006/02/06(月) 00:42:00 ID:PiylUZTv
分岐予測の話題など出たこともないのだが
40Socket774:2006/02/06(月) 01:00:20 ID:Ap7Hk9cA
じゃあ消えろ
41>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 01:02:26 ID:WwONqoe8
確かに敢えて出さなかったがVCがフラグ値をなるべくjccの実行より早く更新させたがる理由を考える上で
分岐予測の機構は外せないだろ。

decやaddの命令のレイテンシはせいぜい1か2程度なので、ここまで必死にフラグ更新とjccの
実行タイミングに間を置きたがる理由は分岐がらみの問題以外に無い。

IntelのコンパイラがやるのはあくまでIntelの石向けの最適化なので、互換CPUでの最適化まで保障しない。
たとえばAMDやVIAの石だとマイクロアーキテクチャの実装がIntelとえらく違うのかな、とか。

AMDの最適化マニュアルはろくに読んだことがないので暇なときに目を通すけど、徒労に終わるかもしれんね。
42Socket774:2006/02/06(月) 01:05:43 ID:XuA1ZqCo
ここはコンパイラ屋さんがくる所じゃないよ
43Socket774:2006/02/06(月) 01:11:29 ID:PiylUZTv
分岐予測はフェッチ時にやるんだよ馬鹿
44>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 01:21:05 ID:WwONqoe8
>>42
ベクトル型にしろスカラ型にしろ、ハードの特性を生かす有効な最適化手法を知らずに
ハードについて語れることなんてほとんど無い気がするけど

>>43
その通りだよ。
現行のx86互換アーキは実行はアウトオブオーダだから命令の並びなんてたいした問題じゃない。
でもフェッチはインオーダだろ。
45Socket774:2006/02/06(月) 01:54:27 ID:D8mPbwi+
手段と目的が入れ替わっとるとは思わんですか?

最適化はプログラマの手助けであって、CPUの高速化ではなかった(少なくとも最初は)
CPUの目的はインストラクションの実行ではなく、データ演算のためだった(少なくとも最初は)
パソコンの目的は速さを競うためではなく、何かアプリを動かすためだった(少なくとも最初は)
46Socket774:2006/02/06(月) 02:16:31 ID:/GIML5Lw
> ベクトル型にしろスカラ型にしろ、ハードの特性を生かす有効な最適化手法を知らずに
> ハードについて語れることなんてほとんど無い気がするけど

明らかに遅いコードを見てアーキテクチャを語る事は無駄だな。
団子はこのスレに池。

x86命令の所要クロック計測スレPart2
http://pc8.2ch.net/test/read.cgi/tech/1136527588/

> 現行のx86互換アーキは実行はアウトオブオーダだから命令の並びなんてたいした問題じゃない。
instruction decoderがsymmetricでない場合は問題がある。
47>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 02:28:15 ID:WwONqoe8
そのスレなら見てるよ。目ぼしい知識はないから書き込みはしないけどね。


> > 現行のx86互換アーキは実行はアウトオブオーダだから命令の並びなんてたいした問題じゃない。
> instruction decoderがsymmetricでない場合は問題がある。

ほらそうやって論点をずらす。問題はフラグ変更とjccの関係。
48Socket774:2006/02/06(月) 03:09:54 ID:JfWCHSaN
馬鹿だなぁ。
お前のオナニースレの間違いを指摘しただけ。
間違いを指摘されて開き直る馬鹿相手に議論なんて無意味だし
議論していないんだから論点なんて存在しない。
49>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 03:21:18 ID:WwONqoe8
> instruction decoderがsymmetricでない場合は問題がある。

俺が話題を限定して言ってるのは明白なのにこんなわざわざ知ってる範囲のことを得意げに
語られても困るって話よ。ID違うけど何か工作中だったのかな。

「お前のスレ」か。じゃあ俺に所有権認めたのね。じゃあこのスレに書き込まないでくれる?
50>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 03:26:22 ID:WwONqoe8
ついでに言うが「thread」と「response」を間違えたと言い訳するならますます墓穴ですよ
このまったく非なる語彙をうっかり間違えるようなのはコンピュータ技術について語る以前の問題なので。
51Socket774:2006/02/06(月) 03:28:32 ID:JfWCHSaN
「の」には所有以外の意味もある事はしらないのですか?
52>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/06(月) 03:32:50 ID:WwONqoe8
ここを「オナニースレ」といったことは肯定しつつ苦しい反撃しますかwwww

朝早いんで寝るよ。昼寝したから眠くないけど。
53Socket774:2006/02/06(月) 04:11:59 ID:XuA1ZqCo
>>47
>問題はフラグ変更とjccの関係。
つーかそれってさ、uOpに変換後どう最適化してんの?って聞いてるのと同じだろ?
そんなにディープな内部情報をIntelもAMDも公開しないだろ。それがx86の実行効率のキモにもなるし..
実地で計るしか無いんじゃないか?

一応,uopでググると以下が見つかった.どっちも海外ニュースだ(~~;)
K8
http://pc.watch.impress.co.jp/docs/article/20011102/kaigai01.htm

Yonah
http://pc.watch.impress.co.jp/docs/2005/0906/kaigai209.htm
54Socket774:2006/02/06(月) 05:24:05 ID:6FhlB5zU
どうみても団子の立てた団子のオナニースレです。
本当にありがとうございました。
55Socket774:2006/02/06(月) 05:57:13 ID:6FhlB5zU
スレの所有権を宣言するウンコwww
56Socket774:2006/02/06(月) 07:04:44 ID:731q5lFg
プログラム板で相手にされなかったからって、
他板の良心的スレに張り付いて荒らし続ける人って嫌いです
57Socket774:2006/02/06(月) 08:17:13 ID:gCeKaASj
MACヲタよりはマシだけどな
58Socket774:2006/02/06(月) 10:26:49 ID:JfWCHSaN
MACヲタは間違いを認めるので団子よりマシ
59Socket774:2006/02/06(月) 11:16:22 ID:fJavgCyo
何の役にもたたねーし間違い指摘されても理解できてねーし
マジで荒らし以外の何物でもないよな。せめて便所ネタでも書けりゃいいのに。
60Socket774:2006/02/06(月) 19:18:16 ID:Ej94t4LI
2-60を透明あぼーんで桶?
61Socket774:2006/02/06(月) 23:21:08 ID:VVojhyI+
>>56
団子は鳥屋に勝った香具師だ見くびるな
62>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 00:34:16 ID:T7yiuvqt
MSのコンパイラの動きはバグとかじゃなくて何か確信をもってやってるように見えたんでね。

x86で「遅延分岐」が有効かどうか?
MSのコンパイラの例の動きは、フラグを早期に確定させることでパイプラインハザードを
フラグ確定だけ先に持ってきても、どのフラグを使うか、どこにジャンプするかの情報はJCCのほうにあるわけだから
意味ないようにも見える。事実Intelコンパイラはこんな動きをしてない。
Intel CPU以外の何かでは役に立つ情報なのかもしれない。

かわりにIntelコンパイラがVC++より多めに吐いてるものがtestやcmpなどの比較命令だが、コレは実は(以下自制)

このへんの理屈は分岐予測の実装に絡んでくるはずなんだな。
まぁ先にも指摘があるようにこのへんは基本的にブラックボックスなので想像に頼るしかないところは多いと思う。
この話題はム板に丁度その議論やってるスレ(メロンアイス鳥つけてる人のスレじゃないよ)見つけたんで
そっちで投げてみるわ。今は反芻している。

>>55
まぁべつに長居する気はないが「お前のスレ」って言われれば「じゃあ命令される筋合い無いね」って切り返すのは
当然と思いますが、何か?

以下めんどくさいので略
63>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 00:35:46 ID:T7yiuvqt
-MSのコンパイラの例の動きは、フラグを早期に確定させることでパイプラインハザードを
+MSのコンパイラの例の動きは、フラグを早期に確定させることでパイプラインハザードを回避or軽減するためのものでは?
64Socket774:2006/02/07(火) 00:57:41 ID:1pSEKBge
>>62
> MSのコンパイラの動きはバグとかじゃなくて何か確信をもってやってるように見えたんでね。
バグでも特別な処理でもなく、普通にスケジューリングするとそうなる(こともある)っつの。

> x86で「遅延分岐」が有効かどうか?(以下略)
全く意味不明

> このへんの理屈は分岐予測の実装に絡んでくるはずなんだな。
どこがどう絡むのか、想像でもいいから書いてみろや。
65>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 01:12:35 ID:T7yiuvqt
改めて聞くけど、>>32も、普通にスケジューリングするとそうなる範囲内?

自論は、「Intel CPUにはあくまで無意味」だが、何か別のアーキテクチャの分岐処理では有効な
スケジューリングじゃないかという話。

遅延分岐って知らない?RISCとそのコンパイラでよく使われた最適化手法だけど。
分岐先判明後に数命令、どっちに分岐しても悪い作用の無い命令を続けることっで
分岐のペナルティを回避するというやつ。

フラグ更新とjccの間の命令数命令が、ある何かのアーキテクチャでは、
パイプラインストールを隠蔽するために使われるのでは、という仮説。

まぁこれはむしろ分岐予測じゃなくて分岐確定後の処理寄りだけどね。
66Socket774:2006/02/07(火) 01:25:41 ID:1pSEKBge
>>65
> 改めて聞くけど、>>32も、普通にスケジューリングするとそうなる範囲内?
そうなる範囲内

> 遅延分岐って知らない?RISCとそのコンパイラでよく使われた最適化手法だけど。
遅延分岐はハードウェアの話だ。
x86に遅延分岐が実装されたことは一度もない。

> フラグ更新とjccの間の命令数命令が、ある何かのアーキテクチャでは、 パイプラインストールを隠蔽するために使われるのでは、という仮説。
大抵のアーキテクチャでは、一般的に(条件コードを含めて)データ依存関係のある場合はそうなる。

どうでもいいがパイプラインストールを隠蔽というのはおかしな言い方だぞ。

> まぁこれはむしろ分岐予測じゃなくて分岐確定後の処理寄りだけどね。
だから分岐予測は全然関係ねーの。
67>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 01:34:10 ID:T7yiuvqt

>大抵のアーキテクチャでは、一般的に(条件コードを含めて)データ依存関係のある場合はそうなる。

依存関係ね。はい。
これをやらないと、具体的にどこどこに依存関係の問題が発生するのでしょうか?

あと、ICCの最適化ではjccの直前でcmpやtest命令を発行しフラグ変更してますがこれは悪い例ですか?


>>66
分岐予測はフラグ変更を監視してるんじゃないんの?今の仮説にはたしかに直接は関係ないけど。
68Socket774:2006/02/07(火) 01:58:50 ID:cJz9J2jA
何をしたいんだコイツ?空気読めない馬鹿って本気でウザいな。
誰一人として支持すらしてくれないのによくやるよ…自己満足甚だしい。
69Socket774:2006/02/07(火) 02:01:19 ID:1pSEKBge
>>67
> これをやらないと、具体的にどこどこに依存関係の問題が発生するのでしょうか?
一般的には、データフローグラフを幅優先でtraverseすると並列度の高いコードができる。
そうすると自動的にproducerとconsumer命令の間に無関係の命令がたくさん入りこむ。

実際はリソースの制限があるのでもっと複雑なスケジューリングをやってる。

> 分岐予測はフラグ変更を監視してるんじゃないんの?
してない。
分岐のresolveにはもちろんフラグの値が必要だが、分岐を予測する時はフラグは見ない。
70Socket774:2006/02/07(火) 02:05:39 ID:JmLLwh9p
MIPS、マルチスレッディング対応の32bitコアファミリを発表
ttp://pc.watch.impress.co.jp/docs/2006/0207/mips.htm
71Socket774:2006/02/07(火) 02:07:39 ID:OmAJCc74
>>67
>分岐予測はフラグ変更を監視
分岐予測なのにフラグを監視してどーする。予測じゃねーじゃんw
72Socket774:2006/02/07(火) 02:11:15 ID:DYVyuZtY
> 分岐予測はフラグ変更を監視してるんじゃないんの?

( ゚д゚)ポカーン
73>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 02:36:08 ID:T7yiuvqt
あれ?jcc発行時の履歴だけで判定してんだっけ?
フラグ値の変化も予測に反映されてる筈だが?
まあいいや。

>>69の説明じゃ、再三逝ってる、最終のフラグ変更とjccの間の不自然な間隔の説明になってない罠。
依存関係ならたとえばpmovmskb直後のmov [mem], dxにだってある。
[eax+ecx+1]なんてやったら命令長が増える。そこまでしてやる必要のあることか?
全部その理屈で説明可能?

故意にか回答を避けられてるようだがICCについても薀蓄よろしく。
スケジューリングがまったく別物なのでそもそも無理か。
うちはいちおういろいろ並べ替えてみてパフォーマンステストは行っているが合理的に正しいという結論に達した。
74Socket774:2006/02/07(火) 02:47:09 ID:QLjPXeIe
いつも楽しみにこのスレを覗かせてもらっている者です
最近知ったかぶりをみんなでいじめる書き込みばかりで読むのが嫌になります
でも分岐予測はフラグ変更を監視うんぬんという発言は致命的すぎます
75Socket774:2006/02/07(火) 02:58:37 ID:1pSEKBge
>>73
> フラグ値の変化も予測に反映されてる筈だが?
どっからそういうウソ知識を。。。
分岐予測は基本的には分岐命令のアドレスと分岐履歴しか使わん。

> 最終のフラグ変更とjccの間の不自然な間隔の説明になってない罠。
おまいはdec eaxが一体どこにあれば自然だというんだ。

dec eaxとjccの間にはループ本体があるだけじゃん。


iccもべつにおかしくはないだろ。こんな短いコードならどう並べたところで大差ない。
P6以上ならdec-cmp-jccでuopsフュージョンやってるかもしれん。
76>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 03:02:08 ID:T7yiuvqt
致命的なのは文脈上どうみても勘違いしたとしか思えない「スレ」と「レス」の間違い以上のものはないかと。
もちろん、古典的な分岐予測システムは分岐方向・アドレスの履歴テーブルを使ってるのは承知なんだけどさ、

ループ検出器の機構を頭の悪い俺に説明してくれない?
77Socket774:2006/02/07(火) 03:11:06 ID:1pSEKBge
>>76
> もちろん、古典的な分岐予測システムは分岐方向・アドレスの履歴テーブルを使ってるのは承知なんだけどさ、
使ってない。

> ループ検出器の機構を頭の悪い俺に説明してくれない?
お前の脳内機構の説明もできない。
78>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 03:14:14 ID:T7yiuvqt
>>75
μOPsフュージョンが命令の「再結合」だと思ってる人発見wwww

> P6以上ならdec-cmp-jccでuopsフュージョンやってるかもしれん。

ホームラン級の(ry
μOPsフュージョンてのは

従来P6ではレジスタ・メモリ間オペレーションは、メモリロードとオペレーションに分離して処理してた。
2つ以上のμOPに分解するのは複雑なアルゴリズムが必要なので、3つのデコーダのうちの
1つComplexDecorderパスでしかデコードできなかった。
(VC++では今でも/G6ではメモリ・レジスタ間オペレーションの生成をなるべく避ける)

Pentium Mでは、デコードステージで分解せず1つのμOPとして扱い、そのままスケジューリング→
実行前のステージで分離→実行→再結合してからリタイヤという機構を導入したの。
それで3割ほど内部効率があがった。
決して既存のx86命令を結合する技術じゃないよ。
79>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 03:31:08 ID:T7yiuvqt
μOPsフュージョン知らないならPenMの分岐予測器の実装も知るわけ無いよな。
ちなみにループ検出器ってのはPentium Mに搭載された履歴を使うのではなく、ループ回数を完全にカウントして
完全に分岐方向を当ててしまうものなんだけど、当然ながらこいつにはBTBなんて使ってない。

Pentium II/IIIあたりの時代のIA32最適化マニュアルに分岐予測の実装について詳細に述べられてた。
韓国の大学のFTPサイトになぜか古いのが上がってたりしたが今は多分無いな。
最新のはどれも概要的なことしか載ってない
80Socket774:2006/02/07(火) 03:38:17 ID:JmLLwh9p
81Socket774:2006/02/07(火) 03:41:35 ID:QLjPXeIe
小さいループは最近数回の履歴からグローバルパターンテーブル参照の機構でいけるけど
でっかいループを検出する「ループ検出器」がどんなアルゴリズムになってるかは謎だな
とはいえ、少なくともフラグ監視なんて発想は出てこないと思うぞ

>>BTBなんて使ってない。
BTBは使ってるだろ
82Socket774:2006/02/07(火) 03:47:17 ID:qLVExI4Y
俺的にはμOpsフュージョンがホームラン級なら、
分岐予測にフラグ監視はグランドスラム級、
レスとスレはシングルヒット級
だな。
83Socket774:2006/02/07(火) 03:59:46 ID:xyTVTZE7
>∀<)っ-○●◎- ◆Pu/ODYSSEYは、しゃべり杉
自分のWebサイトでやれよ。

2chでは他の発言者を尊重して自重しろ。
84Socket774:2006/02/07(火) 04:05:46 ID:qLVExI4Y
>>83
無理言うな。
一連の流れを見ればそんな社会性のある奴じゃない事はわかる。

録音、503、メカと同類。
85>∀<)っ-○●◎- ◆Pu/ODYSSEY :2006/02/07(火) 04:19:45 ID:T7yiuvqt
>>80
そいつそいつ。

ループ検出器の作動条件を調べてみるとええかもね。

いわゆるfor文は後置に展開されるけど、ループ検出が効いてない状態だと、BTBは前方ジャンプで埋まってるだろうから
ループを抜けるときに分岐ミスするのは想像に難くない。

でだ、ICCがループ毎にcmp/testを生成する理由ってのは(ry


> >>BTBなんて使ってない。
> BTBは使ってるだろ

ループ検出器で扱えないものをBTBを用いた動的分岐予測器で扱うという意味では正解だけど
ループ検出器自体はBTBを持ってないよ。
http://www.intel.co.jp/jp/developer/technology/itj/2003/volume07issue02/art03_pentiumm/p05_branch.htm
86Socket774:2006/02/07(火) 04:57:16 ID:QLjPXeIe
> ループ検出器で扱えないものをBTBを用いた動的分岐予測器で扱う
何か勘違いしているようだが、BTBはジャンプのターゲットアドレスを保持するバッファだぞ
ターゲットの情報も使わずにどうやって分岐予測するんだ?
まあ、分岐履歴テーブルなども含めて集合的にBTBと呼ぶ、と書いてあるが
87Socket774:2006/02/07(火) 05:02:06 ID:1pSEKBge
>>78
そうだっけか。
融合の対象になるのは単一のx86命令から変換されたuOPsだけってこと?

compare&branchに融合するつー話はなんかで聞いた覚えがあるんだが、
jcxz命令とかか、他のCPUだったか、PARROTなんかのヨタ話だったかもだ。

まあいいや。おれは特定のCPUには詳しくないのよ。これからも頼むわ。

で、そのPentiumMの分岐予測器とVC++やICCのスケジューリングがどう関係あるんだ?

>>81
> でっかいループを検出する「ループ検出器」がどんなアルゴリズムになってるかは謎だな
ttp://www.intel.co.jp/jp/developer/technology/itj/2003/volume07issue02/art03_pentiumm/p05_branch.htm
分岐履歴のかわりにカウンタとリミットで判定してるぽいな。
88Socket774:2006/02/07(火) 05:27:21 ID:EVPY3bqX
あんたら職業柄こういうことに詳しいのかい?それとも情報工学科の院生さん?
プログラムが趣味でこんなことまで知ってるの?
89Socket774:2006/02/07(火) 08:14:49 ID:OsoCAvfd
>>65
> 遅延分岐って知らない?RISCとそのコンパイラでよく使われた最適化手法だけど。

ヴァカか。最適化手法じゃネーヨ。プロセッサデザイン上の実装のひとつだ。
知ったかは( ・∀・ )スッテンロ!
90Socket774:2006/02/07(火) 10:05:58 ID:Cm8yp0q/
>>84
録音といい団子といいセーラームーンといい、まともな議論できないと
自論押し付けてくる馬鹿ってなんとかならねーかなぁ。
91Socket774:2006/02/07(火) 10:10:05 ID:Okxr04QJ
まともな議論というかそもそも説明自体ができなくなってだな、
そのまま何度も同じことを繰り返すだけになるんだよな。

だから壊れたテープレコーダ→録音と呼ばれているわけだが。
92Socket774:2006/02/07(火) 10:37:39 ID:1eHiBY0i
遅延分岐はなぁ…必要悪の類だろ(w
93Socket774:2006/02/07(火) 12:36:26 ID:dWzBEOZA
気に入らないならほっときゃいい筈だが、答えてる人もいるからな。
そういう人は結局よしとして受け入れているのだろう。
ま、俺は別にこの手の話は嫌いじゃない
んで暫くはかまわんけど。
94Socket774:2006/02/07(火) 13:53:18 ID:pXD+EW1c
こんな間違った情報を垂れ流す電波野郎を放置するのは百害あって一利なし。
95Socket774:2006/02/07(火) 14:20:58 ID:Okxr04QJ
「遅延分岐」という言葉も正しい意味で使えてないしな。
96Socket774:2006/02/07(火) 16:02:40 ID:oozlk2es
団子タンはヘネパタ&パタヘネからやり直しという事で。
97Socket774:2006/02/07(火) 16:33:21 ID:f6K5ua3V
半端な知識でコンパイラの最適化云々いう奴はDPDAとかLR(1)を知らなかったりするんだよなぁ。。。
98Socket774:2006/02/07(火) 23:17:59 ID:iaEEuOuz
>>97
おいおい、また半可通の講釈が始まるぞww
99Socket774:2006/02/08(水) 02:14:53 ID:JbpupqZe
それじゃあパパは、BNFで最適化コンパイラ書くぞっと
100Socket774:2006/02/08(水) 15:09:17 ID:7NCqBuUQ
こうしたほうがいいと言うなら
自分でコンパイラを作ればいいのにね。
101Socket774:2006/02/08(水) 15:16:54 ID:eHW2h/5O
「言語処理の専門家」キタ?
102Socket774:2006/02/09(木) 01:51:38 ID:4vE2Bya9
昔クロックがないMPUが発明された話聞いたけどあれどうなったのかなぁ……
103Socket774:2006/02/09(木) 09:03:03 ID:Vtw04e8P
別に、発明っつか、普通に非同期設計のプロセッサぐらいできるよ
性能出ないけど
104Socket774:2006/02/09(木) 09:36:35 ID:oPfcCjQ3
というかバグ取りが悪夢。
105Socket774:2006/02/09(木) 18:34:48 ID:V3PNXmqQ
IBM、Powerを2倍高速にする手法を開発
http://www.itmedia.co.jp/news/articles/0602/07/news070.html
106Socket774:2006/02/09(木) 21:28:37 ID:Vtw04e8P
歪なんちゃらってやつ?
107Socket774:2006/02/09(木) 21:38:29 ID:ET3DgZF8
絶縁層でシリコン層を押しつぶしてどうこうと言ってるが。
とりあえず俺の知識では全面降伏。
つかシリコン層を押しつぶすとシリコンの挙動がどう変わるのかなんて知らん。
108Socket774:2006/02/09(木) 21:39:47 ID:sLZV+qKh
不正尻子って何だ?
109Socket774:2006/02/09(木) 22:05:11 ID:okADDKmc
絶縁層で区切って二層にするとかそういうこと??
110Socket774:2006/02/09(木) 22:27:24 ID:Lp2MxNKU
絶縁層ってのは厚さからして現行のPD-SOIだろ。
押しつぶすってことは圧縮ってことだから歪Siってことじゃねーの。

> しかし、このプロセスではプロセッサが過熱する傾向がある。
ってのはSOI特有のSelf-Heating現象かね。
絶縁層が同時に断熱層になってしまい熱が籠もりやすいってやつ。


素人だから知ってる単語並べてみただけだけど。
111Socket774:2006/02/14(火) 00:03:23 ID:xo/CLeFH
NECエレ、16bitマイクロコントローラを復活
http://pc.watch.impress.co.jp/docs/2006/0214/nec2.htm
112Socket774:2006/02/18(土) 17:23:57 ID:XoZZ4UWP
UltraSPARC Architecture 2005
113Socket774:2006/02/20(月) 13:27:26 ID:E9Ddttt3
今までで一番エレガントな命令セットはどれだと思う?
114Socket774:2006/02/20(月) 13:42:48 ID:3+WRFWR2
x86
115Socket774:2006/02/20(月) 16:41:40 ID:dnzLM+sn
>>114
ワラタ
116Socket774:2006/02/20(月) 22:53:31 ID:YwRVgdLP
MIPS R2000
117Socket774:2006/02/20(月) 22:57:02 ID:p7W1CHLu
Z80
118Socket774:2006/02/21(火) 00:06:40 ID:VU77Lx6U
4004
119Socket774:2006/02/21(火) 01:04:35 ID:NroXVXcz
MC68000
120Socket774:2006/02/21(火) 06:33:09 ID:mMPL3wkC
mips2 も捨てがたいがここはひとつ



          6502


121Socket774:2006/02/21(火) 15:52:45 ID:n7gh1yu1
68000に一票
122Socket774:2006/02/21(火) 16:20:13 ID:5oqk4m3P
68000はアドレスレジスタとデータレジスタが分かれているのがアレなんだよな。

V810といってみるのはどうだろう?
#V850とかではなく。
123Socket774:2006/02/21(火) 18:28:45 ID:jsdo1oUO
V800系ならV83x。
Super8と言って見たいが既にあれはSamsung8状態だしなぁ。
やっぱり6502に一票かな…
124Socket774:2006/02/21(火) 20:08:01 ID:0zThO1iI
68060
125Socket774:2006/02/21(火) 20:08:01 ID:dIsrB587
ふつーに Alpha。
MIPSも悪くないけど、ロードの遅延スロットとかディレイドジャンプ
とかイラネ。
126Socket774:2006/02/21(火) 20:47:36 ID:6GCQdzEn
Am29000
127Socket774:2006/02/21(火) 21:23:43 ID:E/17w4ho
TMS9995
128Socket774:2006/02/22(水) 00:04:46 ID:n97Dg4Wl
6809
129Socket774:2006/02/22(水) 01:34:42 ID:ypNDgYD5
6809は命令エンコードが非対称なのでキライ
130Socket774:2006/02/22(水) 02:36:40 ID:/3gm55vG
Efficeonとか言ってみる。
……エレガントかどうかなんてどうでもいいよな。CMS使うんだもん。
131Socket774:2006/02/22(水) 10:23:56 ID:eaTnyYg9
>>130
内部命令がエレガントかどうかが問題となる。
132Socket774:2006/02/22(水) 12:03:07 ID:cUz0VUBW
68030
133Socket774:2006/02/22(水) 15:23:31 ID:ypNDgYD5
ある意味エレガントなんだろうが、68kみたいなゴシック調のもキライ
134Socket774:2006/02/22(水) 16:18:26 ID:nZmGp9+y
NS32032
135Socket774:2006/02/22(水) 22:20:31 ID:ypNDgYD5
初期のDSP
136Socket774:2006/02/22(水) 23:44:13 ID:rBMRXNxN
ビッグエンディアンの8ビットって何考えてんだろ
137Socket774:2006/02/23(木) 00:15:23 ID:qHt0mZ5T
Nexgen 6x86
138Socket774:2006/02/23(木) 00:48:38 ID:mvUTKJ11
>>135
具体的に
139Socket774:2006/02/23(木) 01:49:54 ID:GXlceMLV
SH4は結構いいCPUだと思うぞ。
性能そこそこ低消費電力で組み込みにはいい石だ。
個人的にはARMより好きだな。
140Socket774:2006/02/23(木) 02:04:08 ID:RrjfMIfv
>>139
そんな感じのネタふりを前俺がやった。
でも冷静に考えてみれば消費電力は組み込みにしてはでかいんじゃない?
DDR2使えるからそれでも良いのか?

そうだ、命令セットを挙げなきゃいけないんだ。
う〜ん……ペンティアムバイナリ?
141Socket774:2006/02/23(木) 02:35:24 ID:GXlceMLV
いやARMはサムコードとか変態命令セットが嫌いなだけ。
142Socket774:2006/02/23(木) 08:26:57 ID:GUCLmJn2
>>135
初期のDSPなんてマイクロコード剥き出しって感じで
エレガントとは程遠いものだったような気がするんだが。
143Socket774:2006/02/23(木) 09:09:55 ID:hqrYJtBk
命令長が長い割にレジスタ少ないしな<ARM
144Socket774:2006/02/23(木) 15:32:31 ID:R3leZ2BK
SHって、遅いんだよな
安価で低消費電力のARMと、ハイエンドのMIPS、PowerPCに挟まれてって感じ
145Socket774:2006/02/23(木) 16:35:04 ID:m3K1zOUq
そんなに遅くは無いと思うけど…
発展とかクロック向上って意味なら取り残された感が出てたけどね(^^;
つい最近、400MHzのSH4が出てきたけど。

…まぁ会社が悪いと言えばそうなんだが…
そもそも、あそこは何をプッシュしてんだかさっぱり解らん。
旧H系だけでもH8SやH8SXの性能向上が激しいからSH2は収束なのかなぁ?とか思うし。
146Socket774:2006/02/23(木) 18:38:07 ID:avKm/ZbK
最近出たのは600のはず。発表値では1000MIPS超える。
命令セットが違うのであてには出来ないけど。
性能的にはSH4だけどARMの売りはソフトウェア資産なんだよね。

LINUX端末でも出てくれればなぁ。POCKETPCはあてに出来ないし。
147Socket774:2006/02/23(木) 18:43:15 ID:lrtvsn70
64-wayアートネイチャー
148Socket774:2006/02/24(金) 03:29:06 ID:gDVVYa5C
>>142
プリミティブアートみたいなもん
149Socket774:2006/02/24(金) 06:09:26 ID:gDVVYa5C
68kは壮麗なISAを目指したはいいが、最後になってリソース不足でアドレス・データレジスタを分離したり
32ビットオフセットのアドレッシングモードを実装できなかったりした印象

6809も、あれやこれやと詰め込もうとしてオペコード足りなくなったんじゃねーの
150Socket774:2006/02/24(金) 07:02:15 ID:1CuPRhxv
>>148
どこがエレガントなんだw
151Socket774:2006/02/24(金) 09:24:19 ID:p0pIph+7
>>149
レジスタ作りすぎでオペコードを圧迫したRCAのCOSMACという例も。
152Socket774:2006/02/24(金) 12:45:11 ID:U4Jzovoz
PowerPC ISAはだめですか
153Socket774:2006/02/24(金) 22:44:08 ID:p0pIph+7
去年の「情報処理」のプロセッサ特集(No.)10、11遅まきながら読んだけど、
汎用を狙ったアーキテクチャは面白いのがないよなぁ…
タイルとかリコンフィギャラブルとかは面白いけど特定用途狙いみたいだし。

それはともかくアウトオブオーダープロセッサが10年前のIPCを保つために
どれだけのリソースを食ってるかは考慮の外なんだろうか?>特集のまとめ人
154Socket774:2006/02/24(金) 22:45:03 ID:p0pIph+7
(No.)10、11

(No.10、11)
155Socket774:2006/02/25(土) 08:13:53 ID:TK7IiXie
65系イイ!
156Socket774:2006/02/25(土) 08:21:38 ID:pppxzFHI
配線遅延のせいで、リコンフィギュアラブルプロセッサとか
ゲートアレイはクロックがあがらなくて、汎用プロセッサに勝ちにくいんだよな
もうIAしかないのかと
157Socket774:2006/02/25(土) 10:24:47 ID:2335KuUB
アセンブラ書いてた人なんだけど、プロセッサの構成とかデータシート上の話
ばっかりしてて面白いのか?

とかおもいました。

x86と68000とSHとH8とPICと8080とZ80書けます。

どうかんがえてもgccで最適化かけたほうがはやいのですが、
gccはgdbの使い方がわからんので使いません(お

158Socket774:2006/02/25(土) 10:33:14 ID:PyBhEFs5
楽しみ方は人それぞれ。
アーキテクチャオタからすればアンタのやってる事見て「似たような
プロセッサで代わり栄えのしないプログラム組んで何が面白いのかね」
と思うわけよ。

>>156
上にあれこれ載るようになってプロセッサのアーキテクチャなんか、
プログラムの書きやすさと関係なくなったから、順調に性能が上がる
分にはIAでも文句は出ない罠。
159Socket774:2006/02/25(土) 10:38:34 ID:2335KuUB
なにってそら、バグをだしてまともに動かないプログラムを、きちんと動くようにする
その工程が、いわゆるツンデレ攻略なわけですよ。


萌えませんか?
160Socket774:2006/02/25(土) 10:59:02 ID:7lzQooue
全然
161Socket774:2006/02/25(土) 11:20:47 ID:vAxeFfGM
>>159
禿同
162Socket774:2006/02/25(土) 17:10:15 ID:nn8gM7to
>>157
ちょ、おま、それだけアセンブラが書けて、なんでgdbの使い方が分からんのだw
gdbこそ、最初はとっつきにくいが、だんだん慣れて手に馴染むと手放せなくなる、
真のツンデレツール。慣れすぎてデバッグコードを埋め込んだままリリースしたりする。

IMHO, それでも取れないバグを切り分けしてこそ真のツンデレラーだと思う
163Socket774:2006/02/25(土) 21:40:40 ID:IFC40pvN
>>162
LOL
164Socket774:2006/02/25(土) 23:00:19 ID:LzrrlbFq
>>158
初期のDSPでガンバレ
165Socket774:2006/02/27(月) 13:57:45 ID:OjSV4Q/M
レジスタ・ウィンドウは、有効かどうかについて
166Socket774:2006/02/27(月) 14:07:14 ID:bQqTsn3B
アプリケーション分野ごとの統計分析が必要だと思う件について
167Socket774:2006/02/27(月) 14:20:57 ID:OjSV4Q/M
>>166
くわしく。
168Socket774:2006/02/27(月) 15:29:56 ID:U6xTLvBH
169Socket774:2006/02/27(月) 16:31:37 ID:iPijvYpL
レジスタウィンドウで速くはなるけど
リネーミングが複雑になったり、大容量レジスタでクロックが下がったり
80年代のR2000が32本で、90年代のPowerやalphaも32本
今のソフトは当時のよりレジスタ増加がきくだろうけど
128本レジスタスタックってのは
無駄にリッチでは?
170Socket774:2006/02/27(月) 20:12:07 ID:OjSV4Q/M
>>169
>128本レジスタスタックってのは
>無駄にリッチでは?
うおぅ! なるほど、わかってきました。
無駄に思いますね。

x86ってレジスタウィンドウ使用して無い?
それともx86でレジスタウィンドウすると、
クロック向上の妨げになるからあえて採り入れないのかな???
171Socket774:2006/02/27(月) 20:57:46 ID:MPxn6SHJ
ナノテクだろうとなんだろうと、金属に電気流して周波数に意味もたせてって時点でどれも同じ。
速度なら無重力場・真空での光が今のところ一番速いといわれているんだから、光を通過・遮断に意味をもたせれば最速スパコンの出来上がり。

それを束ねて同時間上で意味を持たせれば束ねるほどに高速になる。ぜんぜんむつかしくない。
172Socket774:2006/02/27(月) 23:01:16 ID:9NTh/DVj
>>171
> 光を通過・遮断

ここが最大のボトルネックだな
173170:2006/02/27(月) 23:08:26 ID:OjSV4Q/M
うぅ。スルーされた。
174Socket774:2006/02/28(火) 00:06:05 ID:DWAU0ieu
>>173
互換性がなくなるのでx86に今から追加するのは無理
175Socket774:2006/03/01(水) 01:39:21 ID:cpyD/hak
>>155
えーと。65816についてはどう思いますか?
176Socket774:2006/03/01(水) 03:58:49 ID:GnVN+RWx
>>175
エミュ作者泣かせ
177175:2006/03/01(水) 06:39:39 ID:cpyD/hak
>>176
開発者泣かせでもあったぞ!!!
6502の拡張版ながらもううんこ石

というわけでC62を推しておくw
178Socket774:2006/03/01(水) 07:29:15 ID:FI3uF4Br
機関車の形式に見えた俺。
179Socket774:2006/03/01(水) 17:05:43 ID:GnVN+RWx
>>178
由来がそうなんだけどね
180Socket774:2006/03/01(水) 18:06:55 ID:l5HNXc4r
>>173,174

つ【V25/V35】
181Socket774:2006/03/01(水) 20:04:01 ID:wKtrKn2r
>>180
わたしの知っているV35は単にV30に周辺を突っ込んだだけのものなんだが。
V30にしてもそんなドラスティックなISAの変更ってあったっけ?

レジスタウィンドウ追加というとAMD64以上のISAの変更になるのでいろいろ難しいと思うね。
182Socket774:2006/03/01(水) 20:29:35 ID:j9NX1KZ2
183Socket774:2006/03/01(水) 21:23:10 ID:l5HNXc4r
単純な処理要素を結合して演算パイプラインを構成するらしい。
パイプラインは短時間で動的に再構成可能。FPGAの上あたりの細粒度並列処理を狙う。
リコンフィギャラブルアーキテクチャと言うらしい。最近そっち方向の研究が流行ってるみたいだ。
http://www.hpcc.jp/sacsis/2004/include/amano-sacsis.pdf
184Socket774:2006/03/03(金) 05:52:35 ID:Fnh8txcc
>>183
けっきょく信号処理にしか使えないワナ
185Socket774:2006/03/03(金) 09:38:06 ID:2ZqbJbBq
リコンフィギャラブルアーキテクチャでリダクションマシンを組むというネタも
どこかにあったと思うんで、信号処理だけでもないようなキモス。

一般に露出してる実装例が信号処理用途なのは同意。
186Socket774:2006/03/04(土) 05:19:20 ID:KxiglkAt
リダクションマシンやってる人、まだいるのかorz
187Socket774:2006/03/04(土) 11:50:03 ID:zTiWyu4E
やっぱり今やってる人は少ないのか。
面白そうなんで勉強してみようと思うんだけど、本が無いのがなぁ。
データフローとかだとマイナーなりに本が出てるから、アマチュアでも
やりやすいんだが。
188Socket774:2006/03/04(土) 13:40:44 ID:7QqdMD3o
Cellをパソコン用に改良して出てこないかな。
189Socket774:2006/03/04(土) 19:39:48 ID:ELrwO8dR
>>188
PowerPC750で十分だろ。
Altivecが使いたいなら7400シリーズだ

あえてCellのようなものがいいというなら、PowerPC405シリーズをたくさん買ってくるといい
190Socket774:2006/03/04(土) 20:28:17 ID:zTiWyu4E
>>188
GPGPUでいいんじゃないかと。
191Socket774:2006/03/04(土) 20:38:05 ID:QGlKCHEQ
GPGPU用のAPIが整備されて、実際に使い物になるのはいつごろですか?
192Socket774:2006/03/04(土) 20:40:10 ID:76jFhW93
早くマトリックスの世界になって欲しいです
193Socket774:2006/03/04(土) 21:27:29 ID:zTiWyu4E
>>191
それを言い出したらCellはどうなのかとw
194Socket774:2006/03/04(土) 22:18:30 ID:tiF2EG5d
>>193
「一見関係ありそうで関係ない話を始める」
195Socket774:2006/03/04(土) 22:47:32 ID:zTiWyu4E
まったく関係の無い話を始めるアンタよりましw
196Socket774:2006/03/04(土) 22:59:14 ID:4Zt58vwH
でもこのままx86のCPUなんかがパソコンで定着しちゃったら嫌なんだ
ぜひCellをパソコン向けに改良して欲しい。
197Socket774:2006/03/04(土) 23:26:51 ID:zTiWyu4E
>>196
Cell自体は(現時点の実装を見る限り)「汎用CPU+複数DSP」みたいなもんで、古の「メディアプロセッサ付きPC」と
大して変わらない。「汎用CPU」の部分は言わずもがな、「複数DSP」の部分も用途ごとのライブラリやAPIが被さる
だろうから、よほどハードウェアに近い部分でプログラミングするのでない限り、プログラマから見る姿は大して今の
PCと変らないと思う。

エキゾチックなアーキテクチャでプログラムを楽しみたいなら別の方法があるし。
(手軽なところではGPGPUとか。)
198Socket774:2006/03/04(土) 23:35:05 ID:cd9ol5aM
GPGPU用のAPIが整備されて、実際に使い物になるのはいつごろですか?
199Socket774:2006/03/04(土) 23:44:14 ID:zTiWyu4E
そんな時期は来ないから待つだけムダ。
200Socket774:2006/03/05(日) 03:52:43 ID:5f4jUaNH
Cellはパソコン向けには遅すぎる
201Socket774:2006/03/05(日) 03:56:24 ID:5f4jUaNH
>>187
20年くらい前のアーキテクチャの本にちょろっと載ってるくらい
202Socket774:2006/03/05(日) 04:29:23 ID:6zlI3Qb1
>>200
PPEを強力なものに変えればおk。
SPEの数を増やすのもいい。
203Socket774:2006/03/05(日) 07:11:47 ID:6cOqkQ8R
頭で妄想するのは簡単なんだよ。黒字ベースに持っていけるだけの研究開発費出してくれ。
204Socket774:2006/03/05(日) 08:33:13 ID:57whuXU2
>>201
やっぱりそんなもんか....orz
ちまちま論文を拾っていくしかないか。
205Socket774:2006/03/05(日) 12:12:24 ID:LJxfxo0P
結局、売れるか売れないかなんだよねえ・・・
特定用途に特化するか汎用性を売りにするか・・・
206Socket774:2006/03/06(月) 22:28:17 ID:PmDpLi8S
>>204
昔の論文はあんまり電子化されてないぽ
207Socket774:2006/03/06(月) 22:49:38 ID:PmDpLi8S
>>202
PPEを強力にしてSPEは無くせばいいとおもうよ
208Socket774:2006/03/06(月) 23:30:19 ID:hoiwCiEp
>>206
紙のを拾う。
とりあえず情報処理学会誌あたりから始めるか。
209Socket774:2006/03/07(火) 00:09:17 ID:TemWQEMk
>>202,207

>>189
210Socket774:2006/03/07(火) 12:11:55 ID:/O/RWaI/
>>207
それ、ただのPowerPC(970系)だろw
211Socket774:2006/03/07(火) 14:42:42 ID:D045qrkP
10個SPEがくっついてるPPEを4つつなげれば最高?
212Socket774:2006/03/09(木) 00:49:47 ID:dRjFwmBv
Conroeにはcmp/test+jccのMacro-op fusionが実装されるもよう。
遅延分岐については不明だが。
213Socket774:2006/03/10(金) 20:28:23 ID:N52rpjVd
データ取りこぼしがこわいからスレッド切り替え頻度↑↑になってきてるんだろうけど
そういうのこそメニーコアに振りたくなるよね
(ちなみに漏れのとこだと500-5000回/秒ぐらい)


(初代スレのコピペ)
969 名前:Socket774:04/11/21 00:39:07 ID:z+YuR4Tk
クロックがGHzの時代でも秒60回程度のタスク切り替えのコストが響きますか?

975 名前:Socket774[sage] 投稿日:04/11/21 05:38:18 ID:6OkCsAGH
>>969
それは昔のBSDの話かな?

Windowsなんて、ものすごい数のスレッドが蠢いているから、かなりの回数だよ。
パフォーマンスモニタでSystemのContext Switches/secを見てくださいな。
214バートソ:2006/03/10(金) 20:49:41 ID:ZjzCFyCb
そこでマルチスレッドアーキテクチャですよ。
ハイパースレッドで2面待ちなんて甘い甘い。
215Socket774:2006/03/11(土) 05:03:25 ID:yKeuixTa
マルチコアはアーキテクチャ的工夫がなくていまいち好きになれん
216Socket774:2006/03/11(土) 09:10:29 ID:hJqXqnRy
まあスレッドのスケジューリングに関する話ならおもしろいんだけど、
今のところその機構はCPUの外(人間、OS、コンパイラ、ランタイム)にあるからね。

コンパイラの自動並列化は、ほとんどの場合パフォーマンス向上につながらず、
また致命的バグが潜む可能性もあるので、結局人間最適化しているという現状。
217Socket774:2006/03/11(土) 09:34:43 ID:hJqXqnRy
今のプログラマに負担を強いるやり方ってのは自ずと限界がある。

例えるならILP(命令レベルの並列性)において、みんながみんな
アセンブラで命令の並べ替えをして最適化しなきゃいけないような
人間アウトオブイオーダー・人間スケジューリング、
な世界をイメージするとその滑稽さがよくわかる。
218バートソ:2006/03/11(土) 09:42:01 ID:t/Wp9fZw
動的スケジューリングも投機実行も所詮小手先。
やっぱりハードウェアマルチスレッドですよ。

とつぶやきつつ、Denelcor HEP→Cray MTA2と敗北の歴史を刻みンヌ....orz
219Socket774:2006/03/11(土) 09:53:56 ID:YFI3HNjl
http://www.watch.impress.co.jp/game/docs/20050316/ps311.jpg
これって結局クタラキの妄想だったんでしょ?
220Socket774:2006/03/11(土) 12:11:54 ID:qkiPnZ9E
>>212
CC生成命令と分岐命令をセットにして処理するってことはALUに分岐用RS
をくっつけたような構成になるってことか。1回のCC生成に対して2回以上
参照したり、CC生成命令と分岐命令が離れている場合はMarco-op fusion
にならないんだろうけど、どう処理が変わってくるのか?
これも実装の1つの選択肢だとは思うけど、演算実行と分岐判定を別々にやる
場合に比べてのメリットがわからん。2つの命令が1つになることで
命令スループットがやや良くなるとは思うけどたかが知れているだろ。
Micro-opsに分解してせっかくシンプルなRISC的処理を行っているのに
複雑化していいことがあるとは思えん。
221Socket774:2006/03/11(土) 14:58:23 ID:spyuYa0g
CELLに夢みてる馬鹿が多いようだが、CELLはCPU1個ででかい
タスク1個(ゲーム)を効率的に処理するためのカスタムチップ。
複数タスクをコンテキストスイッチしながら処理する汎用PCには
まったく向かない。

SPEを使わない前提ならアリかもしれないが、それならX86とかの
ほうがよほど高速。PPEの出る幕はない。

SPEを使う前提だとコンテキストスイッチングがネックになる。
SPEはコンテキストスイッチングしないで重いタスクを高速に処理
するという思想なんで、汎用レジスタが128本とか恐ろしい数を
持っている。

SPEを使わないタスクばかりならいいが、それだとX86に及ばない。
SPEを使うタスクばかりだと限られたリソースの奪い合いになる。
なぜならコンテキストスイッチしない設計思想だからな。

ゲームぐらいにしか使えんよ。そのかわりシングルタスクなら
高速だがな。
222MACオタ>221 さん:2006/03/11(土) 15:22:25 ID:W5p9ddCr
>>221
他人に説教する前に,自分が何を書いてるか読み直した方が良いかと思うす。
  -----------------------
  SPEはコンテキストスイッチングしないで重いタスクを高速に処理
  するという思想なんで、汎用レジスタが128本とか恐ろしい数を
  -----------------------
AltiVec有りのPowerPCアーキテクチャわ,整数・浮動小数点・ベクトルで各32本のレジスタを持っているす。
96本と128本が大違い。。。ってのわ,相当ピントのずれた思い込みかと(笑)
更に容量に換算すれSPEのレジスタわ2倍になるすけど,PPEわマルチスレッドのためにレジスタを2セット備えて
いるすから,結局何も変わらないことになるす。
223Socket774:2006/03/11(土) 15:48:14 ID:k/nxWS46
>>221
Cellが使いものにならなければPOWERやPowerPCを使えばいいので、どうでも良い。
224Socket774:2006/03/11(土) 17:18:42 ID:t/Wp9fZw
論点がかみ合ってない悪寒
225Socket774:2006/03/11(土) 17:55:04 ID:afROO2O5
> 今のプログラマに負担を強いるやり方ってのは自ずと限界がある。

いやソフトウェアエンジニアの立場から見ても今までプログラマはH/Wの進化に
甘えすぎだと思うんだが・・・
226Socket774:2006/03/11(土) 19:39:52 ID:xkZtzpAn
フリーランチの時代は終わった、ってやつですか。
227Socket774:2006/03/11(土) 20:54:00 ID:YFI3HNjl
そして有料ランチの代金は結局おまいらが払うことになる
228Socket774:2006/03/12(日) 00:51:45 ID:oRPR7UQ2
>>222
GPUがだんだんグラフィック専用プロセッサから演算処理プロセッサになってきてるから
PCの場合CellのSPEに相当する部分としてをGPUが使われるようになる予感
229Socket774:2006/03/12(日) 00:52:43 ID:Bwr4Qhja
レジスタなんかよりLSの256KBのほうがはるかにでかいわけだが
230Socket774:2006/03/12(日) 01:09:55 ID:q9pSN5mi
SPEはMMUなかった気がする。
だからプロセススイッチするならLS丸ごと書き換えなきゃまずいんでは。
全部PICでコンパイルしてるならぎりぎりちょろまかせそうな気もしなくもないが。
231Socket774:2006/03/12(日) 02:12:24 ID:Bwr4Qhja
>>230
あるけどDMA用だから役に立たんな
232Socket774:2006/03/12(日) 03:05:21 ID:Bwr4Qhja
>>220
まあ明らかに効果があるといったレベルのものでもなさそうだな。

ただ、CCはリネームしないとするならそこに余計な逐次性が入ってしまうわけで、
うれしい局面はあるのかもしれん。
233Socket774:2006/03/12(日) 08:42:26 ID:1TB24caL
>>222
SPEは何個あると思ってんだ。その分かけてみろバカ。
234Socket774:2006/03/12(日) 09:35:07 ID:RXenFb/s
>>233
バカだもん
235MACオタ>233 さん:2006/03/12(日) 09:38:49 ID:ksHUgGwY
>>233
  ---------------------
  その分かけてみろバカ。
  ---------------------
レジスタの退避わ,各SPEが持つLSに対して行えば良いすから,何個あろうとレイテンシわ一緒すけど(笑)
236Socket774:2006/03/12(日) 09:58:22 ID:6GxjndxS
SPUについては直接アクセスできるメモリが256KBしかない時点で、汎用プロセッサと
同等の多重タスク処理を求めるのは無理と思われ。Forthみたく実メモリモデルで極端に
小さなモジュールを組み合わせてシステムを組むような環境ならいけるかも知れんけど。

>>228
それは無いような。GPUメーカーとしてはグラフィック処理以外に演算リソースを喰われて
描画性能が落ちるのは嫌だろうし、(特にヲタ向けハイエンド機種。)インテルにしても自社
プロセッサの仕事が他に奪われるのを黙っては見ていないと思う。(実際、メディアプロセッサ
に対してMMXで対抗した。)

しかし段々とそうやって汎用プロセッサの役割が特定機能ユニットに分担されるようになって
いくと、コア部分はシングルパイプ・インオーダーのシンプルなものに戻っていくのかも。
237MACオタ>236 さん:2006/03/12(日) 11:27:19 ID:ksHUgGwY
>>236
  ------------------------
  Forthみたく実メモリモデルで極端に小さなモジュールを組み合わせてシステムを組むような環境
  ------------------------
そういうのが,CELL対応のコードに要求される「新しいプログラミングパラダイム」ってヤツだと思われるす。
公開されているCELLの性能を見る限り,見返りも大きいんじゃないすかね。。。
238Socket774:2006/03/12(日) 11:43:12 ID:L3Vku/ha
今になってやっと、主記憶内のデータ領域⇔SPEのLS、
なことをスケジューリングするミドルウェアが出てくるなんて遅すぎ。
http://techon.nikkeibp.co.jp/article/NEWS/20060308/114415/
239Socket774:2006/03/12(日) 11:48:33 ID:moal2ul4
CELLは、パソコンで使うなら、グラフィックスアクセラレータでしょう。
hpのVisualize fx10というビデオカードは、ビデオカード側にRISCプロセッサ6個積んでたりしたよ。

パソコンで使ってウマーなのは、XBOX 360に積まれているCPUだと思う。
3.2GHzで3コア6スレッド実行で、メモリのバンド幅もじゃぶじゃぶ。
240MACオタ>239 さん:2006/03/12(日) 12:02:43 ID:ksHUgGwY
>>239
  --------------------
  XBOX 360に積まれているCPUだと思う。
  --------------------
拡張命令を除くとCELL PPEと同じモノす。
2-issueでin-orderのプロセッサすけど,速いと思うすか?自作板の方わMeromで「幅」の有り難味を
理解したと思っていたすけどね。。。
241Socket774:2006/03/12(日) 12:12:25 ID:T055XUhl
Xenonはアービタ周りが腐ってるので問題外
242Socket774:2006/03/12(日) 12:30:51 ID:CsUeYa1y
>>239
もしかしてCELL+PowerVRを夢見ているのか?
……俺もちょっと惹かれる。
243Socket774:2006/03/12(日) 14:41:39 ID:nxXYE+rf
>>239
6個くらいで驚くな。
SGIのReality Engine2は12個のIntel860XPプロセッサを頂点変換のために
搭載している。昔の3DグラフィックスシステムではRISCプロセッサを同様に
搭載したものが多い。
http://www.gisparks.tas.gov.au/sgi/ge10.jpg
244239:2006/03/12(日) 17:32:09 ID:moal2ul4
>>240
2-issueでも3コアあれば、トータルで6-issueだよ。
in-orderつっても、2スレッドで隠蔽だよ。
同じトータルで6-issueでも、3-issueの2コアよりも、効率が高いと思う。

>>243
i860がSGIのモンスター級のマシンで使われたことがあるのは知ってるけど、
Visualize fx10は、ごく普通のOpenGLのビデオカードなんですよ。
245Socket774:2006/03/12(日) 20:51:38 ID:Bwr4Qhja
手作業でレジスタアロケーションしたりスケジューリングしたりするのも新しいプログラミングパラダイムかね
246Socket774:2006/03/12(日) 22:02:27 ID:QHzxVq2k
手間をプロセッサの外に出すっつー考え方はItaniumが実現したが、
それが性能に結びついているとは言い難いんだよなぁ。
247MACオタ>245 さん:2006/03/12(日) 22:05:03 ID:ksHUgGwY
>>245
その辺が自動で簡単にできるように,in-orderになってるんだと思うす。
SPEでのタスク分割わ,人力に頼らざるを得ないと思うすけど。。。
248Socket774:2006/03/13(月) 02:33:06 ID:DbXv55JI
ハード技術0のソフト屋のたわごと

・CPUの高クロック化はプロセスの微細化によってのみもたらされるべきである
・シングルコアでインオーダ発行のシングルパイプラインのプロセサをマルチプロセサで使用する
・遅延分岐の採用により分岐によるインタロックを行わない→必然的にパイプライン段数は少なく留めるべきである

こんな考え方はものすごい時代遅れなんでしょうね。

アセンブラをいじって面白いのは非パイプラインのCISCかシングルパイプラインのRISCなんですよね。
レジスタリネーミング、アウトオブオーダ発行のスーパースケーラなんてアーキテクチャを見ると、もはや人間は高級言語だけ使えってことなんでしょうかね。
249Socket774:2006/03/13(月) 02:38:19 ID:lK0eRsCW
>>246
それを言うならRISCやVLIWだと思う。

ItaniumはIPCという観点からは性能でてると思うよ。あれだけピーキーなアーキテクチャの割には。
250Socket774:2006/03/13(月) 02:49:03 ID:OGy+g6gw
インテルの衰退とAMDの繁栄 Part26
http://pc7.2ch.net/test/read.cgi/jisaku/1142021769/373

373 名前:Socket774[sage] 投稿日:2006/03/12(日) 18:50:45 ID:wbaGuMo1
MACオタって懲りずにまだいるんだな。
書き方がキモ過ぎって未だに分かってないな。「わ」とか「るす」とかマジ超寒い。
自分ではイケてるって勘違いしてるんだろうなw
素でドン引きだって気づいてないんだろうな・・・哀れ。
何をアピールしたいのか知らんが、自分で「MACオタ」とか名乗っても
MACというブランドに自己同一視するなんて
ただの痛い奴にしか周りには見えないw

大体こいつなんでこのスレに書き込んでいるのか意味不明。
スレタイからしてAMD好きな奴の為にあるスレにしか読めないんだけど?
やってる事はそもそもスレ違いだしIntelスレに帰れ・・・と言いたい所だが
こいつIntel本スレでも嫌われてるから帰る居場所が無いw
キチガイだからIntel好きな奴にすら受け入れてもらえずに
仕方なくここでAMDの悪口書いてストレス発散とか痛すぎ。
あぁ、あとは次世代CPUスレで動向についての見識をひけらかして
優越感に浸ることも忘れてはダメだw

まぁAppleがx86に宗旨鞍替えした途端に金魚の糞のように追従して
今までは何だったの?と思わせるほど節操も無くPPCを捨てて
Intel至上主義に走るような馬鹿には何を言っても無駄かm9(^Д^)プギャー!!
251Socket774:2006/03/13(月) 03:02:05 ID:H2iSGrXX
>>248
現状のプロセッサ設計はなるべくしてそうなっているので、こういう「べき」論はナンセンス。
せめて設計目標を先に挙げてくれ。「俺はこういうのが好き」というのではちょっと弱い。
252Socket774:2006/03/13(月) 06:52:39 ID:GMVeAF4I
>>248みたいにプロを装って妄想を書く社会を知らない消防はたちが悪い
253Socket774:2006/03/13(月) 07:24:26 ID:H2iSGrXX
大原雄介がまたシッタカぶりを披露しています。
ttp://pcweb.mycom.co.jp/articles/2006/03/11/idf3/

> レジスタ間のデータコピー(移動だけならRegister Renamingで済む)を司っているものと思われる

> 命令のRe-orderやRetirementがIn-orderなのはちょっと驚き。普通、ここはOut-of-Orderで実行する

> RetirementがIn-orderなのは、Memory AccessをOOOで実行する関係で、一度Queueingする必要があるから、と考えられる。

こいつはなんのためにリアタイアメントユニットがあるのか知らんのか。

> このプリフェッチのメカニズムはいろいろあるが、大抵はアクセスがあったアドレスから1Line分(キャッシュの1回に格納するデータ量の単位)を取り込むといったレベルで、
> アクセスパターンまで解析した例は(研究レベルではともかく商用プロセッサとしては)これまで聞いたことがない。

マルコフプリフェッチャーならPOWER2あたりで実装されとると思った。
254Socket774:2006/03/13(月) 08:52:40 ID:yla2OOG2
商用プロセッサでRetirementがOut-of-OrderなCPUはありますか?
255Socket774:2006/03/13(月) 09:14:09 ID:QRDlIscc
>>248
>・CPUの高クロック化はプロセスの微細化によってのみもたらされるべきである
妄想も大概に。

>・シングルコアでインオーダ発行のシングルパイプラインのプロセサをマルチプロセサで使用する
シングルコアでマルチプロセッサ?
チップの数(=パッケージの数)をどんどん増やすわけ?
マルチプロセッサシステムをうまく動かすのはソフトは大変よ?
本当にソフト屋?

>・遅延分岐の採用により分岐によるインタロックを行わない→必然的にパイプライン段数は少なく留めるべきである
ハードの実装によってディレイスロットの数がばらばらになってバイナリ互換性がなくなるけど。
MIPSもR4000の時点で既にインタロックするようになっているの知ってる?
本当にソフト屋?

>こんな考え方はものすごい時代遅れなんでしょうね。
でなきゃバカ。

>アセンブラをいじって面白いのは非パイプラインのCISCかシングルパイプラインのRISCなんですよね。
>レジスタリネーミング、アウトオブオーダ発行のスーパースケーラなんてアーキテクチャを見ると、もはや人間は高級言語だけ使えってことなんでしょうかね。
本当にソフト屋?
256Socket774:2006/03/13(月) 09:24:19 ID:H2iSGrXX
>>254
360/91
257Socket774:2006/03/13(月) 10:15:57 ID:0t/AG87J
>>255
>>248で俺はSunのNiagaraみたいな奴を想像したんだが。
ああいうのは面白いと思う。
自作板向きではないが。
258Socket774:2006/03/13(月) 10:24:45 ID:QRDlIscc
>>257
>>>248で俺はSunのNiagaraみたいな奴を想像したんだが。
Niagaraはまったく想像できないけどなぁ。シングルコアって言ってるし。
アレはメニィコアだよね。

ひょっとして、シンプルコアでマルチプロセッサといいたかったんだろうか?
259Socket774:2006/03/13(月) 12:34:33 ID:lK0eRsCW
寄ってたかって指摘したら可哀想だから、そっとスルーしてあげようよ。
260248:2006/03/13(月) 17:23:21 ID:DbXv55JI
一介のソフトウェアプログラマというつもりでソフト屋と書いたのですが
違う意味に受け取られたのでしょうか。
ソフトウェア側からしかCPUを使用したことがなく、
CPUに関してはプロフェッショナルどころか門外漢です。
勉強中の身なので指摘、批判、嘲笑、大いに歓迎です。
261Socket774:2006/03/13(月) 17:41:10 ID:QRDlIscc
>>260
もちろんそういう意味で解釈したよ。
その上で「ソフト屋とは思えない」発言が目立つって話。
262248:2006/03/13(月) 18:11:02 ID:DbXv55JI
>>255=258=261さん、返答ありがとうございます
私の考えは
・現在のCPUクロックは速すぎる→500MHz程度で充分
・インタロックのない遅延分岐→パイプライン段数は変えない
・Unix系のプログラムを考えるとマルチプロセサ化はそれほど難しくない
現在の集積度ならパイプライン5段で500MHzの実現は可能という勝手な思い込みに基づいています。
R4000系のようにパイプライン段数を増やすと、
バイナリ互換性のために遅延スロット+インタロックなんて
MIPSはMicroprocessor with interlocked pipeline stagesの略ですか?
なんてことになるからパイプラインの増加は好ましくないと思っています。
263Socket774:2006/03/13(月) 18:43:15 ID:6tsjXAOb
>>260ドンマイ
だいたいハードもソフトもどっちもなきゃ使い物にならないしね。
そういう見方も必要。

248>もはや人間は高級言語だけ使えってことなんでしょうかね。
んなこたーない
なぜなら構造化アセンブラ(C)とそのオブジェクト指向拡張(C++)は絶対に高級言語なんかじゃないから


どうか・・・そう考えてください、おねがいしますです。




ところで自動スレッド分解コンパイラ>>216なんとかなってくれないものか・・・
264Socket774:2006/03/13(月) 19:49:54 ID:lK0eRsCW
>>262
そんな貴殿には、IA-64をオススメします。
低いクロック、短いパイプラインですよ。
265Socket774:2006/03/13(月) 20:50:12 ID:bHKmSMXQ
>>240

おいおい、XBOX360の方は3コアでCELLは1コアだろ
基礎体力は段違いじゃないか?
266Socket774:2006/03/13(月) 23:00:07 ID:I152LA3M
CELLは軽いコントロールロジックをPPEで、重いメディア処理をSPEでという
分担構造。PPEとSPEが協調して「1つのタスク=ゲーム」を動かす作り。
SPEはコードとデータをまとめてローカルの高速RAMに投げ込む構造だから
タスクスイッチなどまったく想定していない。ゆえに汎用には使えない。
360みたいにPPCが3コアとかなら使えなくもないが、それならそれでインテル
やAMDのほうが一枚も二枚も上手。

所詮ゲーム用CPUはゲーム用でしかない。
267248:2006/03/14(火) 00:33:20 ID:0TTT5FBQ
>>263
CPUを隠蔽するプログラミング言語という意味で高級言語といっただけです。
アセンブラでさえ仮想プロセサを操作したりするし。
>>264
IA-64はIA-32の命令セットを内包するんですよね。VLIWやレジスタの多さはそそられるけど…
IA-32の血が入っていなければいいのに。

自分の中でプロセサの順位付けは
1位 R3000系MIPS:命令セット、アーキテクチャともシンプルで好き
2位 ARM:かなり気に入っていますがレジスタが少なすぎ
3位 PPC:ちょっと複雑なのが気になりますが好印象。ただビッグエンディアンなのがひっかかる
4位 SPARC:触ったことないけどいつか触りたい。PPCと同じくビッグエンディアンがひっかかる
5位 MIPS64:命令セットは好きだけどパイプライン段数多いしインタロックはするし
6位 SH:遅延スロットがあるのは好きだけど、レジスタ2オペランド、レジスタ数、命令語長が×
7位 IA-16:最適化を行うのが面白かった。最初に触ったCPUなので憎めない
-------
IA-32:世の中カネですか
なんですよね。68K系もZ80系もIA-64も接点がなかったのでよく知りませんでした。
だれか私をビッグエンディアンに改宗してくださればPPCに走るんですけど。
バイエンディアンといいつつもリトルで使っているのを見たことないです。
268Socket774:2006/03/14(火) 01:27:04 ID:SQXuzcB5
>>267
> IA-64はIA-32の命令セットを内包するんですよね。
しない
269Socket774:2006/03/14(火) 02:27:34 ID:SQXuzcB5
>>267
PPCが上位にきているのがかなり意外だが、Alphaはどうよ。
270Socket774:2006/03/14(火) 09:12:46 ID:HUHe1ow8
Intelが本気でPowerPCで作ると今のCoreDuoを超えますか?
271Socket774:2006/03/14(火) 16:32:20 ID:gtWZbKcx
>>262
96コアで性能2倍のコプロセッサ、米企業が発表
http://www.itmedia.co.jp/news/articles/0410/07/news039.html
272Socket774:2006/03/14(火) 20:36:14 ID:ulMCU1hI
>>267
案外ARMが高いね

個人的には全命令条件実行可能なのは結構好きだけど
このスレでは変態命令セットとかさんざんな言われようだから
273Socket774:2006/03/14(火) 21:26:36 ID:zKy0fSR7
ところでIA16ってx86の16bitコードのこと?

どこでそういう方言を使っているのかなぁ。
274248:2006/03/14(火) 23:19:58 ID:0TTT5FBQ
>>268=269 >>272
ARMは得点も多いが失点も多い2位です。個性的ですよね。
理想追求的な旧MIPSと現実的なARMって感じですか。
PPCは失点が少なく得点も少ない3位です。
PPCは欠点らしい欠点が見当たらない。

R3000以外の順位の理由は私のレベルを反映してわりと明快というか単純で、
プログラマ側から見たインタフェースである命令セットが大きくモノをいってます。
あとは汎用レジスタ数、レジスタオペランド数、エンディアン。
ハードウェアは門外漢ですが、シンプルなアーキテクチャが好きです。
なので分岐予測よりも遅延スロットが好き。
MIPS64はかわいさあまってにくさ100倍といったところでしょうか。

手の届くところにAlphaはないのでちょっと分かりません。

>>271
疎結合のネットワーク分散コンピューティングと密結合のプロセサの中間といった感じですかね。
現在の民生バスを考えると緩結合はわりと現実的な解なんでしょうか。

>>273
パイプライン化する前の16bitCPUの8086や80286のつもりで書きました。
(80286はパイプラインだったっけ?)

275Socket774:2006/03/15(水) 00:26:33 ID:hR3F9Wtr
>>268
> >>267
> > IA-64はIA-32の命令セットを内包するんですよね。
> しない

補足
中にPentiumII (位の速度のIA-32 の Core)がオマケで入っているだけ.
それも,Montecitoからなくなるみたいだけど.
276248:2006/03/15(水) 01:19:23 ID:7CLr+FtJ
>>275 (=268?)
私の無知からくる頓珍漢な発言を正してくださって、ありがとうございます。

低いクロック、短いパイプラインにIA-32はオマケですか。
意外とイイ奴かも。
食わず嫌いで視野を狭めるのはいけませんね。
反省します。
277Socket774:2006/03/15(水) 01:29:22 ID:aWwKe31c
>>273
IA64が出来てからIA32という用語が生まれ、時たまIA16という言葉も使われる。
あんま使われないが、使われるには使われる。
使用例が見たいならぐぐれば?
278Socket774:2006/03/15(水) 04:37:57 ID:AHvfLwU5
>>275
それは良く聞く話なんだけど、ソースある?

インテルの資料には、x86をデコードしてIA64と同じ演算ユニット・レジスタで実行しているように書いてある。

もしPentiumIIのコアが丸々入っていて、さらに同じクロックで動いているのるのなら、
IA-32のコードの実行速度は、もっともっと速いはず。
279Socket774:2006/03/15(水) 04:54:44 ID:4zS1zWm5
>>278
動向を知らなさすぎ。
つうか聞く前にちょっとは調べろ、何がソースだ
信憑性云々をいちいち問う以前の話w
http://www.google.com/search?num=50&hl=ja&q=IA-32+itanium+%E3%82%A8%E3%83%9F%E3%83%A5%E3%83%AC%E3%83%BC%E3%82%B7%E3%83%A7%E3%83%B3&lr=lang_ja

IA64は初期の頃はIA32との互換性を維持するたに専用のデコード・ユニットを実装してた。
今はIA-32 ELというエミュレーション。例えるならTransmetaのCMSみたいなの。
280Socket774:2006/03/15(水) 09:01:42 ID:U0MrNJaa
>>277
どこからそういう奇妙な方言を仕入れてきたか興味があっただけなんで。
google引いてもそういう意味で使われていることはほとんどないんだよね。

少なくともこういう場所で説明なしに使って理解してもらえる言葉じゃないと思うよ。
281Socket774:2006/03/15(水) 09:08:56 ID:T3BjiAO8
PowerPCがRISCでCISCよりも無駄がないと聞きます。

x86のCPUと比べてPowerPCの方が遅い理由はなんですか?
他の技術で負けているということなのでしょうか?
282Socket774:2006/03/15(水) 09:44:20 ID:ESOwS5KJ
 ..::::::,、_,、::: ::::: ::: :
  /ヨミ゙ヽ)-、. :: ::::
─(ノ─ヽ.ソ┴─
283Socket774:2006/03/15(水) 12:29:13 ID:isE5+ao7
IA64の32実行用コアは動けばイイやという代物だったから
マッキンリーの頃だったかにはエミュレーションの方が早くなってしまって
早晩なくなるだろうと言われていたな…。IA64自体が危ういが。

>>281
@x86もRISCだから
APPCの方が総じてクロックが低いから
BPPCで高速に動くようなコーディングをしてないから

このうちの複数の理由による。
AについてはPPC陣営の悪い癖というか,一歩先を行く
機能によってクロックの足を引っ張るというのと,フラグシップ
PPCの市場がとても狭いというのが原因。

余談だが,組込(ゲーム機等)と被らないPPCは
ほぼMac専用で上に行くとPOWERがある為に
IBMにやる気がないというのもIntelチップ採用の原因とされる。
284Socket774:2006/03/15(水) 13:52:22 ID:AHvfLwU5
>>279
話の流れから明記しなくてもわかってもらえると思って書かなかったのだけど、
> それも,Montecitoからなくなるみたいだけど.
ではなくて
> 中にPentiumII (位の速度のIA-32 の Core)がオマケで入っているだけ.
の話について、です。
285Socket774:2006/03/15(水) 19:53:43 ID:IY7Z1lFr
>>284
(位の速度のIA-32 の Core)
(位の速度の)
286Socket774:2006/03/15(水) 20:59:29 ID:AHvfLwU5
ぜんぜん話が違ってくるじゃないですか。
最初から、そう書いてくださいよ。
びっくりしたなぁ、もう。
287Socket774:2006/03/15(水) 21:18:22 ID:f7fBP6fh
>>281
同じIA-32でも、pentiumよりconroeの方がずっと速いだろ?
命令セットって、本質的にあんま関係ないんだよ

>>283
970は、2.7Ghzも出てる
K8と遜色ないし、デスクトップ・サーバー用CPUでは
クロック最高の部類だ
288275:2006/03/15(水) 22:12:09 ID:hR3F9Wtr
>>278
> それは良く聞く話なんだけど、ソースある?
> インテルの資料には、x86をデコードしてIA64と同じ演算ユニット・レジスタで実行しているように書いてある。

http://h21007.www2.hp.com/dspp/files/unprotected/itanium2.pdf

の,29ページに,

The Itanium 2 processor’s IA-32 translation hardware engine is an enhanced and in-order version
of the original Itanium’s IA-32 translation engine. It provides x86 compatibility with Katmai (Pentium 3)
and earlier processors. It will execute IA-32 applications and I/O drivers directly.

An important advance in the Itanium 2 processor that allowed a simplification from an out-of-order to
an in-order IA-32 translation engine was the design of a one-cycle LAGEN unit. The LAGEN unit forms
the address for IA-32 instructions. On the original Itanium processor, this function takes 2 cycles.

The performance of the Itanium 2 processor’s IA-32 engine is expected to be comparable with
a 300 MHz Pentium Pro.

とか書いてあるね.
ISA は Pentium3相当だが,速度はPenPro300MHz くらいか.
(すまん,うろ覚えで書いたもんでちょっと違った)

あと,31ページの図や,32ページの写真には,IA-32 Engine という箱が独立して書かれているので,
一応 IA-32 相当のものが入っていると言っていい,,,,,かな?

289Socket774:2006/03/16(木) 00:49:02 ID:NkQ7YZ8y
31ページの図はパイプラインのステージ順に描かれているので、
IA-32の命令はIA-64の命令に変換されてることを示しているね。

でも32ページのダイのレイアウトの図では、IA-32の面積が広くて、
IA-32のコアが丸ごと入りそうな気もするんだよね・・・。
290Socket774:2006/03/16(木) 01:51:49 ID:E5aOg5oc
既存のソフトウェア資産を重視しない用途ではitaniumやpowerが選ばれる。
x86よりパワフルだから。
x86である必要の無いゲーム機もpowerを採用。
PowerPCもG5はスペック相応の性能だと思う。
G4はモトローラの技術力とやる気の無さ。
クロック狂騒時代、IBMはやる気満々だったがモトローラがついていけず。
当時はIBMがローエンドのG3、モトローラがハイエンドのG4担当。
G4より高クロックのG3は許さない、これがアップルの意向でIBMはかなり怒っていた。
同じG3でもIBM製G3は銅配線、モトローラ製G3はアルミ配線、技術力の格差がはっきり
してきて三角関係は微妙な事態に突入。

291Socket774:2006/03/16(木) 02:12:11 ID:1YbsZ089
>>290
性能じゃなくて、マーケティングとかスケーラビリヒティーだろ
ハイエンドでpowerやitaniumが使われるのは

なお、ハイエンドだとある面ではデスクトップ以上に
ソフトウェア資産が重要
292Socket774:2006/03/16(木) 07:56:42 ID:L/JLr5yQ
>>290

つか。
見た目のスペック表のすごさばかり追及して、
クロックを高める妨げにしてしまうという、
モトローラの悪癖が出ただけだろ>G4

Altivecのレジスタ数の多さ、高機能さが
クロック上昇を妨げるガンだった。
これを無理に高クロック化したから、発熱がすごいことになった。

モトローラのG4をハイエンド用に決めてなかったら、
G4より底力のあるG3機だってあり得たのにな。
293Socket774:2006/03/16(木) 11:52:18 ID:NkQ7YZ8y
以前、エンタープライズ系の、あるサーバソフトの開発をやっていたとき、
CPUのクロックを上げても、ほとんどパフォーマンスが上がらない
ということで困ったことがある。

そのソフトはコードの99%とCPU時間の99%を、
エラーチェックとエラー時の対処とエラーの記録
に費やしていると言っても過言ではなく、

コードサイズが巨大で命令のキャッシュのヒット率が低く、
分岐が多すぎて 分岐や分岐予測のためのCPU内のリソースは足りないしで、
それはもう悲惨だった。

そういうのだと、Itaniumのようなアーキテクチャだと、性能が出そうな気がするよ。
294Socket774:2006/03/16(木) 12:01:49 ID:fc3jCsBD
>>293
>そのソフトはコードの99%と
こっちはともかく

>CPU時間の99%を、
こっちはありえないのでは?

>エラーチェックとエラー時の対処とエラーの記録
>に費やしていると言っても過言ではなく、
ほとんど時間が異常系の実行に費やされているっていうのは
そもそもの設計自体に重大な欠陥があるとしか思えん。

そんなウンコソフトなら、どんなプロセッサを持ってきてもどうにもならんと思うが。
295Socket774:2006/03/16(木) 18:04:42 ID:1zgjQBrP
そうだけど、ハイエンドプロセッサのほうが分岐のテーブルとか
キャッシュがでかいから、大きなワークロードに向いてるのは事実
296MACオタ>292 さん:2006/03/18(土) 00:17:55 ID:uXVRKq/u
>>292
  ----------------------
  Altivecのレジスタ数の多さ、高機能さがクロック上昇を妨げるガンだった。
  ----------------------
未だにこういうデマを信じてるヒトがいたことわ驚きす。
現実に同じ4-stageのパイプライン構成であるゆえに,同一プロセスの7400 G4をしのぐ動作クロックの
75x G3わ出なかったす。その上,結局AltiVecを採用する羽目になったG5わ,クロック競争を繰り広げる
x86プロセッサ並の動作クロックを達成しているす。

IBMも過去の誤りを悟って,CELL PPEやXbox360 PXに採用されている組込向け標準コアにAltiVecを
取り入れたというのに,時代遅れのお馬鹿さんわ未だに与太話を信じてるというのわ,実に滑稽な話す(笑)
297Socket774:2006/03/18(土) 02:52:39 ID:ZgrBcRpF
>>296
ヒント: 世代交代
298Socket774:2006/03/18(土) 10:18:16 ID:hA7DT/OA
アップルも誤りを悟って、Altivecを捨ててIntelを採用したようですが
299MACオタ>298 さん:2006/03/18(土) 10:54:22 ID:uXVRKq/u
>>298
PPCアーキテクチャから離れられないものとして,Appleを舐めてかかったIBMわPPEベースのロードマップを
示し,IntelからわMeromを提示された。。。というのが事実す。
今となってわ,Jobsの正しさわ自明かと思うすけど。。。
300Socket774:2006/03/18(土) 11:39:15 ID:ovRjIfAi
>>299
ジョブズというかアップルのな
301Socket774:2006/03/18(土) 12:11:32 ID:uQZT8kZu
まぁMerom/Conroeが期待できる事が唯一の救い…
302Socket774:2006/03/18(土) 12:25:36 ID:hA7DT/OA
全部IBMのせいなんだw
303Socket774:2006/03/18(土) 12:48:00 ID:zlWt315h
>>296
>現実に同じ4-stageのパイプライン構成であるゆえに,同一プロセスの7400 G4をしのぐ動作クロックの
>75x G3わ出なかったす。

Appleが使ってくれないのにそのクラスのプロセッサを作っても意味がないので作らなかっただけ。
304MACオタ>303 さん:2006/03/18(土) 13:08:45 ID:uXVRKq/u
>>303
  ---------------------
  Appleが使ってくれないのにそのクラスのプロセッサを作っても意味がないので作らなかっただけ。
  ---------------------
Intelへのスイッチを見れば判るように,Appleわ高性能プロセッサに選り好みわしないす(笑)
そもそもG4登場から,G5発表までの1999-2003の間,IBMとMotorolaからのプロセッサ購入数わ,ほぼ
半々だったって知ってるすか?
305Socket774:2006/03/18(土) 13:17:43 ID:uQZT8kZu
IntelがPowerPCを作ってくれればいいのに。
306Socket774:2006/03/18(土) 14:14:17 ID:YwcbcftQ
>>305
散々既出だが、何度でも言いたくなるな
307Socket774:2006/03/18(土) 14:16:05 ID:YwcbcftQ
>>303
高クロックの750がどうか知らないが、アップルへの出荷数は全体としては大したことないすよ
まあ言わなくてもわかるか
308Socket774:2006/03/18(土) 14:38:42 ID:zlWt315h
>>306
アップルとしてはG4より速いG3があると困るって事情もわかってるよな?

>>307
その「高クロックの750」の話をしているわけだが。
#ちゃんと「そのクラスの」と断ってるだろ?
309Socket774:2006/03/18(土) 14:56:15 ID:/g3CJZMx
IBMはインテルにさほど遅れる事なく1GHzのG3の試作品を発表してたよ。
不孝な事にG3はモトローラとIBMの両方で作ってた。
無論同じ仕様である事が求められた。
ま、IBMはアップルと切れて今度はAMDとタッグ組む。
パソコン市場への野望いまだ衰えず。
310MACオタ>308 さん:2006/03/18(土) 14:58:48 ID:uXVRKq/u
>>308
  ----------------------
  アップルとしてはG4より速いG3があると困るって事情もわかってるよな?
  ----------------------
まったく困らないどころか,現実に存在したす(笑)
例えば2001年初頭のラインナップ
 ・Power Mac G4 (Digital Audio): PPC7410/466MHz, PPC7410/533MHz
 ・iMac (early 2001): PPC750/500MHz, PPC750CXe/600MHz

2000年夏もG3とG4わ同一クロックだったす。
311MACオタ>309 さん:2006/03/18(土) 15:03:22 ID:uXVRKq/u
>>309
  ----------------------
  IBMはインテルにさほど遅れる事なく1GHzのG3の試作品を発表してたよ。
  ----------------------
腐れルーマーに騙されてデマを信じ込んでるみたいすね(笑)
当時のIBMのGHz PowerPCわ研究用の試作品す。
http://www.research.ibm.com/arl/projects/guTS.html
http://www.research.ibm.com/arl/projects/rivina.html
見ての通り64-bitプロセッサの試作品でG3とわ何の関係も無いす。
312MACオタ@補足:2006/03/18(土) 15:17:49 ID:uXVRKq/u
馬鹿にわ区別がつかなかったみたいすけど,GuTSと同時にISSCC98で試作G3が発表されたというのわ事実す。
ただし,こんな代物す。
http://bizns.nikkeibp.co.jp/cgi-bin/search/wcs-bun.cgi?ID=44727&FORM=biztechnews
  ------------------------
  技術的な内容としては、米IBM社や韓国Samsung Electronics社が、SOI(silicon-on-insulater)を採用した
  製造技術を使ってプロセサの動作周波数を引き上げた成果を発表する点が目新しい。IBMは、0.12μm
  (実効トランジスタ長)技術に銅配線およびSOIを採用して、PowerPCプロセサが580MHz動作したことや、
  1GHz動作のPLL(phase locked loop)回路を発表する。
  ------------------------
このSOI採用で580MHzで動いたチップってのがG3す。この時期にSOIウェアを量産できる訳が無いってのわ
もちろんとして,PPC7410 G4が寿命末期にわ550-600MHz品が出荷されていたことを考慮すると,特に驚くべき
動作周波数とわ言えないことが判る筈す。
313Socket774:2006/03/18(土) 15:30:04 ID:zlWt315h
>>310
>例えば2001年初頭のラインナップ
> ・Power Mac G4 (Digital Audio): PPC7410/466MHz, PPC7410/533MHz
2001/01の時点で733MHzが発表されているようだが?
#出荷は2月
> ・iMac (early 2001): PPC750/500MHz, PPC750CXe/600MHz
2月に発表された水玉が500MHz。

>2000年夏もG3とG4わ同一クロックだったす。
2000年夏だと
iMacDV+がG3/450MHz
PowerMacG4(GigaEthernet)がG4/500MHz
なんだけど?

ちっとでたらめにもほどがあるんでないの?
314Socket774:2006/03/18(土) 15:33:04 ID:zlWt315h
>>313
>> ・iMac (early 2001): PPC750/500MHz, PPC750CXe/600MHz
>2月に発表された水玉が500MHz。
上位機種は600MHz品があるけど、どちらにせよ733MHzは超えない。
315MACオタ:2006/03/18(土) 15:38:04 ID:uXVRKq/u
>>313 さん
  -------------------
  2001/01の時点で733MHzが発表されているようだが?
  #出荷は2月
  -------------------
Macユーザーなら,7450/733MHzわ事実上4-5月まで出荷されず,7450/667MHzわ出荷されたかされないか
噂にも上らないうちに消えたのを覚えているかと思うす。

>>314 さん
  -------------------
  iMacDV+がG3/450MHz
  -------------------
iMac DV Spesial Editionってご存知すか?
316Socket774:2006/03/18(土) 15:51:22 ID:zlWt315h
>>315
> Macユーザーなら,7450/733MHzわ事実上4-5月まで出荷されず,7450/667MHzわ出荷されたかされないか
> 噂にも上らないうちに消えたのを覚えているかと思うす。

それは「アップルとしてはG4より速いG3があって困る」話の補強にしかならないわけですが。
アップルとしてはG4>G3を維持するつもりでいたわけですから。

> iMac DV Spesial Editionってご存知すか?
確かにそれは500MHzでした。
dualとsingleでどうにか差別化を計っていた時期ですか。
少なくとも逆転しては困るとアップルは考えていたのでしょう。
317MACオタ>316:2006/03/18(土) 16:07:35 ID:uXVRKq/u
>>316
  ---------------------
  少なくとも逆転しては困るとアップルは考えていたのでしょう。
  ---------------------
研究レベルでもG3の動作クロックわ600MHzに満たないという事実を示されても,G3の動作クロックわ
マーケティングで決定されたと主張するすか。。。
318Socket774:2006/03/18(土) 16:09:12 ID:A4ZY19YF
>>305
>>306
インテルがStrongARM、そしてXScaleを作ったら、
子供の喧嘩に大人が出てくる雰囲気あったな。
もうMIPSもSHもタジタジ。
もしインテルがPowerPC作ったら・・・
319Socket774:2006/03/18(土) 20:25:24 ID:EbsvnMQ6
>>318
XscaleもMIPSもSHも目指してる市場の方向性が違うからなぁ…。

WindowsCE系に関して言うなら、SHはそもそもPocket/HandheldPC2000な
モデルにさえ採用されてない(夢カスでの大ダメージが効いた?)。
MIPSも2000モデルでは各機種(OS変更程度の)マイナーチェンジがほとんど。

2000でStrongARM機が出てくる頃には、ぶっちゃけ
まぁPDAを作ってるベンダーもだけど、PDA分野をMIPS/SHは見限ってたんじゃないかと…。
320Socket774:2006/03/18(土) 21:11:28 ID:VcCD3huP
SH系は、CE云々以前に、もうエンベデッド市場しか見てないでしょ。
開発サイドはともかく、営業サイドとしてはの話ね。
321Socket774:2006/03/18(土) 21:51:04 ID:EbsvnMQ6
>>320
SHは最初から組み込み主体ってかその為の16bitだし、
売りこみデモとしてのCE機だったと思うよ。

でも、売れていたならCE機続けただろうとも思う訳で。
322Socket774:2006/03/18(土) 22:56:25 ID:j4XgKlW2
>>321
まぁSHの迷走期とも被るからな。
上位の開発がSuperH社で
ルネサスと分離していたあの頃は
どういうロードマップだったんだか。
323Socket774:2006/03/18(土) 23:07:44 ID:O9dn+GVq
PDA系ってゆーとドラゴンボールを思い出すんだが
あれって今どうなったの?
324Socket774:2006/03/18(土) 23:11:59 ID:j4XgKlW2
モトローラがARM系採用してジ・エンドでなかったか
325Socket774:2006/03/18(土) 23:53:06 ID:ZgrBcRpF
ARMのやつもドラゴンボールではある
326Socket774:2006/03/19(日) 00:04:29 ID:mfqqr/a3
>>317
> 研究レベルでもG3の動作クロックわ600MHzに満たないという事実を示されても
それは98年の発表
327Socket774:2006/03/19(日) 01:13:08 ID:Om73/icH
世界で最も売れたARMはGBAという事実
328MACオタ>326 さん:2006/03/19(日) 10:35:10 ID:FleFeaND
>>326
>>312に書いた通りす。見直すとISSCC99の間違いすけど(笑)
で,この脳内妄想のソースわ見つかったすか?
  -------------------------
  IBMはインテルにさほど遅れる事なく1GHzのG3の試作品を発表してたよ。
  ----------------------
329Socket774:2006/03/19(日) 16:43:37 ID:KKkfbELA
326じゃないけど
http://72.14.203.104/search?q=cache:bgqw6UWkUukJ:http://too.site.ne.jp/Mac/Macintosh/MacMemo/MacMemo0107_12.html+ibm+powerpc+g3+1GHz&lr=lang_ja&hl=ja&ie=EUC-JP&output=html&client=nttx

G3の1GHzは2001年10月だね。
pentiumIIIから一年半送れか。
当時Mac系の雑誌で騒いでた記憶がある。

330Socket774:2006/03/19(日) 16:45:46 ID:KKkfbELA
なんか思い出してきたよ。
iMacに1GHzのG3が載ると思っていたのに廉価版G3の500MHzくらいになっててがっくりした記憶が。
331Socket774:2006/03/19(日) 19:30:25 ID:Ahdge2j8
>>329
リンク先読んでなくて申し訳ないが、
たしか750FXは2001年10月発表で、2002年前半に700だか800MHz出荷開始、後半に1GHzまで到達するという話だった。
ま、でもSOI採用で驚いたのは覚えてる
SOI採用プロセッサの出荷では、2002年1月の7455 1GHzの方が早かったかも
332Socket774:2006/03/19(日) 23:00:36 ID:mfqqr/a3
>>331
結局G3 1GHzの採用例はあったの?
333MACオタ>329, 331 さん:2006/03/20(月) 00:20:17 ID:s03r4DX7
>>329, >>331
750FX自体わ,Microprocessor Forum 2001で発表され130nmプロセス + SOI + low-k絶縁体と当時最新の
製造技術を導入して2002年初頭に700MHzで登場,将来的にわ1GHzに。。。って触れ込みだったす。
http://www-306.ibm.com/chips/techlib/techlib.nsf/techdocs/FBEAAB9F7A288ED787256AE200622214
ちなみに同時期のG4わ180nmプロセス+SOIで1GHz品を量産中で2002年1月末に新型PowerMacと共に
発表されたす。http://www.eet.com/story/OEG20020129S0036

750FXの方わ,その後low-kプロセスの大失敗でさっぱりクロックが上がらず,こんな風に揶揄される羽目に。。。
http://www.theinquirer.net/?article=5927
334Socket774:2006/03/20(月) 01:47:54 ID:aUOyi0Bk
頭わるそうな文章だな。
335Socket774:2006/03/20(月) 03:18:24 ID:etegJ5Ls
Conroe/Meromに対抗できるプロセッサはいつごろ出てくるのか
336Socket774:2006/03/20(月) 03:44:16 ID:wZhbI+fi
事あるごとに書かれる「Athlon64 90nm SOI」のSOIは
>>333のIBMの技術だから笑える

他にも採用しているのを全部書かないとダメじゃん
まあ2chで書いてるやつは、自社技術だと思いこんでるのかもしれないがw
337Socket774:2006/03/20(月) 03:55:07 ID:etegJ5Ls
おれはどうしてもSPARC64の高性能ぶりが気になってしかたがない。
338Socket774:2006/03/20(月) 18:00:52 ID:8gKKv+jy
ってかお前ら、荒らしに構う奴も荒らしっていう2chの掟を忘れたか?
いつまで糞オタいじってんの。
339Socket774:2006/03/20(月) 23:23:07 ID:/aKHHKfZ
>>338
お前は何をいってるんすか?
340Socket774:2006/03/20(月) 23:50:25 ID:aXBJXzWn
>>290
この前PCWatchで後藤が面白い記事書いてて

>既存のソフトウェア資産を重視しない用途ではitaniumやpowerが選ばれる。

>x86である必要の無いゲーム機もpowerを採用。

な感じで今までゲーム業界やってきた。

しかし最近は開発環境の高度化&ライブラリの複雑化、肥大化。
開発者がハードに「やっと」こなれてくる頃には
すでにモデルチェンジ、このスタイルを継続するのはもはや無理だと
いう内容。

結局Intelがこれだけ労力払ってx86維持してるには
ちゃんと理由があって
そのお陰でゲーム業界のような惨状にはならんわけだ。
341Socket774:2006/03/20(月) 23:53:52 ID:/aKHHKfZ
>>340
>結局Intelがこれだけ労力払ってx86維持してるには
>ちゃんと理由があって
>そのお陰でゲーム業界のような惨状にはならんわけだ。

前半は全くその通りだが、これはゲーム業界の責任
ItaniumもPOWERも、脈々と続くものがある
例えばPPC970全面廃止でCellのみに移行する、というわけじゃないだろ?
それこそ440や750や10xだってあるわけで。
Cellを採用しちゃったのは採用側の責任
342Socket774:2006/03/21(火) 00:08:09 ID:l+UWDQAJ
>>341
同意しとく
343Socket774:2006/03/21(火) 19:56:25 ID:1Ao9CpuA
>>341
X箱360のPPE(みたいなもの)x3onlyはまだソフト屋さん(MS)的なのね


よくもわるくも(エンターテイメントからは)アウトサイダーなのかも?
344Socket774:2006/03/22(水) 00:57:14 ID:dEVa0BS4
ろくなソフトも書いたことがない奴がドリーム全開で作ったのがCellだから責めるのはかわいそう
345MACオタ>344 さん:2006/03/22(水) 01:03:55 ID:abgVcQI8
>>344
プロセッサアーキテクトがソフトを書くモノという説わ,初耳す(笑)
346Socket774:2006/03/22(水) 01:14:22 ID:msr/3HLt
Andy Glewはもともとプログラマだった
347Socket774:2006/03/22(水) 02:03:47 ID:dEVa0BS4
>>345
君はもうちょっと見聞を広めたほうがいいよ
348Socket774:2006/03/22(水) 02:30:08 ID:OF5GjU+1
てか、くたらぎってプロセサアーキテクトじゃないだろ
349Socket774:2006/03/22(水) 10:46:18 ID:YQ+x7A6I
>>344
特段変なアーキテクチャだとは思えないんだが?
むしろ、手堅いと思う。
350Socket774:2006/03/22(水) 12:36:11 ID:JtnbUSn1
確かに、MPEG2動画48本同時デコード、を行う上では手堅い作りだな。
351Socket774:2006/03/22(水) 13:30:43 ID:Da2x0FjY
まぁ、Cellは汎用プロセサとして創ったのならあれだが、
ゲーム機プロセサとして創ったわけだから、あれはあれでいいんじゃね
352Socket774:2006/03/22(水) 14:43:57 ID:dEVa0BS4
>>351
そのゲームデベロッパーから不満がきているわけだが
353Socket774:2006/03/22(水) 16:16:16 ID:YQ+x7A6I
そりゃまた何ゆえ?
354Socket774:2006/03/22(水) 17:47:10 ID:Z+jT/9R5
ゲハ厨が湧いてくるのでCellだけは勘弁してください
355Socket774:2006/03/22(水) 19:34:56 ID:ZB1fIePO
cellって別にラディカルじゃないだろ
3.2Ghzってクロックはすごいが
356Socket774:2006/03/22(水) 19:47:13 ID:5P2sjGLI
>>355
Cellはクロック上げやすい設計だとか言ってたな、たしか。
ベースの970とは違う構造だったかもしれないけど。

ちなみに、Cellは5Ghz超で動いてることが発表されている。
357Socket774:2006/03/22(水) 19:50:23 ID:ZB1fIePO
>>356
970とは関係ない
なお、実行部分のパイプラインは970やpentium4より深い
358Socket774:2006/03/22(水) 20:25:33 ID:gT2m524A
cellが楽しみ
359Socket774:2006/03/22(水) 20:33:45 ID:lBerqlvG
なのはGKと信者だけ
360Socket774:2006/03/22(水) 22:19:49 ID:Hb2Amb4h
>>359
なのはGreat king!?
そうか、Cellはアニヲタかつ信者、つまりゴトーへのメッセージだったんだよ!!!!!
361Socket774:2006/03/22(水) 22:22:58 ID:5ZeD6OSG
なのはGoto Kitakore
362Socket774:2006/03/24(金) 01:21:50 ID:pvx057+C
>>356
5GHzってCell全体だっけ?
SPEだけかとおもった
363Socket774:2006/03/25(土) 15:15:13 ID:aRDGH1rj
364Socket774:2006/03/28(火) 23:47:54 ID:PBTyVsW3
ちょっと古いけど↓これってどうなん?
http://www.theregister.co.uk/2006/03/14/sun_rock_deets/
365Socket774:2006/03/29(水) 12:40:36 ID:H4OnGL9Y
NAPを推進する米Azul、ワンチップに48コアを統合した「Vega 2」発表
http://pcweb.mycom.co.jp/news/2006/03/29/100.html
366Socket774:2006/03/29(水) 21:18:38 ID:6kfJ6bPs
367Socket774:2006/03/29(水) 21:43:23 ID:KpYn1G5f
368Socket774:2006/03/29(水) 22:02:04 ID:ePRvBKWa
西川善司...って、Oh!Xの人やん。
なつかすいーなーw
(U)さんとかもなんか記事書いてほしいよ。
PS3特集号とか云って1号だけでも復活してくんないかな?
369Socket774:2006/03/29(水) 23:20:17 ID:be9qDtsA
>>368
Uさんて植木さんか
懐かしいな てかまだバンクにいるの?
370Socket774:2006/03/30(木) 00:11:56 ID:ozhebjWW
>>367
去年の発表時からカタログ上のピーク性能と
実効性能の乖離が大きいプロセッサという話はあったじゃない。

そのベクタユニットを詰め込むために削った機能がでかすぎた、と。
371Socket774:2006/03/30(木) 00:14:59 ID:NAbWQDUE
>>370
いや、でもそのカタログスペックがそのまま発揮できると思い込んでる連中の多いことと来たら…
ゲハ板のCellスレとかPS3本スレ覗くとよくわかるよ
372Socket774:2006/03/30(木) 06:43:46 ID:3yUyyBA3
単純にビデオのエンコードやデコード、
ゲームエンジンや物理ミドルウェア走らせる分には
次世代機の中では抜きん出てるから心配するな。
373Socket774:2006/03/30(木) 07:37:21 ID:/Io4tpoM
>>369
Oh!Xのサイトも無くなったのか鎌田さんとこのリンクからも消えたみたい。
374Socket774:2006/03/30(木) 07:46:19 ID:rFFJTz/3
MPEGカードみたいにPCI-Exあたりの基盤にして
ハードウェアエンコーダ/デコーダにした方が
良さそうだ。

つーかコンシューマゲーム機ではデコーダはともかく
エンコーダとして使うことは無いだろ。
375Socket774:2006/03/30(木) 09:50:20 ID:/QAS/4T7
>>367
>PowerPC 970は命令実行にアウトオブオーダー(Out-of-Order)式に
>対応しており、命令間の依存性がない場合に
>順不同で命令を実行するような仕組みを持っているが
>PPEにこうした機構は盛り込まれていない。
>PowerPC 970“互換”であって“相当”ではないのだ。

何で元々あった機構を外したんだろうね。
ここの意図が見えない。
高クロックを狙うための単純化なのかもしれんが
これを外しちゃ駄目だろ。
376Socket774:2006/03/30(木) 09:57:01 ID:vY9rP9Xt
>>375
コスト、消費電力。
377Socket774:2006/03/30(木) 10:22:44 ID:j0P/Md+L
many coreではOoOを外すのはよくある事
378Socket774:2006/03/30(木) 10:53:14 ID:Zq3q92Ua
>>375
ゲームのシーケンスをリアルタイム制御するのに
アウトオブオーダーの挙動がじゃまだとか?
379Socket774:2006/03/30(木) 11:11:08 ID:Kne+3OTn
>>376
あ〜やっぱそれかなぁ。
確かにリッチな回路構成にすると高くなるし。
何より設計がメンドくさいし。

セルを眺めてるとやっぱり「詰め込みすぎ」な感じがするな。
逆に今よりユニットの数減らして単体での機能を強化してたら
もう少し期待できたかも( ´∀`)y-~~
380Socket774:2006/03/30(木) 11:13:27 ID:3QNA+Kbt
>>379
任天堂「リッチなのならすでにいっぱいあるから、うちは750VXあたりを使わせてもらうお ( ^ω^)」
381Socket774:2006/03/30(木) 18:24:50 ID:qIb7CoMV
Xbox360CPUの名前って"PX"なんだー
382Socket774:2006/03/30(木) 18:37:13 ID:l7cDYmzu
>>380
750系は地味に優秀だよなぁ
383Socket774:2006/03/30(木) 18:46:03 ID:xIQWdG26
>>375
んまゲーム機ごときに970フルセットは勿体ねえ、と
IBMが割り切ったのかもしれない。

IBM的にはメインはPOWERシリーズで
Cellなんて余興みたいなモンだし。
384Socket774:2006/03/30(木) 20:21:33 ID:id9/HzcU
>>374
PS2を内蔵したHDDレコーダがあったじゃない。
PS3を内蔵したHDDレコーダが出ると思われ。

>>375
OoOで性能がぐっと向上するのは、
古いCPUに合わせて最適化されたコードを実行するとき。

ゲーム機の場合は途中でコアを変えたりはしないので、
コンパイラがきちっと最適化したコードを出力すればいい。
385Socket774:2006/03/31(金) 00:57:50 ID:McUUUmwN
>>384
それもあるけど、OoOが真価を発揮するのはメモリアクセスのレイテンシーが予測不可能なときだよ
386Socket774:2006/03/31(金) 03:31:00 ID:znB0UUUv
キャッシュにヒットするかどうかまで、コンパイラに見積もらせることができるようになる、という目論見なのかもよ。
387Socket774:2006/03/31(金) 03:33:39 ID:znB0UUUv
いや、違うか。

メモリアクセスのレイテンシは、OoOではなく、マルチスレッドで隠蔽する
ってことだと思う。
388Socket774:2006/03/31(金) 05:19:18 ID:nlGBhiC7
ネトバなみに深いパイプラインだから
2スレッド走らせても、パイプラインストールはかなりのもんだろ
cellのPPEは、SPEにクロックを無理して合わせただけって感じ
素直にSPEの半クロックにしとけばよかったのでは?と思う
389Socket774:2006/03/31(金) 18:30:51 ID:iZNanE3P
>ネトバなみに深いパイプラインだから

ネトバ並みじゃなくてネトバ以上にPPEのパイプラインは深い。

IntelはPrescottで分岐予想機能を強化して
影響を極力抑えたが、結局同クロック動作の北森より性能落としてしまった。

CELLのPPEがベースの970より分岐予想を強化した
なんて話は皆無だから実効性能は悲惨な事になってるだろ。
390Socket774:2006/03/31(金) 18:40:15 ID:zoWRyDdG
Willametで22段、Prescottで31段だっけか。
つーことは35段あたりかそれ以上?
391Socket774:2006/03/31(金) 19:27:16 ID:ApO8/45y
7448は7段だっけ?
392Socket774:2006/03/31(金) 19:40:00 ID:VKveZTce
クロック上げるためにパイプラインを深くするのは
CPU設計の定石だからなぁ。

ttp://pc.watch.impress.co.jp/docs/2006/0306/kaigai247.htm
まあ反省(?)したのかしらんが
インテルの次期CPUは14ステージに短縮化。
これぐらいだったらパイプラインハザード起きても
リカバリが容易だが。
393Socket774:2006/03/31(金) 19:52:22 ID:ApO8/45y
>>392
14段(笑)は浅くないぞ
394Socket774:2006/03/31(金) 20:55:05 ID:MHMwwbn7
>>393
今時のCPUじゃ浅い方だろ。
Z80とか大昔のCPUを基準にしてるのか?
395Socket774:2006/03/31(金) 21:40:16 ID:znB0UUUv
あの糞複雑なIA-64でも、今は8段だな。
396Socket774:2006/03/32(土) 00:27:12 ID:V7nT4uY4
そりゃx86をμOp変換→スケジューリング行程がなけりゃ
8段にも出来るわな。

Itaniumは、その行程をコンパイラがVLIWするんだが
現状は期待したほど上手くいってないわけだが。
397Socket774:2006/03/32(土) 01:44:16 ID:tDI9qFJN
非x86だと10段以下も珍しくない、というか普通な気がする。
398Socket774:2006/03/32(土) 01:56:13 ID:tDI9qFJN
昨今RISKで急激な伸びみせてんのPower系だけじゃね
399Socket774:2006/03/32(土) 01:57:06 ID:tDI9qFJN
○RISC
400Socket774:2006/03/32(土) 03:49:58 ID:nL/XNj18
Power6って、Power5と同等のパイプラインで
4〜5Ghzを目指す予定
イカス
401Socket774:2006/03/32(土) 09:53:04 ID:sEbCsZut
14段(笑)
402Socket774:2006/03/32(土) 16:00:47 ID:vBk71BU2
GPUのパイプラインなんか100段クラスだしょ?
20や30なんてしょぼいしょぼい
403Socket774:2006/03/32(土) 20:49:04 ID:+SNI7VW5
> 糞複雑なIA-64
???
404Socket774:2006/03/32(土) 21:00:11 ID:gTZ2ITiO
>>402
分岐しないわけですが。
405Socket774:2006/03/32(土) 23:57:34 ID:H6I+QKen
たとえばネットワークプロセッサなんかには汎用プロセッサよりもはるかに高性能なものもあるわけだが
マルチコアマルチスレッドで性能を稼ぐのは
アーキテクトの敗北宣言と言ってよろしいか
406Socket774:2006/04/02(日) 00:50:00 ID:RsdMGSrl
もっとましな餌もってこい。
407Socket774:2006/04/02(日) 02:04:47 ID:qFatv3Du
>>406
んじゃシングルスレッドの性能あげてくれ
408Socket774:2006/04/02(日) 05:27:46 ID:HsLt841S
>>405
特定の処理に特化した専用回路が速いのは当然だろう。

ハードウェアMPEGエンコーダは、CPUよりも省電力で速いよ、
なんて言うようなものですよ。
409Socket774:2006/04/02(日) 08:33:15 ID:Q087WdjQ
410Socket774:2006/04/02(日) 10:04:01 ID:RsdMGSrl
>>408
そう言うことだな。

細粒度のリコンフィギャラブルマシンこそアーキテクトの敗北だと思う。
FPGAと何が違うのか小一時間問い詰めたい。
411Socket774:2006/04/02(日) 13:26:27 ID:qFatv3Du
>>405のネットワークプロセッサは、Niagaraみたいなやつだと思ってくれ
たしかに専用回路もついとるが
412Socket774:2006/04/02(日) 13:35:05 ID:RsdMGSrl
niagaraってサンのか?(現UltraSPARC T1 )

マルチスレッドマルチコアで性能を稼いでる典型じゃないか。
413Socket774:2006/04/02(日) 13:43:07 ID:qFatv3Du
>>409
TRIPSか
坂井さんも2DトポロジーでなTRIPSみたいなものをやっていたわけだが
命令のコミットの粒度が荒くなるのは良し悪しなのだな
特にTRIPSはストアバッファからデータを読むということもできなさそうだし
ドツボにはまると強烈に偽の逐次性がはいってしまう
414Socket774:2006/04/02(日) 13:43:55 ID:qFatv3Du
>>412
そうだよ
ネットワークプロセッサだと192スレッドとかのもある
415Socket774:2006/04/02(日) 13:54:28 ID:qFatv3Du
もっとも命令のコミットは通常のプロセッサでも問題になっているので
インテルなんかもP4ベースの論文も出しているのだが
(もっともインテルは性能評価にこっそりと非常に都合のいい前提を混ぜるのであんまり信用ならん)

どっちにしろ戦略的撤退にしか見えないようなものを栄光の勝利と主張しているような気がするのだ
>TRIPS
416Socket774:2006/04/02(日) 14:22:12 ID:RsdMGSrl
>>414
ああ、何が言いたいのかやっとわかった。
自然に存在する並列性を生かすのが敗北だと言うのなら敗北だろうな。
417Socket774:2006/04/02(日) 14:27:25 ID:qFatv3Du
>>416
プ
418Socket774:2006/04/02(日) 14:35:10 ID:RsdMGSrl
読解力ゼロで悪かったな(w

で、何が言いたいわけよ。
IAの代わりに使えば低消費電力で高性能ってか?
419Socket774:2006/04/02(日) 14:42:22 ID:Q087WdjQ
420Socket774:2006/04/02(日) 14:49:19 ID:vyFmnPOU
Niagaraなんてたいしたことねーよ、というHPの♪鯖との比較記事
http://h71028.www7.hp.com/ERC/cache/280124-0-0-0-121.html
↑のと同じ様な構成でのシステム価格はSun T2000の方が1.5〜2倍も高い。

anandでもT2000 serverのレポ上がってる
http://www.anandtech.com/it/
スループットも消費電力もDP Opteronに敗れてる
421Socket774:2006/04/02(日) 14:51:24 ID:qFatv3Du
>>418
こっちも悪かった
ただ、スーパースカラマシン(とその前身のデータフローマシン)の題目が

プログラムに存在する並列性を自然に抽出する

だったもんで
422Socket774:2006/04/02(日) 15:36:51 ID:vyFmnPOU
http://japan.zdnet.com/column/interview/story/0,2000053136,20097277,00.htm
Power6の中の人のお言葉
「重要なのは、システムのスループットを維持することと、
 シングルコアのパフォーマンスを維持することとのバランスです。」
「そういったこと(Niagara)を推し進めて、パフォーマンスの1つの
 面に特化しすぎると、大抵は厄介な事態に陥るということです。
 その他のことをすべて無視できるほど、世の中は甘くないのです。」

http://news.com.com/Talkin+about+Intels+next+generation/2008-1006_3-6047173.html?tag=st.num
Core Duoの中の人のお言葉
「マルチタスクやマルチスレッド環境では高い処理性能を実現できても、
 シングルスレッド環境でやってきた多数のソフトウェア開発者から
 "前の方が良かった"と文句を言われるだろう。」
423Socket774:2006/04/02(日) 17:51:30 ID:3Xl5m+uu
>>410
FPGAだと、配線遅延のせいでクロックがむちゃくちゃ低くなる
少なくとも、プロセスルールを微細化させてもクロオクがあがらない
ゆえにリコンフィギュアラブルプロセサッサの登場らしい
424Socket774:2006/04/02(日) 17:55:24 ID:ehdcxs2y
まぁsunもシングルコア性能↑完全に切り捨てたわけじゃないしね
Rockで自社開発に戻すorまだ富士通SPARC64かは?だけど
425Socket774:2006/04/02(日) 18:00:20 ID:3Xl5m+uu
Rockも、Ultra SPARC T1と似たようなアーキティクチャらしい
16個のコアがあって、4コアでL1をキャッシュを共有
そして今回もOOOはなし
T1の性能もあんまよくないし、富士通とAMDにCPUは
任せたほうがいいと思う
426Socket774:2006/04/02(日) 18:44:45 ID:k4PNkOA4
(´-`).。oO(富士Sun誕生まだかなぁ…)
427Socket774:2006/04/02(日) 19:59:30 ID:qFatv3Du
リコンフィギュアラブルも近いうちにスタティックデータフローになるさ
428Socket774:2006/04/02(日) 20:27:07 ID:HaSPQTRy
リコンフィギュアラブルプロセッサがFPGAの代替になるならうれしいね。
今のところは、偶然使えた用途以外では比較にならんでしょ。
429Socket774:2006/04/03(月) 22:47:11 ID:17/hVORD
難しい事一転じゃねぇーよ

256命令同時発行cpu作っときゃスゲ^んだろ?

そうだそうにチゲェーネェー
430Socket774:2006/04/04(火) 08:51:43 ID:3YJHKNmX
cellが最高です。
431Socket774:2006/04/04(火) 08:54:34 ID:4wd/jVBh
確かに扱い難さは最高だ
432Socket774:2006/04/04(火) 18:26:05 ID:B/HME5sB
>>430
ハイハイ、Cellハスゴイネ
433Socket774:2006/04/04(火) 20:52:24 ID:wRNBbonC
ハイハイ、CellLightはもっとスゴイです。
434Socket774:2006/04/04(火) 21:52:49 ID:LNHCHxXI
世の女が目の敵にするくらいだしな
435Socket774:2006/04/04(火) 22:35:41 ID:WYoVgMOX
CELL搭載のPCI Expressカードが出てくれればいいと思うんですけどね。
動画のエンコードやデコード等、いろいろ使い道はあるでしょう。
436Socket774:2006/04/04(火) 22:46:50 ID:WYoVgMOX
あとゲームの物理計算とかにも使える。
437Socket774:2006/04/05(水) 01:42:41 ID:pHdb6FA0
ClearSpeedのSIMDなプロセッサがあるじゃないか、既に。
438Socket774:2006/04/05(水) 02:09:51 ID:joir6MFA
汎用性を無視すれば特定用途で汎用CPUより一桁〜二桁は速くなるのはあたりまえ。
cellは汎用と専用の丁度中間か。

439Socket774:2006/04/05(水) 11:50:48 ID:Dmefy6Kn
二次キャッシュを追いやって1次キャッシュを2MBにすれば速いんじゃねぇ?

うはっw天才オレwwwwwwwwwwwww
440Socket774:2006/04/05(水) 12:54:13 ID:oMugC31y
なんか、むかしのPA-RISCがそんな設計だったような。
441Socket774:2006/04/05(水) 14:16:23 ID:iX5VbMBs
データ512K、命令1Mだっけか。
逆だったか?
442Socket774:2006/04/05(水) 15:23:33 ID:SpnRWK18
米IBM、消費電力4分の1の新型MPU・能力は10倍
http://www.nikkei.co.jp/news/kaigai/20060405AT2M0500V05042006.html
443Socket774:2006/04/05(水) 15:48:39 ID:eaTqXQ3/
>>441
一番多いときで、命令768KB、データ1.5MBだった
PA-RISCって昔は速かったんだけどね
444Socket774:2006/04/05(水) 16:10:28 ID:1NLfHe1a
昔のようにチップに積めるトランジスタとかの制約があるなら別だが、現在だとメリットねーんじゃね。
たとえば、2MBの1次キャッシュと2MBの2次キャッシュだと、結局、レイテンシや速度も同じになるだろ。
445Socket774:2006/04/05(水) 16:21:12 ID:1NLfHe1a
いや、間に挟まない分、レイテンシは若干有利か・・・
446Socket774:2006/04/05(水) 18:41:22 ID:AXCnBItE
>>444
L1に高速なトランジスタや回路を用意するからL1とL2には差が出る(というか付ける)。
同じ回路で組めばL1もL2も一緒の速度になる。

つまり、L2止めて大容量L1って話は、
容量が少なくなるけど高速なメモリが良いのか、それとも多少とろくても大容量のメモリが良いのかってな
何時ものお話な訳だ。
447Socket774:2006/04/05(水) 19:43:36 ID:Rrw16Hht
>>444-445
今2GHz台なんかで2MBの1次キャッシュにしたら、たぶんレイテンシ大きいのしか作れなくて余裕で氏ねる
448Socket774:2006/04/05(水) 21:40:04 ID:2gbZPsZA
ウェディングピーチとなんか関係あるのか

Alphaも21164でつけたオンチップL2を21264で外したこともあるし、この先何があるかわからんわな。
マルチコワだとL1オンリーに戻るやつが出てくるかもしれん。

あとL1の必要性はレイテンシー以外にもポート数とか連想度とかいろいろあるどグヘヘ。
449Socket774:2006/04/05(水) 21:45:34 ID:2Ghh8l89
> マルチコワ

なんかテニス選手の名前みたいだ
450Socket774:2006/04/05(水) 22:24:18 ID:Dmefy6Kn
L1とL2ってトランジスタの構造違うノカ?
本当か?
本当だな?
本当なんだな?
451Socket774:2006/04/05(水) 23:10:18 ID:PRs/bDno
L6キャッシュぐらいまで作れば、メインメモリいらなくね?
452Socket774:2006/04/05(水) 23:28:35 ID:lsHCorey
L10キャッシュぐらいまで作れば、ハードディスクいらなくね?
453Socket774:2006/04/05(水) 23:37:03 ID:pHdb6FA0
>>450
違うでしょ。

L1→速度重視
L2→サイズ重視
454Socket774:2006/04/05(水) 23:39:47 ID:pHdb6FA0
ごめん。
L2→サイズと低消費電力を重視
455Socket774:2006/04/05(水) 23:43:53 ID:1NLfHe1a
>>451
将来的に貫通電極でチップにメモリ直付けしれば、いらねーんじゃね
456Socket774:2006/04/05(水) 23:53:13 ID:Us/tWCAh
>>450
SRAMセルは基本的に同じだが、制御部が全然違う
ポート数とかセットアソシエティブ数とか
極端なこといえば、キャッシュもレジスタも
同じ6トランジスタSRAM
457Socket774:2006/04/06(木) 00:22:18 ID:E1qCOOJR
じゃあ全部L1の構造だけで構成すればイイジャマイカ!!!!!!

うわぁー!解決シチャッタヨーーーーーヨヨヨ
458Socket774:2006/04/06(木) 00:41:40 ID:bWuCzr2Q
>>457
レイテンシのでかいL1になるけどな。それもありかもしれんが。

自転車の黎明期に車輪をどんどん馬鹿でかくした時期があったが
結局現在のバランスに落ち着いてしまった。何事もバランスが肝心。
459Socket774:2006/04/06(木) 03:05:26 ID:UrEEDNx/
L1けっこう電気食うぞ
460Socket774:2006/04/06(木) 03:53:06 ID:ed9h+272
L1なんか64bitなんだから64kbで十分なんじゃないのか?
461MACオタ:2006/04/06(木) 08:50:37 ID:cTguVAVL
Conroe/2.4GHzの続報す。何故かNICがダメでCPU喰いまくりだったのを、とりあえず外して結果が
まともになってきたとか。。。
http://vic.expreview.com/read.php?2
462MACオタ@お詫び:2006/04/06(木) 08:54:31 ID:cTguVAVL
Intel次世代スレッドと間違えたす。
463Socket774:2006/04/06(木) 10:15:04 ID:FCYekrdi
RISCスレにそれ書くとはわざとなら喧嘩売ってるとしか思えない行為だ
464Socket774:2006/04/06(木) 12:34:00 ID:7cy2QSEa
>>460
良く分からんが、それって関係あるの?
bitとbiteだから合わせる意味無いんじゃ?
465Socket774:2006/04/06(木) 12:44:48 ID:WUdr3gUh
>>463
志村ースレタイスレタイ
466Socket774:2006/04/06(木) 14:55:24 ID:rPNDMIZH
根拠を示せないカスは情報操作が目的か
467Socket774:2006/04/08(土) 14:03:03 ID:QuMuMN63
Sunが200人のSPARCエンジニアをレイオフ
http://www.theregister.co.uk/2006/04/07/sun_jupiter_gone
> SunはNiagaraをベースにして,Rockプロセサに接続し,通信処理などを
> オフロードするJupitorというプロセサを開発しているという噂だったのですが,
> このプロジェクトがキャンセルされたようです。これに伴い,他のプロジェクト
> などに人を移したりしたが,最終的には200人は首ということになったようです。
> 本筋のNiagaraとRockプロセサの開発路線には変更は無いとのことです。
468Socket774:2006/04/08(土) 14:20:06 ID:gJFqcCbn
>>467
富士通あたり引き取らないのかねえ。
469Socket774:2006/04/08(土) 15:15:35 ID:VIUVkKJp
ひきとらんだろ
470Socket774:2006/04/08(土) 22:25:42 ID:4kMVJ9hb
US5がキャンセルになったときも大勢馘になっていたと思ったが
まだそんなに残っていたのか
471Socket774:2006/04/11(火) 21:03:26 ID:ruuA7DLy
たしかL1Dキャッシュのレイテンシ
北森:2(cycle)
プ:3(cycle)
あってる?>ALL
472Socket774:2006/04/11(火) 22:55:22 ID:L+ZvO4np
アッd
473Socket774:2006/04/12(水) 00:37:31 ID:uPXSEmuf
プレスコのL1はレイテンシ4だよ。
474Socket774:2006/04/13(木) 12:55:14 ID:cPyVxb16
475Socket774:2006/04/13(木) 13:06:55 ID:lNjNrhf7
ちなみにCELLはレイテンシ8
遅すぎです
476MACオタ>474 さん:2006/04/13(木) 22:37:08 ID:8cd737Xj
>>474
設計完了とテープアウトわ違うす。
477Socket774:2006/04/13(木) 22:45:32 ID:xIIvD6a7
Sun Microsystems Completes Design Tape-Out for Next-Generation,
Breakthrough UltraSPARC T2 CoolThreads Processor
478Socket774:2006/04/13(木) 23:33:13 ID:mn0uGUuf
Sun Ray 2/2FS、なんかいいなぁ
479Socket774:2006/04/14(金) 14:55:07 ID:6+UEba2e
>>476
何とか言ってみろよwww
480Socket774:2006/04/14(金) 22:24:43 ID:5iLgwFFa
>>476
あなたのいうテープアウトの意味を教えてください。

どうも常識とは違う使い方をしているようですが・・・。
481Socket774:2006/04/15(土) 00:22:35 ID:6IutuRZI
>>476
ttp://www.sun.com/smi/Press/sunflash/2006-04/sunflash.20060412.2.xml?cid=155
| Sun Microsystems Completes Design Tape-Out for Next-Generation,
| Breakthrough UltraSPARC T2 CoolThreads Processor
482Socket774:2006/04/15(土) 07:36:39 ID:QTSmy4Dj
あれ、シリコン作って最初の製品が出てくるのは何つーんだっけ。
ロールアウトだっけ?
483Socket774:2006/04/15(土) 07:58:16 ID:0BEPWEUw
ファーストシリコンじゃねーの。
484MACオタ>477-481 さん:2006/04/15(土) 15:41:05 ID:JAuAcVpr
>>477-481
元記事読まずに失礼したす。しかし通常設計完了ってのわ、この位の段階だと思うす。
http://www.designchain.com/coverstory.asp?issue=spring03
テープアウトってのわ、これを製造用のマスクデータにして、工場に送れるようになった段階す。
485Socket774:2006/04/15(土) 18:03:23 ID:k+WBLywo
Cellの技術を使ったCPUがパソコンに入る事はこの先期待できますか?
486Socket774:2006/04/15(土) 18:09:37 ID:8NWYWBIU
F1マシンが公道を走る事はこの先期待できますか?
487Socket774:2006/04/15(土) 18:10:24 ID:+26QeJiH
>>485
Cellを開発した会社(のいずれか)が作ったCPUがパソコンに入る事があるならな
488Socket774:2006/04/15(土) 18:20:58 ID:5S+DgCd+
> テープアウトってのわ、これを製造用のマスクデータにして、工場に送れるようになった段階す。

違うでしょ
489Socket774:2006/04/15(土) 18:58:42 ID:YZ/PakNR
ゴールデンサンプル
490Socket774:2006/04/15(土) 19:42:22 ID:d9njKoM4
百済ない言葉の話になるだけだから糞はスルーしろとあれほど(r
491Socket774:2006/04/15(土) 19:44:41 ID:nq7X8BTK
tape out

 完成したLSIのレイアウトデータを磁気テープに書き込み、拡散プロセス用のマスクのデータをLSI製造部門に出荷することをいう。この後、拡散プロセスが行なわれて実際のチップが作成される。つまりテープアウトは、LSIの設計が完了したことを意味する。

 いったんテープアウトされると、設計にバグがあっても修正をすることができない。このため、もう一度テープアウトからやり直すしかない。


結局のところ、CAD屋がFabにデータを送ることということでいいのか?
492Socket774:2006/04/15(土) 20:18:54 ID:VqH+D2Yv
まあ、いまどき磁気テープなんかでやりとりしないけどな
493Socket774:2006/04/16(日) 14:26:42 ID:DYA4g5le
テープアウトについては
ttp://v-t.jp/premier/index.php?mode=show&UID=1117037100
の3.1.4を見れ。
494Socket774:2006/04/16(日) 17:17:31 ID:czG6aTxo
>>493
こりゃあ、すごい。
495Socket774:2006/04/16(日) 18:33:08 ID:EEH89OGs
UID付きだけど大丈夫なん?
496Socket774:2006/04/16(日) 22:21:41 ID:DYA4g5le
UIDはUserIDではなくUniqueIDの略だと思うよ。
497Socket774:2006/04/16(日) 22:31:01 ID:wqTQO6FI
面白すぎて3時間も飛んだじゃねーか(w
498Socket774:2006/04/17(月) 21:06:32 ID:slDz3awb
みんな読むのに夢中なのか?
499微妙にスレ違いでもうしわけないけど:2006/04/19(水) 17:23:24 ID:feQqY9+y
http://pc.watch.impress.co.jp/docs/2006/0419/kaigai262.htm
パイプラインたとえ、誰かくわしくかいせつキボンヌ
500Socket774:2006/04/25(火) 21:50:35 ID:CifqmtAz
嶋はアーキテクトとしては評価してないというかダメぽと思っている
501Socket774:2006/04/26(水) 00:32:56 ID:o2ToU3Qo
後世の人間だから言えること。
短時間にTr制限下でいかにつめこむかという現場じゃ
あるべきアーキテクチャを考えられない、
その訓練ができないってのはしょうがないんでは?
502Socket774:2006/04/26(水) 07:28:56 ID:j8e3+b0W
当時は、定量的なアプローチとか、そういう概念がなかったじゃないか。
まずは必要な機能を、いかに詰め込むか、ということが重要だったわけで。
503Socket774:2006/04/26(水) 12:20:29 ID:AYrbTdU7
Z80までの業績は評価できると思うが
それ以降がなぁ…
504Socket774:2006/04/26(水) 18:37:46 ID:9UP1Qgde
505Socket774:2006/04/26(水) 21:00:38 ID:ejlztR6g
厳しいリソースの制約内でやりくりするのは非常に上手かったと思うのだが
上だけを見て山を登っている感も否定できない気がする
506Socket774:2006/04/29(土) 06:13:12 ID:Bvy5proX
過疎過疎ってハァニィ〜age
507Socket774:2006/04/29(土) 22:07:50 ID:QB8ey9I0
CELLを見たときこれは駄目だと思った。私ならMIPS辺りを16個に
L2キャッシュを2Mぐらい積んで誤魔化しておくのだが、それなら少しは汎用性があり
そうだ。しかし、ゲーム専用かTV組込みLSIとしてみると魅力があるのかしら?
その道の専門家は如何でしょうか。
508Socket774:2006/04/29(土) 23:28:03 ID:Bvy5proX
MIPSが16個だとシングルスレッドが遅いじゃろ

ゲームならXbox360のCPUのほうが良さげというのが多数派だけどね
ストリーム処理だとまたかわってくるかも知らんが
509Socket774:2006/04/30(日) 00:49:08 ID:JMVi00fC
ゲームはシングルスレッドが遅くても構わないでしょう。
510Socket774:2006/04/30(日) 00:56:20 ID:JjK3EBZf
汎用CPUだと、キャッシュの制御やキャッシュの同期が
めんどくさいから、CELLはああいう形にしたんだろ
そもそも、MIPSコアで4waySIMDつけたら、16コアも入らない

>>508
xbox360のCPUが一番無難な感じだよな
511Socket774:2006/04/30(日) 00:59:27 ID:JjK3EBZf
用途を絞ると、キャッシュみたいな非明示的メモリ管理より
明示的メモリ管理のほうが効率良いわけで
cellのアプローチは正しいんじゃないかと思う
512Socket774:2006/04/30(日) 01:18:45 ID:OXNGFZ1L
そういえばLSってキャッシュと違って明らかに発熱してるよな
http://pc.watch.impress.co.jp/docs/2005/0208/kaigaip051.jpg
キャッシュライン単位のアクセスと違ってコードによっては総なめになるからか?
513Socket774:2006/04/30(日) 01:37:55 ID:JjK3EBZf
>>512
じゃねーかな?
あと3.2Ghzって実行ユニットに合わせて
高クロックで動作してるとか
514Socket774:2006/04/30(日) 03:07:20 ID:NVY03KH7
逐次処理主体のゲームこそシングルスレッド性能が大事だろ。
515Socket774:2006/04/30(日) 06:45:16 ID:rO7zOnSL
>>511
うまくフィットするアプリケーションならいいのだが
LSの256KBというサイズがあまりにも微妙なのだ

キャッシュならワークセットがオーバーしたところでゆるやかに性能が低下してゆくだけだが
516Socket774:2006/04/30(日) 09:36:02 ID:JMVi00fC
>>514
エロゲーのシナリオ分岐じゃねーんだからさ。

大半のゲームでの重い処理は、グラフィックスなわけで、
そういうのは分散処理がやりやすいほうだよ。
517Socket774:2006/04/30(日) 10:31:18 ID:BHwbBXwo
CELLはSIMDだったっかしら夫々別に動くみたいだったが、
SIMD系の命令があるというだけですよね?
ゲームも御絵描きが主な仕事とすると、シングルスレド云々は
既存のゲームを前提にした話で意味のある発言ではないようなので
勝手に却下させていただきます。
CELLのように異種のCPUが別個に乗っているのと
多少遅くても同じ空間で統一して走るCPUが乗っているのと
どちらが使いやすいのでしょうか?
CELLみたいな孤立論理空間のCPUで御絵描きをうまく分担して実行するのは
面倒では無いかと思うのですが?
518Socket774:2006/04/30(日) 11:29:57 ID:rO7zOnSL
>>516
これから物理計算とAIが重くなってくるわけだが
519Socket774:2006/04/30(日) 11:46:47 ID:m+1q44MM
物理計算はシングルスレッド性能が大切なんですか。
520Socket774:2006/04/30(日) 11:58:23 ID:sigi41FC
ワロスw
さすがだ
521Socket774:2006/04/30(日) 12:24:18 ID:PWi2s8vj
コンセプトは悪くないが256KBは確かに微妙。
522Socket774:2006/04/30(日) 12:41:35 ID:/LgqNMbC
コア9つなんて明らかに多杉。ほとんどのアプリでは1/3も使われずに終わるだろう。
分散並列すれば速いのは猿でも分かる話で、それを人間の手で仕分けしてては駄目
http://2ch.dumper.jp/0001734092/

非対称マルチプロセッサというのは使い方が良ければ素晴らしい性能を発揮する。
ただし、以下の条件が揃っている必要がある。
1.メモリ空間の制約がないこと。お互いにメモリを共有できること。
2.非対称マルチプロセッサに対応した強力なデバッガがあること。
3.OSによるセンスの良い抽象化がされて簡単に使えること
Cellはこの3つのいずれもが欠けている。
http://hobby8.2ch.net/test/read.cgi/sony/1133737894/

それにしたってSPEのDMAキックとか、全てPPEに任してるわけで、コリャまた並列化
ライブラリやらなんやらを早めに提供しないと、PS2のEEと一緒で最初にコケるぞ…。
並列化はプログラマにとって、不要な苦痛ですな。プログラマは本来の問題を解決する
アルゴリズムなどの考案に専念して、並列化という言わば「無駄」な作業に時間を割きたくない
http://72.14.203.104/search?q=cache:dM7OyiI12e8J:sunset01.bne.jp/blog/index.php

WindowsやUNIXでマルチスレッドプログラムを組んだ経験のある方なら分かるかと思うが、
他に手段があるのであれば、なるべくマルチスレッド化は避けて通ろうとするだろう。
スレッドを生成するだけなら、関数一発で間単だが、一旦走り始めたスレッドを管理
するのはなかなか大変だ。C,C++言語(だけでなく現状の大半の言語)はもともと
逐次的に処理することを想定しているため並列的な処理に向いているとはいえない。
真面目にこういったことをゼロから構築していくには相当な努力と優秀な人材が必須となり、
中〜小規模な会社ではあまり現実的とはいえないだろう。
http://blog.so-net.ne.jp/pcgame/2005-03-28
523Socket774:2006/04/30(日) 12:42:24 ID:/LgqNMbC
ゲームの場合は調整などの後工程が作品の品質を決める。ここで、処理が遅いからといって
SPEの割り当て数を変えようものなら大変な作り直しになりかねない。私がメガドライブや
サターンを買ったのは、そのハード性能にわくわくできたからだ。夢を見ることができたからだ。
PS3にはそういった夢もない。
http://homepage1.nifty.com/bee/diary/20055.html

マルチコア・マルチスレッドは、当初は期待通りの効果を挙げることはできないとも述べている。
描画処理は並列化のいい見本となるが、ゲームプログラムそのものを並列化することは
予想以上に難しいことであり、このことが今後のゲーム開発をさらに難しくしていくことになるだろう
http://blog.so-net.ne.jp/pcgame/2005-08-23

火花がキャラクターに衝突するとか、水面の波の高さによってキャラクターの乗っている
船が揺れるといった、ゲームシステムに直接影響を与えるエフェクトは並列化できない。
PhysXを開発したAGEIAでは、物理効果を適応する範囲を複数のグループに分類する
手法を提案している。各グループは並列化の適応度によって分類されており、ゲームロジック
そのものへの関わり具合とリアルタイム性が高くなるほど並列化適応度は低くなる。
結局、CPUコアを10個積んだとしても現状ではコアを全て生かし切ることは難しく
今のところは3,4個あれば充分事足りると思われる
http://blog.so-net.ne.jp/pcgame/2005-09-15

取り合えず、定番となるデザインパターンが固まらないと、車輪の再発明大会が始まりそう
http://www.igda.jp/modules/newbb/viewtopic.php?topic_id=175&forum=8&post_id=851

そもそもゲーム処理というものは逐次的に処理しなくてはいけない部分が多いため、
分散コンピューティングに適さないということ。
http://blog.freelance.ne.jp/mizusawa/archives/200511/14_2017.php
524Socket774:2006/04/30(日) 12:43:15 ID:/LgqNMbC
今月号のアスキーで大原がCellを糞味噌にけなしてますぜ。
大原「この前のプロセッサフォーラムでもCellのソフトウェア環境の話がずいぶんあったんですよ。
すると、今はPPEの上でどうにか動かしますよと、1個か2個SPEを使うぶんには割と問題ないわけ、
ところが、そこから先になるとOSのレベルで何にもないんでドライバも含めて、全部アプリケーションで
書かないといけない、それを全部統合するようなOSという話はまだIBMですらコンセプトレベルでしか
提示されていないところを見ると、何もないんだな実体は」
後藤「東芝がSPEのスケジューリングの話をしていた。だからラボの中ではこういうことをやっているよと」
大原「けど、それが製品のクォリティになっているかというと全然違う話で」
http://game10.2ch.net/test/read.cgi/ghard/1133854327/

@PS3の演算能力はXBOXより優れている。しかしソフトウェア技術が追いつかないため
  見た目的にはXBOXと変わらない。HDTVで表示すると、720pへの伸張の影響で
  XBOX360よりも画質が劣る可能性が高い。MGS4のような720pで作っているやつは別。
A@での演算能力は浮動小数点計算であり、AIなどについてはボトルネックが発生するが、
  それでもXBOX360と比べれば高速に動かすことは可能である。しかしチップ数が過多なので、
  同期処理に手間取りフレームレートが上がらない不具合も発生している模様。
BXBOX360と違いシェーダーは殆ど使われない。これはPGの練度の問題。
  しかしRanderwareなどXBOXに勝るとも劣らないクォリティの高いミドルウェアがあるので
  ぱっと見では差は感じられないだろう。当然HDRも最初から切り捨てているが、
  これも表現上の工夫で突破する腹づもりである。これは、機材が届くのが遅いため、
  検証期間が短いことに起因する。事実、多くの社員をPS3のために入社させたが
  まともに活用できない企業が続出した。
http://game10.2ch.net/test/read.cgi/ghard/1139634207/

『何人か開発者は、PS3の開発が難し過ぎた為、直ぐに逃げ出してしまいました。
 原因は、10個のプロセッサーやシェーダーetcに非常に手を焼いていて、
 ゲームに重要な部分はサッパリだからです。』
http://dona.dip.jp/modules/wordpress1/index.php?p=649
525Socket774:2006/04/30(日) 12:44:28 ID:m+1q44MM
なんだこいつ
526Socket774:2006/04/30(日) 12:58:38 ID:ZkXuWAH5
業界ではCellは「奇形児」って呼ばれてる。
Xenonは話題にすらならないが。
527Socket774:2006/04/30(日) 13:22:26 ID:LtweykRA
面白おかしく読ませてもらった。
SCEは単純にPS2の強化版を360よりも先に出せば良かったのに・・・。
528Socket774:2006/04/30(日) 13:39:54 ID:Ue28wLOL
自由にアクセスできるのが256KBで、後はレイテンシの長い外部メモリからロード…
MS-DOSの640KB縛りとEMSみたいだな。
529MACオタ:2006/04/30(日) 13:49:39 ID:xiRnpVSz
xbox360とCELLわ同じ組み込み用PowerPCコア(P-X, PPE)を使ってるのにCELLを叩くヒトがいるのわ、
何故なんすかね(笑)
業界の古株でハードウェアオタクとしても有名な古川元MS-J会長のコメントす。
http://spaces.msn.com/furukawablog/PersonalSpace.aspx?_c11_blogpart_blogpart=blogview&_c=blogpart&partqs=amonth%3d4%26ayear%3d2006
  -----------------------
  さて、NAB2006では素晴らしいモノを沢山見つけたのだけど、最大の収穫でとにかく驚愕、つまり顎を
  はずすほど驚いたのが...SONY殿がプライベート展示会場に設置していたCellコンピューティングによる
  映像の世界であります。招待者のみへの展示でプレス非公開、写真撮影禁止という条件ですが..ご担当
  の方に自分の眼で見たことはブログに書いてしまいますけれど、良いですね?と事前に確認を取って
  あるので、言葉で説明いたしましょう。
  -----------------------
詳細わ、リンク先をどうぞ。
530Socket774:2006/04/30(日) 13:58:39 ID:7d9ta76G
何で同じコアを使ってるからと言う理由で同じ扱いをせねばならんのか。
構成が全然違うんだから評価も違って当然だろ。
PowerPC信者にはわからんことかもしれんけど。
531Socket774:2006/04/30(日) 14:03:02 ID:/LgqNMbC
マルチコアを活かそうとすればするほどパフォーマンスが落ちる箱○のXenon(+GPU)は論外

http://blogs.dion.ne.jp/arere/archives/1947629.html
532Socket774:2006/04/30(日) 14:11:17 ID:lkkX0aTG
>>523
>そもそもゲーム処理というものは逐次的に処理しなくてはいけない部分が多いため、
>分散コンピューティングに適さないということ。
(゚Д゚)ハァ?
533Socket774:2006/04/30(日) 14:23:28 ID:LtweykRA
>>529
ジョブズがCell叩いてたぜ。
534Socket774:2006/04/30(日) 14:26:25 ID:oj9trPMz
PS1=R3000(MIPS)+クッタリ(SONY)
PS2=R5900(MIPS)+クッタリ(SONY)
PS3=PowerPC970(IBM)+GeForce7800(NVIDIA)+クッタリ(SONY)

∴ SONY独自技術=クッタリ
535MACオタ:2006/04/30(日) 14:30:17 ID:xiRnpVSz
>>533 さん
CELL用のコードにわ、新しいプログラミングパラダイムが必要なので、既存のコードを高速に走らせる必要が
あったり、移植が簡易だったりする必要があるPCにわ不向きだからす。

>>534 さん
CELLのPowerPCコアわ、POWER4/PPC970とわ別の系統のモノす。
536Socket774:2006/04/30(日) 14:31:35 ID:yT2ogTNB
>>534
ソニー板とかでも見たけど、貼りすぎす。
537Socket774:2006/04/30(日) 14:34:34 ID:ZkXuWAH5
難しいヘテロなマルチコアに挑戦したり、突貫工事のマルチコアを
デッチ上げるくらいなら、Athlon64 X2やCore Duoのマイナーチェンジ
バージョンでも作ってもらった方が良かったんじゃないかなあ。
レボがバカ売れするとは思えないけど、終わってみれば
採算とれたのはレボだけという状況になったりして。
538Socket774:2006/04/30(日) 14:37:21 ID:yT2ogTNB
>>537
Athlon64 X2じゃ発熱でかすぎ
Core Duoも似たようなもん

トップスピードを出すには汎用コアじゃ無理だしな
Athlon64やCoreでCellやXenonの理論的な最高と同等性能を出すためには、どのくらいのコストとクロックいると思う?
539Socket774:2006/04/30(日) 14:39:10 ID:24cWeBO+
東芝の工場が抜けている。
結局ソニーにはクタタンのハッタリしかない。
540Socket774:2006/04/30(日) 14:41:33 ID:sigi41FC
CELLの話題になるとCPUアーキテクチャについて語られない(語れないw)件
541Socket774:2006/04/30(日) 14:47:17 ID:ZkXuWAH5
>>538
そりゃ同じ"理論値"を達成しようとしたらとんでもないことになるだろうよ。

次世代機で評価できるのは、果敢にマルチコアに挑戦したその心意気だけだ。
542538:2006/04/30(日) 14:50:46 ID:yT2ogTNB
>>541
>次世代機で評価できるのは、果敢にマルチコアに挑戦したその心意気だけだ。

だが、それがいい。


冗談じゃなくて、テレビゲームなんてスペックを誇示する勝負みたいなもんだしな。
テレビゲーム市場が大きくなれたのも、PCとは一風(方向性)違った性能をユーザーがなんとなく受け止めてたからだと思う

ソフト開発がやりやすくなったとしても、Athlon64 X2並みのCPU採用!じゃインパクトないだろ
543Socket774:2006/04/30(日) 15:14:44 ID:KdU8/Lk7
インテルも明らかに性能の劣るペンDをハッタリで誤魔化して売ってただろに
544Socket774:2006/04/30(日) 15:15:21 ID:sigi41FC

INTELやAMDのPC向け4コア8コアCPUってどんな風に使うんだ?
545MACオタ>544 さん:2006/04/30(日) 15:19:20 ID:xiRnpVSz
>>544
Intelも頑張ってツール配布してるす。
http://www.intel.com/cd/software/products/asmo-na/eng/272688.htm
  ---------------------
  Platform Support

* Supports Windows*, Linux*, and Mac OS*
* Supports 32-bit applications on IA-32 and Intel? EM64T platforms, and 64-bit applications on
   Itanium? 2 and Intel? EM64T platforms
* Supports Intel, Microsoft and GNU compilers
  ---------------------
546Socket774:2006/04/30(日) 15:21:47 ID:ZkXuWAH5
>>542
そのスペックをPS2みたいに5〜6年で引き出せるならまだいいよ。
(できれば2〜3年で引き出してもらいたいが)
現状で伝わってくる情報だと、XBOX360は改善の兆しがないし、
PS3はまだ実機で動かせるロンチタイトルを公開できない。

最近一般誌で、見通しが明るくない次世代ゲーム機業界、
対称的に好調な携帯ゲーム、そのような記事をよく見かける。
世界的マーケットではまだ伸びるかもしれんが本当に大丈夫なんかいな。
547Socket774:2006/04/30(日) 15:26:47 ID:sigi41FC
>>545
あ、そうじゃなくて
ゲーム?エンコ?何に4コア使うのってこと
548Socket774:2006/04/30(日) 15:27:33 ID:hcHzszeY
>>547
XeonMPやOnpteron8xxシリーズに聞いてごらんなさい
549Socket774:2006/04/30(日) 15:40:00 ID:/LgqNMbC
さっぱり理解できないが、Intelの中の人曰く「RMS」
http://www.itmedia.co.jp/news/articles/0402/20/news047.html
http://pc.watch.impress.co.jp/docs/2005/0112/kaigai147.htm

>>548
個人レベルでも大規模データベースや基幹系アプリケーションや流体力学計算を扱えってか?
550Socket774:2006/04/30(日) 15:41:49 ID:hcHzszeY
>>549
Windows信者やLinux(x86版)厨である限り、インテルに流されるままよw
551MACオタ>549 さん:2006/04/30(日) 15:46:18 ID:xiRnpVSz
>>549
  ----------------
  個人レベルでも大規模データベースや基幹系アプリケーションや流体力学計算を扱えってか?
  ----------------
ゲームやgoogleで、あなたもその手のアプリの恩恵にあずかっているかと思うす。
552Socket774:2006/04/30(日) 15:48:29 ID:/LgqNMbC
>>551
社会全体で役に立ってるのは当たりまえ。
個人で運用する意義・場面って?
553Socket774:2006/04/30(日) 15:54:05 ID:zTO6x4jg
欲しくないなら買わなければいいのでは。
554Socket774:2006/04/30(日) 15:57:15 ID:/LgqNMbC
いつも思うがコンシューマと業務系を混同して話す人が多すぎ
555Socket774:2006/04/30(日) 15:58:41 ID:KdU8/Lk7
ゲーム機とPCを混同してる話人もたくさんいるよね
556Socket774:2006/04/30(日) 16:02:53 ID:ZkXuWAH5
それはMACオタだからしょうがない
557Socket774:2006/04/30(日) 16:04:45 ID:o7yZD3Bb
個人で云々の話になっちゃうと、今出てるCPUのほとんどが必要ないものになっちゃうよ
558Socket774:2006/04/30(日) 16:22:02 ID:/LgqNMbC
PCで大きなパワーが必要な場面はFPSゲーム、
動画エンコード・トランスコードやデジカメの画像処理くらいでしょ。
WSも含めると、グラフィックデザイン・DTP・DAW・レンダリング・CAD・コンパイル等等。

Longhornで導入するはずだった、ファイルシステムとデータベース管理・検索を
統合したWinFSなら、マルチコア・メニイコアを存分に生かせられるのだが。
559Socket774:2006/04/30(日) 16:40:13 ID:WrCwY7yO
SMPやクラスタリングで、並列処理の実績はすでに
あるんだから、cellの性能を引き出せるようになるのも時間の問題
いつ開発環境が整うか?ってことでしかない
超並列コンピュータじゃないんだから
開発環境側で、自働チューニングするのできなくないだろ
560Socket774:2006/04/30(日) 16:55:00 ID:rO7zOnSL
おいMACオタ、テープアウトについて説明してみろよ
561Socket774:2006/04/30(日) 17:00:22 ID:rO7zOnSL
>>559
SMPに比べて自由度がかなり制限されているのがCellの弱点なんだよ

> 開発環境側で、自働チューニングするのできなくないだろ
できなくないのとすでにできているのには天地の差だよ
まともな自動チューナーができるのは何時なのか予言してみたまえ
562MACオタ>560 さん:2006/04/30(日) 17:06:52 ID:xiRnpVSz
>>560
私が書いたこと >>484
プロセッサアーキテクトの草分け、嶋氏の説明
http://v-t.jp/premier/index.php?mode=show&UID=1117037100
  ----------------------
  論理設計を1972年12月に始め、レイアウト設計を終了し、マスク製作用のデータベース作成である
  テープアウトを終了したのが、8ヵ月後の、1973年8月9日であった。
  ----------------------
同じことがかいてあるということが理解できないヒトがいるとも思えないすけど(笑)
563Socket774:2006/04/30(日) 17:07:30 ID:rO7zOnSL
>>535
Cellのプログラミングパラダイムがクタ公の脳内にしか存在しないのが問題になっているのだよ

MACオタは、自分の都合の悪い意見を読んだ上で無視しているのではなくて、そもそも目に入っていないっぽいな

とりあえず読んでいるならテープアウトの説明をしたまえ
564MACオタ>561 さん:2006/04/30(日) 17:08:31 ID:xiRnpVSz
>>561
  -------------------
  できなくないのとすでにできているのには天地の差だよ
  -------------------
SMPシステム用に、そんな便利なものが「すでにできている」というのわ初耳すけど(笑)
565Socket774:2006/04/30(日) 17:13:21 ID:rO7zOnSL
>>564
お前は読解力がゼロなのか
できていないから問題だと書いてあるだろう
566Socket774:2006/04/30(日) 17:25:34 ID:sigi41FC
RMS・・・つまりINTELはGPGPUや”CELLボード(架空)”を目指してる?
567Socket774:2006/04/30(日) 17:41:28 ID:ggwPGOHn
おい560、テープアウトについて説明してみろよ
568Socket774:2006/04/30(日) 18:57:29 ID:zVcNPgDp
>>562のは一昔前の定義でしょ
どっちかというと最近は↓こっちの意味

http://www.cadence.co.jp/news/h15-11-11.html
> テープアウトとは、設計者がタイミングの検証まですべて終了し,
> 設計データをICマスク設計者に渡す段階を指すもので、LSIの設計が
> 完了したことを意味します。

マスクデータの作成は普通はfabの側でやるから
569Socket774:2006/04/30(日) 19:42:17 ID:Setrv0JU
639 :名無しさん必死だな :2006/04/29(土) 02:13:07 ID:Z+wa8V1x
118 名前:名無しさん必死だな 本日のレス 投稿日:2006/04/29(土) 01:55:31 3VEZgJYI
 古川 享 ブログ
 http://spaces.msn.com/furukawablog/blog/cns!156823E649BD3714!3406.entry

 CELLのパワー自体は凄いらしい、ゲーム機になるとバスの転送能力などはこうはいかないが

663 :名無しさん必死だな :2006/04/29(土) 09:08:52 ID:cT0pvHhl
Cellって、低速デバイス(XDR)に書き戻すまでに超高速で色々やってしまおうって発想なのかな。

667 :名無しさん必死だな :2006/04/29(土) 09:20:34 ID:cT0pvHhl
現状でも複数プロセッサを積んだマシンはあるけど、
お互い低速バスとメモリで繋がってるのみ。結局殆どから回りしてる。
でもCellは小規模でありながら複数プロセッサが超高速バスで繋がって
パイプラインを築く事が出来るわけで、
Pen4を8個搭載しただけでは同じことは出来ない。
このあたりに他にない価値を見出す事が出来そう。

しかしPS3終わった・・・・。orz
570Socket774:2006/04/30(日) 21:00:03 ID:lkkX0aTG
571Socket774:2006/04/30(日) 23:10:07 ID:T884kvFH
>>542
ま、俺が一番好きなXBOX360タイトルがHexic HDだったりする訳だからな。
ぶっちゃけ見せ金的スペックだから。

BF2がぐりぐり動いてるの見るとまぁマァそこそこ安くて良いかもとか思うけどね。
572Socket774:2006/05/01(月) 05:14:14 ID:QTzHYr9g
Cellを、8コアのCPUだと思うから、ダメなんですよ。
シングルコアのCPUに、7個のアクセラレータを高速バスで接続したもの
というふうに考えればいいんですよ。

OSに管理させるのはPPEだけで、
7個のアクセラレータで実行するプログラムやデータは、
OSではなく、ユーザつまりゲームプログラムが管理すべきもの。
573Socket774:2006/05/01(月) 10:03:03 ID:GMKxQ0gE
>>572
まああれだよ、
「時代はデュアルコア!」なーんて言ってたら8コアと名乗るものが出てきて、ファビョッタってことなんだよ。
別に複数コアなんて珍しいものでもx86だけのものでもない(どころか後発)のにね。


AMD次世代スレのテンプレはっとく(AMDとインテルと入れ替えればインテル用にもなる)

Aという技術がプロセッサ業界等で採用され始める(業界のトレンド)
→視野が狭いので知りもしない

オタ「Aという技術が他で採用されてるす。AMDもいずれ採用するんじゃないすかね」
→うざい。そんなわけないだろ

インテルがA技術の採用を発表する(インテル儲にとってトレンド)
→これだからインテルはw

AMDがA技術の採用を検討し始める
→これからはAの時代だ!(ここがAMD儲のいうトレンド)
574Socket774:2006/05/01(月) 15:10:36 ID:gRwSKSER
>>573
自分で作ったテンプレをそんなに見て欲しかったのかい?
いい子だから、よそでやりなさい。
575Socket774:2006/05/01(月) 21:55:58 ID:vKQ86ZGw
576Socket774:2006/05/02(火) 19:50:34 ID:cLjgZql4
>>575
ありゃりゃ
>Conclusion 2 : The free lunch is back!
なんてホントに書いてあるのねw

回りまわって結局自分達の首しめるだけのことのようなwww
577Socket774:2006/05/02(火) 20:23:22 ID:KLdqkOn/
自分達って誰のことだ?
578Socket774:2006/05/02(火) 21:26:28 ID:3Glo8CKT
多数CPUのSMAPでのアクセス調停にはCELLのような周回バスは最適だ、
共有領域への書き込みがあると無条件でバスを一周させればいい。
調停をL2キャッシュに任せることもできそうなので、調停のために極端に
遅くはならないし調停中にはPen4のHTのように別の作業してもいいだろう。
この頃のスパコンの数K個以上というCPUを調停しようというのではないのだから
工夫すれば多少は遅くなるが問題になるほどでもないはず。
579Socket774:2006/05/02(火) 21:43:03 ID:A7woAlXj
http://japan.cnet.com/column/apple_onlamp/story/0,2000060061,20104527,00.htm

あながち、5年後にはCellがシェアを勝ち取ってたりしてな、PC CPUとしても。
Linuxの普及と共に。

580Socket774:2006/05/02(火) 21:47:01 ID:KLdqkOn/
妄想は計画的に
581Socket774:2006/05/02(火) 22:05:04 ID:lbJmkGXg
>>578
リングバスは、キャッシュコヒーレンシがすごく楽なんだろうが
レイテンシが長くなりそう
今のCPUのL2は10クロック以上なので
許容できなくもないかもしれないけど
582Socket774:2006/05/03(水) 00:14:03 ID:7PjfHshl
不良リンチかよ
583Socket774:2006/05/03(水) 01:10:12 ID:tU1PQKrc
制御用のPPEはPowerの劣化版だから、Linuxの移植はたいして難しくはないかと。
ただ、SPEを使いこなすのは大変だから、劣化版じゃない普通のPowerを使った方がマシだと思う。
584Socket774:2006/05/03(水) 07:51:15 ID:yKDlLBMH
CellがモンスターCPUだが下手したら糞CPU程度かもしれないのは良く分かる。
PS3もしかり。
585Socket774:2006/05/03(水) 10:13:18 ID:nymozuPR
http://spaces.msn.com/furukawablog/blog/cns!156823E649BD3714!3406.entry
さすがにここまでやると骨が折れるだろうが凄いな
586Socket774:2006/05/03(水) 17:01:54 ID:P+Kdq3Vo
今の技術ならpen2コアで2GHzぐらい動くだろ?それの8コアで

んじゃ
587Socket774:2006/05/03(水) 21:11:21 ID:y1s7Qifz
その手のやつならむしろNiagaraだろ。
次期コアは16コア統合だっけか。
588Socket774:2006/05/03(水) 21:47:15 ID:q76CZe0B
589Socket774:2006/05/04(木) 00:46:12 ID:h++ukyG2
590Socket774:2006/05/04(木) 07:02:16 ID:vRkWvcBW
HPの比較記事ってSAPやん。
NiagaraでDBまで走らせてどうする。
WebサーバやApplicationサーバで比較せにゃ。
591MACオタ:2006/05/04(木) 11:25:27 ID:C0eJjBUs
AMDがこういうインチキ宣伝をやりすぎたせいで、
  「AMD Opteron、TPC-Cベンチマークで首位獲得」 (実わコアあたりで揃えるとPOWER5が遥かに上)
  http://www.itmedia.co.jp/enterprise/articles/0510/08/news016.html
TPCが怒って、結果の表示方を変えたす。新表示形式わP(プロセッサ数)/C(コア数)/T(スレッド数)が
併記されるようになって、こんな感じす。
http://www.tpc.org/tpcc/results/tpcc_advanced_sort.asp?FLTCOL1=tpcc.c_server_procs&FLTCHO1=4&ADDFILTERROW=&filterRowCount=1&SRTCOL1=tpcc.c_tpmc&SRTDIR1=DESC&ADDSORTROW=&sortRowCount=1&include_server_cpu=ON
592Socket774:2006/05/04(木) 18:14:00 ID:7ulCvXEi
>>591
単にマルチコアやマルチスレッドなどの新フィーチャーに対応しただけのように見えるし、
そもそもTPC-Cはコアあたりの性能を測るベンチじゃないだろ。
さらに言うならCPUメーカーの宣伝というより、各ベンダーのシステムの宣伝という方が適切。

> AMDがこういうインチキ宣伝をやりすぎたせいで

方々で「AMDわ」とか「アム虫わ」とか叫んでるが、○ntelの依頼によるバイトか何かか?
もしそうなら俺にも是非紹介してほしいw
593MACオタ>592 さん:2006/05/04(木) 18:25:01 ID:C0eJjBUs
>>592
  --------------------
  CPUメーカーの宣伝というより、各ベンダーのシステムの宣伝という方が適切。
  --------------------
>>591で引用した記事のタイトルにAMDの名前わ有っても、システムベンダであるHPわ入ってない気が(笑)
ちなみに、AMD自身もこのネタ使っているす。
http://www.amd.com/jp-ja/Corporate/VirtualPressRoom/0,,51_104_543_13302~102332,00.html
  ====================
  デュアルコアAMD Opteronプロセッサ880モデルを採用したHP ProLiant DL585サーバは、4 way x86
  サーバの中で唯一TPC-C 200Kトランザクション スコアを超えたサーバです。
  ====================
流石に公式文書で嘘を書くと法的にヤバいせいで"x86サーバ"って注釈を入れているす。
  
594Socket774:2006/05/04(木) 18:40:58 ID:qeNkZd/e
>>592
あぼ〜んだらけでよく分からんし、歴史にif文はないが、
もし仮にAppleがAMDを採用していたら、Macヲタは方々で
「Intelわ」(うわ虫唾が走る)と書いていただろうね。請け合うよ
595Socket774:2006/05/04(木) 19:19:54 ID:oWDyTmYD
>>593
> >>591で引用した記事のタイトルにAMDの名前わ有っても、システムベンダであるHPわ入ってない気が(笑)
都合によって原文わスルーすか?
> October 7, 2005
> AMD-HP Opteron Tops TPC-C Benchmark
596Socket774:2006/05/04(木) 22:00:49 ID:LtCRUfuf
MACオタかなりウザイからフィルタしておくか。
597Socket774:2006/05/04(木) 22:30:54 ID:h++ukyG2
>>595
英語読めないんだから仕方がない
598Socket774:2006/05/08(月) 17:46:10 ID:W7jF34/1
>>591
AMD信者ではないが、TPCが怒ったとデマを流されるのは不憫なので。

http://www.tpc.org/reports/status/BS-2005-05.asp
2005/2、マルチコア/スレッドを考慮したCPU数の取り扱いを検討するグループ作成。
http://www.tpc.org/reports/status/BS-2005-08.asp
2005/6、用語の定義、変更の影響の評価など、グループの作業が完了。
http://www.tpc.org/reports/status/BS-2005-09.asp
2005/8、TPC-H 2.3.0が発効。Processors/Cores/Threads数の記載が必要に。
http://www.tpc.org/reports/status/BS-2005-12.asp
2005/10、TPC-C 5.5が発効。Processors/Cores/Threads数の記載が必要に。
http://www.tpc.org/reports/status/BS-2006-02.asp
2005/12、TPC-App 1.1.2にてProcessors/Cores/Threads数の記載が必要に。
599Socket774:2006/05/09(火) 18:45:13 ID:LjJCgErs
CELL…さようなら…
600Socket774:2006/05/09(火) 21:04:14 ID:VOP0Rest
奇形児ネタ禁止
601Socket774:2006/05/18(木) 15:41:19 ID:V8csdtMq
602Socket774:2006/05/18(木) 16:21:14 ID:jYhq1zJu
AMD64とかべらぼうに速いから、PICマイコソみたいな組み込み型1チープCPUを
オリジナルアーキティクチャみたいな感じでエミュレーション作ってみたい
んだけど。

そういうCPUのアーキティクチャを妄想するエミュレータツールとかってないのかなぁ。
やっぱザイリンクスとかFPGA見たいな奴にちまちま回路組んでつくってくしかないのかなぁ?
603Socket774:2006/05/18(木) 18:19:03 ID:Cj4fxfy+
>>602
そう言う時のビヘイビア記述&verilog&VHDLシミュレータ…
synopsysとかにはもっと高度なツールがあるけどね。
604Socket774:2006/05/19(金) 12:59:31 ID:7Hfvn0Qr
復活したEfficeonはXboxポータブルに搭載か
http://pc.watch.impress.co.jp/docs/2006/0519/spf04.htm
605Socket774:2006/05/19(金) 13:55:09 ID:/yfuYsKI
>>604
マジか?
実現したら奇跡の復活劇だな……
606Socket774:2006/05/19(金) 14:12:59 ID:RXGflu2L
ここで示されてる資料が興味深いな。
プロセッサのバラツキ具合とか。
607Socket774:2006/05/19(金) 21:41:25 ID:ZlCPE+2W
EfficeonよりPowerPC750あたりの方が性能も高いし消費電力も低い気がするが
608Socket774:2006/05/20(土) 00:06:38 ID:sObNksNF
609Socket774:2006/05/20(土) 00:33:06 ID:iymqxI2G
馬鹿が一匹まじっています
610Socket774:2006/05/20(土) 01:21:29 ID:W1yXuIhR
>>608
俺としてはBitBoysがATiに買収されていたことがショック
Glaze3Dが完全に消えたか…。
611Socket774:2006/05/20(土) 09:12:28 ID:6431tQCc
>>610
君の心の中で、生きているよ
612Socket774:2006/05/20(土) 12:27:03 ID:doIn/ekC
ぶっちゃけEfficeonはゲーム向きじゃないよな。
やっぱipod対抗動画プレイヤー?
613Socket774:2006/05/20(土) 12:32:23 ID:sNmuyS+f
ipod対抗動画プレイヤーのVer.1がOrigami、
Ver2が次に出る。
買いなのはその次に出るやつだ。
614Socket774:2006/05/20(土) 18:22:46 ID:gI2uhj7r
>>607
XBOX1互換で作る=CPUはx86 に直行したんだろ?
どーせアプリ配信に限定するならなんとでもなるのにね
615Socket774:2006/05/21(日) 23:52:21 ID:h7m9Cyg4
616Socket774:2006/05/22(月) 23:55:49 ID:w6+RCzrl
>>598の怒りに触れてMACオタが消え去ったか
617Socket774:2006/05/23(火) 00:12:30 ID:n2auwfyE
618Socket774:2006/05/23(火) 00:47:34 ID:4MwbkDUZ
フリースケールは多機能路線突っ走るねぇ
619Socket774:2006/05/24(水) 11:29:03 ID:yPsks0xB
620Socket774:2006/05/24(水) 19:42:58 ID:8G0E11Qs
>>617,619
PentiumとAthlonがどうのこうの、とか笑っちまうくらいの話だよなぁ…x86なんて…
621Socket774:2006/05/25(木) 23:59:13 ID:DzCut+Oe
622MACオタ:2006/05/28(日) 21:25:04 ID:26X60QWa
ゲーハー板じゃ既出のネタすけど、Cell叩きに余念が無い皆さんのご意見わ如何すか?
http://www.cs.berkeley.edu/%7Esamw/projects/cell/LBL_SCS_march3.pdf
         Hammer/2.2GHz比   IPF/1.4GHz比
 DGEMM       12.8x           9.5x
 SpMV       > 8.4x         > 8.4x
 Stencil        37.0x          17.7x
 1D FFT        10.6x           7.6x
 2D FFT        13.4x          30.6x
623Socket774:2006/05/28(日) 22:15:55 ID:oQWrEats
問題サイズにたいしてスケールしとらん
624Socket774:2006/05/31(水) 22:50:08 ID:1Nq7lmDu
FFTの点数を大きくしていくとCellはギブアップするだろ?
625Socket774:2006/06/01(木) 00:01:30 ID:RxxXF6rD
626Socket774:2006/06/01(木) 19:45:24 ID:tfxXg67U
アーキテクチャからちょっと外れるけど、パッケージの方はどんな感じ?
ピン数増える一方で凄いことにならないかな…
627Socket774:2006/06/02(金) 00:50:09 ID:1t365msC
SPEは直接外界と繋がってないから、
そんな酷いことにはならんのじゃないか?
628Socket774:2006/06/02(金) 02:36:11 ID:NpI5Oyo4
何か虚しさを感じるのは気のせいですか?

インテル、Itaniumの発売5周年を祝うパーティ
http://pc.watch.impress.co.jp/docs/2006/0602/intel.htm
629Socket774:2006/06/02(金) 04:41:12 ID:R70PyNpC
Itanium2マシンが出荷開始されたために、Itaniumマシンはその出荷開始からたった12ヶ月後には、産業廃棄物として捨てられた。
1年でゴミになるマシンは、そうそうないよね。
630Socket774:2006/06/02(金) 12:57:02 ID:SlQx/Mgu
631Socket774:2006/06/02(金) 17:20:21 ID:rss7hU87
Itaniumが発売されたころには、すでにPOWERがデュアルコア化してた
632Socket774:2006/06/03(土) 03:20:45 ID:NVHoKXed
あまりの不甲斐なさに一度マイクロソフトに見捨てられたのが痛かったitanium。
マイクロソフト撤退によりlinuxが増殖してしまいitanium2に再度マイクロソフト降臨。
ドロドロだな。
633Socket774:2006/06/04(日) 20:23:44 ID:ITG3BoSZ
634Socket774:2006/06/07(水) 02:09:51 ID:6LvLwaKH
不思議なルールが有るのかもしれんが
何故OSの仕組みとして解析済みのネイティブコードやマイクロオペをHDDにプログラム毎にキャッシュして保存しておかないんだろう?
イヒも無理矢理固有領域を用意してたし
DECのアレも何か変な事してたし

CPU側がデコード結果のI/O用意しなきゃ始まらんけどね。
635Socket774:2006/06/07(水) 06:04:04 ID:UaWd5DGr
>>634
HDDは遅い+デコードされたネイティブコードは元よりも大きくなる(より帯域を必要とする)。
つまり、下手にHDDキャッシュするより、その場でデコードした法が高速になる事が多いと考えられている。
コード密度と(キャッシュ構造含めた)メモリ帯域とのバランスは難しい。

ARMのThumbなんかはメモリの節約が主眼だったりするけれど、Thumb使った方が性能上がっちゃう時があったりするぐらい。
636Socket774:2006/06/08(木) 01:00:05 ID:9mOnLT7L
Transmetaは実質的にAMDの傘下か。
637Socket774:2006/06/08(木) 01:38:54 ID:husfatSN
なるほどねメモリ帯域が問題になるのか
OS側でネイティブコード管理できればJavaをはじめとする無駄な中間コード技術が排除できると思ったのに。

携帯機器用途のJavaって電力効率最悪なんで
デコード結果とオリジナルコードをセグメント毎徐々に入れ替えて行って非効率なCPU時間の消費を抑えていく
のってスバラシイと思ったんだけど
638横レス:2006/06/08(木) 06:43:10 ID:Q8uUOsy+
アーム、新ファミリーの組み込み向けプロセッサー“ARM Cortex-R4”の説明会を開催
http://ascii24.com/news/i/tech/article/2006/06/07/662702-000.html
>従来のThumb命令と、新しいThumb-2と比較して18〜22%高速になるという。

しかし何千万〜何億トランジスタだのが当たり前の感覚は何かが麻痺してるのかもな
> 18万〜22万ゲート
もちろんそれなりの性能だけど
639Socket774:2006/06/08(木) 12:08:59 ID:9mOnLT7L
>>637
JavaのJITが重いという問題は、CPUは関係ないな。
コードモーフィングするCPUだろうと、そうでなかろうと、重いのだから。
640Socket774:2006/06/08(木) 12:14:48 ID:9mOnLT7L
他にも、
OSがネイティブコードを管理してキャッシュして再利用しても、
それがうまく働くのはOSが管理しているコードだけであり、
JVMが動的に生成するコードに関してはキャッシュが働かない。

うまく働くようにするためには、JVMをOSに統合し、
OSというよりはJVMが、
ネイティブコードを管理してキャッシュしないといけない。
641Socket774:2006/06/08(木) 12:18:12 ID:9mOnLT7L
さらに、
Javaは動的にコードを生成することを前提としたアーキテクチャであり、
動的にコードを生成することでパフォーマンスが出るようになっていて、
一度生成したコードを生成し直すことも多々あるわけで・・・・。

結論としては、Javaを高速に実行したければ、
Efficeonとかのネイティブコードを直接出力するJVMと、
それをサポートするOSを作れ、と。
642Socket774:2006/06/08(木) 14:18:51 ID:WW/4dAZ3
CONFIG_MICROCODE:

If you say Y here and also to "/dev file system support" in the
'File systems' section, you will be able to update the microcode on
Intel processors in the IA32 family, e.g. Pentium Pro, Pentium II,
Pentium III, Pentium 4, Xeon etc. You will obviously need the
actual microcode binary data itself which is not shipped with the
Linux kernel.

こんな事やってるなら改造マイクロコードも可能なのかな?
643Socket774:2006/06/08(木) 22:15:01 ID:9mOnLT7L
バグ修正がせいぜいだと思うけどナ。
644Socket774:2006/06/09(金) 20:24:54 ID:e7zinVKz
645Socket774:2006/06/09(金) 21:31:14 ID:lDZ8aCRp
>>644

「相当高価なスーパーコンピュータに近い能力を実現した」

「1/60秒ごとに全てのアクションのレスポンスを返さないといけない。それをフルHDの映像で、
リアルタイムに全て作り出す。」

どう見ても嘘・大袈裟・まぎらわしい宣伝文句です。ありがとうございました。
646Socket774:2006/06/09(金) 23:03:15 ID:quSSSuA2
>>645
その為の専用CPUだから。
pentiumやpowerみたいな汎用CPUには絶対無理な芸当。
647Socket774:2006/06/09(金) 23:08:03 ID:NZHMwemB
>>645
いつまでもx86にこもってないで、外の世界にでてみろよ
648Socket774:2006/06/09(金) 23:51:50 ID:vRuCWLpg
>>644
相当高価なスーパーコンピュータは
>「Cellは、単精度(32bit)浮動小数点ですが、
>医療画像処理やエンターテインメントに適している」
なんて変な言い訳する必要が無いんだが。

単精度で科学演算やってるスパコンなんて存在しない。
649Socket774:2006/06/10(土) 00:24:34 ID:2yXP3EgU
>>637
Javaが重いのは、中間言語を使ってるからじゃなくて
メモリ管理とかセキュリティーとかで
実行時にしなくちゃいけないタスクが重いからだよ

>>648
アルゴリズムとか処理によっては
単精度でも済むものってあるんじゃないかな?
cellのメモリ帯域・レイテンシや単精度って点とかで
自然科学シミュレートには不向きなのは間違いないけど
650Socket774:2006/06/10(土) 00:26:27 ID:2yXP3EgU
>>645
単精度限定なら、1CPUのCrayX-1やSX-8より
速くできる処理はいくらでもあると思う
まぁ「スパコン並み」ってのは、速いことをあらわす
一般的な枕詞ではあるけどね
651Socket774:2006/06/10(土) 02:43:38 ID:PQnI0V0e
今後、「スパコン並み」と表記されてるコンピュータを見たら、
クレイの最初の奴程度だと思うことにしよう。
652Socket774:2006/06/10(土) 03:43:32 ID:2yXP3EgU
90年代半ばのCrayT90で、2Gflops/プロセッサだからな
何もかもがスパコン級だ
653Socket774:2006/06/10(土) 06:46:02 ID:3Kdg58Ps
cellってすごーい(棒読み)
http://www.watch.impress.co.jp/av/docs/20060609/sce5.jpg
654Socket774:2006/06/10(土) 09:32:28 ID:d3sXIuAJ
>>653
ぶっちゃけAltiVecの方がSPEより…
655Socket774:2006/06/10(土) 14:27:36 ID:BK4NHkt5
なにがずこいわけ?
身近な所ではGPU。
今となっては低性能なATIのRadeonX800でも12GFlops。
NvidiaのR600は512GFlops(予定)
くそ遅いpentium4やathlonの肩代わりしてるのが脇役のGPU。

http://pc.watch.impress.co.jp/docs/2005/0517/ps3.htm
>RSXとCellを合わせた浮動小数演算性能は2T FLOPSを超えるという。
2T FLOPSなら一応スパコン並だよね。
>90年代半ばのCrayT90
の1000倍だ。
ソニーがプレステ3にlinuxを載せたのは2T GFLOPSの性能はゲーム以外の用途が
あると考えているから。
数億円の医療機器の中にプレステ3が入っているかもしれない。
やたら医療機器を強調してるしもうやってるのかもね。
656Socket774:2006/06/10(土) 14:29:17 ID:+p+cXzHd
>>655
日本語でおk
657Socket774:2006/06/10(土) 15:14:23 ID:XoUqo8TR
奇形児ネタ禁止
658Socket774:2006/06/10(土) 15:40:29 ID:bCVaVfMl
>>648
> 単精度で科学演算やってるスパコンなんて存在しない。

え?

実際の計算ではなくベンチマークで恐縮だが、姫野ベンチは単精度だよ。
659Socket774:2006/06/10(土) 15:44:41 ID:bCVaVfMl
>>655
Linuxが走っているのはPowerPC系のコアだよ。

普通に書いた科学演算アプリは、Cellの強力な演算ユニットたちを使うことができないよ。
660Socket774:2006/06/10(土) 19:26:41 ID:cIrwyjqP
>>659
linuxの世界ではそういうのは自分で書くのが前提です。
PS3のlinux搭載は素人向けではないでしょう。
661Socket774:2006/06/10(土) 19:58:44 ID:bCVaVfMl
そうかなぁ。

全数Linuxをプリインストールで出荷するとか言ってるから、
組み込みOSとして位置づける可能性もあるよ。
セガのドリームキャストに積まれたWindowsCEみたいにさ。

それにしてもLinuxの世界っていうのは凄いんですね。
アプリを書く各人が、自分でLinuxを異種CPUのマルチプロセッサに対応するように、改造するもんなんだ。

自分なら演算ユニット群はLinuxの管理下には置かず、Linuxに対しては、
ユーザのアプリが演算ユニット群と通信したりメモリを共有するための仕組みを提供するだけに留めるなぁ。
つまり、既存のインテリジェントな拡張ボードの類いと同じ扱いね。
662Socket774:2006/06/10(土) 21:43:26 ID:cdQNO3HF
Cellを積んだPCI Expressカードなんかが出ると、エンコードやトリップ検索なんかに使えそうなんですが、どうでしょうか。。。
ああ、あとゲームの物理シミュレーションにも使えるかな。
663Socket774:2006/06/10(土) 22:24:22 ID:MEcr7moe
トリップ検索が用途として普通に出てくるのにワロタ
664Socket774:2006/06/10(土) 22:48:56 ID:ItN5UzEq
「スーパーコンピューター並」ってのはコンピューター業界でもっとも陳腐なセールストークだよ。
科学技術演算用のいわゆるスパコンはメモリ性能が違いすぎる。
普通、8バイトの倍精度浮動小数点データなら2演算に1回アクセスできるように、
4Byte/FLOPS位のバンド幅のメモリを繋ぐから、CPUが2TFLOPSなら8TB/s位のメモリが必要。
これに対してPS3はCPUが本当に2TFLOPSでも、メモリは25.6GB/sしかない。
665Socket774:2006/06/10(土) 22:51:00 ID:kF4DWVRu
SONYは医療器具やスパコン作ったことないくせに大風呂敷広げちゃったのな。

タイマーきっかりの1年で壊れるんじゃどこも採用しないのが関の山。
666Socket774:2006/06/10(土) 22:53:30 ID:9X46AeLp
666なら女子高生にレイプされる
667Socket774:2006/06/10(土) 23:16:43 ID:YvIisUFv
暗号処理、つまりトリップ検索って高速化が簡単なんだよな
cell向き
668Socket774:2006/06/11(日) 00:35:21 ID:MYy7pjiL
そうでもないお
669Socket774:2006/06/11(日) 01:06:59 ID:wAXMHI7R
トリップ検索は金に糸目をつけなければ、専用のハードウェアを組んで、1クロックで1キーを検索できると思う。
やることが決まっているので、何百段、何千段というパイプラインを組んでしまえばいいのだから。

以前、世界中のPCの空き時間を使って暗号解析をやってみて、
暗号の強度を実際に試しましょうというプロジェクトがあったのだけど、
膨大な台数と時間を使って解析されていたのが、
専用のハードウェアを作ったところの参入により数日で解析が終わった、
という話があったよ。

CPUよりも遥かに速いスピードで3DES処理できる暗号化アクセラレータチップには、ヒートシンクすらついていないのだから。
670Socket774:2006/06/11(日) 01:27:58 ID:Fr57Du2w
>>669
トリップ生成のアルゴリズムは知らないが、どうせ論理演算とシフトと、あってもテーブルくらいだろ
FPGAじゃだめなん?
671Socket774:2006/06/11(日) 01:31:38 ID:fouNu/XJ
実際にFPGAに実装して連続トリップの価値を暴落させたヤシが居る
672Socket774:2006/06/11(日) 03:56:22 ID:HE+3pPNx
>>661
>アプリを書く各人が、自分でLinuxを異種CPUのマルチプロセッサに対応するように、改造するもんなんだ。
基本的にはそうなるでしょう。
こういう事なんです。
http://cell.scei.co.jp/pdf/SPU_language_extensions_v21_j.pdf
altavecやsseを動かすのと同様の対応になるかと。
673Socket774:2006/06/13(火) 20:23:20 ID:FrFOd1el
674Socket774:2006/06/13(火) 21:45:15 ID:wVfFCQ8r
>>673
汎用京速計算機のプロセサはぜひ日の丸ファウンドリで製造してほしい。
675Socket774:2006/06/13(火) 22:27:43 ID:aitmkBzY
まだcellを過大評価してる奴がいるとは・・・

これ見て目を覚ませよw
http://www.theinquirer.net/?article=32171
676Socket774:2006/06/13(火) 22:57:03 ID:fOyCC8Wh
677Socket774:2006/06/13(火) 23:01:16 ID:ft13tFH3
またGKか
678Socket774:2006/06/13(火) 23:10:05 ID:QJHhx1t+
SIMD的手法と言ってみるテスト


それにしても超珍ライターもビックリなグラフですな
679Socket774:2006/06/13(火) 23:15:29 ID:tc5GQGUo
16MB/sはさすがにtypoだろうが、
4GB/sでもじゅうぶん遅い。
680Socket774:2006/06/13(火) 23:21:26 ID:Cm2sB8fa
>>674
>検討の結果、次のような結論を得て、事業化を断念し、6月末をもって解散することとなった。
681Socket774:2006/06/13(火) 23:47:42 ID:+wsX40HH
すいません、、すれ違いかもしれませんが
ムーアマイクロプロセッサ特許ってどんなものですか? 
これを買った企業の動きとしてはその後どのような展開が予測できるでしょうか。
682Socket774:2006/06/14(水) 01:28:29 ID:n8Dn/COi
>>681
mooreマシンのmooreじゃなくて、forthで有名なmoore氏の特許だな。
AMD、富士通が和解してる程度には強力な物って事だ。
683Socket774:2006/06/14(水) 03:46:51 ID:BLuJ50UX
>>680
うは、よく読んでなかった……
684Socket774:2006/06/14(水) 06:36:07 ID:nNiHJeAF
cellが速いと何か困るのかしら?
専用CPUなんだから特定用途で汎用CPUの一桁や二桁は速くてあたりまえなんだけどな。
conroeより遥かに高速に処理できる数千円のDSPの存在とか上げたらまた怒りだすのだろうかw
685Socket774:2006/06/14(水) 18:49:21 ID:W6o11YDl
俺としては、特定用途なら爆速だが汎用CPUと同じように使おうとするとグダグダなのに、
さもいかなる状況においても爆速であるかのように語る輩がうざい。
686Socket774:2006/06/14(水) 18:59:12 ID:7Cj1GEkC
それなんて久夛良木健?
687Socket774:2006/06/14(水) 19:25:11 ID:JdAWe9ye
俺としては、IBM PowerPCの余興にすぎないのに、やっと2コア製品が登場したx86信者がファビョったり、
なぜか目の敵にして叩く輩がうざい。
688Socket774:2006/06/14(水) 20:37:36 ID:6+bD8tV8
それはCell信者がウザいせいだろ。
689Socket774:2006/06/14(水) 21:23:27 ID:6cWxNvUz
糞ニー自らが676みたいな久夛良ない比較をするから
嗤われてるんだろ。
そもそも特定の命令体系に特化したもの作りたければ
汎用CPU+FPGAでもこの程度の数値は出ると思うがな。

まぁ何はともあれGK乙。
690Socket774:2006/06/14(水) 21:33:59 ID:Kfa20yIM
どうみてもCellは、PowerPC系コア + DSP多数 だよね。

>>689
FPGAで作ろうとすると無駄が多すぎて大変なことになるんじゃないかと。
691Socket774:2006/06/14(水) 21:49:40 ID:n8Dn/COi
GRAPEの元になった奴がFPGA(っていうかLatticeのCPLD)ぽかったやうな気がした。
FPGAやCPLDは乗算器苦手だけど。
最近の奴は乗算器向け要素(DSPブロック)が入ってるから良くなってるけど。

でもまぁ、特定アルゴリズム専用ハードウェアなら性能が出て当然と思われてるからね。
でも鬼の様な速度で進歩する汎用プロセッサ相手だと、なかなか勝ち続ける事は難しい…
分野しだいってのがねぇ…。
692Socket774:2006/06/14(水) 21:52:30 ID:7wDpowfS
しかもなんか変なリングバスみたいなの使ってるしな
693Socket774:2006/06/14(水) 22:52:50 ID:8vdyXz2n
「ダイナミックリコンフィキャラブル」って知ってる?

昔なんかの本で読んだんだけど、発音を間違えたのか
ググっても出てこない。
694Socket774:2006/06/14(水) 22:54:55 ID:zIGB1OgQ
キじゃなくてギ
695Socket774:2006/06/14(水) 23:04:26 ID:8vdyXz2n
>>694
あぎがとう。ググったら出てきた(*゚∀゚)
696Socket774:2006/06/15(木) 00:16:05 ID:iF8rSJaD
今流通してるFPGAでは内部ギガ超えの論理組めねーし。

いろいろ妄想したいもまいらに

つ[ Virtex4FX ]
つ[ DAP/DNA ]
697Socket774:2006/06/15(木) 00:37:29 ID:4xgsdB3T
DRPもあるでよ
698Socket774:2006/06/15(木) 02:39:59 ID:w9IkKbm7
1万円のデジカメの方が20万のパソコンより高速に画像処理やってるって事さ。
パソコンオタはけしからんと理不尽言うけど。
699Socket774:2006/06/16(金) 10:24:26 ID:FneC5bb8
RISCのPC市場に未来はあるか
700Socket774:2006/06/16(金) 10:39:36 ID:5uoM/KpE
PPCPの話ですか。
701Socket774:2006/06/16(金) 11:08:46 ID:Oi3Zo85s
PC==IBM PCですから(r
702Socket774:2006/06/16(金) 12:40:22 ID:ExDd/JXm
riscかどうかはともかく、情報流出騒ぎなんかの関係でNCやシンクライアント的ニーズそのものはあるだろうが…

結局コストが壁。
CPUだけ安くなってもね…
703Socket774:2006/06/16(金) 13:35:56 ID:7+td8ndP
ゼロから設計するシンクライアントととっくに量産しまくりのPCだとコストが全然違うからね。
704Socket774:2006/06/17(土) 13:34:58 ID:OhKH6ADP
じゃあもう無理だな。
705Socket774:2006/06/17(土) 15:10:33 ID:Sje0Hx8J
既にOSとアプリのソースコードはある(=linux)
コンパイラさえ用意すれば後は全部揃っている状況。
x86やwindowsに縛られない新しいハードの成立するチャンスはある。
706Socket774:2006/06/17(土) 23:28:26 ID:rNGeb5iM
crayがIA-64をメインにする時代だ
intelやAMDより安くて速いの作るのは不可能
707Socket774:2006/06/17(土) 23:50:36 ID:8pLMfRtA
>>705
そんなチャンスは昔からあるのだけど。

SPARC系のワークステーションとか、あったでしょ。
でも、x86系のほうが安くて性能がいいでしょ。
708Socket774:2006/06/18(日) 01:02:46 ID:vuqwjSBJ
むしろ昔の方がいろいろあったな
709Socket774:2006/06/18(日) 01:09:31 ID:brpyi9vX
Alphaが最速だった時代が懐かしい…
710Socket774:2006/06/18(日) 05:52:55 ID:QKhp4WV3
>>706
何いってんの?
x86系よりcellの方がずっと安くて一桁、二桁速いでしょうに。
これは無視なわけ?
汎用CPUしか視野にないのはどうかと思うよ。
711Socket774:2006/06/18(日) 06:36:10 ID:2mJ10B8G
もちろん無視だ
712Socket774:2006/06/18(日) 07:28:13 ID:gZpjX58s
特定用途専用ならDSPで済むしな。
713Socket774:2006/06/18(日) 07:31:59 ID:N9SSYBYX
>>710
cell搭載PCは、x86搭載PCよりも、安いの?
714Socket774:2006/06/18(日) 07:45:00 ID:gZpjX58s
その前に、Cellは流血販売じゃなかったっけ。
1個につき2万だか3万だか損になるとかいう記憶があるわけだが。
715MACオタ>714 さん:2006/06/18(日) 13:26:23 ID:aEfkuQtB
>>714
  -----------------
  その前に、Cellは流血販売じゃなかったっけ。
  -----------------
IBM, AMD, Sony, 東芝わ、SOIプロセスを共同開発してるんで、同プロセスのK8の値段とダイサイズ
を見れば、コストの予想わ、つくす。
 Windsor K8 (90nm Dual-Core 1MB L2 x 2): 230 mm^2
 Cell DD2 (90nm): 235 mm^2
716Socket774:2006/06/18(日) 13:55:18 ID:N9SSYBYX
ダイサイズは同じでも、1クロックでたくさんの仕事をしなければいけない演算器の面積が、K8よりもCellのほうが、ずっと広い。
717Socket774:2006/06/18(日) 14:11:34 ID:gaTm2QcB
キャッシュが少ない方が歩留まりは良さそうだけどね
718MACオタ@補足:2006/06/18(日) 14:16:53 ID:aEfkuQtB
ダイサイズからコストを見積もる計算法わ、こちらをどうぞ。
http://journal.mycom.co.jp/column/architecture/024/
719Socket774:2006/06/18(日) 14:41:24 ID:U8hTLOMe
>>717
逆だよ、逆

キャッシュはすでにかなり冗長な設計になっている
720Socket774:2006/06/18(日) 15:02:45 ID:ABr0df7X
逆とは言えないだろ。
ダイサイズ小さくすればそれだけ多く取れるんだから。
721Socket774:2006/06/18(日) 15:21:20 ID:nSfeMbnq
CellでMacOSX動くように出来ないの?
722MACオタ>721 さん:2006/06/18(日) 15:28:01 ID:aEfkuQtB
>>721
エンディアンの違うx86にすら移植できたすから、ARMだろうがItaniumだろうが、32-bit以上の
メモリにアクセスできるプロセッサにわ移植可能かと思うす。
723Socket774:2006/06/18(日) 15:35:33 ID:gaTm2QcB
>>719
一般的にキャッシュの方がギチギチに詰め込むから歩留まりが悪い
冗長化して初めてロジック並みの歩留まりが確保できるわけで
インテルなんかもキャッシュ半分殺した石とか出してるでしょ?
724Socket774:2006/06/18(日) 17:42:25 ID:abmwM1lQ
>>721
出来ないよ。
そんなもんにジョブズがGOサイン出すわけがない。
725Socket774:2006/06/18(日) 17:48:05 ID:lPd17LfJ
Cellプロセッサなら、MacBookの最大10ば(ry
726Socket774:2006/06/18(日) 19:54:10 ID:U8hTLOMe
>>723
半分死んだキャッシュのは、ただの半不良品の利用

最近のロジック は、クリィティカルな箇所でいろいろ変わったことを
しているので、昔に くらべて歩留まり厳しくなっているよ
727Socket774:2006/06/18(日) 20:27:17 ID:8UKhw1mR
> いろいろ変わったこと

なんだろ?LowVthとか?
728Socket774:2006/06/19(月) 16:11:20 ID:hxGR+ROp
729Socket774:2006/06/19(月) 16:16:21 ID:Dii75yDA
グラフィック処理に特化したシステムを構築するならcellは汎用CPUの10倍〜100倍の性能を見込める。
いまさらクソ遅い汎用CPUで構築するのはアホ。
汎用CPUというレギュレーションを押しつけたい気持ちもわかるけどcellのような方向性もある。
cellの構成はオーダーメードで容易にユニットを取り換えられる意図もある。
もし倍々精度の浮動小数点演算の注文があればすぐにユニットを取り換えて提供できる。
730729:2006/06/19(月) 16:19:20 ID:QlvWQgiR
すいません、ゲハのマンセースレに書き込もうと思って誤爆しますた。
731Socket774:2006/06/19(月) 17:19:13 ID:O2NqL8ye
仮にcellをPCに合わせてカスタマイズしたとしたら、
噂のCore2Duoと比べて何倍速いですか?
732Socket774:2006/06/19(月) 17:53:50 ID:eydeCXuQ
10倍〜100倍
733Socket774:2006/06/19(月) 18:49:41 ID:EhAdDU/6
ただしCellが得意な分野限定な。
汎用CPUと同じ使い方した場合どの程度の
性能が出るかは資料が無いため不明。
多分ズタボロ。
734Socket774:2006/06/19(月) 19:19:58 ID:pG95qlvD
>>731
>仮にcellをPCに合わせてカスタマイズしたとしたら、

どんな風にカスタマイズするんですか?
PPEを強化してOOOEを可能にしたり?
カスタマイズとやらの内容が分らないと何とも言えませんねえ。
735Socket774:2006/06/19(月) 19:29:14 ID:6D6qEs6O
なんつーか、POWERの1つの流れにすぎないCellに過剰反応すすぎじゃね?
736729:2006/06/19(月) 19:31:38 ID:l6nPeApk
てか宣伝ウザ杉
737Socket774:2006/06/19(月) 19:33:09 ID:6D6qEs6O
>>731を読んで思ったが、やはりIntel POWERを作るべきだな。
Core2 Duoがx86じゃなかったらどんなにいいか
738Socket774:2006/06/19(月) 19:40:54 ID:pG95qlvD
汎用性を犠牲にすれば高速化できるという好例だな。

理研ら、1PFLOPSの分子動力学専用コンピュータを開発
http://pc.watch.impress.co.jp/docs/2006/0619/riken.htm
739Socket774:2006/06/19(月) 20:37:49 ID:fclEnkED
流体演算とかはぼろぼろだろうな…
740Socket774:2006/06/19(月) 21:20:58 ID:aeVRfIQz
だからこそのHT3.0なんだろうな
741Socket774:2006/06/19(月) 21:37:55 ID:b4dAJ9YK
>>738
そのシステムのキモは
>理研が開発した同名の分子動力学シミュレーション専用LSIを4,808個搭載
だからな。

ただ運用側(理研)も設計側もそこをキチンと分かってるから
汎用性の無さは問題にならない。
742Socket774:2006/06/19(月) 23:10:10 ID:nxId9w1R
GK宣伝乙。
過剰反応はしたくないがウザ杉。
ジョブスにすら見捨てられたcellに
汎用CPUと同じものを期待するのがそもそも間違い。
743Socket774:2006/06/19(月) 23:12:52 ID:Cv+QOZVQ
この「分子動力学専用」コンピュータって思い切りの良さが素敵。
744Socket774:2006/06/19(月) 23:33:06 ID:fclEnkED
規格だけ速くなってもなあ>>HT3
745Socket774:2006/06/19(月) 23:33:15 ID:RslEzgK4
HTのバスを外に引き出してPhysXみたいなのつければ同じ事。
Cell?話にならんよwwwwww
ttp://pc.watch.impress.co.jp/docs/2006/0602/slide117.jpg
746Socket774:2006/06/19(月) 23:51:07 ID:ItdFa0d1
>>738
専用LSIを24個積んだボードが201枚か。ラックに縦に20枚並んでいるから、10本か。
つまり、あの写真に写っているので全てか。

> デュアルコアXeonを256個搭載したサーバーを64台
という書き方は勘弁してほしい。4プロセッサのサーバを64台で合計256プロセッサの並列システム。
747Socket774:2006/06/19(月) 23:58:48 ID:Hrgr0WkA
GKの粘着は雑音並かそれ以上だな
748Socket774:2006/06/20(火) 00:20:53 ID:d2Bbl6PX
それでもcellのっけた鯖は出る
749Socket774:2006/06/20(火) 00:40:34 ID:eNnw+C9x
・・・それは非常にニッチである(hpc.co.jp風)
750Socket774:2006/06/20(火) 00:48:59 ID:kevH70vb
選択肢に縛られないような状況でも、Xeonしか使えないあたりがアレだな
751Socket774:2006/06/20(火) 00:58:10 ID:jtYJUkOT
その用途で、XeonとOpteronを比較したら、Xeonのほうがパフォーマンスが良かった、というだけじゃないか?
752Socket774:2006/06/20(火) 00:58:25 ID:SYhmn6Ew
Dempseyの在庫処分
753Socket774:2006/06/20(火) 01:02:39 ID:kevH70vb
>>751
えーとね…言い直そうか

選択肢に縛られないような状況でも、XeonとOpteronしか頭に出てこないあたりがアレだな
754Socket774:2006/06/20(火) 01:04:00 ID:sFBi7ICe
こんなCPUが載ってる鯖なんか誰が買いますか?

・大量演算用のSPEだけならともかく制御用のPPEにもない予測分岐
・SPE無しでは同クロックのP4の1/5しかパフォーマンスがでないPPE
・SPEが遊んでいてもPPEが忙しいためSPEが使えないと言う事態が発生しそう
・アウトオブオーダー実行が出来ないというベースとなったPowerPC970より劣化しているPPE~ SPEその他
・SPEごとにDMAがついている謎仕様(通常はDMAコントローラーは1つでキューが複数)
・レイテンシが増加するリングバスを採用した内部バス
・SPEのスケジューリングはさまざまな要因を考慮してプログラマが自力組み
・データ+プログラムを256KBに収めないといけないSPE
・分岐予測がない上にデータ量の制限も有るSPE上で動くと言うカーネルベースプログラミング
・リングバス+メモリIOと周辺IOが離れているためメモリ→GPU転送がCPUの内部バスを通ると言う謎設計
・スパコンと言うが弱すぎる倍精度演算能力
755Socket774:2006/06/20(火) 01:26:02 ID:kqoOmnz6
>>754
>・スパコンと言うが弱すぎる倍精度演算能力

>>729の人が
>もし倍々精度の浮動小数点演算の注文があればすぐにユニットを取り換えて提供できる。

と言っていますがw
(倍々精度…?)
756Socket774:2006/06/20(火) 01:26:36 ID:d2Bbl6PX
>選択肢に縛られないような状況
予算は縛りじゃないのかね
>>741でFAだろ
757Socket774:2006/06/20(火) 01:48:44 ID:Ic3Bxq0r
cellをサーバーに使う馬鹿はいないね。
画像処理専用ならパフォーマンスは普通のCPUの100倍くらいありそうだ。
専用CPUは用途が限定されるが特定用途では普通のCPUより100倍高速。
758Socket774:2006/06/20(火) 01:54:51 ID:LLMzixKH
IBM、Cellベースのブレードサーバーを開発
ttp://pc.watch.impress.co.jp/docs/2006/0209/ibm.htm
759Socket774:2006/06/20(火) 03:07:55 ID:xvcEI85M
>>758
値段提示出来ない、というか製品レベルは期待するなということで。

> Product Availability
> IBM intends to make the Cell BE-based system available for direct purchase
> beginning in the third quarter of 2006, with availability via special bids
> now.
ttp://www-03.ibm.com/press/us/en/pressrelease/19229.wss

Cell BEソリューション
ttp://www-06.ibm.com/jp/ibm/apto/cellbe/index.html
開発、支援、トレーニング、テスト。どう考えても実験です。
760Socket774:2006/06/20(火) 07:22:22 ID:lXng6eRO
家電以外は1チップにするメリット無いし
761Socket774:2006/06/20(火) 07:22:41 ID:rsBcZZ8v
Cellは3.2GHz以外認められないんでしょ?
出来の悪いCPUに関して、他社のCPUなら2.4GHzの廉価版にして売ることができるけど
Cellは今のところ大規模な使い道がないよね。IBMのサーバーくらい?

コストが恐ろしいことになりそう。
762Socket774:2006/06/20(火) 07:26:57 ID:SEbzEMPf
>Cellは3.2GHz以外認められないんでしょ?
PS3のスペック表を3.2G回読み返せ
763Socket774:2006/06/20(火) 07:43:30 ID:bBXIX46s
Cellは4.6Gじゃなかったっけか。

>>755
倍々精度は多分128bit浮動少数だろ。
しかしユニット取り替えるつっても、4倍長いレジスタと
演算器が要ると思うが、空間あるのか?
764Socket774:2006/06/20(火) 07:51:42 ID:rsBcZZ8v
>>762
http://www.scei.co.jp/corporate/release/pdf/060509a.pdf(注PDF)
ないね。
でも360よりも下にはしないと思うんだけどなぁ。
765Socket774:2006/06/20(火) 10:11:17 ID:Qujb8AxW
マスコミにクロック詐称をすっぱ抜かれてPSPのクロックを333MHzから1〜333MHzに書き換えるような会社だからな。
何をやってきてもおかしくはない。
766Socket774:2006/06/20(火) 10:18:03 ID:jtYJUkOT
>>753
もっとよい選択肢があるのなら、ここで教えてもらえませんか?
767Socket774:2006/06/20(火) 10:22:09 ID:jtYJUkOT
>>763
将来の拡張性があるアーキテクチャだということであって、
注文があればすぐに量産し始めますよ、ということではないと思う。
たぶん、SPEやPPEの個数を変更するとか、その中身をいじるとなると、
それなりの開発時間がかかると思いますよ。
768Socket774:2006/06/20(火) 18:28:01 ID:yOy1M3+l
>>766
俺もXeonとOpteronしか思いつかなかった
769Socket774:2006/06/20(火) 19:07:16 ID:f8peRyhI
技術者(笑)「俺はx86しか使わないから、Xeonを選んだんだ。使えないんじゃないぞ。使わない主義なんだ。」
770Socket774:2006/06/20(火) 19:54:46 ID:/BOAHvaY
>>743
専用とは銘打ってるが
あれだけジーオソ積んでりゃ他用途にも使えるけどな。
771Socket774:2006/06/20(火) 20:07:07 ID:2nicZGgq
シリコンゲルマニウムチップが500GHzの新記録--IBMとジョージア工科大、超低温環境で
ttp://japan.cnet.com/news/ent/story/0,2000056022,20145807,00.htm

通常の室温での実験では、IBMとGITのチップは350GHz(毎秒3500億サイクル)を
記録した。この数字でも、現行の一般的なPC用プロセッサの速度である1.8〜3.8GHz
に比べれば、はるかに高速だ。だがSiGeチップは、より低温の環境下でさらなる
パフォーマンス向上を実現できる。
772Socket774:2006/06/20(火) 20:42:22 ID:GclAXmZ4
>>771
超伝導かと思ったら常温でも350GHzですか。
1クロックに光が1mmしか進めないよ。
773Socket774:2006/06/20(火) 20:50:01 ID:awtEdEge
Cellの動作周波数は4GHzより大きい、間違いない!
http://pc.watch.impress.co.jp/docs/2005/0208/kaigaip006.jpg
774Socket774:2006/06/20(火) 20:55:58 ID:DWwuBMoP
(業界から数年遅れているが)これからはデュアルコアの時代だ!→Cell発表でふぁびょーんw
4GHz断念か…→Cell4GHz超でふぁびょーんw
Cell搭載PCって出るの?→プロセッサ=パソコンという視野の狭さ(笑)
Cellって実はたいしたことないねw→POWERの1つに過ぎませんが?PowerPCは年間x86の4つくらい桁違いの出荷量です( ^ω^)
775Socket774:2006/06/20(火) 20:59:38 ID:DWwuBMoP
ま、ふざけて書いてしまったが、何が言いたいかというとな。

他の世界の製品に嫉妬してファビョるな、ファビョるくらいなら井戸の中のカエルのままで知らずにいろ。
知ってファビョるな、x86信者として堂々としていろ。
ってことだ。

Cellの汎用性が低いのは最初からわかっていたことだが、お前らは勝手に8コアという部分に反応していただけだろ?やっとデュアルになったころに出たもんだから。
776Socket774:2006/06/20(火) 21:18:21 ID:awtEdEge
( ゚д゚)ポカーン
777Socket774:2006/06/20(火) 22:28:12 ID:1VHqLLHR
キチガイが落書きするスレはここですか?
778Socket774:2006/06/20(火) 22:38:34 ID:sx1u3iCZ
 体は コンパイラで 出来ている
I am the bone of my compiler

 血潮はL3で 心は分岐機構
L3cache is my body,and "Speculative load/Predication" is my blood.

 幾たびの戦場を越えて不敗
I have exceeded over a thousand benchmark score.

 ただ一度の敗走はなく
Unaware of loss.

 ただ一度の勝利もなし
Nor aware of gain.

 担い手はここに孤り。
Withstood pain to create many Records.

 トランジスタの丘でシリコンを鍛つ
waiting for one's arrival.

 ならば  我が生涯に意味は不要ず
I have no regrets.This is the only path.

 この体は無限の最適化オプション(ILP)で出来ていた
My whole life was "unlimited optimization works"
779Socket774:2006/06/20(火) 22:39:12 ID:sx1u3iCZ
                 ,.,.,.,.,.,.,.,
               zイ彡彡ミミミ
               Yk彡彡ミミミミ
               i、, ゙'うミミミミ
               }´  「゙`ヾミ;゙
               `‐、ノ _/¨ヽ、
                   ´r'´-‐ ヘ>ヽ、
                ,.ノ`ヽヾ、 Y´;: }
                {,;;..:;,:;.:;:;,:,;i  ハ;.;.;i!
                i,:;.;,.:;,:;.:;,;;:.   〉;.;|
                ヽ,:;.:;,:;.;:.   /.;.;.;.i!
                 i゙`゙゙゙゙'゙ /; ; ;/:.!
                 |; `ー'´; ; ; ; ;i':':|
                 i; ; ;___/」':':!
               ┌' ̄____ノ':':|
               └ '´       ヽ:|
  「i             i丶        i:|            //
  」L            i|  \       i!       //
   ||  r!  ⊥      | i   、     |      //
   ||  Y   i!       ハ',    、    !    //
   ii  i   i!      /  ' ,        |  //
780Socket774:2006/06/20(火) 22:39:42 ID:srBvuWa6
月厨は回線吊って首吊って氏ねよ
781Socket774:2006/06/20(火) 22:45:19 ID:/aIpOQ+M
なんで月厨ってわかるんだよ
782Socket774:2006/06/20(火) 23:05:40 ID:jtYJUkOT
>>770
他用途に使ってしまったら、専用LSIが浮いてしまって、もったいないことになると思う。
783Socket774:2006/06/21(水) 00:50:15 ID:zBYZix0R
>>774
ワロス
書き方はおかしいが同意

2001年のデュアルコアには反応しなかったくせに、Cellには反応したり
自作板の住人って、よくわからんよなー
まあ視野が狭すぎるのは間違いない
784Socket774:2006/06/21(水) 01:05:04 ID:TgHw+5jF
上から目線でしかモノを見られないかわいそうな奴が湧いてきてるな。
視野が狭いとかなんだとか。cellの話したいならゲハ板でやれば?
785Socket774:2006/06/21(水) 01:10:41 ID:RavqsPjy
あれこれ騒がれてるが俺に言わせればCellの凄いところはメモリインタフェースの一点に尽きる
786Socket774:2006/06/21(水) 01:11:51 ID:n3a4BabR
>>783
基本的に自作PCに関係のある話をする板だから、
POWER系のデュアルコアなんて眼中に無くて当然なわけで。

自作PCという縛り無しでCPUのアーキテクチャの話をしたければ、
どうぞハードウェア板でやってください、って感じだな。

Cellは自作PCで使えるようになるの?
Cell搭載のビデオカードが出るくらいか?
787Socket774:2006/06/21(水) 01:12:50 ID:W+vMHwc3
・RSXのトライアングルセットアップ能力は2億7500万トライアングル/秒、Xbox360
 は5億トライアングル/秒以上で、RSXの倍。
 すなわちPS3は360の半分の性能か?いや、事態はもっと悪い。
・メインメモリに対してはCellは25GBps, RSXは15-20GBpsのバンド幅がある。
 これは問題ない。
・CellとRSXのローカルメモリ(VRAM)間のバンド幅は16Mbpsである。(Read時)
 ギガではなく、メガ。
 あまりに大きな差があるため、SONYがPS3開発者に見せたプレゼンテーションに
 「これは誤植ではない」とまで書かれている。
・RSXのジオメトリパイプラインの書き戻しは役に立たない。
 (VRAM to Cell の Read が発生するため)
 すなわち、RSXでの処理はシェーダーのみで完結させなければならない。
・「これは最終版では修正されます」という説明がなかったため、
 これは修正不能な問題だと思われ
788Socket774:2006/06/21(水) 01:12:59 ID:zBYZix0R
>>786
だから、なぜCellに限り反応するんだ?

>>784
そうそう、いつも通りスルーしてx86の世界に閉じこもってるのがいいのさ
789Socket774:2006/06/21(水) 01:29:41 ID:n3a4BabR
>>788
ごめんな、俺の日本語がおかしかったか。

Cellが自作PCで使えるようにならないのなら板違いだ、ってことを言いたかった。
790Socket774:2006/06/21(水) 01:33:32 ID:SR+absAN
>>788
後ろの一言は余計
上から目線ってのはまさにおまえのそういう発言だ。
791Socket774:2006/06/21(水) 01:36:35 ID:3sD+h3yg
AMDのCTOの意見

画像処理にはCellモデルは向いていると思います。
しかし、すべての用途に適しているわけではありません。
ソフトウェアの開発も困難です。PLAYSTATION 3の状況を見てください。
PLAYSTATION 3の問題の多くは、ソフトウェアの複雑さに起因しているのではないでしょうか。

これは難しいプログラミングモデルです。正しく処理できれば、
大きなメリットがあります。(AMDが検討しようとしているモデルは、)効率のよい
汎用プロセッサに、特定ワークロードに特化した軽量のコプロセッサを
追加していくというものです。現在のクライアントまたはサーバ環境では、
システムを特定のワークロードに最適化しようとすると、
他のワークロードの性能が落ちてしまいます。多くの企業にとって、これは重大な問題です。
どのワークロードも快適に処理できるようにしなければなりません。
ttp://japan.cnet.com/interview/story/0,2000055954,20139587,00.htm
792Socket774:2006/06/21(水) 08:58:03 ID:HJI6f/u1
ようはHT3はVLバス?
793Socket774:2006/06/22(木) 00:02:02 ID:0daS/MQT
  この焼肉プレートはSONY製なんですよ  /
 ̄ ̄ ̄∨ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
  ∧■∧     ジュー
  ( ・∀・)    )  )   ∧∧   / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
  ( つ━ )   (  (   (゚Д゚,,) < すごい火力だな
  | | |. ┌━━━┐⊂  |   \__________
  (__)_) │ Cell  │ (__)〜

794Socket774:2006/06/22(木) 08:48:56 ID:sYNYKnOI
漫画家の誰だったかの「もっともっとCPUを速くして
焼肉しませう」というネタを思い出した。
Pen200あたりの時代に雑誌記事でウズラの卵焼いてたな。
795Socket774:2006/06/22(木) 11:38:20 ID:cFAGsh0z
>>771
非シリコンだと、日本企業も進んでるよ
796Socket774:2006/06/22(木) 13:44:13 ID:5vnQ94MP
>>794
486DX4でeyecomだったかな、がウズラの卵焼いてたなw
797Socket774:2006/06/22(木) 13:48:18 ID:aAc1eF2h
>>775
>他の世界の製品に嫉妬してファビョるな、ファビョるくらいなら井戸の中のカエルのままで知らずにいろ。
>知ってファビョるな、x86信者として堂々としていろ。

次スレのテンプレ入り
798Socket774:2006/06/22(木) 15:11:10 ID:x8f6oNU1
しない
799Socket774:2006/06/22(木) 17:35:17 ID:ROmrCrK1
が、しかし
800Socket774:2006/06/22(木) 19:26:41 ID:v1XH2mGn
  そろそろ食べごろですよ    /
 ̄ ̄ ̄∨ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
  ∧■∧     シュー
  ( ・∀・)    )  )   ∧∧   / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
  ( つ━ )   (  (   (゚Д゚,,) < 真っ黒焦げだゴルァ!
  | | |. ┌━━━┐⊂  |   \_________
  (__)_) │ Cell  │ (__)〜
801Socket774:2006/06/22(木) 23:35:33 ID:FWPrs8OT
「嫉妬してるな」のガイドライン
http://ex13.2ch.net/test/read.cgi/gline/1145447754/
802Socket774:2006/06/24(土) 13:28:33 ID:RiTJfZIr
>>786
PS3にはlinuxが載っているから自作に無関係でもないよ。
素人でもPS3を基にパソコンを作る事もできる。
パソコンにつないでエンコ専用boxにする事もできる。
PS3でffmpeg走らせたら凄まじい性能を発揮するのは容易に想像できる。
自作って事に対して視野が狭すぎるよ。
グラポ挿すだけが自作じゃない。
803Socket774:2006/06/24(土) 13:51:46 ID:6G178KOK
メインメモリ256MBのパソコンですか。
804Socket774:2006/06/24(土) 14:16:56 ID:icJAa6ND
GK(sony.co.jp)が来てからすっかり糞スレになっちまった
805Socket774:2006/06/24(土) 17:28:05 ID:RsSaN+B1
>>802
> 素人でもPS3を基にパソコンを作る事もできる。
PS3を改造するのなら、メーカー製パソコンの改造と同じで、ハードウェア板でやるべきだろう。

> パソコンにつないでエンコ専用boxにする事もできる。
そのパソコンがメーカー製でも自作でも関係なく、パソコンの周辺機器ということだから、ハードウェア板でやるべきだろう。
806Socket774:2006/06/24(土) 21:05:12 ID:THvu+coE
昔パワマクG5でも同じ事ができると言っていた人がいたような気がする。
807Socket774:2006/06/24(土) 23:40:37 ID:otejPaEO
Cellの演算能力云々って言ったってな。

CPUとGPUを一体化したようなアーキテクチャだろ。
P4とかCoreDuoとかAthlon64x2あたりにGeForce7800/7900やらRADEONx1900あたりのシェーダユニットあわせたら、
Cellの何倍ぐらいの性能を発揮できる事やら。
808Socket774:2006/06/24(土) 23:49:06 ID:8p5xSN6c
ラデヨンX2x00のUnified Shaderに期待。
809Socket774:2006/06/24(土) 23:53:58 ID:d35iZVQq
>>807
そうだとしても、それを形にしなきゃ意味がない。
810Socket774:2006/06/24(土) 23:57:22 ID:8p5xSN6c
>>809
それはCellも同じことですよね。
だいたい、P4もCore Duoも64X2もGF7800もラデヨンX1900も既に市場に出ていますが、
Cellは実質的にまだ市場に出ていない。(開発キットとかリファレンスキットとかいう形
では出ていますが)
811Socket774:2006/06/25(日) 00:08:43 ID:LP4CTT/S
>>810
何か勘違いしてるよ。
実際Cellはその様な構成で作ったでしょ。

>>807
>P4とかCoreDuoとかAthlon64x2あたりに
>GeForce7800/7900やらRADEONx1900あたりのシェーダユニットあわせたら、
>Cellの何倍ぐらいの性能を発揮できる事やら。
と言っているのに、

>>810
>P4もCore Duoも64X2もGF7800もラデヨンX1900も既に市場に出ていますが
と。

各々が単体で形になっている事言っているではないのですよ。
812Socket774:2006/06/25(日) 00:17:40 ID:MmwLMbaS
>>809
形にするというが、両方を搭載したPCが市場に流通してるだけじゃ駄目なのかい?

GPUの演算結果をCPUが利用できなきゃダメだと?
いまのところは、AVIVOとかPureVideo以外には知らんが(PreVideoは違うか)
VistaではGPUからCPUへのデータ転送のインタフェースも整備されて、
ビデオのエンコードを手始めに多くの用途が準備されている。

だいたいだな、GPUとCPUは、別にワンチップにまとめる必要など皆無。
Cellでそれらの機能を統合しているような扱いなのは、単にコストの都合でしかない。
(いちおう、Cellのほかに、GPUも載せるらしいとも聞くが、Cellの演算性能だけじゃ足りなかったからだろ?
813Socket774:2006/06/25(日) 00:21:30 ID:MmwLMbaS
>>811
>実際Cellはその様な構成で作ったでしょ

コストの都合でワンチップ化してるだけだろ。

>各々が単体で形になっている事言っているではないのですよ。

なぜ単体じゃダメなのだ?
オレが807に書いたことは、>810氏には正しく伝わってるが
(元から同じ様なことを考えてたから稚拙な文章でも通じたのか?)
>811氏にはマトモに通じていなさそうだ。
814Socket774:2006/06/25(日) 00:21:39 ID:mZlmEGEA
マルチコアで並列度を上げて性能向上を図るも
アムダール則のまんまと言うか性能がサチって、
そりゃどこもコア数を3か4で打ち止めにするわけだと気付いて
汎用コプロをのっけまくる構造にしたが、それでグラフィックもやろうとしたら
どこのバスがボトルネックになってるのか、パフォーマンスが宜しくないので
NVIDIAに専用ロジック作らせたような流れだったと思うわけだが。

そもそもCellが何したかったのか、何が出来るのか良く分からんわけだが
シェーダがユニファイドになって汎用性が上がってくるとCellのような方向に
行き着く気はしないでもない。まぁ行き着くころにはPS2みたいに進化の流れから
取り残された異質な存在となってるだろうけどな。
815Socket774:2006/06/25(日) 00:41:03 ID:MmwLMbaS
>>814
うん、どこのバスを太くするのが効果的かって事さえ、
あんまりマトモに追究できてなさそうだ>Cell
EEも同様だったな。
開発に口出しするボスが、プロセッサのことをあまり理解してないヤツだったのかな?
開発者一個人の問題だったら、責任とって更迭で、
弱点欠点をCellまで持ち越さないはずだもんな。

ユニファイドのシェーダが出てきたら(+D3D10)、
Cellとほぼ同じ事になるだろうって点は同意だが、
それなりに用途を見つけて生き残れると思う。
816Socket774:2006/06/25(日) 00:42:12 ID:LP4CTT/S
>GPUとCPUは、別にワンチップにまとめる必要など皆無
そっくりそのまま全てを一つにしてる訳じゃないでしょ。

それに、
>形にするというが、両方を搭載したPCが市場に流通してるだけじゃ駄目なのかい?
>なぜ単体じゃダメなのだ?
あくまでCPUの範囲で考えて下さい。
CPUの枠での演算能力ですよ。
817Socket774:2006/06/25(日) 00:46:55 ID:MmwLMbaS
必要なのは、システム全体の能力だろ
どうしてCPU単体の能力だけに限定しなきゃならんのだ?
818Socket774:2006/06/25(日) 00:48:30 ID:LP4CTT/S
話してるのは、CPU単体での話でしょ。
819Socket774:2006/06/25(日) 01:25:08 ID:q4ZPgw8Y
ええとね、とりあえず ID: LP4CTT/Sは放置した方がいいと思うよ。
820Socket774:2006/06/25(日) 01:27:27 ID:mSoZxPtn
GPU用途で使う演算ユニットまでCPUに内蔵したからといって、
GPU以外の用途に使う汎用性が著しく上昇するわけじゃないし、
GPU演算器の汎用性を高めるだけならPCでも実現しようとしてるのにか?

だいいち、それぞれのコアは、クロックが高いだけでIPC低すぎるだろ。
821Socket774:2006/06/25(日) 01:29:46 ID:mSoZxPtn
>>819
スマン、雑草の種に如雨露で水をかけるような行為をしてしまったな。







いや、決して、「話の種」とか「水掛け論」とか言いたいわけじゃないぞ。
822Socket774:2006/06/25(日) 01:30:01 ID:q4ZPgw8Y
そういえば月刊ASCIIに載ってたけど、Cellによるマンデルブロ集合の演算は速かったねえ。
Athlon 64 X2の数十倍だっけ?

AMDはコプロセッサ戦略を打ち出していくようだけど、コプロセッサを活かすにはソフトウェア
書き換えないといけないだろうから、どれだけ普及するものやら…。
823Socket774:2006/06/25(日) 01:33:45 ID:/v4s/idF
てかCell信者はいい加減Cellスレ帰ってやれ。
824Socket774:2006/06/25(日) 01:38:13 ID:q4ZPgw8Y
はぁ? Cell信者って俺のことか?
少しでもCellのことを良く言ったら信者認定か?
825Socket774:2006/06/25(日) 01:40:25 ID:tozY2NOB
>>822
それは単精度かな。
マンデルブロ集合を見たいと思ったら、倍精度はほしいところ。

実際に、Cellを使えばそういう用途なら激しく速い。
GPUと比べては、汎用性ではるかに勝る。

Athlon64のようなCPUや、強力なGPUにも
当然よさはある。
ケースバイケースという当たり前の結論。
826Socket774:2006/06/25(日) 01:48:41 ID:8E7Fd3la
GK(sony.co.jp)が来てからすっかり糞スレになっちまった
827Socket774:2006/06/25(日) 01:50:06 ID:3xB3ffHZ
>>824
「少し」ワロタwwwww



俺が認定してやるから出ていきな。PS3なんてどーでもいいんだよw
828Socket774:2006/06/25(日) 01:52:57 ID:q4ZPgw8Y
>>827
よし、じゃあ俺はお前を「Cell信者認定厨」と認定してやろう。
お前ごときに命令されて、はいそうですかと出て行く奴がいるかいないかは分かるな?
829Socket774:2006/06/25(日) 01:53:15 ID:ax/9Vd6m
CellだってCPUだと思うが、主な用途がPS3ってだけで
語っちゃいけないって事はないだろ
830Socket774:2006/06/25(日) 01:54:35 ID:ax/9Vd6m
>>828
わざと?sageろ
831Socket774:2006/06/25(日) 01:56:41 ID:8E7Fd3la
832Socket774:2006/06/25(日) 02:09:58 ID:3xB3ffHZ
>>828
自分で粘着してますと自白してるのは分かるかな?w
833Socket774:2006/06/25(日) 02:41:07 ID:mZlmEGEA
>>815
PS2のGSが、GPUとしては異質な存在になったように
汎用MPUがCellみたいな方向性に行き着いたとして、
Cellは同種のプロセッサとは異質な存在なんだろう。
…という意味で書いたんだけどな。

グラフィックベンダーとCPUベンダーが垣根を越えてしまったら
グラフィックのほうは汎用性をどんどんあげて物理演算コプロカードみたいな
位置づけになってくるだろうし、CPUのほうはグラフィックを侵食してメイン
プロセッサと連携するのにシステムバスがボトルネックになりませんよみたいな
流れでくるんじゃないかと思ったんだが。
まぁCellはそれをやろうとして能力不足で失敗したんだと思ったが。

インテルは独力でやれるだろうし、AMDは一時流れたATi買収の噂の狙いがそれかと思ったわ。
834Socket774:2006/06/25(日) 03:08:29 ID:r0OleUT5
PS2って、結局は、ピーク性能だけ高いけど
常時運用の平均速度がPCより圧倒的に遅かっただろ

かな〜り使用条件を制限しないとPCより高速とはならず、
その後もPCやPC用GPUの高性能化が続いたから、
PS2発売の時点で、すでにPC以下の性能になってた。

GSがGPUとしては異質だった理由は、
性能面での不利さゆえに真似したくならなかったから。
835Socket774:2006/06/25(日) 03:53:16 ID:L3XQs/4u
必死にCell関連ネタ振り続けてるがその話したけりゃゲハのテクノスレかCellスレ池。
そんなネタ山ほど出てるぞ(というか100%がいしゅつ)。
有用な情報を収集したり議論したいならここに留まる理由は何一つないぞ。

どこかに雇われて何かを宣伝したくて無理やり留まってるなら話は別だが。
836Socket774:2006/06/25(日) 10:56:28 ID:ea2egz8x
あらしに反応するやつもあらしって良く聞く話だけどな。

結局AMDはどういう方向に行くのかな?

もしnVIDIAがCPUを造ったらどんなアーキになるか予想するとわくわくするのだが...
837Socket774:2006/06/25(日) 11:07:31 ID:9DV4R4Zi
ここってx86以外の話はだめなの?
838Socket774:2006/06/25(日) 12:04:56 ID:uo0zM/BG
>>836
当面K8の改良しかない、とも言われるけど、
AMDもそれなりに考えて他のプロジェクトを止めたはずなわけで。
SSE強化されるそうだけど、
対Intelでぶっちぎりのスピード、とか期待しなければ性能出るんだろうな。
モバイル・組み込みではGeodeNX消滅、アルケミー売却、AMD Efficeonは確定。
>>837
鼬甲斐のような気もするが、このスレではOKっぽい。
個人的にはGSの性能は実は結構凄いんだけど、バスのスピードが全然足りなかったと思う。
839Socket774:2006/06/25(日) 12:15:38 ID:cJsiZh9q
ほんと無理やりだなw
840838:2006/06/25(日) 12:44:02 ID:uo0zM/BG
>>839
無理矢理留まってる、と言いたいのかも知れないが俺は837以前、
少なくとも50レスは書き込んでない。
それにGSも結局バスがダメだったから性能がでなかった、と言っているのだし。

ちょいと先になるが、AMDはアメリカに新工場を造るらしい。45nmのニクイ奴だ。
プロセスで後塵を拝している現在の状況が改善されればCoreと肩を並べることになるだろう。
841Socket774:2006/06/25(日) 12:45:28 ID:aZCaGmv9
32nmでしょ
842838:2006/06/25(日) 12:49:14 ID:uo0zM/BG
>>841
すまん。俺が間違ってた。
843Socket774:2006/06/25(日) 13:08:58 ID:11xIg9oN
GSってもはやCPUですらないわけだが
844Socket774:2006/06/25(日) 15:52:57 ID:ufqsoiQ/
やっぱPowerPCが最強だな
Intelが作ったらの話だけど
845Socket774:2006/06/25(日) 16:00:53 ID:EIYl1Vns
Power PC 603 100MHzはヒートシンクすら付いてない低発熱だたよ。
846Socket774:2006/06/25(日) 16:05:59 ID:ZYF60Wsw
無印603なんてアップルにダメ出し食らったヘタレCPUじゃないか。
847Socket774:2006/06/25(日) 16:23:34 ID:EIYl1Vns
そういえば良く爆弾マークで再起動したね。
848Socket774:2006/06/25(日) 17:20:11 ID:d2rJaZt/
それってPowerPCのせいなの?
849Socket774:2006/06/25(日) 17:29:38 ID:EIYl1Vns
いや、System7のせいだと思う。
16MBでも良く動いたもんだと思うけど。
850Socket774:2006/06/25(日) 17:37:30 ID:slXF46iC
漢字Talkとか最悪だったんですけど
851Socket774:2006/06/25(日) 17:43:24 ID:ea2egz8x
>>846
確かキャッシュが601の半分だったんだよね。
でもそれよりもさらにダメなのは3DO2に搭載予定だったFPUレスの602だったそうだけど?

852Socket774:2006/06/25(日) 18:49:28 ID:JJ+CNAB3
ゲテモノテクノロジがきましたよ
>"Reverse(Anti)-Hyper-Threading"技術って、
>X2の2個のコアを結合し6IPC(3IPCx2個分)のシングルコアをエミュレートする技術とのこと(CONROEは4IPC)。

329 名前:Socket774[sage] 投稿日:2006/06/24(土) 23:36:08 ID:GbdsoFH3
http://nueda.main.jp/blog/archives/002203.html
パフォーマンス次第でAM2化けるなこれ。
939で出来れば神なのにw

336 名前:Socket774[sage] 投稿日:2006/06/25(日) 00:38:21 ID:W3KCOSqT
Intelも同じことやるそうですw
ケンツで4コアを1CPUにw

98 名前:Socket774[sage] 投稿日:2006/06/25(日) 00:33:05 ID:Utko172H
なんか似たようなのが…
CMT (Core Multiplexing Technology)
http://www.xtremesystems.org/forums/showthread.php?t=104178
853Socket774:2006/06/25(日) 19:00:47 ID:nR3KHsZ8
バス経由とHTによるCPU直結で差が出そう。
854Socket774:2006/06/25(日) 19:04:20 ID:EIYl1Vns
Quadro化みたいなもんですね。
855Socket774:2006/06/25(日) 19:08:14 ID:nR3KHsZ8
ビデオカードの奴か?
あれは使ってない回路を使うようにするんじゃなかったか。
むしろMAXXだろ。
856Socket774:2006/06/25(日) 19:09:41 ID:nR3KHsZ8
MAXXは違うな。
あれだ、Voodooの2枚組。
857Socket774:2006/06/25(日) 20:28:35 ID:AgTolITd
GPUは偶数・奇数ラインどころかピクセル単位で容易に並列化できるけど
CPUのシングルスレッドをどう複数のCPUに並列化するのか見当もつかないな。
858Socket774:2006/06/25(日) 20:32:11 ID:FZvmN0cX
Kentsfieldでやるって書かれてるけど、Conroe/Meromでは無理なの?
859Socket774:2006/06/25(日) 20:32:18 ID:nseB001w
Intel POWERマダー
860Socket774:2006/06/25(日) 20:32:25 ID:1xPFJ/rC
久夛良木とかいうアフォがCellで鯖云々とか医療云々とか
言い出したのがそもそもの原因だよな。

とどのつまり、>>834でFAだと思う。
以後Cellの話したいならゲハ板でどうぞ。
861Socket774:2006/06/25(日) 20:39:35 ID:FZvmN0cX
Cellを医療機器に搭載って、悪い冗談にしか聞こえない。
そんな医療機器で検査とか分析とかされたくないw
862Socket774:2006/06/25(日) 20:41:01 ID:nseB001w
汎用PowerPC採用の医療機器なんて珍しいもんじゃないしな
863Socket774:2006/06/25(日) 21:37:25 ID:nIp9tpYk
CTのvoxelをSPEのネットワークで効率的に処理しようってのが
元々の安易な思いつきなんだろうケドCellなんて不要だな
どうしても演算能力必要ならASIC組めば良いし。
864Socket774:2006/06/25(日) 21:46:55 ID:1XhJTgP3
そういえば昔大腸内視鏡検査やったとき、
この動画処理装置ってPowerPC搭載なんですよって検査技師が言ってたな。
肛門のすさまじい異物感でそれどころではなかったけど・・・
865Socket774:2006/06/25(日) 21:50:35 ID:dsnzn0b7
ここの板住人が考える、最良なCPU構成ってどんなのだろう。
皆どんなのがいいと思ってるのか興味津々
866Socket774:2006/06/25(日) 21:52:08 ID:e7GzjzjW
AMD PowerPC
867Socket774:2006/06/25(日) 23:17:33 ID:rkVRUaDt
>>846

乗り越えれば、二度と後戻りできない未曾有の快感が待っている。
868Socket774:2006/06/25(日) 23:27:18 ID:KvpiSj+R
趣味グラマとしてはCellは最悪だな。
シングルコアがちょっぱやでその上デュアルなら言うことなし。
アーキテクチャは正直どうでもいいやw
869Socket774:2006/06/26(月) 01:04:55 ID:oIiDWQsn
趣味ならピーキーなCellは面白いんじゃないの?
SIMD系使わないんならあれだけど。
870Socket774:2006/06/26(月) 01:45:29 ID:pg6DDFxM
「Core Microarchitecture」の速さの秘密は“CISCの美”
http://pc.watch.impress.co.jp/docs/2006/0626/kaigai285.htm
871Socket774:2006/06/26(月) 15:52:42 ID:hW1CNIX3
PS3でffmpeg走らせてエンコでconroeやathlonFXを蹴散らします。
872Socket774:2006/06/26(月) 20:12:40 ID:nLdoLyn/
GK乙
873Socket774:2006/06/26(月) 21:20:19 ID:LpCzEifO
>>871
まぁ、その、なんだ、がんばれwww
874Socket774:2006/06/26(月) 21:51:24 ID:eZUkwCJE
もういいよ、クタラッシュ(AAry
875Socket774:2006/06/26(月) 23:40:40 ID:VC6pR4hf
>>871
エンコが早くなる可能性を全然想像出来ない。
4x2 か 2x4 かしらんけど演算用ロードでカコカコストールする様が見えます
1個当たり256byteのキャッシュ積んでなにができるのやら
876Socket774:2006/06/26(月) 23:42:22 ID:2sIbPdpl
他のPowerPCを使えばいいだけの話なので、問題ない
877Socket774:2006/06/27(火) 00:24:45 ID:Iy8QtqDD
AMDの4×4。
http://pc.watch.impress.co.jp/docs/2006/0626/amd.htm

こんなもん、よっぽど金に余裕があるヤツじゃないとできんわなぁ…。
そもそも、合計で消費電力どれぐらいになるんだ?
878Socket774:2006/06/27(火) 00:30:54 ID:5Dx6Sses
>>877
ネトバよりは電気食わないしw
でごまかす

今まで通りな。
879Socket774:2006/06/27(火) 01:13:40 ID:anAyfVn4
単純に2倍でしょ?
マザーがちょっと変で10万超えする位で
15万+12万x2+9万x2+諸々 = 60万 Windows黎明期のちょっと良いパソコンなら普通の値段
880Socket774:2006/06/27(火) 02:08:32 ID:XjnXs62N
4×4はAMDが放つ壮大なギャグ
881Socket774:2006/06/27(火) 07:37:20 ID:lRyHcHtS
SONARって音楽製作ソフトじゃなかったっけ。
グラフィック性能要るのか?
882Socket774:2006/06/27(火) 11:25:22 ID:59HXlNzt
えーと、AM2でデュアルソケットやるつもりなの?
Opteron 1xxxやAthlon64シリーズでもデュアルできるようになるって事かね。
883Socket774:2006/06/27(火) 15:59:44 ID:veM6YpL4
2台買うよりは4x4の方が低消費電力で低価格なんだよ。
世の中パソコンだけではないのだよ。
どんだけ視野が狭いんだか。
884Socket774:2006/06/27(火) 16:02:57 ID:4HZz9smF
激安Woodcrestと比較される可哀相な子だっけ? >>4x4
885Socket774:2006/06/27(火) 23:29:28 ID:GK5XuAZE
AMDのRHTとやらがどの程度のパフォーマンスを稼げるのか真面目に検討してみたい
気もするけど面倒になってきた
つーか大した性能出ないだろうしなぁ、普通に考えて
886Socket774:2006/06/28(水) 00:04:41 ID:+/9xmYqc
そもそもどういう仕組みかすらハッキリしてないから検討もできないだろ。
期待できる仕組みだとっしても物理的に配線遅延とかあって性能出ないかも知れんし。
887Socket774:2006/06/28(水) 00:08:46 ID:Z/zD55y5
>>881
グラフィック性能は、せいぜいマルチディスプレイができる程度のものがあれば十分だが、
CPUパワーはべらぼうにいる。

デュアルソケットでデュアルコアより、MCMでクアッドコアを出してくれた方が10倍ぐらい良いが、
MCMのノウハウをあまり持ってないとか、
従来のFXと同じクロックを実現しようとすると通常のクーラーとヒートスプレッダで冷却できる
熱密度の上限を遙かに超えてしまうとか、そういう事情はあるのだろう。
888Socket774:2006/06/28(水) 00:11:48 ID:Dxxo/BuP
>>885
シングルスレッド性能では1年かけてほとんど上がってなかったから、X2登場と同時に導入して欲しかったねぇ
Pentium4/Dの少し上の性能に居続けたからConroeに手こずるわけだしな
889Socket774:2006/06/28(水) 00:19:17 ID:ZKt5xBYJ
インテル、コミュニケーションズ部門を6億ドルで売却
http://headlines.yahoo.co.jp/hl?a=20060627-00000015-cnet-sci
890Socket774:2006/06/28(水) 00:24:16 ID:fj73m0Hh
462 : 名無しさん [sage] :2006/06/24(土) 23:29:26
>>460
>>191のやつだね。
きちんと依存関係を見て実行したり、順番通りにリタイヤさせたりするのを、
一体どうやって実現するのかが非常に疑問だ。
何しろ、別コアでデコードされた命令との依存関係を調べないといけないのだ。
更に別コアの計算結果を数clkで引っ張ってきたりする必要もある。
これじゃもう巨大な1コアCPUのハイパースレッディングだよねえ。


472 : 名無しさん [] :2006/06/26(月) 03:10:08
実際にはこういうレベルでしかやれない気がする。

for ( i = 0; i < 10000; i++) a[i] = Foo( b[i] ) ;



core0: for ( i = 0; i < 5000; i++) a[i] = Foo( b[i] ) ;

core1: for ( i = 5000; i < 10000; i++) a[i] = Foo( b[i] ) ;


474 : 名無しさん [sage] :2006/06/26(月) 16:59:25
>>469
都合のいい振り分けをCPUが考えるにも、デコードしないといけないし、
それでそれだけ他コアへの参照が発生するとなると普通なら無意味だよなあ。
まあ、IPC6を狙うというよりも、実効IPCが1.3のケースで1.5が出れば万々歳、
という技術だと思う。

>>472
それこそコンパイラが対応すべきでしょう。
ていうかそんな技術だったら(俺としては)期待外れもいいとこだ。
でもコア同士が密接に絡み合うって技術的にありえなそうだしなあ・・・。
891Socket774:2006/06/28(水) 00:25:34 ID:fj73m0Hh
479 : 名無しさん [sage] :2006/06/27(火) 00:30:42
>>472
それ何てOpenMP?
今OpenMPはVisualC++にも入ってるのでまともな規格なら普及するでしょう。

クラスタのようなものは複雑なのかもしれませんが、
単純ループをスレッドに分割するくらいなら簡単に出来るわけですから
基本的にはOpenMPを差し置いてわざわざ専用ソフトをこしらえるまでもないですし
IntelのCPU上でも同様に動くコードが出来上がるのでアドバンテージになりません。

逆に弱小AMDのCPUでしか動かないような代物(uOPsバイナリを吐くとか)であれば、
3DNow!の二の舞です。
OpenMPが使いにくい、まともじゃないというので
AMDが独自のツールを作るというのはアリですが、CPUの機能とは言えません。
892Socket774:2006/06/28(水) 00:26:00 ID:n+JYuH8e
>>885>>886
そう言えば、GPUもデュアルコアにドライバで最適化したんだっけ。
893Socket774:2006/06/28(水) 00:26:53 ID:fj73m0Hh
485 : 名無しさん [sage] :2006/06/27(火) 08:58:15
>>481
いや、デコードした「後で」振り分けないといけないということ(命令はデコーダを選べない)。
つまり、パイプラインの途中で命令を違うコアに送る必要が出てくる。
コア間通信の線を引っ張るコストはすごいと思うし、コアの設計をかなり変える必要がある。
また、振り分けを考えるのも大変な作業で、パイプラインが長くなると思う。

Core1がCore0の担当を予測することについては、Core1に入った命令を知る必要があるので
予測は不可能であり、高い通信コストをかけてCore0から教えてもらうしかない。

> 同じ事をマルチコアでやらせるのでは*無い*という事
うん、そこがこの技術のキモだと思う。これは本当に嬉しい。
しかし、だからこそ難しい。

以前(>>191のとき)あったFPUを共有というのはどうだろう。
6IPCという看板は実行ユニットだけで、デコード・リタイヤは3IPCに止まるというもの。
だが、
http://pc.watch.impress.co.jp/docs/2006/0522/kaigai_5.jpg
この辺りのレイアウトを見ると、各コアのSIMDユニットは物理的に離れまくっている。
それどころか、数clkでコア間通信なども、とても無理そうだ。
3GHzなら、1clkで光が10cmしか進めない世界だからねえ。

何か自分で書いてて絶望的な気分になってきたよorz
依存関係のチェックをしてOoOのスケジュールとか、順番にリタイヤとか、
ただでさえ電力食いと言われる重い処理なのに、違うコアにある命令とできるわけがない。

本物の6IPCが可能なら、とっくにシングルコアでそうしてるはず(デュアルなんか出さずに)。
できないけど、何かを捨てることで、デュアルコアで6IPCの仮想CPUを作れた。
いやあ、相当捨てないとできそうにないです。。
894Socket774:2006/06/28(水) 02:33:17 ID:8G7sWJax
難しく考えるから難しい。
GPU見てみなよ。
895Socket774:2006/06/28(水) 03:17:11 ID:KeVON24M
これっぱかしも難しく考えてないと思うけどな
で、GPUの何を見ればいいの?
896Socket774:2006/06/28(水) 03:34:23 ID:nhMtnVlc
そこでクラスタの出番です
897Socket774:2006/06/28(水) 04:14:39 ID:RCqGzT0e
アウトオブオーダの意味がわかってない
コプロセッサの意味がわかってない

あm(ry は所詮その程度
898Socket774:2006/06/28(水) 08:55:25 ID:yF6w4LDM
>>753
ttp://www.riken.jp/r-world/info/release/press/2006/060619/index.html
> インテル社製の最新のデュアルコア インテルR XeonRプロセッサー 5000番台(開発コード名: Dempsey)
> コアを256個搭載した並列サーバー64台と、インテルR XeonRプロセッサー 3.2GHz(2次キャッシュ1MB)コアを
> 74個搭載した並列サーバー37台を接続した大規模な構成
(中略)
> 同システムは、今後のさらなる性能向上に向け、次世代のマイクロアーキテクチャー、インテルR CoreTM マイクロ
> アーキテクチャーに基づくデュアルコア インテル Xeon プロセッサー5100番台(開発コード名:Woodcrest)に
> 移行する予定で、すでに検証作業を開始しています。

ttp://pc.watch.impress.co.jp/docs/2006/0626/intel2_13.jpg

すぐにお役御免になったDempseyカワイソス

899Socket774:2006/06/28(水) 15:31:13 ID:KeVON24M
80286とかV33とかの32bitモードの方が可哀想
900Socket774:2006/06/28(水) 15:32:46 ID:8G7sWJax
無理なもんは無理だし適してる処理ならいくつコアがあっても活用できるって事。
こんな簡単な事もわからない馬鹿さに気づいてない。
エンコを考えてみよう。
4っつのコアが一斉にビデオの先頭からエンコを始めると大混乱だ。
画面を4分割してみようか?
それも面倒。
ビデオを4っつに分割してそれぞれをそれぞれのコアでエンコさせるくらいの知恵はあるわけ。
4本のビデオを同時エンコさせるのと等価。
隣のコアが何やってるかなんて関係ない。
GPUは高度な演算を行うがピクセル単位、各パイプラインが独立して仕事できる。
そうなるようにマイクロソフトはDirectXを設計してる。
901Socket774:2006/06/28(水) 15:53:13 ID:KeVON24M
何つーか、下手なSFに出てくるいい加減な夢の技術の解説を読んでる気分です。
902Socket774:2006/06/28(水) 16:00:03 ID:/6U4YrVK
>>900
……で?
903Socket774:2006/06/28(水) 16:13:27 ID:VZxjwv/e
久しぶりにルネサスのホームページ見たらSH5という単語が絶滅していた。
まあいいんだが。
904Socket774:2006/06/28(水) 20:09:12 ID:45BSvVCd
>>900
適している処理でもシングルスレッドで書かれていたら活用できないでしょ。
そういうケースでもデュアルコアを活用できたらいいなという話。
更に、デュアルコアでは高速化できない逐次処理を高速化する
可能性も秘めているので、本当だったらすごいことなわけ。
905Socket774:2006/06/28(水) 20:25:47 ID:JtiIj9iC
>>904
OpenMPもアムダールの法則もろくに知らないあふぉですか?
906Socket774:2006/06/28(水) 20:27:15 ID:/6U4YrVK
>>904
えーと、シングルスレッドなコードから複数のスレッドを抽出するって話ですか?
907Socket774:2006/06/28(水) 20:35:05 ID:45BSvVCd
>>905
OpenMPが使えるのはコンパイル前じゃんか。
あとなぜアムダールの法則が出てくる。

>>906
そういう話も上で出ていたので。
実際どうかは知らない。
908Socket774:2006/06/28(水) 21:06:01 ID:JtiIj9iC
何をやっても並列化できない(逐次実行部)からアムダール則なんてものがあるわけで。
発見的手法で大規模な並列化をおこなうと問題が起きるからOpenMPやMPIなんてものがあるわけで。
909Socket774:2006/06/28(水) 21:12:32 ID:45BSvVCd
要するに>>852はデマだと?まあそれは俺も思う。
910Socket774:2006/06/28(水) 21:21:23 ID:nhMtnVlc
>>906
だからそれがクラスタ
911Socket774:2006/06/28(水) 21:30:56 ID:/6U4YrVK
>>910
はあ?
912Socket774:2006/06/28(水) 21:31:51 ID:GDGfvgxC
このスレも1→2→3と来て著しくレベルが下がったな
913Socket774:2006/06/28(水) 21:35:57 ID:nhMtnVlc
>>911
clustered microarchitectureで検索してみな
914Socket774:2006/06/28(水) 22:08:36 ID:X5ut12BZ
将来のCPU像:
ローエンドマシン:メモリコントローラ、PCI Express、グラフィックス機能内蔵、の高IPC1コア。
ハイエンドマシン:メモリコントローラ、PCI Express機能内蔵、高IPC1コア、省電力コア複数

になると予想してる。
915Socket774:2006/06/28(水) 23:47:59 ID:a7LqqBeh
高IPCコア2〜4, SIMDコア多数 を希望。
916Socket774:2006/06/29(木) 00:58:30 ID:slPKmNM3
超高IPCコア1個+キャッシュたくさんきぼう
917Socket774:2006/06/29(木) 01:10:17 ID:uG+5R6NM
Cellに超高密度共有L2採用したようなのとまともなコンパイラきぼん
918Socket774:2006/06/29(木) 01:13:17 ID:OFZiceHJ
マルチコア、特にヘテロなCPUに関してはIntelにがんばってもらいたいね。
ISAは共通で汎用コア+float/double強化コアみたいな組み合わせになってるのを計画してたから。
919Socket774:2006/06/29(木) 01:32:32 ID:hcKbZwBM
>>918Conroe2個にPre簡略版8個とかだと思う
920Socket774:2006/06/29(木) 11:51:57 ID:7KvIEoLR
逐次処理しかできなくて糞重い処理というのが、あまり思いつかないよ。
921Socket774:2006/06/29(木) 12:06:45 ID:zTrf2xHA
本当にレベル下がったねw
922Socket774:2006/06/29(木) 14:02:32 ID:D0QA5p7e
なんかここ一連の流れをReverse(Anti)-Hyper-Threadingの事だと理解してない方がいらっしゃるように感じるわけなんですが
923Socket774:2006/06/29(木) 14:05:56 ID:akIU5rTm
ああ、>>921とかのことか。
924Socket774:2006/06/29(木) 14:09:47 ID:DKDs4EEX
とりあえずR-HTは駄目だと思う
理由:AMD含めみんな、そんなことしても無駄だと思ったからこそ、今の流れに
なっているので
925Socket774:2006/06/29(木) 14:43:14 ID:NlyDWvJk
>>914
それなんて現行PowerPC G4?
926Socket774:2006/06/29(木) 15:17:05 ID:fTS8WnjU
>>905
ちょっとよくわからんのだが、シングルスレッドをマルチスレッドにして実行できるようになったとして、
アムダールの法則による限界は突破できるのか?
927Socket774:2006/06/29(木) 15:24:23 ID:DKDs4EEX
>>926
そりゃおめ、

> シングルスレッドをマルチスレッドにして実行できるようになったとして、

この困難な前提をどう実現しようと考えるか次第だろうさ。
928Socket774:2006/06/29(木) 15:40:28 ID:lfr5TdS6
組み合わせの話なんて小学生でもわかること
929Socket774:2006/06/29(木) 16:30:28 ID:slPKmNM3
>>920
CPUシミュレーションとかなwww
930Socket774:2006/06/29(木) 16:39:19 ID:w7DBnqGx
931Socket774:2006/06/29(木) 18:08:52 ID:CvSwQ6S3
cellをフル回転させたらスーパーコンピュータの性能ランキングTOP500に入れるんだね。
(PS3では話にならないけどcellをフル回転させるマザーは可能だと思う)
100位以下はスーバーコンピュータの称号を剥奪すべきだ。
そうしないとスーパーコンピューター並の〜が大量発生する。
932Socket774:2006/06/29(木) 18:12:36 ID:Bjz/j7Dl

  ま た G K か !
933Socket774:2006/06/29(木) 18:16:09 ID:qW7A/bXf
>>931
携帯からのカキコなんで確認できないんだけど
今のtop500って4Tflopsくらいからじゃなかったっけ?
ほかにもPS3はCELLの性能を出せないとか突っ込みどころ満載
934Socket774:2006/06/29(木) 19:40:24 ID:akIU5rTm
>>931
俺よく分からないんだけど、Cellって、倍精度浮動小数点演算のスピードどれぐらいだったっけ?
なあ、教えてくれよ。なあ。
935Socket774:2006/06/29(木) 19:43:27 ID:7JHCOsu8
俺のフィニッシュよりも速いよ
936Socket774:2006/06/29(木) 20:48:22 ID:8VlipgNc
>934
倍精度浮動小数点演算の注文があればすぐに用意できますよ。
ユニットの交換はまったくもって容易です、そういう構成。
PS3では必要なかった。

>>933
(PS3では話にならないけどcellをフル回転させるマザーは可能だと思う)
あなた日本語も読めない人?
937Socket774:2006/06/29(木) 20:57:04 ID:Ploxlt6p
>>936
予想通り釣れましたか?
938Socket774:2006/06/29(木) 21:19:36 ID:akIU5rTm
>>936
用意が出来るかどうか聞いたのではありません。もう一度>>934を読んでください。
939Socket774:2006/06/29(木) 21:32:58 ID:M7c8etts
次スレの天麩羅らはこれで


お前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、

フリップフラップ回路が小さいPentium Mマンセー、
CISCなのに内部はRISCなPentium 4マンセー、
x86なのに32/64bitコンパチなOpteronマンセー、
昔々8086の時代は(以下略・・・等もよし。
ただしCell関連は一切禁止とします。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!
940Socket774:2006/06/29(木) 21:36:57 ID:Ly+WRFSw
まじめにCellを語りたい人にはきついが、
いたしかたあるまい。
941Socket774:2006/06/29(木) 21:39:01 ID:DpJI+JbG
Cellは専用スレが立ってるからなのか。
しかし、CPUはCPUだし、Cellのみはぶるのは個人的感情からとしか思えないが。
942Socket774:2006/06/29(木) 21:55:19 ID:SrViGd+L
Cellネタ自体はなんも問題ないとは思うけど
妄想100%のあっちの方々が流入してくるからな・・・
ブツがでて実測したりして事実ベースで話さざるを得なくなるまでは
あっちでやればいいんじゃないの。情報も分散しないしデメリットはほとんどない。
943Socket774:2006/06/29(木) 22:28:42 ID:7KvIEoLR
>>939
フリップフロップ


ていうかさ、Cellの話はハードウェア板でやろうよ。
自作板なんだから、自作に関係のあるCPUに焦点を絞ろうよ。
944Socket774:2006/06/29(木) 23:14:58 ID:5XAdfgpg
いいスレだと思ってたのにな・・・
このスレでもx86の世界にこもって井の中の蛙を演じ続けるわけか
945Socket774:2006/06/29(木) 23:16:43 ID:akIU5rTm
Cell厨(含・GK)を憎んでCellを憎まず
946Socket774:2006/06/29(木) 23:23:25 ID:Ly+WRFSw
>>945
激しく同意。
947Socket774:2006/06/29(木) 23:30:31 ID:RBb4eiLh
cellって面白いことないだろ
3.2Ghzってクロックはすごいけど
948Socket774:2006/06/29(木) 23:33:15 ID:2Yex7wrB
>>939

いい加減、無能で害虫なゲハ厨に振りまわされず、 
エンコ時間がどうとかPIがどうとかじゃなく、 
CPUコアのアーキテクチャについて語りましょう。 

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD 
等について語ってもよし、 

フリップフラップ回路が小さいPentium Mマンセー、 
CISCなのに内部はRISCなPentium 4マンセー、 
x86なのに32/64bitコンパチなOpteronマンセー、 
昔々8086の時代は(以下略・・・等もよし。 

さあ、ゲハの厨房はスルーしてCPUアーキテクチャについて語ろう! 
949Socket774:2006/06/29(木) 23:40:17 ID:RBb4eiLh
ところでIA-32の整数演算性能がいいのってなんでだろう?
と考えてみた
違ってるかもしれないし、当たり前のことなのかもしれないんだけど、
IA-32はALUの他にアドレス計算用のAGUを持ってる
ってことで整数演算器は実質2倍
これのせいじゃないか?と
950Socket774:2006/06/29(木) 23:41:31 ID:iFIehYdc
SPARCやらARMやらPOWERやらPA-RISCやらEPICやらも普通に語っているスレですよ
GKにはそれがわからんのです

Cellを普通に語れない雰囲気を作ったのはGK
そう、悪いのはGK
951Socket774:2006/06/29(木) 23:44:53 ID:Ploxlt6p
>>949
IA32マシンの整数演算性能が高いのではなくて、浮動小数点演算性能が低いだけ。
なので同じぐらいの浮動小数点演算性能のほかのマシンをもってくれば整数演算性能が
高く見える。
952Socket774:2006/06/29(木) 23:48:24 ID:wapmoEJl
Cell禁止は明文化したほうがいいだろうな。

こいつら頭おかしいよ。
Cell 64
http://game10.2ch.net/test/read.cgi/ghard/1151116507/
953Socket774:2006/06/29(木) 23:57:05 ID:zLOHyi8W
CellをGPUとして使うことってできる?
できるとしたら、NVIDIAやATiのGPUで言ったらどれぐらいに相当する性能になる?
954Socket774:2006/06/30(金) 00:02:54 ID:OORZ/DWf
955Socket774:2006/06/30(金) 00:13:44 ID:+2wA5dHi
ゲハ厨の好きな板。

1.自作板
2.AV板
3.ハード板

そのいずれの板でも彼らは嫌われております。
956Socket774:2006/06/30(金) 00:20:08 ID:pOYoSYax
>>953
プラグラマブルなプロセッサなら、理論上どんな演算でもできる
ファミコンのCPUだって無理なバンク切り替え(論理空間以上
実メモリにアクセスするための機構の一種)すれば
GPUと同じ演算できるよ

957Socket774:2006/06/30(金) 00:22:35 ID:tw0pbxZ1
>>956
CellをGPUとして使ったら、パフォーマンスはどれぐらい出るんすかね?
958Socket774:2006/06/30(金) 00:59:45 ID:K0N1zg09
>>951
SPECint2000ではIA32に勝てるものなし
959Socket774:2006/06/30(金) 01:24:56 ID:s7rlq+CV
>>944
禿どう

CellってSPEつけた弱めのPowerPCじゃないか
過剰反応しすぎだよ
今まで通り鎖国のままで、外界はスルー汁
960Socket774:2006/06/30(金) 04:58:09 ID:jEnm/6vw
>>944
だからさ、ここは自作PC板なの。
自作PCに使えないCPUは板違い。
比較対象として挙げるのはいいけど、メインに語ってはダメなの。

x86以外の話をしたくないのではなく、
ハードウェア板でx86以外の話をしたいの。

現状で自作PCに使えるCPUでx86系以外となるとIA-64くらいしかないけどな。
WindowsでなくてもLinuxでもいいじゃんとなれば、uCLinuxが走るH8マイコンも範疇に入るけど、それってPCなのか? と。
961Socket774:2006/06/30(金) 05:49:58 ID:6+JCwpyA
自作=売ってるM/BとCPUの組み立てだけ or 文字通り自作(自分で設計/製造)
PC=AT互換機 or パーソナルコンピュータ!?

それによってx86以外がメインになってもOKだと思うが…
その辺どこかに定義してる?
962Socket774:2006/06/30(金) 07:20:08 ID:Jrk29A80
>>960
寝言は1スレ/2スレを見てからにしてね。
963Socket774:2006/06/30(金) 08:51:54 ID:5sJtLdze
セールスお断り程度に入れときゃいいだろ。
比較でPowerPCやらCellやらが出る分には誰も文句言わないよ。
必死になってるのは締め出されるGKだけだろ。
964Socket774
まあ、他のアーキテクチャーに脱線上等、ってことにしておけばいいんじゃない。
ウザイのが出てきたらスレ違いだから、って追い返して。