1 :
デフォルトの名無しさん :
03/01/30 01:35 library ieee; use ieee.std_logic_1164.all; もしかして板違い?
腹違い
3 :
デフォルトの名無しさん :03/01/30 01:38
回路図知らない奴がVHDL書いちゃいかn
4 :
デフォルトの名無しさん :03/01/30 01:48
VHDLのお勧めのツールを 教えてください(初心者向け)
5 :
デフォルトの名無しさん :03/01/30 01:52
6 :
デフォルトの名無しさん :03/01/30 03:26
そのとうり
7 :
デフォルトの名無しさん :03/01/30 03:35
暇だぁ・・・・ std_logicvectorのまま16進使う方法ないですか? 苦し紛れにこんなことやってます i_adr_bus<=conv_integer(adr_bus); process(i_adr_bus)begin case i_adr_bus is when 16#0000# => i_dataout <= 16#58#; when 16#0001# => i_dataout <= 16#00#;
8 :
デフォルトの名無しさん :03/01/30 03:36
>>3 回路図しかやらないやつと、回路図を全く知らないやつとを
ペアにして書かせるのがポイントだ
9 :
デフォルトの名無しさん :03/01/30 03:40
>>8 ビヘービャで設計できるようになったら大変だ。
10 :
デフォルトの名無しさん :03/01/30 18:55
>>10 私は
>>8 ではないですが、最近はbehavior levelから直接
論理ゲートまで合成するツールも出来てきているようですよ.
ところでVerilog vs. VHDLでgoogleと結構感情的な意見の言い合い
のページとかが引っかかって英語圏の人に親近感もったりする。
11 :
デフォルトの名無しさん :03/01/30 19:51
俺はVHDLしか出来ない 暇な今勉強しておいたほうがいいかな・・・・・
13 :
デフォルトの名無しさん :03/01/30 21:15
>>12 設備、ツールの入れ替えとか
社員の教育とか色々あるのでは?
実際どんな感じなの
今私現場離れてるもので・・・・
>>13 まだ大学の研究室で試してみようかレベル。
しかし、ハードウエアの知識がまったくない人間が、
十分まともなハードウエアを設計できるようになった。
数年後には広く使われるようになることは間違いない。
間違えました。 「Electronic Design and Solution Fair 2003」 でした。今開催中なのであげ。
>>15-16 >初日に菊川怜トークショーを開催。
なぜだ!?
しかも名前の「菊川怜」がgif画像だ。
なぜだ?!
うぇ、また間違えてる。 14じゃなくて15でした。
19 :
デフォルトの名無しさん :03/02/02 00:34
20 :
John ◆Re0z.4Is5E :03/02/02 00:35
ハードウェア作って何がしたいんですか?
>>20 数千円で、特殊計算に特化したハードウエアが作れます。
それは、今の汎用CPUで処理させるよりも数倍以上速いわけです。
たとえば、200MHzのFPGAで作成したHuffman符号器は、
2.8GHzのPentium4や、AthlonXP 2400+よりも1.9倍高速に動作します。
23 :
デフォルトの名無しさん :03/02/02 15:03
>>21 どれどれ、俺が先生やってやろう
暇だから
うそばっかり。ぷっ。 昔5まんえんでうってたのといっしょじゃないか!
25 :
デフォルトの名無しさん :03/02/02 16:05
behavior levelから直接物性まで合成できるツール作ったら誰か買う?
Cベースの論理合成ツールとかだと クラス使って継承とかのコードかけるのか・・・ 便利そう・・・
>>21 たった数十個しか構成できないようなものはやめちまえ!
数万円もだせば、数十MHz入力可能で数千ゲート以上のFPGAが作れる。
28 :
デフォルトの名無しさん :03/02/03 03:11
29 :
デフォルトの名無しさん :03/02/03 08:53
o ハァハァ・・・ o_ /) /<<
>>23 ありがとう。忙しくてまだ動作チェックしかしてないけど、困ったらよろしく。
回路設計からオブジェクト指向までできるエンジニアを目指しまーす。
>>27 実費でFPGAのキット(?)作ってるれる?数万円で。
>>13 いまどき、大学でだいたいC言語教えてるからな。
教育はVHDLよりも楽だと思う。
>>14 なんだかんだ言って、ハードウェアの知識結構要るよ。
Spec C とか、動作レベル設計言語っていうより、システム記述言語だし。
System とか Bach でも、並列動作させる場所とかちゃんと考えてコード書かないと駄目だし。
>>26 ハード設計用のC/C++ってクラスの継承とか出来ないのもあるよ。
っていうか、中にはポインタすら使えないのも。
|-`).。oO(・・・・・・・・・)
( ´∀`)
あぽーん
36 :
デフォルトの名無しさん :03/03/10 15:50
×ボイド ○ゾイド ×ゾイド ○レゴ
これ、15号まで発刊か・・・ 15*1200=18000円・・・・・高!
>>36 VHDLとはほとんど関係ないと思います。
>>38 中学生や高校生が、月々の小遣いとして親におねだりできる
金額設定じゃないかな? 確かにMindstormは優れもんだけどね。
# うち娘だから、自分で買って楽しむことにした<自嘲
>>39 これ、週刊誌ですよ(w
月に3600円でつ・・・・
このスレでDesignWave1月号の話が出てこなかったのは意外。
付録がCPLDの石が乗った基板だったのに・・・。それで1800円。
ダウンロードケーブルは自作しないとだめだけど。
あ、間違い。4800円/月だった(w もう寝よ・・・・っと。
>>40 > これ、週刊誌ですよ(w
本当だ。てっきり隔週だと思ってた。俺の小遣いでもやばいな<更に自嘲
26歳。 去年までソフト屋さんだったけど、VHDLとFPGAで 一年でハードウェア開発者になった。一度やってみなよ。 初回のみだけど、FPGA無料セミナーに出席すれば昼飯弁当(1000円くらい)貰える。 もらうだけもらってFPGAを買わずにVHDLだけ習うこともできるし、FPGA評価キッドでVHDLかVerilogHDLに 思い切って慣れ親しんでしまえば50パーセントでFPGAが欲しくなる。 金なきゃ無償版ライセンスのツールで勉強すればいいだけ。暇つぶしになる。 AlteraとかXilinxsとか色々あるのでマジでお勧め。 いや、マジでVHDL&FPGA萌え。 ハードって面白いね。
本業はソフト屋だけど、趣味でハードもやってる。 でも、VHDLとかよりアナログのRF回路の方が面白いな。 マイクロストリップライン萌え〜。
--常に同じ値をラッチするレジスタ process(同時テロ, 国連決議) begin if (同時テロ='発生') then BUSH <= '戦争開始'; elsif (国連決議'event and 国連決議='査察延長') then BUSH <= '戦争開始'; end if; end process;
46 :
デフォルトの名無しさん :03/03/14 11:19
FPGAって現場でどれぐらい使われてるの? またそのエンジニアってどれぐらいの需要があるの?
47 :
デフォルトの名無しさん :03/03/14 11:23
>>45 なんだBUSHいらないじゃんw
ソフトから移行された方はあまりの抽象記述の出来なさに愕然とされませんでしたか?
RTL記述は言うまでもないが、テストベンチでのbehaviour記述すら、 泥臭いと感じることはままありますなぁ。 Design Wave Magazine2月号買ってみようかな。
51 :
デフォルトの名無しさん :03/03/14 16:57
>>7 今更レスしてみるテスト
基本的にはそうするしかないけど、
以下のようなやり方などは如何かな?
process(adr_bus)
begin
case conv_integer(adr_bus) is
when 16#0000# =>
i_dataout <= 16#58#;
when 16#0001# =>
i_dataout <= 16#00#;
やっぱあれだなー。合成やら実機を考えると、ちゃんとハードのことしらないと どんでもない回路つくっちゃって、シミュレーションでは動くけど 実機はダメで一億損しちゃった。。。。 なんてこともあったりなかったり。 と、毎日ハードな人に脅されてるただいまハード見習いちゅうの元ソフト屋な俺。
>>54 昔、折れの先輩に3億飛ばした人いますた。
フルカスタムCPUの設計で特定のタイミングでヒゲが出て誤動作・・・・
ソフト対策不可ってのが最悪だったなぁ。
(ソフトで対策できれば、サービスでROM交換だけでなんとかなるし)
FPGAだとROM交換で回路変更が利くよね。 FPGA外部の回路設計自体が悪かったらどうしようもないけど。
58 :
デフォルトの名無しさん :03/03/27 14:19
あげ
注文シマスタ!
>>59 この本は漏れも買ったけど、マジでお勧め。
VHDLよりも、むしろデジタル回路について
基本的なことを学べるつくりになってる。
ファンイン・ファンアウトとかプルアップとか、
ICの使い方から説明があるのが良い。
63 :
デフォルトの名無しさん :03/04/01 20:42
C言語ベースの回路開発環境って、どう思う? 何でCなのかな? JavaベースとかLispベースとかあっても良いでは?
64 :
デフォルトの名無しさん :03/04/01 20:45
バンコマイシンおうしょくブドウ球菌
>>63 JavaベースならXilinxが出してたと思う。
SchemeやHaskellなんかも論文は見つかる。
SchemeやHaskellの他にMLベースのもある様ですね。 関数型言語とHDLは相性がいいのでしょうか?
functional ハ関数ニ非ズ,機能ヲ意味スルノデアル!? 回路ノ機能ヲ記述スル言語ナラバ,機能型言語ガ相応シイ
何でCなのかな?ってそらユーザ数が多いからに決まってンべ
遅レスだが…
>>7 > std_logicvectorのまま16進使う方法ないですか?
> 苦し紛れにこんなことやってます
x"58" とか x"00" でいいぞ
ただし ビット長が 4の倍数じゃないとダメ
>>63 C言語を使って開発できる事が利点じゃないぞ。
動作レベルで設計できるところが最大の利点。
したがって、言語はなんでもいいんだが、
それゆえ、シンプルでかつ普及してる言語が選ばれて当然。
Javaはガベコレが、Lispは再起呼び出しが辛そう。
最終的に、cでVHDL、Verilogのソースを吐かせてるのが 現状だから結局どんなソースを吐いてるかを見る能力は 必要だろうね。>システムC 現状アルゴリズム検証から最終回路までシームレスで行ける わけではないからまだまだな感じだね。 でも、十年後には標準になってるかも。 あと、HDLを使いこなそうとするとやはり回路とか電気の知識は 必要になるだろうね。全部解る必要は無いにしても合成後の 回路がある程度想像できないとデバッグ不能だわね。(w
確かにハード記述は、回路からあまり離れない方がいいのだけど、 今のHDLはちょっと抽象度が高い記述をして再利用性を高めようとすると 偉くトリッキーなコードになる。 Verilogとかプログラミング言語としてみるとあまりにも中途半端で Cを知っている人間だと、ビヘイビアを書くときにストレスがたまる。 Cベース設計には過大な期待はしないけど、現行のHDLよりはスマートな言語が使える という意味では歓迎する。 一方で、ム板な人にはテストベンチとかの検証系言語を使う分野が親しみ易そう。 e言語, TestBuilderなどオブジェクト指向が生産性をあげるのに十分役に立っている。 最近ではアスペクト指向が流行りだしそうだから、 Loggingくらいにしか使い道を思いつかない普通のアプリプログラム分野に先んじて普及するかも。
中にはCさえ知っていれば回路が出来上がって開発効率が 上がりまくるとか、ハードエンジニア不要論を唱える馬鹿も 居るって辺りが問題なんだよな。>Cベースの設計 言語よりそれをどんなものか理解していない無知な奴が 本当の敵だろ。
>>65-67 論理型言語(prolog等)を利用したものもあるみたい
>>73 VHDLなんかが出てきたときにも、同じこといわれたことを
思い出す(w >ハードエンジニア不要論
歴史は繰り返すな。
>>76 流石日経。
知った顔で嘘を並べるのが得意だな。
>>77 嘘だとは思わんが、ハード知らない香具師は勘違いするだろうな
と思う。あくまでも「脱HW指向」「設計効率化」でしかないだろう。
しかし、FPGAみたいなソフトなハードウェアが増えてきて、
ソフト屋の出番が増えた事も事実だと思われ
プログラムがチップになるんじゃないんだよな。 回路/タイミングをテキスト(言語)で記述できるってのが正しい表現だと思う。 プログラムとはまったく違う。 それにしてもVHDL喜んで書いてる香具師。よくあんなので書いてて嫌にならないな。 Verilogの方がずーッといい
<イントロダクション> 回路図でない言語がそのままチップになる <第1部> 回路なんて誰でも作れる 脱ハードウエア指向へ <第2部> 数千行でチップができる VHDL言語がLSI設計を効率化 まさにデジャビュ(w やり口が10年前と何も変わってない
>>79 VHDL、Verilog論争は書きやすさ、Simの面からVerilogの方が
良いだろうね。
ただ、漏れはVHDLが好き。 ま無意味な論争だけどね。(w
>>78 アルゴリズム検証とハード実装は一寸違った方向のそれぞれ
別の技術だから融合はありがたい事でし。
ただ、Cで書いてHDLをはき出すってってやり方だと出来た、
HDLの品質を確認する、でそのHDLか作られる回路を想像する・・・
便利になるかもしれないけど出来上がった回路に不具合が
見つかった時、Cのソース迄戻ると論理合成によって回路が
どう変わるか想像できないからASICなら製造のTATが長そう。
ML→C: 結局C知らん駄目ぽ C→RTL: 結局RTL知ら(略) RT(略)
84 :
デフォルトの名無しさん :03/04/11 00:09
>78 禿同 敷居が低くなっているのは確か。やたら速いもんでなければなんとかなっちまう。 危機感を感じた漏れは転職しますた。
>>82 FPGAならTATもそんなかかんない.
>>83 何でMLから始まる?
>>84 転職して何なった? ソフト屋?
ハード知ってるソフト屋は重宝がられるだろうけど、...
>>85 FPGAだけで開発が終わるならそれで良いよ。論合成毎に
結果が全く違っても何の支障もないしな。
ま、合成、配置後み見つかった不具合をソースレベルまで
フィードバックする作業は言語設計には付き物の問題点
だしな。
で最近FPGAの普及のお陰で勘違いプログラマーが増えて困る。
マジで。
それがシステムCの所為で更に進むと思うと鬱だ。
アセンブラの手放せないプログラマが如き見解かも…
>>87 でもそう言うエンジニアは必ず必要だからね。
ROM化した後でバグ発見マスクはもう発注済み的な
苦しさを体験した事無いだろ君。
クラス図作れば終了ですが何か? …そんな時代来るのイヤン(´д`)
少なくともそういうこと言う奴は、近いうちに出てきそうだが…
>85 装置屋かな。とある装置を開発する為に必要なことをする、ってとこか。 仕様を作るのが主な仕事なので、少なくとも自分でゴリゴリHDLを書くってのはもう無さそう。 >86,88 でも、全員がそんなことまで判らなくてもなんとかなる時代なんだよな。 ゲート換算で数百万ぐらいのチップが10mm角未満になってるのを見ると、小手先の技巧で時間 かけてるのは意味無いと思うよ。 CADでゲート置いてた頃が凄く懐かしいよ。戻りたくないけど。
>アセンブラの手放せないプログラマが如き見解かも… はぁ? >クラス図作れば終了ですが何か? 状態遷移図からHDL吐くツールは既にある
>>91 その程度だから転職って事になるんだよ。
納得した。
大手みたいに「ここだけ」って仕事を切れるような会社は良いけど 中小みたいに殆どの作業に顔を出さないと行けないと大変だよ。
>>92 >>状態遷移図からHDL吐くツールは既にある
うい。以前教育受けたですよ。
まあ、面白かったけどね…。
物作りをなめた奴が、これからはHDLだ、とか言って導入に失敗した 例をリアルに見た事があるから、Cが色んな意味で問題解決のための 手段でしか無いと言う事を認識しないとやっぱり失敗するんだろうな。
>>97 ま自然終息だろうな。当分無くならんだろうが。
VHDLなくならないでしょ
100 :
デフォルトの名無しさん :03/04/15 20:25
>94 スキルは中小の方が身に付くぞ。 大手で「ここだけ」って仕事してたのがリーダーになって、とんでもないことに なりかけたのを見たことがある。
>>100 案外その手の失敗は、ありますよ。
うちの場合外注として大手を使って失敗する例の大半がそれかも。
LSI業界って一番おいしいのがEDA屋だろうなー オラも論理合成とかシミュレーター作りたいけど Synopsysにはかなわないなー。
じきにフリーで高性能なシミュレータが出てきてメシの喰いあげになるさ
>>103 あり得ないとは言わないが、でも残念ながら現実はコンパイラも
有料の物の方が優れているからね。
バグ、出来上がってきた物の品質を考えると優秀でもフリーの
物は使えないと思われ。
105 :
デフォルトの名無しさん :03/04/16 12:53
>>104 品質保証はEDA屋でなくユーザ(LSIデザイナー)がやる
⇒ オープンソース、フリーソフトの品質と同じ議論になる
日本のLSI業界は各LSIメーカが自前で設計開発ツールそろえて品質まで面倒見ようとして破綻してるよね
PCソフトと同じ様な構図では
PCソフトほど市場が大きくはないって事が違うかも
106 :
デフォルトの名無しさん :03/04/16 21:17
>104 そこらへんも SystemC に期待する理由の一つなんだが。 シミュレータのライセンス気にせんですむし。
>>107 まー業界を知ってる人なら一度は考える与太話でしょう。
信憑性は、無いとは言わないってレベル。
(^^)
俺なんでこのスレ読んでるんだろ… 秋まで通信屋さんだったはずなのに……
111 :
デフォルトの名無しさん :03/04/17 18:57
>>68 何でCなのかな?ってそらユーザ数が多いからに決まってンべ
ユーザ数で決めんなら、やっぱCOBOLでは? (w
…とか訊いてみるテスト
>>113 この時期にはこの手の入門書はよく売れる。
そんだけ。
立ち読みせい。
∧_∧ ( ^^ )< ぬるぽ(^^)
116 :
デフォルトの名無しさん :03/04/30 12:56
>>114 何故「この時期」?
すごいCPU作るんだ!と意気揚々な新人さんがイパーイだから?
で、しばらくすると理想と現実の差に気づいて意欲喪失?
>>116 > で、しばらくすると理想と現実の差に気づいて意欲喪失?
その程度の新人は大成出来ないだろうな。
夢見がちなのはもっと困るが。(w
大成できなくても、新人は
>>113 の本の売れ行きに貢献する…
のは口惜しいから
>>114 は立ち読みを薦める。
>>118 出版社の方ですか?(w
もう少し知的な事を言いましょう。
誰かフリーのシミュレータ作ってよ。 下手なもの作るよりよっぽど有名になれるよ。
論理シミュレータなら、sourceforge.net あたりさがすと いくつか出てくるが、物理シミュレータとなったら、 データシートだけで作れるようなシロモノじゃないからのう。
∧_∧ ピュ.ー ( ^^ ) <これからも僕を応援して下さいね(^^)。 =〔~∪ ̄ ̄〕 = ◎――◎ 山崎渉
少なくともそういうこと言う奴は、近いうちに出てきそうだが…
SystemCって無料で遊べるらしいな。 論理シミューレータもついてるのかいな?
125 :
デフォルトの名無しさん :03/07/11 23:00
VHDL じゃなくて Verilog-HDL なんだけど、質問して良い? 今日はじめてVerilog-HDL 習ったんだけど、 計算精度が良くわからんので、以下の結果と解説きぼんぬ。 wire [3:0] a1, a2, a3, a4, a5, a6; assign a1 = 3'b100 + 3'b100; assign a2 = (4'b1000 + 4'b1000) >> 1; assign a3 = 5'b10000 >> 1; assign a4 = 4'b1111 << 16 >> 16; assign a5 = 4'b1111 << 16 >> 16 ^ 16; assign a6 = 4'b1111 << 32 >> 32 ^ 32;
>>125 自分で合成すりゃわかるだろ
解説だけしてみる
a1 a2) 加算は暗黙のキャリーが最上位に足されるのでビット数が1つ増える。
a2 a3) ビットの拡張は暗黙的に行われるが縮約は行われない。
a4 a5 a6) マンドクセ
127 :
デフォルトの名無しさん :03/07/11 23:23
>>126 結果はわかるんだが、理由がわからんのだよ。
なぜ a4 と a5 が異なるのか。
なぜ a5 と a6 が異なるのか。
...
__∧_∧_ |( ^^ )| <寝るぽ(^^) |\⌒⌒⌒\ \ |⌒⌒⌒~| 山崎渉 ~ ̄ ̄ ̄ ̄
|-`).衆(・・・・・・・・・)
ieeeって小文字で書くとなんかまぬけだなぁ。
131 :
論理合成可能なBASIC :03/07/24 22:34
>102 諦めるんじゃねー。 作るんだよ。作ってStallman様に献上して、GNU logical simulator の名を、栄誉を受けるんだ。そして、EDAベンダ「概要」「拍子」の ビジネス基盤を・・・。 そしてキミは半導体産業の救世主となる。 怒った「概要」「拍子」連合はゴルゴ13を雇うこと必至。 そこからがキミの本当の戦いだ。
(^^)
133 :
デフォルトの名無しさん :03/08/15 14:12
>>80 おそらく、日経の記事自体は外国(アメリカ?)人が書いているんですよね。
要は、悪質なEDAベンダかIPベンダ、コンサルタントとが自らの収益を上げるために、
プログラミングの世界から回路設計の世界へ人を誘導して、回路の事を知らない人間
から金を巻き上げるために意図的に書いている原稿でしょう。
金をつぎ込んだ利用者は一応開発は成功するが、利益はベンダに持って行かれて、
また、巻き込まれて怪我をする人間も大勢出る。でも、悪質なベンダは自分さえ儲かれば
良い。
まあ、自衛できずに騙される人間も悪いかもしれないが、この辺りの事情は知っている筈なのに、
それを誌面に掲載して、この業界を混乱させる片棒を担いでいる日経は×。悪質な記事は掲載
してはいけない。だってこれって詐欺の幇助に近くない?しかも公の雑誌で。
>>133 日経とは消費を煽るためだけの媒体だから問題ないんでしょ。
問題なのはあんなものに書かれたことを鵜呑みにしてしまう
おめでたいひとの頭の方。
(⌒V⌒) │ ^ ^ │<これからも僕を応援して下さいね(^^)。 ⊂| |つ (_)(_) 山崎パン
136 :
デフォルトの名無しさん :03/08/16 18:11
保守
137 :
デフォルトの名無しさん :03/08/17 23:53
実際の所、ソフト屋あがりのHDL使いって、 どんな目的の、どれくらいのゲート数の回路を設計してるの?
>>137 ただのアルゴリズム検証ではないかな?
正直、ソフト上がりが使い物になるレベルに達するには
相当時間がかかるよ。
139 :
デフォルトの名無しさん :03/08/18 01:05
>>138 最近は、ゲートレベルまでは突っ込んでできるほど、回路規模が小さくないから、
みんなRTLだと聞いているけど、それでも、ソフトあがりは出番なしかな?
>>139 RTLを書こうとするとかなりハードに精通してる必要がある。
ゲートレベルとRTLでは比較にならないが、そもそもゲートレベルを
表現するためにHDLが存在するわけではない。
>>137 本業ソフト屋(いや、すでに鯖屋だな…)で
日曜工作でVerilogいじって遊んでます。
CQのStratix EP1S10 基板使って遊んでますが
面積いっぱいいっぱいでそれでも内部160MHzで回ってます。
つーかバス速度が10MHzそこらの時代に基板起こしたことがありますが(w
漏れ思うに、やっぱりデバイスの知識がない人間が書いた
論理はウンコだと思うわ。
Verilogはすれ違いなのでsage
>>141 Verilogは、cに近いからソフト屋には馴染みやすいかもね。
次はシステムcの時代だろうからもっとやりやすくなるだろう。
でも、やっぱりハードをある程度解ってないと(以下略
143 :
デフォルトの名無しさん :03/08/19 05:13
ハードに精通していると言えるのは、どの程度のことを知ってないといけないの?
>>141 具体的になに作ってるんだい?興味ありあり。
教えてクレクレ
>>143 RTLとは何かとか、クロックとデータの関係を理解している必要が
あるだろうね。
RTLレベルの言語設計やっていると、ビヘイビアレベルの言語設計で どこまでできるのか、興味あり、冗長回路ができそうな、複雑な気分。
ただでVHDLを使えるツールをダウンロードできるサイトを教えてくれ
148 :
デフォルトの名無しさん :03/08/20 13:08
また、DesignWaveの付録にCPLD基板が付くみたいだね。 今度はLEDとかも載るようで。
ところで、148に書いたリンク先で、試しにQuartus II Web Editionを ダウンロードして、ライセンスキーも入手したんだが・・・ (製品版をアルバイト先で使っているので) インストールしようとしてダウンロードした .exe ファイルをダブルクリックしても、 ファイル展開中に「×」というマークだけのダイアログが表示されて、 インストーラーが起動しないや。別のフォルダに移動してみたり、いろいろやった けど、だめ。
>>151 まさかW98とかWMEに挿れようとしてるんぢゃねーだろな??
ウソコー
>>152 なるほど。
WinXP だけど、HomeEdition なんだよなぁ・・・ そのせいかも知れない。
インストーラーは Win2000 か XP Pro かのチェックしかしてなかったりして。
旧バージョンはWin98とかにも対応しているらしいけど、さて、どうしようかな。
>>144 もの凄い勢いでCrypt(3)を計算するマシーンです。
>>153 WXP の基本構造は W2k と対して変わらないはず(つまりWMEとかと違う)
ので、何が悪いんだろうね、それはわからないけど、
Home editionは、いくつかファイルが欠けてたりするから、そういう問題かな?
手元に XP Home がないので追試することができん。
>>149 デザインウェーブマガジンのページみたけど、
基盤にFPGAとレギュレータ(おそらく2.5Vと3.3V)だけだったね。
ダウンロード回路とかクロック源とかは自作しる、ということか・・・
でもほすぃ。
その程度の知識しかない奴は買うなって事だよ。
クロック源つーたって、楽にすませたければOSCつけりゃいいし。 ダウンロード回路なんて、別に面倒くさければ買うことだってできるし。 買うつもりだけど、また放置しそうだなぁ・・・・
>>156 155は漏れだけど、言い訳させてくれよぅ。
仕事でFPGAを含むロジック回路を作っているので、
クロックとかダウンロード回路も、
自作の面倒くささは体験ずみだから、
ぼやいちゃったわけです。
まぁ知識ないってのは当たっているけどさ。
>>158 簡単だよ。
知識が無いって言うより不器用なんだな。
やっぱ逝ってよしだ。(w
>>159 あのぅ・・・回路自体が複雑じゃないってのは同意だけど、
ユニバーサル基盤でジャンパ線をハンダ付けする面倒くささって
解んないかなぁ・・・(´・ω・`)
器用な人がうらやますぃよ。
VHDLと関係ない話になってしまってごめんよぅ。
>>161 全然面倒臭くないよ。
それどころか楽しい。
163 :
デフォルトの名無しさん :03/10/03 19:35
保守age
166 :
デフォルトの名無しさん :03/10/14 20:44
しまった!興味を持ったら先月号になっちまったぞ!>DesignWave バックナンバーとしてゲトする価値アリ? それとも秋月のPICプログラマキットのFPGA版みたいなもののほうが 全部まとまってて楽かしらん。
他にはヒューマンのがあるけど安くはないね。
凡人のソフト上がりじゃたぶん、苦労するだろうな。 ちなみに凡人以下幼稚園児以下な俺は、三年たっても ダメぽ。そろそろ潮時かなとーさん。
>>169 取り敢えず簡単な電気の基礎から入れ。
言語だけわかっても現実に使えなければ全くの無意味だからな。
>>166 10月号買おうかと思ったら在庫ナシだってさ…
しかたないからFPGAとユニバーサル基盤買ってくるか
173 :
デフォルトの名無しさん :03/10/15 18:17
>>171 漏れは2冊ゲトした。どうだ?うまやらしいか?
漏れ五冊。 一冊五千円でお売りします。
まぁ大半はコンフィグレーションROMは愚かダウンロードケーブルも作らんから 乗り遅れた人もマイペースでやっていけばいいさ・・・。 FPGAボードの製作を当分の目標として電子工作でもすれば、プリント基板 の入門もできる。
176 :
デフォルトの名無しさん :03/10/15 20:54
ところでこのスレでの電気ってのはどれくらいの知識なんだろうかと疑問。 まぁフリップフロップは基本中の基本ってことでいい? もちろんRS,T,D,JKなどなど。もちろん負論理も正論理も知っているということか。 間違ってもANDとORとNAND、NOR、XORは知らないとごみとして捨てられるというわけ?
>>176 論理回路がどんな動きをするかは基本中の基本だろうな。
F/Fはその後で良いがこれも基本中の基本。
どっちも知らないと話にならんのでは?
>>177 いやこのスレに書き込んだ香具師みんなこれくらい知っているんだろうなと思っただけだよ
FFの種類(RS,JK etc.)について 基礎知識として持っているに越したことはないが、 VHDLでコーディングする際には不要な知識。 例えばRS_FFやらJK_FFというcomponentを作って top entityでそれらを組み合わせる・・・ ・・・なんてコードを組んでしまう設計じゃ、 言語設計の意味がなくなってしまう。 (年輩の技術者がVHDLを習うと、 どうしてもこういう設計をしてしまう模様) RTLレベルで信号の流れをイメージ化して コードを組むことができれば、 VHDLを使う限りにおいては一応合格ではなかろうか。 といいつつ、実機でのdebugレベルになると 間欠症状の不具合を考えるとき、 setup/hold timeの概念も持っていないと、 論理が正しいのに、なぜ!と嵌ってしまう罠。
>>179 HDLでRSFF系のFFってのは論外だし、そもそもFPGA等では禁じ手の一つだよ。
そもそもそんな非同期な回路を書く為の物では無いしね。>HDL
しかし論理回路を理解する上で知っていて欲しい知識ではある。
今となってはあくまで知識レベルであって必須では無いが。
>>180 そうそう、VHDLセミナーを受講した際に、
講師が口酸っぱくして言っていたのが
「同期回路の設計!ゲートクロックを使うな!」
だった。
元々ソフト屋だったので、その概念を抵抗なく受け入れられたけど、
74シリーズでハードを組んでいた年輩の技術者にとっては、
どうも馴染めないようですな。
同期非同期ってのは 同期 「ホレッ」 「イヤーン」 非同期 「ホレッ」 「アッアッアッ・・・イヤーン」 こんな感じですか?
VHDLなんかよく書けるな。そりゃそういう仕事請け負ってこられて書かされるような 会社ならしゃーないんだろうが。プログラム言語を知ってるならあの仕様は苦痛な だけだろ?コンパイルオプションなんか事実上無いに等しい。おまけになんであんなに 長い予約語にしたんだ。生産性超低し。Verilogもかったるいけど、VHDLと比べると ぜんぜんまし。少なくとも苦痛じゃない。 #そろそろ、まともに使えるフリーのシミュレータと論理合成ツールってでないものかね。 #ハードゥエアベンダは情報提供嫌がるだろうな。
>>184 別に何とも思わんね。>VHDL
逆にVerilogの曖昧に出来てしまう記述の方が合成の時
不安になるから結局似たような厳格な記述になる。
少なくとも言語の優劣を語っても無意味。
FFが電気の知識というのでは,視野が狭くなるぞよ。 メカでも構成できるだろう。今時は純粋なメカで構成することは稀だが, エアー回路だけでロジックを作り上げる欧州勢もいるからな。
>>186 少なくともこのスレッドはHDLの事を論じるスレッドだから
基本は弱電になる。
話を発散させても無意味だろう。
>>185 糞野郎は糞環境で仕事をしても何も感じないようだな。
そういうことを言ってるやつは生産性をまったく無視してるか、HDL以外の
まともな言語開発環境を知らん井の中蛙だ。大体コンパイルオプションの
有無と長ったらしい予約語が、厳格な表記と何のつながりがあるのか言ってみろ。
結局、糞だったんだよVHDLは。
Verilogがそこそこ普及してる中、後発で、ほとんど機能的にも おなじようなHDLであるVHDLが出てきたのか全く意味不明。別に新しい ことが出来るわけでもなく、設計現場に余計な労力を使わせただけで 結局Verilogに取って代わるシェアを奪ったわけでもなく次世代言語が 登場しようとしてる。
>>188 なんだ結局良くVHDLを使いこなせてない半可通か。
馬鹿みたいだなお前。
それ以前にVHDL糞派はタイプが面倒くらいしか言うことが無いんだろう。 本当に馬鹿な論理だ。
そもそも回路図で入力するのが面倒だからHDLを使う。 省力化はきわめて重要なファクターであることにも気づかない大マヌケ
選択の自由も無くてただただ日々コード書きこなしてる下請け3チャン企業勤めしてるのかお前-
>>190 お前のやってることは設計じゃなくて、日記つけてるのと同じ。日記は家でつけろ。
>>193 結局仕事出来ない奴がその理由をツールに求めてるだけでしょ?
お前の場合。
へー最近はVHDLを腐すにもなかなか屁理屈が必要なんだな。 ま、どっちも使ってると一寸面倒かなー程度で別にここまで 切れる程の事は無いと思うが? 一寸心を病んでるんじゃないですか?
素朴な疑問。 ほとんど特徴の差が無いVHDLとVerilogをなんで両方使ってるの? 差が無いならどっちか一方だけ使ってればいいじゃん。
LSI設計にしても装置設計にしても、構想設計から最終製品まで仕上げるような 仕事であればHDLのたらいまわしなんかされない。あれこれやってるように見えて も所詮は製品の一部のお手伝いをしてるだけ。まっとうな大学出てまっとうな就職 して自分が望まなければそんな風にはならない
なんか荒らしみたいになってるんですが・・・。 学歴の話までし始めたら本当に頭がおかしいのかと 疑わざるを得ない。 貴方が見ている世界だけが全てではない事を知りましょうね。 世の中メーカーしか無い訳じゃない。 外注を卑しむとも捉えられかねない書き込みは見苦しいと しか言いようがないよ。
>>198 なーるほど!食うために選択の余地なんかないわけか。そりゃ設計の優劣なんか
議論しても始まらないわけだね。お客様のお気に召すままってことだね。
馬鹿もここまで来ると哀れですらある。
>>201 特に何かおかしいとこを言っているとは思えません。
荒らし目的なら何処か余所のスレッドで御願いします。
他のソフトウェア記述言語(語弊があるかもしれんが)スレならともかく よもやこのスレがこんな荒らしを受けるとはおもわなんだ。
暇だからVHDLでも書こうかな
>>204 昨日からここに張り付いてる奴ってなんか気持ち悪いよね。
207 :
デフォルトの名無しさん :03/10/30 17:38
hosyuage
208 :
デフォルトの名無しさん :03/11/01 19:50
>>13 CyberDogっていうC言語がらみの論理合成ツール
評判よくない
209 :
デフォルトの名無しさん :03/11/01 21:44
なんか久々にあがってる。
水面下で結構のびてたんだね。
>>188 >HDL以外のまともな言語開発環境を知らん井の中蛙だ。
自分HDLしか知らないんだが、どんなのがあるのかな?
カスタムLSIとか作るのも知らん。
>>209 馬鹿を呼び込む蒸し返しは遠慮願いますかね?
それとも荒らしたいのか?
211 :
デフォルトの名無しさん :03/11/20 20:43
最近(゚Д゚ )ウマーになるかもと思ったのが、 SFLで記述してverilogに変換して使う。ってやつ。 ET2003で東海大学?がその変換プログラム公開してたが、なかなかだった。 ネットで落とせるみたいなこといってたが、そのときのパンフ行方不明w 多分検索すればでてくるんだろうけどね。 とりあえずSFL自体はかなり書きやすい言語だけに、変換プログラムさえマトモならかなり楽になりそう。 すくなくとも最近はやりのCでのコーディングよりは直感的に書けると思うよ。
最近、systemCを教えて下さいってねーちゃん3人組がでてるCMがあった。 本当はシスティナCといってるらしいが。
>>211 でも同期回路オンリーじゃなかったか?>SFL
214 :
デフォルトの名無しさん :03/12/01 23:50
VHDLってCが出来たら要らなくなるのか? 最近ハードに近い仕事がしたくて色々調べてみたがやっぱりVHDL→Cってしな いといけないのか? Cをやってたら大体言語の意味は解るのか皆さんの意見をキボンヌ
215 :
デフォルトの名無しさん :03/12/06 21:59
工学版のVerilog VHDLスレでこんなのあった。どうよ。
皆、バスブリッジなんかのシステムLSIばっかで、モノ本のフルカスタムはさておき、カスタムLSIなんかやらん(機会がない)のかな?
>
http://white.csys.ce.hiroshima-cu.ac.jp/~kitamura/public/note_12.pdf >↑ストアドロジック(マイクロ命令)についてでているね。
>図が出るのが遅いから、右クリックで取り込むのが吉。
>p3には基本の垂直型が。p4には水平型がでている。
>p3の図では、CPU命令を取り込んで、それを順序制御かけてCPU内各所のトランスポートのステートへ制御信号だしてる様(レジスタトランスファ)が見てとれる。
>最近は、高速化の為にストアドロジックじゃなくてワイヤードロジックで組んでるのかな。
>動作は同じだと思うけれど・・・。
ところで、俺、CISCとRISCの性能の違いがしっくりこないんだよな。(特にCPUに対する負荷。サイトによって書いてあることまちまち)
CISCってもともと、INTEL系のアドレスライン、データライン共有の為(今は違うけど)の時間的ロスを補う為に、CPU命令を増やしたところから至ってるんでしょ。(パイプラインバスもその為だけど)、
対してRISCはMOTOROLLA(後のPOWERPC)に代表されるようにアドレスライン、データライン別々のパラレルに太いラインもってて、CPU命令を単純にして、CPU内処理を早めようとしたのがRISCでしょ。
この2つの性状の違いってどうよ。
君の書いた文章通りの違い
217 :
デフォルトの名無しさん :03/12/07 00:52
素人なんですがLSIとFPGAの違いを教えてください。
218 :
デフォルトの名無しさん :03/12/07 01:10
>>217 作り方は似ている。
FPGAもLSIもプログラムで論理を組んでそれをソフト(Cに酷似したVHDL,VelirogHDL,Cで書く専用アプリケーション)で論理合成(実際の回路化(ANDとかORとかの組み合わせ)する。
で、間違えがないがダミーの信号を入れて、回路出力を見るシュミレーション機能があるからそれをして、レイアウト(実物の回路図)にして、またシュミレーションする。
ここからが違う。FPGAはまるで、ROMを焼くようにカキコ機で電圧かければ回路が形成される。
ちょうど、EPROMのようなもの。
対してCPUは以下のような手順で回路を作る。
上記手順でできたファイルをMEBES(メーベス)というファイルに変換してフォトマスク製造装置にかける。
ここで、ネガができる。写真ではネガを拡大プリントして写真にするけど、半導体製造ではそれを超縮小プリントするんだよ(最低でも1/1000倍くらい)。
思いっきりはしょっていうとできたネガフィルムに電子線を当てて(電子線描画装置で超縮小プリント)、純なシリコンチップに焼けば、ちゃんとシリコンチップ上に半導体の基本領域であるp領域とn領域が形成されていく。
もちろん、レジスト(写真の銀の用に半導体にも専用の薬品がある)、エッチングを何段階も繰り返して何層にも半導体層を築き上げていく。
219 :
デフォルトの名無しさん :03/12/07 01:41
>>215 の
>
http://white.csys.ce.hiroshima-cu.ac.jp/~kitamura/public/note_12.pdf に対して、
>p3の図では、CPU命令を取り込んで、それを順序制御かけてCPU内各所のトランスポートのステートへ制御信号だしてる様(レジスタトランスファ)が見てとれる。
はちと分かりにくかったか。
トランスポートとは入出力のこと。実際はこうなってる。
0/1を入力でon/off
|
___|\___
| |/ |
| |
| |
|_/|_|
\|
|
0/1を入力でon,off
これで、信号路が両方向Shutされてるか、どちら側かに導通されてるかコントロールできる。
脇から入れる信号は0(GRNにつながってる),1(+につながってる),Z(どこにもつながってない。宙つり)の3パターンあるからトライステート(TriState)と呼ぶ。これを
>>215 ではステートと略した。
図だと、各レジスタ、実際に演算するALUの出入り口にコイツが設けられているから、トライステートに信号入れてやれば、データの移動ができる。そういう意味。
>>218 に追加。
MEBESファイルは、元のファイルから、pnpもしくはnpn構造を形づくれる様に、複数枚のマスク(ネガフィルム)を作ってくれる。
もちろん、画面上で、修正して作り直すこともできる。
画面上で見ると、複数枚のフィルムがあるから、ちょうど、別々の縁取りの色フィルムを重ねたように見える。
それで、何層も描画して半導体層を築く。
221 :
デフォルトの名無しさん :03/12/14 03:46
失業者用の職業訓練でvhdlの勉強しますた。 基礎的な電子の話から入って、ラッチやffのやセレクタや ステートマシンなども勉強して、ストップウオッチ式カウンタや pmwジェネレータなんかもつくれるようになりますた。 が、入った派遣会社で飛ばされたところがまるっきり関係のないところですた。
222 :
デフォルトの名無しさん :03/12/14 03:47
工業板のVHDLスレ落ちたな。
みんな仕事でVHDLかいてるのか? ソフト系にくらべて趣味で使う比率がすくないのかなぁ? 趣味でやるにはCPLDとか天国なのに。
>>223 仕事でも趣味でも書いてるよ。
でももうすぐCに入れ替わるんだろうな。
>>224 System-C や Spec-C のスレってないよね?
そろそろ作ってもイイやうな...
226 :
デフォルトの名無しさん :03/12/17 19:18
初心者な質問でスマソ。 解説求む process(CLK)--process文。この中では順次処理文で記述する --()の中はセンシティビティリストといい、ここに指定した信号が --変化した時のみ、begin~end process内の記述を実行する。 --ここから下のif文についてよく分からないのです。COUNTは7ビットです begin if CLK'event and CLK = '1'then if RST = '1' or COUNT = "00000000"then COUNT <= DIP; else COUNT <= COUNT-'1'; end if; end if; end process
学生の宿題なら参考書があるから買ってきてやれ。
>>226 何がわからないかがわからない
たぶんVHDL云々より、論理回路がわかってないんじゃないの?
つーか、悩むよりもシミュレーションして波形みれば一発じゃん。シミュレーションすれ。
自己解決しました
230 :
デフォルトの名無しさん :03/12/18 23:24
みんなは趣味で何を書いているんだ?
電気電子板
【悪】物性と情報工学が愚痴るスレ【代官】
http://science2.2ch.net/test/read.cgi/denki/1072103600/l50 10 :☆必見☆ :03/12/24 13:19 ID:yk+hQdcF
情報工学は
・ハードと直接やり取りするOSカーネル、デバイスドライバ、ブートローダのスレ。
・カスタムLSI、システムLSIの大規模集積回路のロジックのスレ。
物性は
・液晶、有機EL、プラズマディスプレイ等のスレ。
・半導体製造でのステッパで行われるnpn、pnp、npnp構造の構築のスレ。
(個人的には、エレクトロマイグレーション、イオンマイグレーション、ラッチアップ等の故障モードにも興味あり)
辺りを建ててくれヨウ!
電子部品は物性と切っても切れない仲だし、情報では、カーネル等に関するスレを見かけないしCPUなんかのロジックを深く語るスレもあまり見受けないし。
HDLのスレで何言ってるんだ?このタコは。
234 :
デフォルトの名無しさん :04/01/03 10:12
DesignWaveの付録のCycloneボードで何か作った人は居ないの?
VerilogHDLについて質問させて下さい。 テキストエディタでVerilogHDLを記述し、 MAX+PLUSUAdvancedSynthesisにて論理合成、 MAX+PLUSUでシミュレーションとしたいのですが MAX+PLUSUAdvancedSynthesisでのコンパイルの際に Warning: Ignored unnecessary INPUT pin 'CLK' Warning: Ignored unnecessary INPUT pin 'RES' Warning: Ignored unnecessary INPUT pin 'SW0' といった警告文が表示されます。 これのせいでシミュレーションができません。 (Ignoredと書かれたINPUTがシミュレーション段階で表示されないため) 漠然としていて分かりにくいと思いますが、 こいった警告文が出る際に直すべき箇所が分かる方が いらっしゃいましたらぜひ教えてください。 どんなことでも結構です。お願いします。
すいませんあげますー
>>235 勘で。
指定しているデバイスに何か問題があるのかも。
もしくは clk, res, sw0 ピンの使い方が悪いとか。
>>235 VHDLのスレでVerilogの質問書いて、しかもageか。
おめでてーな。
漏れはVHDLで同じwarningを見たことがあるから、
多分、原因はアレだろうな。
>>235 clk, res, sw0を回路の中で使っていないとか?
>こいった警告文が出る際に
こいった際にはALTERAのサイトの中でwarningの内容を検索かけてみるとか
>>235 指定するデバイスに合わせて手動でPIN配置してみては。
VHDLのソースにタグ付けを行うツール(GNU GLOBALのようなやつ)を どなたかご存じないでしょうか?
246 :
デフォルトの名無しさん :04/05/18 11:43
quartusを使ってVHDLの説明やってくれてる本は何ですか?
九州なんで無理なんすよ
>235 どんなに小さくてもいいから成功したコードがあるかどうか。 input clk; input xrst; input i_a; output o_b; reg r_d; always @(posedge clk or negedge xrst) begin if (!xrst) r_d <= 1'b0; else r_d <= ~i_a; end assign o_b = r_d; とかでもいいからさ。
ABEL-HDLのシミュレーションソフトってあるんですか?
251 :
初心者 :04/09/10 22:05:48
電気板の方でも全く同じ質問をしているので 大変申し訳ありませんがどうか助けて下さい。 12BITのdataを5.5という数値で 割った答えを16BITで出力する回路を 考えています。 答えに少数点以下の数値がある場合は、 整数に繰り上げます。 例えば、 (簡単のため10進数で考えると) 答えが1.1なら → 2とする 答えが1.000023なら → 2とする といった具合です。 関係演算子「 >= 」と 加算演算子「 - 」を使って 解を求めようとしているのですが、 [ 000000111111 >= 5.5 ] のような評価や また、[ 000000111111 - 5.5 ] のような計算が出来ません。 データタイプの異なるものに対して どのようにしたら評価できるのでしょうか? どうか教えて下さい。
VHDLそこそこ出来るようになったら、計測システムとかはソフト屋に出すのやめて、 とっつきにくいC++とかお勉強するのもやめて 言語が似ているPascal・Delphiとかに逝くもよいかな? あるいはもし開発環境あるならVHDLのベースとなったAdaへ逝くって手もあるかもしれんが?
ほしゅ(´・ω・)
最近はC++が基本になってるSystemCとか流行ってるみたいだね
>>254 流行ってるって言っても、研究レベルでな。
漏れが昔やってた頃はVerilogかVHDL使ってた シノプシスがボッタクリで大儲けしてた頃(今も?) 最近はSytemCなの?本屋で糞高い本が売ってたよ
RTLじゃないと信用できない俺はジジイ?
Gateでないと信用できない人達がまだ生きています。
SystemCは完全に破綻しました。
aaa aa
263 :
あげ :2005/07/08(金) 05:42:53
>>260 SystemCは完全に破綻しました。
でもさ、論理順序回路を高級言語で記述するってゆー考え方は間違ってないと思うんだが、
手続き型言語がそれに向いているのかどうか、むしろ関数型(functional: 機能的)言語の方が
イイのでは?…という様な事を考えてみないか>プ板の皆の衆!
>>263 関数型言語は絶対に向いてないな。
ハードウェアって再起呼び出しできないし。
っていうか、HDL の類でも、レジスタ間の結線の仕方とかを記述する部分は
手続き型で書くのが一般的だし、実際それがベストだと思う。
ハードウェア記述する上で、手続き型言語に足りてない部分は、
モジュール記述の仕方と、ビット幅指定、並列化構文かな。
モジュールの記述はオブジェクト指向言語の、interface, class 的な構文、
ビット幅指定は C++ のテンプレートみたいな感じ、
(実際、↑の2つはSpecCとかではそういう文法になってるし)
並列化構文は、Cωの奴みたいなのがあって欲しいかも。
Cωの並列化構文は、↓の Cω Concurrency に概要説明あり。
http://research.microsoft.com/Comega/doc/comega_whatis.htm
Cだって再帰は書けるし、プログラムの記述とそれをコンパイルした結果の回路とが きれいに対応している必要性は、高級言語なんだから必ずしも無い。 既存のHDLはFPLを知らない人達が考えたものだろうから、手続き的に書く様になっているんじゃないのかな? もちろん、ソフトの世界には無い様なアナログ的な問題がハードにはたくさんあって、 そう簡単に使い物になるとは思わないけど、可能性を考えてみるのは面白いと思う。 実用指向の議論は、シミュ板か電子板でやればいいし。
266 :
265 :2005/07/08(金) 22:12:32
↑のFPLは Functional Programming Language です。 Field Programmable Logic ではありませんので、念の為。
>>265 いやー、ソフトの世界でも未来が薄いもんにハードの世界での活躍は期待できないと思うよ。
関数型言語もHDLも両方触ったことあるけど、両者の親和性が高いと思ったことは1度もない。
あとさ、HDLはFPLを知らない人たちが考えたという発想がまずなんかおかしい気がする。
関数型言語は、
LISPの開発開始が1958年、COMMON LISP ができたのすら1980年。
Scheme はできたのは1975年。
Haskell でようやく1987年。
一方、HDL は、
VHDL は ADA (1980年誕生)を見本にしていて、1985年に成立。
Verilog-HDL はさらに遅くて、1989年。
関数型言語を知らないってことはないと思う。
ム板にもあったんだ
VHDLにおいて。以下の分を1個のレジスタで済ませるには場合にはどうすればいいんですか? シフトレジスタだとレジスタ3つ分になりますよね・・。そうではなくて、1クロックで SINの信号がREG1〜REG3を通り、SOUTになるようにってできるんですか?意味的にはSOUT<=SINになりますが。。 process(CLK) if(CLK'event and CLK='1') then REG1 <= SIN; REG2 <= REG1; REG3 <= REG2; SOUT <= REG3; end else; end process;
作りたい回路の回路図を書いてみろ。
272 :
デフォルトの名無しさん :2005/09/04(日) 20:32:42
vhdlで、ランダムって発生できます? かなりムズイんですが・・・
ソフトでできるのと同様のものなら発生できる。
てすと
>>270 process(CLK)
variable REG1,REG2,REG3 : std_logic;
begin
if(CLK'event and CLK='1') then
REG1 := SIN;
REG2 := REG1;
REG3 := REG2;
SOUT <= REG3;
end if;
end process;
REG1〜3をなんに使うかは知らないがw
Cでいえば、さしずめ int function(int *sin){ int* reg1; int* reg2; int* reg3; reg1 = sin; reg2 = reg1; reg3 = reg2; return *reg3; // SOUT } といったところか。
278 :
デフォルトの名無しさん :2006/03/23(木) 10:39:52
hs
VHDLの開発環境がフリーで手に入るとこないですか? 機能制限でフリーであったって話を聞いたんですけど 見つからなくて・・
Verilogのシムならぐぐればあるのだが シムじゃなくて無償ツールなら、寺とか罪のサイト逝け ていうか電気板の方が反応よいと思われ
電気板って軽の話題持ち込んだアホのせいで荒れてるからなぁ
283 :
デフォルトの名無しさん :2006/07/18(火) 00:39:48
age
暇な人が居ないようですね
この話題は電気・電子板なんだよな
286 :
デフォルトの名無しさん :2006/09/08(金) 22:56:22
複数個のnビットデータから最小の値のデータを選び出す回路は、 もっとも最速の回路を作ろうと思った場合、どういう考え方(アルゴリズム?)でHDLを記述すれば いいでしょう?
287 :
デフォルトの名無しさん :2006/09/09(土) 04:56:47
たとえば n=3 のとき 111 110 101 100 011 010 001 000 の中の最小は 000 っていう意味? 先頭の bit から見て 0 が一番沢山並んでるのが最小でいいような。
複数個のデータがどうやって与えられるかが問題。 nxmで全部パラレルに入ってくるのか、 nbitのパラレルがclock同期で何度か入ってくるのか、 その場合何個目がデータの終わりになるのか等、 条件が不十分すぎると思うよ。
>>286 最速と言うからには組み合わせ回路になると思う。
組み合わせ回路なら最速を考えるのは合成ツールの仕事。
適当なアルゴリズムを書けば勝手に合成ツールが考える。
もちろん最初の展開後の回路が莫大過ぎると合成終わんな
いので、ほどほどにはしておく必要はある。
290 :
デフォルトの名無しさん :2006/12/29(金) 06:10:58
1chipMSXが販売開始されたようですが VHDLソース書き換え試したひといる?
あげてもいいかな?
あげてもいいかな?
ここじゃだめ?
あげないとたいへんなことになります
297 :
デフォルトの名無しさん :2007/05/07(月) 04:50:23
>>297 GJ!
これでこのスレもしばらく安泰じゃ
299 :
デフォルトの名無しさん :2007/05/30(水) 14:49:59
.
ちゃんと内容のあるカキコしろよ:
ぐは、折角頑張って書いたのにぜんぶ自動削除された・・・(鬱
自動削除?
dfbdfdfdf jfgfgj tutututr mfmmfhf kukutk yeryryrr
304 :
デフォルトの名無しさん :2007/11/30(金) 21:35:21
Verilogのスレは無いの?
aruyo
306 :
デフォルトの名無しさん :2008/02/17(日) 15:21:29
doko?
308 :
デフォルトの名無しさん :2008/07/08(火) 19:45:19
VHDLが分かる方いませんか?
310 :
デフォルトの名無しさん :2008/07/09(水) 20:06:59
ひさびさにみにいったら確かに荒れててわろす
311 :
みみずん削除 :2013/08/08 14:33:38 ID:MiMIZUNCjA
コピペ乙
315 :
デフォルトの名無しさん :2008/10/02(木) 07:26:11
保守
保守 ・・・・まだあったのか
組込みソフトやってるがハード屋さんの仕事をもっと理解しようと思い HDL言語でも始めてみようと色々調べてるけど 書籍とかに付いて来る以上の物を求めると結構金かかるのな…
319 :
デフォルトの名無しさん :2008/10/30(木) 22:34:02
>>317 おれハード屋(FPGA)だけど、
>>書籍とかに付いて来る以上の物を求めると結構金かかるのな…
何を理解したいの?
良く嫁
321 :
デフォルトの名無しさん :2009/01/05(月) 14:49:20
VHDL初心者です。 サンプルプログラムとかで、アドレスデコーダの 2進や16進の表記はわかったのですが、 10進表記の場合ってどう書くのですか? ソフトはQuartusU すみません、教えてください。
普通に数字書けばいいんでないの? 正規表現で言うと [1-9][0-9]* 。 なんか特殊なことしたい?
323 :
デフォルトの名無しさん :2009/01/05(月) 16:05:37
例えば、入力「DATA」8ビット入力で、出力が「OUT1」だったら、 port ( DATA : in std_logic_vector(7 downto 0); OUT1 : out std_logic); で、 例えば16進ではX"78" 2進では"01111000"の時に、 OUT1を'1'(その他は'0')と出力したいんですが、 これを10進の「120」とかで直接表記したいんです。 途中でIntegerってのを使うのかな?
Linux使えば出来るんじゃない?
ビット幅を表現できる(2進とか16進とかの)表現でないとダメなんじゃね?
はいはい
スレ違い