【std_logic】Verilog VHDLスレ【16'hFFFF】

このエントリーをはてなブックマークに追加
440名無しさん@3周年:04/01/04 13:11 ID:6ulzM7sz
ModelSimとWebPackは別々にダウンロードするんだけど。
ダウンロードするページで「ModelSim XEダウンロード モジュール」

441名無しさん@3周年:04/01/04 14:03 ID:9GTA8EQh
>>439-440
そ、そうだったのか!(゚Д゚;)
そら見つからないわけだ。とっても助かっただす。サンクス〜。
442名無しさん@3周年:04/01/06 23:55 ID:QMccKaJg
このスレ電子板に移行しないか?
443名無しさん@3周年:04/01/07 00:29 ID:6cWX6Euf
向こうにもある。
444名無しさん@3周年:04/01/11 13:45 ID:fU9vgbnT
age
445:04/02/17 15:15 ID:AU4htqhn
>435

$CDS_INST_DIR/tools/simvision/bin/comparescan か $VCS_HOME/bin/smartdebug で出来るよ
446:04/02/17 15:26 ID:AU4htqhn
>42

SystemVerilogなら
for(int i = 0; i < MAX_COUNT; i++)
って書けるぞ。
447名無しさん@3周年:04/02/18 12:47 ID:5XSk+Aeq
>>435

人によって違うんだろうな・・・僕の場合(というか僕の部下には強制)は
RTL設計の時点で期待値検証をシムレーション時に行わせるように
している。VHDLだったらprocedure、verilogだったらtaskを利用して、
テストパターンを作っておく。そうすれば、何処かにバグが発見された
場合は、再チェックを機械化できる。

それをコンパイル後でも行えるようにしておく。難点は時間がかかること
だなぁ・・・モジュール設計をきちんと行えばいいけど、えいやっって感じで
全体を設計しちゃうと、時間がかかりすぎてこの手法は使えない。
448名無しさん@3周年:04/02/20 04:48 ID:izMPN77R
Xilinx ISEで
 module hoge(clk)
  always@(posedge clk)
をSynthesizeすると、
Input <clk> is never used.
とかいう警告でるんだけど、なんでだろう。
どうも実際に信号を参照しないとダメみたい。。
他にも
Hierarchical block <module> is unconnected in block <topmodule>.
っていう警告もよく理解できない。
これって何がいけないのん?
449名無しさん@3周年:04/02/20 06:05 ID:x7ajnAfz
こっち関係の住人は電気電子板に移動してるんじゃないの?
てかこのスレまだあったの。
450名無しさん@3周年:04/03/27 01:02 ID:TfbRZW8q
しかし、未だにRTLとゲ〜トのVCD比較やってるところなんて
あったのか。まあ、あまり人のところのことを言えたもんでも
ないんだけどね。。
451名無しさん@3周年:04/05/20 22:36 ID:nUy+cDKn
おすすめの参考図書ってないですか?
452名無しさん@3周年:04/08/20 00:16 ID:QJ9ISH9j
どなたか教えていただければ幸いです。
design_compilerでhigh fanoutが出たって警告が出てしまいました(TIM-134)
どこがhigh fanoutになっているかしらべるコマンドがありましたら教えてください。
TCLモードだとあるみたいなんですが、私の環境ではTCLモードで
起動できないようなのです。
よろしくお願いします。
453名無しさん@3周年:04/10/23 21:37:32 ID:wVUwOgDj
ラッチを
reg req;
のように作り合成した際、一部のラッチは

WARNING:Xst:737 - Found 1-bit latch for signal <req>.

のような、WARNINGがでます。
なぜ、ラッチを作っただけでWARNINGがでるのでしょうか?
初心者な質問で申し訳ありません。
454名無しさん@3周年:04/11/05 01:19:12 ID:f0UORtwd
正の数と、2の補数で表現された値の足し算について教えて下さい。
例えば、
reg [6:0]  position;
reg [3:0]   diff;
で、positionは正の整数、diffは、2の補数です。
で、この二つを足した時にオーバーフローおよびアンダーフロー時は
クリップしたいです。
僕の考えた記述は
position_add[7:0] = {1'b0,position_add[6:0]} + {{4{diff[3]}},diff[3:0]} ;
position_add_clipped[6:0] = position_add[7] & diff[3] ? 7'h00 :
position_add[7] & ~diff[3] ? 7'b1111111 : position_add[6:0] ;
だったと思います。(会社なのでうろ覚えです)
もっと、いい記述方法はありますか?integer宣言とか使うと簡単にかけそうな
気もするのですが、わかりませんでした。
よろしくお願いします。
455名無しさん@3周年:04/11/07 03:37:27 ID:EQuWSZfL
簡単とかソースが短いとかより分かりやすい記述を。
456名無しさん@3周年:04/11/07 23:53:04 ID:Btu+lixI
>>455
それがわからなかったので、教えていただければと思います
よろしくです
457名無しさん@3周年:04/11/10 03:22:40 ID:q1E+rd7o
>>453
caseでデコーダを作ったとき、default:を忘れたとか、
そんな予期せずラッチができてしまうバグを回避するため仮名。
>>454
レジスタ出力ならif文使えるけど、
組み合わせ回路で出力ならそれでいいんじゃね?
position_add_clipped = position_add[7] ? { 7 { ~diff[3] }} : position_add[6:0] ;
のほうが読みやすいが。
458good?:04/11/14 04:03:51 ID:al+y6jO5
459名無しさん@3周年:04/11/15 00:39:49 ID:PHOrzS3t
>>457
返信遅くなってすいません。サンクスです。
しかも、457さんの記述読みやすいです。
基本的に行数が増えない記述が好みなので、採用させていただきたいと思います。

どうもありがとうございました〜〜
460名無しさん@3周年:05/02/07 21:53:04 ID:uaS+KL75
test
461名無しさん@3周年:05/02/14 05:36:13 ID:Cg0NxezQ
always@(CLK)
 begin
462名無しさん@3周年:2005/06/03(金) 05:31:29 ID:4/Zi1Sw3
463名無しさん@3周年:2005/08/29(月) 20:00:07 ID:/iFdvL3C
VHDL歴2年、仕事の都合でVerilogに移行しなきゃいかんのですが、
VHDL使いがVerilog使いになるのに、最適な参考書があるのなら教えてください。

なお、初めからVerilogしか使って無い人たちは、下記2冊を持ってる人が多いようでした。

・改訂 入門Verilog HDL記述 (小林 優)
・実用入門 ディジタル回路とVerilog HDL (並木秀明+宮尾正大+前田智美)

周りのVerilog使いは、ほとんど同じだよ…と言ってるので、
たぶん自分は、Verilog覚えるとかいう以前に、
いろいろと問題があるのだとは思いますが、そこにはあえて目をつぶって…。

そんな都合良いものはない、というなら上記2冊のどちらが良いか…でも、構いません。
切迫しています。どうかよろしくお願いします。
464名無しさん@3周年:2005/08/29(月) 23:41:54 ID:r0E6SeIo
電気板へどぞー

【Verilog】記述言語で論理設計 Project3【VHDL】/
http://science3.2ch.net/test/read.cgi/denki/1123173110/l50
465名無しさん@3周年:2005/09/04(日) 16:19:39 ID:YosNe0zy
>>463
取り敢えず移動推奨。

しかし、文法が厳格なVHDLを先に学んだのならVerilogに移行するのは容易いです。
466名無しさん@3周年:2006/07/01(土) 00:09:14 ID:U/cLScPt
467名無しさん@3周年:2006/07/25(火) 19:17:52 ID:KMHRC3BC
分かりやすく教えてください。
468名無しさん@3周年:2006/11/15(水) 12:23:07 ID:CE86TXYg
初心者で、学習ソフトのEndeavorをやってるのですがわからないところがありましたのでご教授お願いします。

こちらです。
http://up.spawn.jp/file/up50839.txt
「テンキーの指定したビットを1にします。」
と間違った部分にコメントが出ます。

■■■の部分が分からないんのですがよろしくお願いします。
469名無しさん@3周年:2006/11/15(水) 17:24:57 ID:3dfge6/k
470名無しさん@3周年:2006/11/22(水) 03:51:45 ID:YFj48J0j
VHDLプログラムでFPGA上で動作するブラックジャックゲームを作成
された方いらっしゃったらご教授願います。初心者のため何をどうすればいいのか
のか…
471名無しさん@3周年:2006/11/22(水) 14:18:04 ID:+nhT9TBc
>>470
さすがに...
472名無しさん@3周年:2006/11/22(水) 16:27:32 ID:eztAaP8P
そもそもVHDLプログラムって何よw
473名無しさん@3周年:2006/11/24(金) 22:14:45 ID:S+Cm0s8E
課題を出す方も出す方だな
それ昔のデザインウェーブマガジンの記事にあったんじゃないか?
474名無しさん@3周年:2006/12/22(金) 22:40:40 ID:4uea2H6X
>>473
課題を出した人はソフト屋の悪寒
475名無しさん@3周年:2007/01/28(日) 15:12:27 ID:pzT5zUw1
はじめまして。学校の課題で悩んでいます。よろしければご教授願います。
【ディジタル時計の設計】なのですが、仕様としては・・・
1.24時間表示の時計
2.入力クロックは1Hzが与えられるとする
3.これら以外の仕様は自由に決めてよい
となっています。
まず、自分が悩みに悩んでもできあがらない糞なソースを見てください。
http://sourcepost.sytes.net/sourcepost/sourceview.aspx?source_id=29148
半年間講義を受けてこの程度です。
1秒生成の仕方がまずわかりません。
カウンタの意味すらよくわかっていません。
課題の提出期限が明日となりかなり焦っています。
課題そのものの解答が得られれば嬉しいですが、とにかく提出できるレベルになればと思っています。
アドバイス等々
どうかよろしくお願いします。m(__)m
476名無しさん@3周年:2007/01/28(日) 15:28:12 ID:ki1dASRH
786 名前:774ワット発電中さん[] 投稿日:2007/01/28(日) 15:15:17 ID:CkNFuxn5
はじめまして。学校の課題で悩んでいます。よろしければご教授願います。
【ディジタル時計の設計】なのですが、仕様としては・・・
1.24時間表示の時計
2.入力クロックは1Hzが与えられるとする
3.これら以外の仕様は自由に決めてよい
となっています。
まず、自分が悩みに悩んでもできあがらない糞なソースを見てください。
http://sourcepost.sytes.net/sourcepost/sourceview.aspx?source_id=29148
半年間講義を受けてこの程度です。
1秒生成の仕方がまずわかりません。
カウンタの意味すらよくわかっていません。
課題の提出期限が明日となりかなり焦っています。
課題そのものの解答が得られれば嬉しいですが、とにかく提出できるレベルになればと思っています。
アドバイス等々
どうかよろしくお願いします。m(__)m
477名無しさん@3周年:2007/04/29(日) 16:06:46 ID:pZ0raT+x
age
478イカロス:2007/10/17(水) 16:13:09 ID:tuKchSjL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity hitoketa is
port ( CLK : in std_logic;

SEG7LED : out std_logic_vector(7 downto 0));
end hitoketa;

architecture RTL of hitoketa is

signal BIN4 : std_logic_vector(3 downto 0);
signal CNT : integer range 0 to 32999999;
signal T1S,RESET,STOP : std_logic;

begin
479イカロス:2007/10/17(水) 16:14:02 ID:tuKchSjL
process (CLK,STOP)
begin
if (CLK' event and CLK= '1') then
if(STOP='1')then
CNT<=CNT
elsif ( CNT = 32999999 ) then
T1S <= '1';
CNT <= 0;
else
CNT <= CNT + 1;
T1S <= '0';
end if;
end if;
end if;
end process;
480イカロス:2007/10/17(水) 16:14:43 ID:tuKchSjL
process ( T1S , RESET)
begin
if (RESET ='0')then
BIN4 <="0000";
elsif(T1S' event and T1S= '1') then
if( BIN4 = "1001" )then
BIN4 <= "0000";
else
BIN4 <= BIN4 + 1;
end if;
end if;
end process;
481イカロス:2007/10/17(水) 16:15:49 ID:tuKchSjL
process (BIN4)
begin
case BIN4 is
when "0000" =>SEG7LED<= "00000011";
when "0001" =>SEG7LED<= "10011111";
when "0010" =>SEG7LED<= "00100101";
when "0011" =>SEG7LED<= "00001101";
when "0100" =>SEG7LED<= "10011001";
when "0101" =>SEG7LED<= "01001001";
when "0110" =>SEG7LED<= "01000001";
when "0111" =>SEG7LED<= "00011111";
when "1000" =>SEG7LED<= "00000001";
when "1001" =>SEG7LED<= "00001001";
when others => null;
end case;
end process;

end RTL;

動きません。助けてください。。。
482名無しさん@3周年:2008/02/18(月) 19:52:43 ID:uHF0Rxm1
初心者です。助けてください。
ランダムパルスをつくりたいんですけど、
どうしたよいのでしょうか‥?
483名無しさん@3周年:2008/07/04(金) 17:00:39 ID:SjmJXUbR
vhdlの栄枯盛衰を考えつつage
484名無しさん@3周年:2008/07/18(金) 06:40:03 ID:WovWKBLE
さいきんどーですか
485名無しさん@3周年:2008/09/07(日) 15:02:49 ID:isNuz5Gi
今後収束していくであろうVHDLを今さらながら勉強しようかと考えています。
VerilogのIcarus verilogみたいに、
フリーのVHDL 論理合成ツールってありますか?
486名無しさん@3周年:2009/02/07(土) 17:16:06 ID:s/gPu6Ja
VHDLでportをopenするみたいに、
verilogでもポートの未使用を明示的に指定して
コンパイラのWarningを消す方法ってありますでしょうか?
487VW:2009/08/07(金) 19:18:57 ID:Ka792Lqk
93年から2年くらいかけて論理合成ライブラリの開発をやったとき、
VHDLの勉強させられたけど、今は全然覚えてねぇ。
でも懐かしい。。。
488名無しさん@3周年:2010/08/01(日) 08:08:32 ID:y8JsDFoN
滅多捨震w
489名無しさん@3周年


わりぃなちょっとひとさがしだ、協力しろや。
おめぇら、ベアリングって2すれの407、しらね?
ばっくれやがった。

でてこいよって 407、てめぇ、何バックれてんのよ

わりぃな、会話の邪魔して、知ってるや敷いたらベアリングって2
でちくってくれ。