●PIC・AVR 初心者のためのスレッド-2サイクル目●

このエントリーをはてなブックマークに追加
1名無しさん@1周年
オールインワンのマイコンチップPIC・AVRについて語るスレッドです。
他に該当スレなければ他のワンチップマイコンの話題もいいのではないだろうか。
2とりあえずこれだけ:02/12/23 11:27 ID:0AbJJsqo
PIC-microchip http://www.microchip.com http://www.microchip.co.jp/
PIC関連-後閑さんのpage    http://www.picfun.com/
AVR-atmel   http://www.atmel.com/
AVR情報多い-chanさんのpage  http://elm-chan.org/
AVRGCCその他AVR関連 http://www.avrfreaks.net/
3名無しさん@1周年:02/12/23 21:10 ID:nACU1kUU
前スレ ●PIC・AVR 初心者のためのスレッド●
http://science.2ch.net/test/read.cgi/kikai/981417210
4じゃこれも:02/12/24 01:52 ID:qEls+uq4
レディオテクニカ有志による 翻訳版 AVRデータシート
ttp://homepage1.nifty.com/radio_tech/
5名無しさん@1周年:02/12/26 12:38 ID:1PY2Huyb
はじめまして
下記のPIC/AVRによるブロック崩しゲームを参考にVideoに何か表示するものを
作りたいと思っています。
http://homepage2.nifty.com/SEIDENSYA/AVR_PIC/3PIC_GAM/3PIC_GAM.htm
http://homepage2.nifty.com/SEIDENSYA/AVR_PIC/2AVR_GAM/2AVR_GAM.htm

PICブロック崩しのソース見ると254本の水平走査と8本の垂直同期を含む
水平走査の繰り返しのようなのですが、本来は
垂直同期8本分→9-262まで水平走査→263本目の真ん中から垂直同期が入る
→垂直同期→偶数フレーム走査→525本で終了
ですよね。

白黒で走査線は200本そこそこ程度でいい場合は、奇数フレーム又は偶数フレーム
ばかり出すような信号(垂直同期8H+本走査254H)を60Hzで繰り返しで行っても
いいということなのでしょうか。
6名無しさん@1周年:02/12/26 13:41 ID:Tgev2Uih
帰ってオマンコしよ!
C=C=C=┌(;・_・)┘トコトコ
http://hkwr.com/
75:02/12/26 18:17 ID:jiwAwBoP
自己レス。
ビデオゲームやデジカメのビデオ出力などは奇数フィールドしか
出していない、これでも普通のTVは受像可能なのか。納得できました。
http://cake.ep.u-tokai.ac.jp/~nisshii/PS/FAQ/text/PSFAQ300.txt
これで話が簡単になった\(^^)/
8名無しさん@1周年:02/12/28 02:15 ID:R+ZVOo1V
前スレで、PICでのバイクのトリップメーター計算プログラムについて質問したものです。
返事が遅れましたが、なんとかプログラム完成してうまくいきました。
ご教授してくださったみまさま、ありがとうございました。
9名無しさん@1周年:02/12/28 19:05 ID:9gDAlX2L
>>8
おめでとうございます!
ではこれからEEPROM結果保存とかSLEEP・自動復帰などにはまってください(^^)
10名無しさん@1周年:02/12/30 19:35 ID:Yq4u/JLd
初心者以前の入門者です。
今回、AVRを素材に、アセンブラというものを
学んでみようかと思っています。
そこで質問なのですが、組んだプログラムを即、
PC上で動作をエミュレートしてみるためには
何を入手すればよいのでしょうか?

11名無しさん@1周年:02/12/30 20:32 ID:cFGsmr0T
>>10
AVR Studioが一般的。
入手は1で記載のatmel.comからVer3.56または4.05、
若しくはavrfreaks.netからVer3.55または4.06RC1。
1210:02/12/30 20:38 ID:Yq4u/JLd
>11
迅速な御回答ありがとうございます。
早速どんなものか見てみることにします。
13名無しさん@1周年:02/12/30 21:27 ID:nW73QvPI
_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/

  http://www5b.biglobe.ne.jp/~ryo-kyo/osu.html

_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/
14名無しさん@1周年:02/12/31 11:49 ID:hPJNo/fb
>>11
AVR Studio,あれいいっすね!
実機ではとんと分からないものもこういうのがあると助かります。

先日AVR StudioでOKなのに実機でどうしても動かないのに遭遇。
いろいろ調べた結果・・・・電池切れでした(^^;)

15名無しさん@1周年:03/01/03 11:25 ID:sMRZtknq
あけましておめでとうございます。
AVRの8515ですが、外部SRAMを付けた場合read/writeはwaitなしで3クロックと
あるのですが、そうなるとld,st命令は外部SRAM領域では3クロック、内部メモリ
領域では2クロックなんてことになるのでしょうか。
16名無しさん@1周年:03/01/03 12:27 ID:Yonc0DrR
>15

そのとおりです
17名無しさん@1周年:03/01/05 22:06 ID:quY6zJY5
どうもありがとうございます。
当たり前すぎてデータシートには書いていないんでしょうね。
18名無しさん@1周年:03/01/05 23:55 ID:b4vS/UT9
>>17
書いてあるも何も、考えなくても解る事じゃん。
日本語解りますか?
19名無しさん@1周年:03/01/06 02:15 ID:rNdQoCdh
>18さんの「常識」もそのとおりと思いますが、
>17さんの指摘もまた、そのとおりと思います。
多くのデータシートの流れは、
メーカーが最低限の情報を開示、
クレームがきた部分のみ追加/補足、
よって、くどいほどのところと手薄なところが混在、
結果、バランスの悪いものになる。
・・・と思いますが、如何でしょうか?
20名無しさん@1周年:03/01/06 03:25 ID:n1tk86om
AVRデータシートのアーキテクチャ概要にそこら辺の事は
しっかり書いてあるんだけどなぁw
2119:03/01/06 06:36 ID:rNdQoCdh
経過をはしょったため、誤解を生む結果となったかもしれませんので。
>15さんの発言には、
もしそうならば、例えば命令セットのLDやSTの実行クロック数が
2ではなく2または3ではないのか
という意味が含まれていると理解したものですから...
2215:03/01/06 07:59 ID:uecpKqjS
すみません、CPUのことあまりわからん素人で。

外部SRAMのタイミングはちゃんと書いてあるからちょっと考えれば
判るんですが、外部SRAMの項にもld命令などの所要時間が伸びるとは
書いてないし、末尾のinstruction setでld,st,ldd,std命令などについて
注釈なしで2クロックとあるもので疑問に思ったのです。

>>20を見て再度見直したところ、アーキテクチャ概要の中に
その記述見つけました。見落としていました。ありがとうございます。
内蔵SRAM領域アドレスをアクセスする場合、内蔵SRAMがアクセスされる
ことについてもちゃんと書いてありました。
23山崎渉:03/01/11 08:10 ID:VbIZyBkm
(^^)
24山崎渉:03/01/18 14:12 ID:T8eZO2ir
(^^)
25名無しさん@3周年:03/01/20 01:40 ID:UIvDgP72
漏れに1からPICアセンブラを教えるスレとかないかねぇ〜
N88-BASICとかMSXBASICとかならわかるんだけど
アセンブラとかになった瞬間に脳がフリーズするんですけど・・・・・・・・・

ってかおすすめ本ないっすか?
26名無しさん@1周年:03/01/20 02:00 ID:JGH7+qjA
>>25
他人の書いたプログラムを弄ってみるうちに、
どこがどういう役割なのか分かると思うが・・・。
とりあえず、LED点滅プログラムでも書いてみるのが一番だと思う。
27名無しさん@3周年:03/01/20 13:30 ID:UIvDgP72
>>26

LEDか〜
確かに目視できるのはわかりやすい
やってみます
28名無しさん@1周年:03/01/20 15:33 ID:yR65J0T4
>>25
一から、というからにはまるで手が着けられない状態なのかな?
単純なものから作るのが吉。
大概の解説してるサイトや本って、まず命令の一覧と解説があって,だけど、
たった35の命令でも雰囲気が掴めない内は暗号書です。
まずは限られた命令で動いている小さなプログラムを見て、
その命令の動きをだいたい捉えて、それからニモニック表みて動きを把握して、
そしてフローチャートから逆にプログラムを組み立ててみる。そんな感じが
いいと思う。最初はばかみたいに簡単な物から。

まずはpoweronresetからLEDをつけるだけのアセンブラ、どうぞ>>27
RESET→必要なポートを出力として使う指定→そのポートをHiまたはLoにする→その状態を固定するための無限ループ
29名無しさん@3周年:03/01/20 17:45 ID:UIvDgP72
>>28

おっしゃるとおり、まるで手がつけられない…(藁えない
秋月のキットでPICを焼けるまではできるのですが
さて、「なんか組んでみるか…」
という次元にはまだ手が届かないです
人のソース見てわがソース見直せってところから始めたいと思います
とりあえず、LEDとSWを連動させてみたいと思います

そこでまた引っかかったのですが、何とかしたいので本買ってきますた
(誠文堂新光社/わかるPICマイコン制御)

ってPA.EXEはマイナーな悪寒
30名無しさん@1周年:03/01/20 18:10 ID:yR65J0T4
ここなんかかなりよさげ。
http://www.ex.sakura.ne.jp/~leocrim/crimson/Pic/index.html
いきなりデータシートの要約のような文句並べられるよりこんなのがいい。
31名無しさん@3周年:03/01/20 19:47 ID:UIvDgP72
こ、これだ!
がんがりまふ
32名無しさん@3周年:03/01/24 23:05 ID:pGOKTGyk
PIC16F873-20/SP、PIC16F873A-I/SPのプログラムをアセンブラで書いています。
HexファイルはMPLABでPIC16F873Aを指定してアセンブルして作成しています。

が、873に書き込むと正常動作するのですが873A-I/SPだと途中で止まってしまいます…
動作としてはRA0〜RA5までA/DしてUSARTで送信を繰り返すことを繰り返しているだけです。
clockは10Mhz使用です。

誰か原因をご存知の方、ご教授ください。
33名無しさん@3周年:03/01/25 20:29 ID:KHRe9vaQ
http://www.microchip.com/download/lit/migrat/39591a.pdf
新しく追加されたらしいアナログコンパレータ関連のレジスタを間違って操作してない?
してなくても念のため不使用にセットしてみては?
3432:03/01/27 03:42 ID:/ZMG6TOD
>>33
一応関連してるところをセットしておいて動きました。
初期状態でどうなるかよくわかってなかったのでその辺が問題だったのでしょうね…
ありがとうございました。
3535:03/01/28 17:54 ID:mKvMVLiw
本当の初心者的質問でスミマセン。
PICを使って電光掲示板を作って、文字を表示させたいのです。
ググってみたけれど、詳しいことが載っている所が見つかりません。

実は回路もどのように組んでいいのだかよく分かりません。
マトリクスというのを使うと、LEDを格子状に組む必要は無いようですね。
表示の大きさは片仮名&英数字が表示できればいいので、5×7くらいで十分です。
まずは何からやればいいですかね。
36名無しさん@3周年:03/01/28 20:45 ID:naAqm0wy
>>35
あなたがどれくらいのスキルを持ってるかによると思うよ。
PICのプログラムは勿論作れるんだよね?

  www10.plala.or.jp/inatama/elec/matrix/
これとか参考にならんか?
googleで検索したら出てきたが。
3735:03/01/28 21:06 ID:GQZ3pwFZ
>>36
言いにくいですが、プログラムは組めません。

このサイト参考にしてみます。

スレ違いになっちゃうけど、PIC以外でも同じようなことできますよね。
他にはどのようなものがあるのでしょうか?
3836:03/01/29 00:29 ID:FzoDm9Fj
>>37
とりあえず、簡単なプログラムから始めるべきだと思う。。
他人のプログラムをいじって動かせば、そこがどういう役割なのか分かるし。

単に文字表示させるだけならば、そんなに時間はかからないと思う。

PIC以外にはAVR、H8とかSH-2とか。
CPLDとかで組むって手もあるでしょ。

p//akizukidenshi.com/catalog/dotmatrix.html
の16×32ドットマトリクスLEDモジュールってどうなんだろうねぇ。
使ったこと無いけど、マトリックスを直接駆動するよりは楽かもしれないね。
39名無しさん@3周年:03/01/29 21:34 ID:l8h6n1G2
>>35
http://www.psn.ne.jp/~z-gypsy/kousaku/LED_PANEL/index.html
一から作るならこのあたりがズバリでしょう。AVRだし。16x16だけど。

>>38
新製品ですか。これは面白そうですね。漏れも注文してみよう。
7x5なら2行×5桁くらいいけますね。
昔売ってた、ASCIIコード送信で表示できる
シリアル制御の7x5x3桁の表示器みたいな奴、また売らないかなあ。
4035:03/01/29 22:03 ID:9QBfy6eg
>>36-39
ありがとうございます。
AVRとかH8か。
がんばってみます。ありがとうございました。
41名無しさん@3周年?:03/02/06 15:19 ID:B9EbqNzf
今回初めてPICをいじってみようと、これ↓
http//akizukidenshi.com/catalog/dotmatrix.html
を買ったのですが、PICでどのようなプログラムを組めばいいのか分かりません。
ためしに「こんにちは」などの簡単な文字を出力したいのですが。

一応PICプログラマーキットVer.3yは持っています。
42名無しさん@3周年:03/02/06 17:06 ID:Dw7Z+l7x
>>41
そんな難しいモジュールかわずに
http://akizukidenshi.com/catalog/lcd.html
P-41
(パーツ−41) LCDキャラクタディスプレイモジュール 
こっちにしておけ。
43名無しさん@3周年:03/02/06 17:07 ID:Dw7Z+l7x
それはそうと、
誰かPIC18F452が何MHzまでイケルか試したやついないか?
カタログスペックだと40MHzなんだけどさ。45MHzで動かしたいのさ。

秋月に18F452売ってたっけ?
4441:03/02/06 18:07 ID:B9EbqNzf
>>42
このP−41とP−40との違いは何なんでしょうか?
写真を見ると違うのですが、内容(◆にかいてあるやつ)は同じなんで。

ここ(↓)で紹介されているのは
ttp://www.ex.sakura.ne.jp/~leocrim/crimson/Pic/Pic22.html
>キットに付属の、プログラム書き込み済みPIC16F84を装填し、
>PCに専用のシリアル通信ソフトをインストールして
とありますが、プログラム書き込み済みPICが付いてくるのでしょうか?
ま、実際に買いに行けばいいことなんですがね。
45名無しさん@3周年:03/02/06 19:38 ID:kgmRwADT
>>44
P-41は「デカ文字」
PICと液晶の接続は、世の中にゴマンと解説Webがあるので
適当に探してちょ。

46名無しさん@3周年:03/02/06 19:42 ID:kgmRwADT
>>43
売ってないみたいねぇ。Web見る限りは。

http://www.bg.wakwak.com/~y-yoshida/index.html
ここは?使ったこと無いけど。
18もライターも基板もとりあつかってるのに、セラロックは取り扱ってないのか

4741:03/02/06 21:40 ID:KdOMNEGR
>>45
こんなくだらない質問に答えてくださってありがとうございます。
48名無しさん@3周年:03/02/07 15:01 ID:A7nUjfMx
>>43
PIC18F452って10MHzクリスタル繋いで40MHzのPLL駆動っすか。
って事は1命令10MHzということで、ATMELに追いつくわけですね。
PUSH,POPやテーブル引き、比較命令も増えているし。
ちょっといいかも。秋月に在庫していないのがアレですな。確かに。
49名無しさん@3周年:03/02/09 18:02 ID:S8MSC9I1
先日秋月PICプログラマーキットを買って上手く組めたとこまではいいのですが、

MPLABを使ってPIC16F877のプログラムを作り、
コンパイル成功したので、さあロムライターに書き込んでみようとしたら、
「PICSTART Plus not found on COM1.」
と通信エラーが出て書き込めません。

どうもROMライターを認識出来ないようです。
何か設定要るんでしょうか?

もう3日ほど原因探してるんですがお手上げです。
このままでは勉強になりません。どなたかアドバイスお願いします。
50名無しさん@3周年:03/02/09 18:55 ID:J/OkX3Qc
三日もやってるなら見てると思うけど。

COM1,2間違えてない?シリアル設定も 
ケーブル、クロスとストレート、コントロール?
他のプログラムがポート使ってない?
51名無しさん@3周年:03/02/09 18:57 ID:WlJb/vaw
>>49
もちっと詳しい情報を
5249:03/02/09 21:21 ID:S8MSC9I1
レス遅くなってすみません。

>>50
はい、COM1と2を間違えてないかチェックしました。
ケーブルはオプションのケーブル+ACアダプターです。
いろんなサイトを見て、クロスになってないかテスターでチェックしましたが、
1は1、2は2となってて、ストレートであると確認済みです。
COMポートは今回初めて使いますので他のプログラムが邪魔してるとは思えないです。


>>51
ROMライターはAKI-PICプログラマーキットVer3です。
一通りプログラムして、
ProjectのBuild Allをクリックし、
「Build Completed succesfully」と出るまではいいのですが、
その後
PICSTART PlusのEnable ProgrammerをクリックするとOKなはずですが、
エラーが出ます。エラー文は次の通りです。

「Cannot reserve. Please verify serial and power connections and reset
the programmer [0000.00.00.88].」

「Programmer has been reset.」

「Cannot transmit. Please verify serial and power connnections and reset
the programmer [0000.57.00.88]」

「PICSTART Plus not found on COM1.」
53名無しさん@3周年:03/02/09 21:48 ID:N39q2fCk
>49
はんだ付けが間違ってないか回路図見て確かめて見れ。
それと秋月純正のライタ(PICW.EXE)があるはずだから
そっちでも試せ。
5449:03/02/09 22:06 ID:S8MSC9I1
>>53
半田付けバッチリ。ICソケット、電解コンデンサー、LEDの向き全てバッチリ。

秋月純正のライタ(PICW.EXE)だと
「ハードウェアエラー、ライターの準備は出来ていません」と出ます。

AKI PICprogrammar Ver2だと
COM1はちゃんと認識し、リードをするとちゃんとBusy LEDは点きますが、
何もしてないのに「コードプロテクトされています」と出て
無理矢理プログラムしても「プログラミング失敗」でNGになってしまいます・・・。

キットが不良品とは思いたくない・・・。
55名無しさん@3周年:03/02/10 00:01 ID:2FJFg+lR
>>54=>>49

>PICSTART PlusのEnable ProgrammerをクリックするとOKなはずですが、
Ver3ってPICSTART Plus互換なのか・・・?
持ってないから知らないけど。

>AKI PICprogrammar Ver2だと
これは、Ver2のハードウェアで試したって事ですか?

>何もしてないのに「コードプロテクトされています」と出て
これは、PICが死んでたり動いてない時に同じようになるけど・・・。
書き込み時、書き込みPICに電圧いってるの確認した?
56名無しさん@3周年:03/02/10 06:48 ID:n8jWsmse
first pickで、ぼちぼちやるがよろし。
57名無しさん@3周年:03/02/10 18:23 ID:/LJV/e4f
説明書引っ張り出して見てみたが、下の項目はちゃんと行ってるか。

(以下抜粋)

■書き込みソケットとJP2の対応■

U7を使用する際はJP2の設定をする必要があリます。
★ICは1番ピンが上側(レバーと 反 対 側 )に来るようにしてください。


16F877  JP2の2-1間を接続する。

(以上抜粋)

つうかAVRに変えろ。

58@ー@:03/02/10 20:32 ID:FyzxYVhX
http://akizukidenshi.com/catalog/kit.html#K-00076
これを買って組み立てたんですが、パソコンからデータが送れません。
付属のCDをハードディスクにちゃんと移しています。
しかし、LCD.EXEをクリックすると一瞬だけ画面が出て、直ぐに消えてしまいます。
いったい何処から文字を書き込めばいいのでしょうか?
5949:03/02/10 20:47 ID:U6etWpv8
>>57
(/・_・)<はいっ!ちゃんと行っております。
つい先程、MPLABの通信エラーに関するらしいヘルプを見つけました。
英語なんで敬遠してましたが、勇気を振り絞って解読中であります!
60名無しさん@3周年:03/02/11 10:17 ID:leTeYYyv
あの、秋のプログラマーキットってMPLABのライタ
ソフトウエアには対応してないんじゃ・・・。
あれは秋のライタソフト専用だろう。

>49
BIOSのCOMポートはENABLEになっているか?
6149:03/02/11 19:30 ID:vI352vIa
>>60
しっかりとENABLEになってますた。

も一度、基盤をチェック。
Busyランプが暗いのでしっかり半田を溶かしてみたら、
PIC Programmmer Ver2ソフトのみ正常に作動しますた。

ハードのAKI PICプログラマキットver3はMPLABに対応してなかったんですね…。
いろんなサイトでは使ってるように見えましたが・・・。

もう疲れたよ・・・・・・。
62名無しさん@3周年:03/02/11 19:57 ID:4Q5/rk8w
>49
い`。
63名無しさん@3周年:03/02/11 22:00 ID:zZ6B18e+
>>61
この程度で疲れていたら自作とかの勉強なんて夢のまた夢だよ。
マイペースで良いから投げ出さずにがんばれ。
6464:03/02/11 22:32 ID:eFiUVjDb
PICでLCDに文字を表示させようと、いろんなサイトを巡っていたのですが
ttp://www.kimurass.co.jp/pic/0305.htm
ここのページのような簡単な回路でできてしまうのでしょうか?
使ってるものがPICとLCDとセラロックだけなんですよ。
実際にこの回路だけで出来るなら、凄く驚きです。

で、このプログラムを見ると、サブルーチンのところで文字の表示を
MOVLW 'Y'
CALL LCDDTW
MOVLW 'a'
CALL LCDDTW などと、直接Yやaなどの文字を書いているのですが、
これでちゃんと表示できるのでしょうか?
65名無しさん@3周年:03/02/11 23:12 ID:83fq2+OA
>>64
http://www.picfun.com/pic12.html
こっちみた方がいい
66PIC:03/02/11 23:18 ID:Tp+g2scG
いやそんな驚かれると照れるな.
正直LCDコントローラさんのお陰で簡単に表示できるんですが.
6764:03/02/11 23:21 ID:eFiUVjDb
>>65
ここのも参考になりますね。
>>66
>いやそんな驚かれると照れるな
とうことは、これだけで出来るんですね。
(回路、プログラム含む)
68名無しさん@3周年:03/02/11 23:22 ID:tyUknr0N
私にも教えてください(16F84Aです)。なんとかアセンブラを覚えたのでCの勉強を
はじめたんですけど、ヘッダファイルがよくわかりません(-_-;)。
#define PIN_A0 40
#define PIN_A1 41
#define PIN_A2 42





の"40","41","42"・・・が意味不明です。
けなしてもいいから、だれかおしえて。
69名無しさん@3周年:03/02/11 23:34 ID:NPxWtstS
#define PIN_A0 40と宣言した場合、

ここからあとは “PIN_A0” という文字列は “40”という文字列に
置き換えてコンパイルしますよという意味。こんな風に使う。

#define wait 200




for(int i = 0; i <wait ;i++)
{
   各処理

7064:03/02/11 23:36 ID:eFiUVjDb
>>65の紹介してくれたサイトでは実際にどこで文字を出力しているのでしょうか?
;**** LCD Data Write ****
のところだとは思うんですけど、その中の何処にどうやって書いたらいいのか・・・
例として何か言葉を入れてもらわないと分からない。
71名無しさん@3周年:03/02/11 23:43 ID:tyUknr0N
>69さん
返信有難うございます。もっともっと勉強してガンダムつくります。
72名無しさん@3周年:03/02/12 00:20 ID:s5eQ2SH8
>>70
最初の
ttp://www.kimurass.co.jp/pic/0305.htm
LCDDTWは汎用の1文字出力サブルーチンになってます.
使用方法はWregに表示したい文字をセットしてから呼び出します.
中身はASCII文字コードを1度に1文字分(8ビット)送ってます.

>>65
;**** LCD Data Write ****
も汎用の1文字出力サブルーチンになってます.
使用方法は上と同じ.
中身は文字コードを上位下位2つに分けて4ビットずつ送ってます.

例:'a'をLCDに表示
MOVLW  'a'        ;'a'をwregにセット
CALL LCD_DATA ;**** LCD Data Write **** 呼び出し

どちらも使い方は同じですね.データ転送方法がちょっと違うだけです.
7364:03/02/12 00:27 ID:9XMm+QoF
>>72
親切に教えていただきまして有難うございます。

>使用方法はWregに表示したい文字をセットしてから呼び出します
のWとは、LCDDTWやMOVLWのWのことでしょうか?
Wregの意味がチョットわからないので・・・スミマセン
74名無しさん@3周年:03/02/12 00:39 ID:s5eQ2SH8
>>73そうです
Working Registerを指しています.
WregはPICで演算するときに値を入れておいたり
演算した結果が入ってきたりする場所と考えてください.
演算で中心的な役割を果たします.参考ttp://www.picfun.com/cpu04.html

ここが詳しいですよ
ttp://www.picfun.com/
7564:03/02/12 00:48 ID:9XMm+QoF
>>74
有難うございました。
ttp://www.kimurass.co.jp/pic/0305.htm でも
ttp://www.picfun.com/pic12.html でもどっちでもやっていることは同じことなんですね。
8ビット送るのが面倒じゃなかったら上の方のでいいですよね。

例えば上ので”Hello”と出力したかったら
MOVLW 'H'
CALL LCDDTW
MOVLW 'e'
CALL LCDDTW
MOVLW 'l'
CALL LCDDTW
MOVLW 'l'
CALL LCDDTW
MOVLW 'o'
CALL LCDDTW

とすればいいのですか?
76名無しさん@3周年:03/02/12 00:59 ID:s5eQ2SH8
>>75
>とすればいいのですか?
そうですね.

2つの方法は回路が多少違うのでご注意を.
77名無しさん@3周年:03/02/12 01:01 ID:s5eQ2SH8
あ,補足
>8ビット送るのが面倒じゃなかったら上の方のでいいですよね。
下の方は4ビット分のポートを節約してるんです.
他に使うかもしれないので.
7864:03/02/12 01:09 ID:9XMm+QoF
>>76
>2つの方法は回路が多少違うのでご注意を.
4ビットと8ビットなので、ポート数が多いか少ないかっていうことですよね。
ピンナンバーとか。

>下の方は4ビット分のポートを節約してるんです.
>他に使うかもしれないので.
特に他で使う予定が無い場合は上のでいいですよね。
79名無しさん@3周年:03/02/12 01:14 ID:s5eQ2SH8
OK!
8064:03/02/12 01:18 ID:9XMm+QoF
ありがとうございました。
これからも何かあったら教えてくださいね。
これで眠れます。オヤスミなさい。
81 :03/02/12 17:30 ID:eu1AHMkq
PICの電源は約2〜6Vだそうですが、実際は何Vくらいにすればいいのでしょうか?
普通の乾電池だと1.5Vだし、6P型だと9Vだし。
どうすればいいのでしょうか?
8281:03/02/12 17:41 ID:eu1AHMkq
自分で解決できました。
1.5×4ですね。
83PIC18を使ってみたいが:03/02/12 18:32 ID:Zx1Ysh8Y
PIC18CXX2 のデータシートのPWMの所で、P116の
PR2  PWM freq  Resolution(bit)
0xFF  19.53kHz  10
0x3F  39.06kHz  8
0x1F  78.12kHz  7
0x17  208.3kHz  5.5

っていう表がどうしても理解できないんですが。
Tpwm=[(PR2)+1]*4*Tosc
っていうのに合わないんですが?
8449:03/02/12 23:48 ID:My0g2cRj
昨日は疲れたなんて言ったが、メゲナイゼ!
85名無しさん@3周年:03/02/12 23:52 ID:EF/G6KXQ
>>84
がんばれよ〜
原因分かったら報告してな。今後、同様の症状の人の参考になるから。
86名無しさん@3周年:03/02/13 02:36 ID:FYP8Sn3L
PIC16F87xシリーズの低電圧書き込みを利用している方いますか?
おすすめのライターなど有ったら教えてください。
87便乗:03/02/13 10:34 ID:Px4yi29p
>>86
ISPアダプタを自作したいんですが、どっかに参考Webありませんかね?
88名無しさん@3周年:03/02/13 15:08 ID:yjCyBiNO
http://www.htsoft.com/products/piclite/piclite.html

Freeware PICC Lite Compiler
って、うちのWindowsXPにインストールしようとすると、インストーラが起動したと
思ったら強制終了させられるんですが、皆さんいかがですか?(涙目)
8986:03/02/13 22:28 ID:FYP8Sn3L
>>87
僕はトラ技の2002年11月号を参考に作りました。
でも、ライターソフトが無い。
90名無しさん@3周年:03/02/13 23:23 ID:S7kyO7Jv
マイクロチップの「PICmicroセミナー」始まりますね
http://www.microchip.co.jp/seminar/seminar_index.htm
これって皆さん業務参加?有給で私用参加?
どっちが多いのでしょうか
私は会社には黙って有給とっての参加です
91名無しさん@3周年:03/02/14 09:06 ID:TCqC6bUp
>>90
65,000円/2日かぁ。
92bloom:03/02/14 09:21 ID:fcp3Vawl
9390:03/02/14 13:38 ID:gltRERg3
>>91
工数のこと?セミナーはタダで1日だよ
帰りにはPIC1個とバッジ?もらえる (3年前だったけ?)
本読んで済む内容といえばそれまでだから、(もちょっと特典ホシイ)
会社に出してもらうにはちょっと心苦しい 
その前にうちの会社でPICって言っても知らない人が多い
説明してもペンティアムより遅いじゃんって言われそう
94名無しさん@3周年:03/02/14 14:18 ID:TIU9GVoc
>>93
>ペンティアムより遅いじゃん
「じゃぁ、お前、ペンティアムにLED直付けして光らせてみろ」って言ってやればよろし(w

便乗ですが、ボクも>>83がわかんないや。PIC16F877と違うんかな?
9594:03/02/14 14:20 ID:TIU9GVoc
>>90さん、PIC18の話があったら、>>83を聞いてみて頂けませんか?
僕はちょっとトウキョウのは行けないや。名古屋あたりなら、なんとか。


★あなたのお悩み解決致します!!
●浮気素行調査
彼氏、彼女、妻、夫の浮気を調査致します!!
●盗聴器盗撮機発見
あなたの部屋に誰かが仕掛けているかも!!
●行方調査
行方不明になっている家族の消息を調査致します!!
●電話番号から住所割り出し
一般電話、携帯から住所を割り出し致します!!
●ストーカー対策
社会問題ともなっているストーカーを撃退致します!!
その他人生相談からどんなお悩みでも解決いたします!!
 24時間受付  090−8505−3086
URL  http://www.h5.dion.ne.jp/~grobal/
メール  [email protected]
   グローバル探偵事務局 

97名無しさん@3周年:03/02/15 17:57 ID:5PDyQSI2
>>95
http://www.mal.jp/book/book-18.html
とりあえず、これ買っとけ。
98名無しさん@3周年:03/02/16 18:30 ID:nOLNvPjq
ライターをまだ作ってないのですが、とりあえず18F452を10個買ってきました。
さて、「PICでPUSH/POP」という夢がいよいよ現実に!

99名無しさん@3周年:03/02/17 00:41 ID:GALY7cMO
PIC16F84のエミュレーターてありますか?

100名無しさん@3周年:03/02/17 07:44 ID:yNZkhIAM
MPLAB
101名無しさん@3周年:03/02/17 09:04 ID:JVM/mHhk
ICEは要らないけど、デバッグモニタくらいは欲しいねぇ。
PICのデバッグモニタって無いのか?RAMが少なすぎて作れないか。

102hoge:03/02/17 19:48 ID:PXw/IQM4
picのマイコンモジュールを買いました。PIC16F84A-20/P CPUボードキット というモジュールです。
組み立てて、AKIのpicライターで焼こうとしたのですが、コードプロテクトがかかっていて書き込めませんと言われます。
この場合、コードプロテクトがかかっているのでしょうか?それともハンダ付けがうまく行っていないのでしょうか?
基盤は、何回もチェックしましたが、配置間違いは無いようです。
10349:03/02/17 23:12 ID:MrRSn584
>>102
はーい、それについて原因は、
僕の場合、LED(Busy側)の半田不良でした。
そいから差し込んでるICの接触不良や差し込み間違いで
コードプロテクトが掛かります。
ちゃんとICソケットに差し込まれてるかチェック!ですね。
10449:03/02/17 23:14 ID:MrRSn584
ん?待てよ・・・、「-20/P」タイプは純正ライターじゃないと書き込めないと
店員から聞いたよーな・・・?
10549:03/02/17 23:17 ID:MrRSn584
あ、違った。>>104は無視してちょ。
106102:03/02/17 23:21 ID:wC4s8+GG
やっぱハンダ不良ですか。
もう一回チェックしてみます。
107名無しさん@3周年:03/02/18 09:49 ID:YHkAdbH0
先月号からのSoftware Design誌にUNIXによる組込みCPU開発連載記事が載ってるよん。
AVRがメインになる感じなのかな(H8,SHの記載あり,PICは却下)

で分類が
最下位ローエンド=AVR
ローエント=H8Tiny
ハイエンド=H8/300H

だったかな?
なんだけど...最下位ローエンドって何? ミッドレンジなし?
108名無しさん@3周年:03/02/18 09:51 ID:YHkAdbH0
AVRのRAM容量が2byteとかの誤記も散見。
109名無しさん@3周年:03/02/18 15:40 ID:tqaLdduF
>>108
PICって、RAMが少ないのが難だったんだが、下には下があるんだなぁ。
110名無しさん@3周年:03/02/18 19:14 ID:jnhE/EZW
>>109
AVRの1200なんかRAMは0バイトなんだが。
まぁ、レジスタがたんまりあるから、あのROMサイズでは
困らないけど。
AVRもピンキリだからねぇ。

111N:03/02/18 22:30 ID:OMpod6yS
まあアセンブラで開発する限り、AVRはRAMが0バイトの1200でも割と
いろんな事できますよねぇ。むしろRAMよりタイマーがネックになって
2313とかに切り替える方が多いかな。
112名無しさん@3周年:03/02/19 00:45 ID:yYTDxz+L
FPGAでAVRを実現する時このRAM無しと言う状態が非常に助かります。
113AKI-PIC:03/02/19 22:27 ID:luiHvwkH
AKI-PIC Ver.2 => Ver.3 はお引越しキットがあったけど、そこから Ver.4 には
Upgrade できるのかな?
114名無しさん@3周年:03/02/20 12:03 ID:FPIPCQE4
>>113
そこって、どこ?
Ver.2 => Ver.3お引越しキット=> Ver.4って事?

持っているモノが、Ver.3と同等であれば問題ないでしょ。

Ver.2 => Ver.4は当然無理でしょ。
115名無しさん@3周年:03/02/21 11:56 ID:sPw51Hqm
PIC16F628って、アナログコンパレータと、その基準電圧DAを積んでいるってことは、
やろうと思えば「遅いけど4ビットADコンバータ」だって可能、、、、ですか?
116AKI-PIC Ver.2:03/02/22 00:58 ID:Vn8Zc0fZ
  113 です。

> 持っているモノが、Ver.3と同等であれば問題ないでしょ。

  言葉足らずでした。持っているのは Ver.2 です。

> Ver.2 => Ver.4は当然無理でしょ。

 回路図を見比べると 40pin ZIFソケット周りが違うだけで、それ以外は
ほぼ同じようです。最新 Firm のV.500 でも今のところは問題なく動いて
います。PIC Programmer v.3.00 もきちんと Ver2.0 のライタを認識する
ようです。おそらく Ver.4 に上げられると思うので、今度試してみます。

もし、無理な理由が既に明らかだったら教えて頂けないでしょうか。
「保証されていない」という理由ならごもっともですが。(^^;
> 114
117名無しさん@3周年:03/02/22 19:40 ID:f1HV3HeT
電子回路設計を始めて10ヶ月の初心者です
今まではPICを使っていましたが、スペックに限界を感じるので
ワンランク上のマイコンを使いたいと思い上司に相談しました
進められたCPUはNECのVシリーズ!!
でもこのCPUは参考書もあまりないし、人から使いにくい・・・・とよく聞きます。
本当にこのCPUを今から使っていっていいのでしょうか?
又、お勧めのマイコンがあれば教えてください
118名無しさん@3周年:03/02/22 21:00 ID:HzJ41lP7
>>117
Vシリーズな。(w
とんだ爺さんに話を聞いたな。
今風に検討するなら、評価基板、デバイスの入手性を
考えてH8か、SHシリーズを選ぶと思うがね。
119名無しさん@3周年:03/02/22 22:04 ID:6Q/5hd5u
>>117
どういう限界なんかな?
RAM容量だったら、AVRとかH8とか。やっぱりSHになっちゃうか(w
スピードだったら、DSPにイッテしまった方がいいかも?
120名無しさん@3周年:03/02/23 00:35 ID:XhrtZGGE
以前にV50系使ったけど、そう気にならなかったな。
逆にアプリケーションレベルをPC側でデバッグできて、結構
楽ができた。このとき作ったのがビットマップグラフィックを扱
うものだったから、表示をVGAでエミュできたので、ソースを
PCとターゲットボード上で共通化して開発効率をかなりあげられた。。

まぁ、場合によると思うよ。
ただ、いまだとやっぱりH8だろうねぇ。秋月でも扱ってるし。
SHは微妙。まぁ、文献は比較的多いから、勉強するにはいい
かもしれないけど。
121名無しさん@3周年:03/02/23 01:48 ID:MfGkCPho
>>120
しかし今や既に過去の石です。>V
122名無しさん@3周年:03/02/23 10:29 ID:mo+qUB+m
Vシリーズって16bit系のV25,V55と32bit系のV850とかあるけど、
すでに16bit系のVシリーズって消滅してて78Kになってるし。

その上司はどちらを薦めたかったのだろうか?
V25,V55なら化石野郎だしV850ならスペック厨ってことだ。

PICのちょい上ならAVRかH8Tinyで良いんじゃないかな。
123114:03/02/23 12:35 ID:7r9Cwd3m
>>116
> Ver.2 => Ver.4は当然無理でしょ。

この文の意図は、Ver.4として完全に機能するか否かについて書いたものです。
40ピンタイプを使わない前提で、プログラムが動くか否かについてであれば、「動く
んじゃない」と言えますが、それではVer.2 と Ver.3 の相違点を全て解消しないので、
Ver.4にUPグレードしたことになりませんよね♪

http://akizukidenshi.com/down/tk/picpgm_v4/index.htm
↑ここに
「PICプログラマVer3をお持ちの方は、ファームウエアマイコンをPIC16C57
からAE−PGM877に置き換えるだけでPICプログラマーVer4になります。」

と言う一文があります。コレを前提に
> 持っているモノが、Ver.3と同等であれば問題ないでしょ。
と書きました。
Ver.2以前のモノをVer.3相当に改良済みであれば問題ないと言うことです。
124名無しさん@3周年:03/03/06 20:39 ID:/tazGyh1
発振子と,マイコンのピン (AVR では XTAL1, XTAL2 のやつ) との間って,
どのくらい離れていてもいいのでしょうか?

電磁気学などによると,波長と比べて無視できるくらいとありますが,
媒質の情報がわからないため,周波数から波長を計算することができません w

4 MHz から 10 MHz のセラロック(商品名?)の場合ということで教えてください.

(オシロスコープやら測定器があれば自分で調べられるんだろうなぁ...)
125名無しさん@3周年:03/03/06 21:21 ID:f4WKRdtn
>>124
発振子をピンに近づける必要があるのは、
ハイインピーダンスでノイズを受けやすいから。
少ないドライブ能力をハイインピーダンスで補っているのです。
ということで、距離は周りの様子によって異なる。
126ヽ(`Д´)ノ:03/03/07 00:48 ID:uXoOZk29
自作のライターがうまく動きません。ヽ(`Д´)ノ
消去してから読むと

3FFF 3FEF 3FBF ・・・・

ってな感じでバスが浮いてる?(この場合 全データ3FFFが読めるのが正解?)
PICを挿さずに読んでも同様です。

HEXファイルを書き込んでから読みだすと
データ有の部分は 0000に見えて、他の部分は
3FFF 3FEF 3FBF ・・・・(上に同様)
書き込み時に、照合テストでエラーです(アドレス 0000h)

このような場合、どんな原因が考えられるでしょうか?
127名無しさん@3周年:03/03/07 02:50 ID:CRi10UED
>>126
配線ミス
つーか、シリアルに変換して転送してるんだから化ける時は全部化ける
せめてオシロスコープでDATAとCLOCKが出てることを確認しる
テスタだけならパラレルポートを直接アクセスするプログラム作って確認

電源の他に3個しか信号が無いんだからガンバレ
128124:03/03/07 10:32 ID:nTMws8K6
>>125
Thx. ますます難しくなったなぁ.
ここが問題で動作が挙動不審になっても,なかなか気づけないかも.こわ.
今日もビクビクしながら近くに配置 w
129ヽ(`Д´)ノ:03/03/07 19:47 ID:gCaJQKrJ
>>127
どうもです。
シリアルポートがないのでシリアル<=>USB変換してます
これがいけない?
つーか、クロックあるのですか?
それと、この回路、RxDがないジャン?
どれがリードデータ(PIC==>パソコン)なんだろう?
現在、ブレッドボード上で格闘中

130名無しさん@3周年:03/03/07 21:04 ID:s3uT+qvO
>>129
シリアルアダプタが原因かも。まずTxDの電圧チェック
手抜きして±5Vしか出ないやつがあるからね
ちなみにTxDは単なる電源として使用
131ヽ(`Д´)ノ:03/03/07 21:37 ID:gCaJQKrJ
>>130
4.6Vです ヽ(ToT)ノ・・・・・ledがまぶしい、切ってみますか・・・
132ヽ(`Д´)ノ:03/03/07 21:52 ID:gCaJQKrJ
非動作時はvssに対してvddが7.4Vなんですけど、
読み出し時は1vです。。。。どうしてでしょうかヽ(`Д´)ノ
133名無しさん@3周年:03/03/07 22:01 ID:Zzwi0Dq/
>>132
ボーレートの設定は?
134ヽ(`Д´)ノ:03/03/07 22:41 ID:gCaJQKrJ
>>133
分かりません。どこで設定するのでしょうか?XPです。
ちなみにわがパソコンにはシリアルポートありません
135名無しさん@3周年:03/03/07 22:45 ID:EYreWIgQ
>>126
まず秋月のライター作って、動くことを確認すりゃいいのに
136名無しさん@3周年:03/03/07 23:29 ID:gAnU+RRI
>>126
とりあえず、自作ライタの回路図をうpしてくれ。
自作って完全に自作?
それともキット?
137名無しさん@3周年:03/03/07 23:29 ID:s3uT+qvO
>>134
シリアルポートの制御端子を単純な入出力&電源として使っているだけだから
ボーレート設定は要らないよ

つーか、その電圧は変!ダイオード、トランジスタの向きを重点的に配線チェックしる
ちゃんとツエナダイオード買ってきた?
138ヽ(`Д´)ノ:03/03/07 23:44 ID:gCaJQKrJ
皆様が神に見えます
論理設計はワタスにまかしる!これはおまいらに任した!
http://cgi.biwa.ne.jp/~jr3roc/pic/

ELECOMのUSB=シリアる 変換ケーブルなんですけど
ドライバがちょっとやばいかも・・・・一応対応はしてるとかいてあったんですけど
やっぱ回路かなぁ・・・・・
139名無しさん@3周年:03/03/08 00:26 ID:IAwtPEfN
>>138
適当なHEXをIC-Progで読ませてPICを差さない状態でProgram Allを押して
MCLRとVDDの電圧はかって13Vと5V以上あるか確かめてみそ

アクセスLEDつけるのならRは10k以上をおすすめする。
ちなみにQ1が死んでかけなかったことあり。
140名無しさん@3周年:03/03/08 02:35 ID:3i26QDZe
>>139,>>138
確かにQ1のB-E間電圧は破壊値超える
対策しなくていいのかな

この回路だとボーレートも関係するね
おっそろしい回路だな
141名無しさん@3周年:03/03/08 07:18 ID:spWM8Cw/
>>138
この不具合と直接関係無いかもしれないがUSB−232C変換器で
DTRとかRTSを使って同期シリアルつくるやつは非常に遅い。
Windows2k,XPでの話だけど。
ノートPCのUSBでAVR+Ponyprog にて試した。

どうやらOSの絡みだとか。それら信号の操作が非常に遅い
仕様だそうで。
142ヽ(`Д´)ノ:03/03/08 11:00 ID:pslGD9A5
神様の皆様、おはようございます
VSS−MCLR 約10.5V たまに8Vぐらいまで下がったりしてばたつきがあります
VSS−VDD 約4.5V これも少しばたついてますが
少なくとも13Vと5V以上はありませんヽ(`Д´)ノ
143名無しさん@3周年:03/03/08 13:03 ID:PRUmyn39
>>142
MCLRが低すぎ、最低F84Aで12V C509Aで12.75v必要
回路に問題なければ変換ケーブルの問題だね。

AVRが簡単でいいよん
PICをどうしても使いたいのなら回路を工夫して外部電源化するかパラレルライタにしなされ
ちなみにF818やF628ならVDD+3.5Vでいけるよん
144名無しさん@3周年:03/03/08 14:25 ID:XXXqRpib
>>143
MCLRは時々13Vになるみたいだからテスタで測っても意味ないかも
145名無しさん@3周年:03/03/08 14:30 ID:T0yifG0m
市販のPIC書込み機で一番安いのはなんだろ?
秋月のキットが結局一番安い?
146ヽ(`Д´)ノ:03/03/08 17:24 ID:pslGD9A5
神の皆鯖、どうもありがとうございますた
シリアルポート付きのパソコン(ってか付いてるのが普通だろぅ)復活させて見ます
また報告します。
147名無しさん@3周年:03/03/08 19:44 ID:NwCX5KIS
>130
うわ、それヒドイですね。
レガシーポートに限っては昔の機種のほうが
つくりが良いような気がする。
148名無しさん@3周年:03/03/10 05:30 ID:02owvZL7
>>140
まあ、今のパソコン側の232cドライバーの能力が5ミリアンペア〜10ミリアンペアしか
出てこないからそれを当てにして動かしてるんでしょう。実力動く(W
149ヽ(`Д´)ノ:03/03/10 12:00 ID:VVgZIP/E
98マシン復活しますた
COM1からダイレクトに焼いてみたら・・・・・・ヽ(`Д´)ノ
素直に秋月のライターセット買います(´・ω・`) ショボーン
150名無しさん@3周年:03/03/10 12:27 ID:WbOa4hoA
PICって、USB−シリアルじゃなくて、
ちゃんとしたUSB接続のライターって無いんですか?市販品で。
151名無しさん@3周年:03/03/11 01:20 ID:GXIJ94MU
>>150
あるけど、高い。

秋月のライタ+FT8U232AMとかでUSB接続ライタ作りたいのぅ・・・。
もちろん、5Vを昇圧して、電源無しでも動くように。
152名無しさん@3周年:03/03/11 01:59 ID:NPkfDC+u
>>151
電源無しって時点で現実味に欠ける。
153名無しさん@3周年:03/03/11 02:35 ID:rqlmQdMU
USBのバスから供給される5Vじゃ
DCコンバータ動作させるのに不十分かな・・・?

だったらAVRライタならいけるはず
154名無しさん@3周年:03/03/11 20:36 ID:VZHCZziR
どうせならPIC(AVR)+USBN9704で自作してみれば?
そんなに難しいものではないよ。
155名無しさん@3周年:03/03/12 04:40 ID:7srg0me/
2SC1815では代替不可 Icの電流が違いすぎ
BC547B フェイアチャイルド
http://www.pacalaconcurso.com/archivos/datasheet/BC547B.pdf
参考2SC1815
http://www.semicon.toshiba.co.jp/ja/bucat_3/bucat_0/bucat_3/td_38/TD.pdf
156山崎渉:03/03/13 13:33 ID:ezzk8Fhe
(^^)
157名無しさん@3周年:03/03/13 17:19 ID:0xF4RxSS
スレ違いなんだけど、だれかC8051
http://b2c-1.rocketbeach.com/~jcl/c8051.html
使ってませんか?

158名無しさん@3周年:03/03/17 12:29 ID:grqA+7PJ
http://www.inflow.co.jp/pic_form.html
この基板欲しいんですが、1枚が360円っていう認識でいいんですかね?
10枚ほど欲しいんですが
159名無しさん@3周年:03/03/17 13:51 ID:k2EMfCBU
>>158
そんなこと電話で聞けばいいだろ・・・・
Webに問い合わせ先が出てるし。。。
160名無しさん@3周年:03/03/19 23:13 ID:WBY/LqDf
PICの質問おねがいします

’F’というレジスタがあるのでしょうか?あると思うのですがどうしても見つけ切れません
なにしろ’F’なもんで・・・

例)DECFSZ TIME1、F

ためしに’G’などどやってみたらエラーになりました。
お願いします。
161名無しさん@3周年:03/03/19 23:56 ID:7adDYKxe
>>160
ここみてみそ
ttp://www.picfun.com/instr2.html

Fは標準インクルードで定義されていてるよん
162名無しさん@3周年:03/03/19 23:56 ID:gZA4eXHX
第2オペランドのWとFはその命令結果の格納先指定。
W(または0)なら、結果はWレジスタへ、
F(または1)なら、結果は第1オペランドのファイル レジスタへ。
163名無しさん@3周年:03/03/20 00:49 ID:6LmKCOH8
>>161
>>162
ありがとうございます。
標準インクルードファイルで定義されているとは思ったのですが、
探せませんでした ”P16F84.INC”
MPLABを使っています。探してみます。

Wってのもあるのですね。これから登場すると思います。
ありがとうございました
164名無しさん@3周年:03/03/20 01:28 ID:hu//qRXo
ネタかと思た
165名無しさん@3周年:03/03/20 09:58 ID:nacCgbty
あのさPICでさ、sublwとかの減算で答えが負になったとき( 0x10 - 0x20とか)
キャリーが*立*た*な*い* で  正の時は キャリーが*立*つ* っていう
i86系のマイコンと反対の仕様に気がつかないで3日悩んだっていうのは、
プログラマー5年目の機械メーカーエンジニアとしては許容範囲ですか?
166165:03/03/20 10:27 ID:nacCgbty
あとさ、
http://www.freeml.com/ctrl/html/MessageListForm/[email protected]
のログを全部落としたいのだが、1こずつ見るしかない?
なんかアーカイブにして「全部ダウンロード」なんていうコマンドないすか?
167PIC16F877:03/03/20 17:41 ID:4KrolHWf
PIC初心者ですが、どうかよろしくお願いします。

AKI-プログラマーキットを使ってPIC16F877にプログラムを書きこもうとしているのですが、アセンブルしようとすると
『DEVICENAME NOT SELECTED』
と表示されます。
これはどういうことなのでしょうか?
.pic16f877
とプログラムに書き込んでも、アセンブルするとエラーとして上記の英文が出てきます。
168PIC16F877:03/03/20 17:42 ID:4KrolHWf
ちなみに、アセンブルは秋月電子さんのを使ってます。
169名無しさん@3周年:03/03/20 23:23 ID:t85kODS3
>>166
http://www.mars.dti.ne.jp/~tvhome/PIC/ML/Menu.html
ちと古めだけど、1-2299まであるから。
旧ML(PIC-ML)の頃のも(σ´・ω・)σゲッツ
170名無しさん@3周年:03/03/20 23:26 ID:yQBHeU1A
>>166
そういうときにIriaなどのダウンロードツールを使うと楽。
下のURLをコピーして「URLを展開して張り付け」をすれば、あとは
待つだけ(w
http://www.freeml.com/message/[email protected]/[0000001-0003270];jsessionid=6wbx7eyn71
171名無しさん@3周年:03/03/20 23:36 ID:JbAqwP6o
PICのタイマー使った割り込み処理がどうしてもうまくいきません。動きません。
割り込みの使い方を詳しく説明してくれてるHPなどありましたら教えてください
172名無しさん@3周年:03/03/21 00:14 ID:hDMtZDXW
>>171
検索すると結構あるよ。
173名無しさん@3周年:03/03/21 00:25 ID:NkcLduOj
>>171
具体的にソースを晒したほうがいいと思うよ。
174171:03/03/21 00:36 ID:TtGF97zG
割り込みの処理がうまくいってないみたいです(初心者です)
MAIN部分で PORT(LED)をOFFにしておいて 割り込みがきたときに ON(LED点灯)
させて動作を確認しようとしているのですが点灯しません
ソースを晒してみます、お助けください

LISTP=PIC16F84
INCLUDE"P16F84.INC"
__CONFIG_HS_OSC & _WDT_OFF & _PWRTE_ON


TMR0_25MSEQU0x0F

WREG EQU 0DH ;wreg save area
SREG EQU 0EH ;status reg save area


ORG0
GOTOMAIN
ORG4
GOTOINTERRUPT
175171:03/03/21 00:37 ID:TtGF97zG

MAIN
BSFSTATUS,RP0
CLRFTRISB
BCFSTATUS,RP0
BSFINTCON,GIE
BSFINTCON,T0IE

MOVLW0xFF
MOVWFPORTB
MOVLWTMR0_25MS
MOVWFTMR0
MAINLNOP
GOTOMAINL
176171:03/03/21 00:37 ID:TtGF97zG

INTERRUPT
MOVWF WREG
SWAPF STATUS,W
CLRFSTATUS
MOVWF SREG
BCFINTCON,GIE
BCFINTCON,T0IE
BCFINTCON,T0IF

CLRFPORTB
BSFINTCON,GIE
BSFINTCON,T0IE

MOVLWTMR0_25MS
MOVWFTMR0

SWAPF SREG,W ;get saved status
MOVWF STATUS
SWAPF WREG,F ;get saved wreg
SWAPF WREG,W
RETFIE

END
177名無しさん@3周年:03/03/21 01:26 ID:0YsjWww9
>>176
INTERRUPT
  BCF INTCON,GIE
  BCF INTCON,T0IE
割り込みの中で、これやっていいんだっけ?
178171:03/03/21 01:32 ID:TtGF97zG
タブがつぶれちゃいました、見難くてすみません。
WEBで検索したお手本に「割り込み中にさらに割り込みが来るのを禁止」と書いてあったような・・・

現状では、PORTB(8本)にLEDをつけてるのですけど、RB0のLEDが点灯しっぱなしです
RB0=’L’出力・・・で
割り込み使う場合、PORTBって全PIN出力で使っていいのですか?
RB0/INT のINTが光ってるような・・・
179171:03/03/21 18:25 ID:BZg072pY
上のほうでスレ汚してすみません。

割り込み(TMR0)を使う場合はPORTBのrb00はIOとしては使えないのでしょうか?
それともINTCONのINTEをディセーブルにすれば普通にIOとして使えるのでしょうか?
180名無しさん@3周年:03/03/23 00:05 ID:o+Ul+0b0
AT90S1200 には SRAM が無いとか.
ということは,.BTYE ディレクティブが使えないということでしょうか?

手元に蓄えておくなら,1200 より 2313 か 2323 の方が良いかもなぁ.
テーブル参照 *みたいなこと* がしたいんです.
181名無しさん@3周年:03/03/23 00:22 ID:PsOkBwPl
そもそも1200はLPM命令が使えないからねぇ。テーブル参照はでき
ないんじゃないかな。基本的に、1200は外付け発振器なしで使えるのが
利点かと。あとやすいこと。
182名無しさん@3周年:03/03/23 00:38 ID:16qKT+Bb
>>180
数少ないのであればld命令じゃだめでつか?
183名無しさん@3周年:03/03/23 20:43 ID:pisVi5gq
>>179
> 割り込み(TMR0)を使う場合はPORTBのrb00はIOとしては使えないのでしょうか?

 んなことはない。


> それともINTCONのINTEをディセーブルにすれば普通にIOとして使えるのでしょうか?
 そのとおり。
184171:03/03/23 23:08 ID:bukx1DlM
上のほうでスレ汚してしまって申し訳ありません。
>>183
レスありがとうございます。
タイマー(割り込み)の使い方ですが、手本になるプログラム見つけて理解できました
メーカーの方からデータシートも入手しました
OPTIon_REGというものの存在を知りませんでした。設定してなかったので割り込み自体が発生してませんでした
どうもありがとうございました。
185名無しさん@3周年:03/03/24 11:25 ID:QA5412Br
PICのCコンパイラって、多くの人がCCS使ってますが、
WIZ-C http://www.fored.co.uk/pixie.HTM ってどうなんでしょか?
なんかよさそうなんですが。
186185:03/03/25 05:16 ID:6ylLdDWF
>>185
デモ版を入手。機能は結構いいんだが、
エディタが日本語環境では使い物にならないことが判明。
(まぁ外部でエディタを使えばいいんだが。MPLABでも結局そうしているし)
187名無しさん@3周年:03/03/25 05:19 ID:Jh2EPrdD
安いから買ってみたけどオレには肌に合わんかった>FED-C(WIZ-C)
結局MPLAB-IDE上で16FはHI-TECH PIC-C(demo)、18FはをMplab-C18(demo)
で満足してる
188185:03/03/25 05:51 ID:tsZD0q81
>>187
安いから といえば、秋月のCコンパイラ
http://akizukidenshi.com/catalog/pic.html#S-00008
はどないでしょか?

いっそのことBASICにしよかな
http://www.bg.wakwak.com/~y-yoshida/reg/PBP.htm
189名無しさん@3周年:03/03/25 21:46 ID:IHhWHrKY
picに書き込むときに
”書き込み済みです(コードプロテクトされています) 上書きしますか?”
と出るようになりました?
上書きしても正常に動きません
壊れてしまったのでしょうか?このようなことがよくあるのでしょうか?
190名無しさん@3周年:03/03/25 21:48 ID:ZnjYtqDV
>>189
書く前に消すのが礼儀では?(w
191180:03/03/25 22:24 ID:/PWTMc7C
>>181 1200 は特殊な用途向けでは,と思えてきました.

>>182 まだ未熟につき,周り道はキツいです.

「手元に置いておいても損は無いだろう」と,13 個もの 1200.
一体どうしたものかw
192名無しさん@3周年:03/03/25 22:45 ID:rgkiFCI1
このごろのPICって「コンパレータ」がよくついているんだが、(PIC16F628とか16F877Aとか)
このコンパレータってなんの為についてるんだ>
16F628だったら、コンパレータと、その基準電圧発生用DAとあわせれば4ビット簡易ADコンバータ
として使うっていう手もあるんだけどさ、
877Aとかの大型CPUにまで、この機能があるっていうのがよくわからん。
そんなにコンパレータって必要?
193名無しさん@3周年:03/03/25 23:06 ID:zoPI6xeZ
>189
壊れてます。

コードプロテクトされた場合も同様のメッセージがでる。
つぎのPIC用意すべし
194名無しさん@3周年:03/03/26 16:04 ID:SAP0Qmv3
>>192
2pin使っちゃうしヒステリシスも決められないし。
簡易ADC以外でつかったことない。でも最近は小さいのにもADC付いてたりするし
195名無しさん@3周年:03/03/26 21:35 ID:e0yvVOj6
>>194
> 簡易ADC以外でつかったことない。でも最近は小さいのにもADC付いてたりするし
そうそう。AD付の16F877に、コンパレータつけて877Aってわざわざした理由がわからん。
どうせ改良するんなら18Fシリーズみたいに、ポートに出力ラッチつけて、
例のbcf, bcf 不具合回避にすればいいのに。
196名無しさん@3周年:03/03/28 08:40 ID:MuVDTOMa
外付けオペアンプを使わずに、
内蔵コンパレータにシュミットトリガ機能を与える方法ありますか?
みんな、ソフトウェア方法で、チャタリング対策に似たような方法で
解決しているのでしょうか?
197bloom:03/03/28 08:52 ID:JQdArpAC
198名無しさん@3周年:03/03/28 11:34 ID:qL79U5Dm
>>196
だよなぁ。コンパレータが反応したら、1msec(例えば)待って、また見る ってやつか。
コンパレータ入れるなら、ヒスくらいいれておけよな、といいたい。
(というより、16F628にAD積んだ石を450円くらいで出してくれないかなぁ。
 コンパレータ無くていいからさ、)
199名無しさん@3周年:03/03/28 12:19 ID:eDrMWGow
>>198

PIC16F818ではだめかに?これだと420円也 By共立

主な仕様:FLASH:1792byte,SRAM&EEP:256byte,10bitADC×5ch,最大動作周波数:20MHz
PIC16F84Aとピン互換,内部クロック8MHz
200名無しさん@3周年:03/03/28 13:36 ID:f2sQdyQI
>>199
まだ売ってないんじゃ?
201名無しさん@3周年:03/03/28 13:41 ID:3Tn73cEK
>>196
シュミットトリガにするならコンパレータじゃなくて普通の入力でいいじゃん、
というのはともかく、出力ポート一つと抵抗2本で正帰還かければできるでしょ
202名無しさん@3周年:03/03/28 13:48 ID:ePjNXzg8
>>201
つまり、第三のI/Oを用意して、出力にして内蔵コンパレータとこのピンで
オペアンプのコンパレータをシミュレートして、外部抵抗でシュミットトリガにすると・・・
うーむ素直にオペアンプでシュミットトリガ作って入力ポートに入れるのが一番簡単な悪寒
203名無しさん@3周年:03/03/28 13:51 ID:337Bnqe/
>>200

今在庫あるか分かりませんがすでに販売してましたよ(シリコンハウスのほうで確認)
PIC16C58A/JWが\477で在庫処分中
204山崎渉:03/03/28 14:16 ID:KS8JsiJ4
一つ言いたい。
PICをC言語で使うな! PIC使う意味が75%減だ。
205名無しさん@3周年:03/03/28 14:21 ID:QxjOHs87
なんで? PICをCでバリバリ使ってますが 何か?
206山崎渉:03/03/28 14:38 ID:KS8JsiJ4
>158
でどうだった?
360円なら漏れも欲しいような気がする
ウマに喰わせるくらい作って、原価で配布って事だろうか...
デブだな
207名無しさん@3周年:03/03/29 23:53 ID:5/B8eIkG
stk200 dongle で使われている 74HC244 周りの質問です.

どこの回路図を見ても,2,3 個のバッファを並列にしていますが,
これを怠るとどのくらいの悪影響が出るのでしょうか?

ちょっと面倒なんです.できればやりたくないんです.

ISP コネクタの 3 番ピン (LED) のは繋がないつもりですw
208名無しさん@3周年:03/03/30 02:43 ID:Gp+J+euF
>>207
バッファなしの書き込み機があるくらいだから、やってみたら?人柱キボンヌ。
特にバッファ以後どれくらいコードのばせるかをテスト
209名無しさん@3周年:03/03/30 03:13 ID:ybCwEnfe
まあ単にバッファが余ってたからたばねてみました、に1ポート。
210207:03/03/30 15:20 ID:oGaf7JTP
>>208-209 やってみました.

ところが,うちの 74HCT244AP が変です.
!RESET に出力される 3,5,7 ピンの電圧が,
平常時: 3.2 V, 書き込み時: 0 V (正常) なんです.
繋ぐだけでリセットがかかったまま...H74CT なのに...

こんなので書けるかよ.バッファを束ねてもダメでした.
74 シリーズがこんな挙動をするのって,もしや故障?
211名無しさん@3周年:03/03/30 15:42 ID:zN9gJsPD
↓↓ ちょっとエッチなDVDが今ならなんと!一枚900円! ↓↓
    http://www.net-de-dvd.com/
↓↓ 特売品は、なんと一枚600円!! ↓↓
    http://www.net-de-dvd.com/
↓↓ 数に限りあり!今だけ!早いもの勝ち!急げ ↓ ↓
    http://www.net-de-dvd.com/
212207:03/03/30 21:53 ID:oGaf7JTP
自己レスします.

>>210
> こんなので書けるかよ.バッファを束ねてもダメでした.

書き込めました.hex ファイルに異常があった (良く分からないけど).

>>209 1 ポートおめでとう.
213名無しさん@3周年:03/03/30 21:54 ID:QY8SSZaG
214名無しさん@3周年:03/03/31 14:04 ID:jSipdZKC
>>210
あれ?通常時3.2Vって正常?Vcc=3Vなんですか?
書き込み機のVccとターゲットのVccキボンヌ。
当然ターゲットのVccで動かして、電圧一致させてますよね?
215名無しさん@3周年:03/03/31 14:14 ID:e/s2WoN2
★みんなの情報局★みんなのリンク集★ココ最高★
↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓
http://www.pink-angel.jp/betu/linkvp2/linkvp.html
216207:03/03/31 16:18 ID:NZaMutJi
>>214
ISP ですので,(書き込み機) = (ターゲット) = 5.0 V です.

(ダイオードで電圧降下するので,書き込み機には 4.7 V 程度です.
ちょっと電圧を上げても結果は同じでした.)

もちろん,!RESET 端子に繋いている間はリセットがかかったままです.
それでも問題無く書き込めます.不思議ですね.

avr910 の方は ISP コネクタからの !RESET が 5.0 V になりますので,
リセットせずに書き込みできます.
まさに, 'In System' ですよね.
217名無しさん@3周年:03/03/31 18:09 ID:jSipdZKC
>>216
ちょいとあちこちAVRライターの回路図を見て回ったのですが、
>どこの回路図を見ても,2,3 個のバッファを並列にしていますが,
ってのはあまりなさそうですね。たぶん純正互換と思われる
http://digit.kyohritsu.com/DATA/AVR/check.pdfくらいでした
漏れは↑これ使ってますが、安定しています。
これと同じだとすれば、Vcc5Vで3.2Vはかなり不思議。
avr910ではちゃんと5Vというからターゲットの問題じゃなさそうだし・・・みすてりぃ。


いろんなプログラマー
http://b2c-1.rocketbeach.com/~jcl/AVRprog.html
218207:03/03/31 23:23 ID:NZaMutJi
あっ,ちょっと間違えがあります (大したことじゃないけど).

ISP コネクタの !RESET は 0.04 V 付近をグラグラしていて,
ターゲットの !RESET ピンが 3.00 V でした.

書き込み開始時には,ちゃんと 3.0 --> 0.0 --> 3.0 V になります.

というわけで,stk200 dongle は簡単に作れ,しかもうまく書き込める
プログラマなのでした.
219名無しさん@3周年:03/03/31 23:43 ID:QXTj72zO
うーん、Vcc=5VでHighで3.0V・・
データシートにも!RESETのHIGHは最低0.85Vcc(5Vなら4.25V)要ると書かれているし。
220ccs:03/04/03 08:14 ID:HwK0LEvC
ccsのサーバ
http://www.ccsinfo.com/
って落ちてます?
コンパイラ注文して「ここから落としてね」とか言うメールは来たんだけれど、
サーバが見つからなくって困ってるんですけど。
221名無しさん@3周年:03/04/03 20:19 ID:GpASXT1E
開発続行希望の60人が、双方向MLでなんの意見も言わないのが
極めて気持ち悪い
222221:03/04/03 21:47 ID:328sBlem
どこで誤爆したのかわからなくて、やっと見つけました。
改めて誤爆スマソ
223名無しさん@3周年:03/04/03 22:28 ID:I9leYLNJ
>221-222モルヒン企画さんですね?
224207:03/04/07 00:19 ID:Jua7uCgC
74HCT244 の未使用の入力ピンは解放したままでいいのかな?
ダメだとしたら,手抜きした意味が無いんだけれどw
225名無しさん@3周年:03/04/07 02:02 ID:QN2F48dk
>>224
CMOSプロセスの事を理解していれば、答えは分かるだろう
226名無しさん@3周年:03/04/07 02:45 ID:1D7zZbJq
>>225
一度体験しておく方がいいと思う
227名無しさん@3周年:03/04/07 09:52 ID:ujg2gbXT
>>226
「いつもいつも不安定」なら「一度体験しておけ」でスムが
「たまに不安定」だったら「やってみたけど、TTLといっしょじゃん。オープンHだね」
って間違って覚えてしまう罠
228名無しさん@3周年:03/04/09 16:54 ID:hOx3Ih2C
CCS Cコンパイラーって、購入30日を過ぎたら、
バージョンアップは出来ないの?(買い直し?)
229超初心者:03/04/13 18:29 ID:/D+2goLO
PIC12C509Aの機能の範囲内でプログラムを作れば、PIC12F629を
509のJWタイプの替わりに使って、動作確認できるのかな?

駄目?
230山崎渉:03/04/13 20:27 ID:ZR1zTrx+
629を持っているなら509Aを使う理由ないだろうに?
Fならヤワナライターでカキコしても問題は早々でないぞ。
231outoforder:03/04/13 20:37 ID:/1MDIyhd
膨大数HentaiアニメとJPロリロリ画像がDL放題
http://2ch.tosatu.com/
こんなのイママデなかったゼ!!!
232207:03/04/13 22:18 ID:JKepuA/s
>>225
いまだに分からない...でも,たまに調子が悪くなるので,
入力ピンをプルアップする代わりに,元の回路図の通りに束ねてみました.

そこで疑問が起こりました.
もし出力も束ねた場合,出力インピーダンスが下がってウマーとなるよりも,
出力が同時に変わらず (ディレイがあったとか) ,多きな電流が流れるマズーの方が
心配なのではないでしょうか?

しかし,実際は出力インピーダンスを下げたり,出力電流を増やしたりするため,
バッファを並列にすることは常套手段のようです.なぜ?

>>226 ヴィジュアル的にすごいことも起きるかな?

>>227 ごめん.意味が分からないほど弱い自分.
233229=超初心者:03/04/14 00:41 ID:jpkQmJAR
>>230
>629を持っているなら509Aを使う理由ないだろうに?

逆だよ。今持ってるのは509だけ。
秋月のライターのオマケに付いてきた奴を使うのに、デバッグ用でJW買うのは
アホらしいでしょ
だめもとで書き込んで駄目なら捨てちゃうか、そもそも使わずに放って置いても
良いんだけどね♪(貧乏性って奴かな?)
234山崎渉:03/04/14 12:26 ID:mRO7VI3K
>232
お前は真面目に教科書嫁
235名無しさん@3周年:03/04/14 15:51 ID:xb3iyg1h
>>232
鉛筆で入力ピンからGNDに線を描いとけよ
サインペンは不可
236山崎渉:03/04/17 08:53 ID:TD8w3T+L
(^^)
237名無しさん@3周年:03/04/17 13:50 ID:q25wNf6W
picをいま勉強しています。
Cでプログラムを書こうとしているのですが、
HI-TECHのPICC-LITEを使おうとしているのですが、
このコンパイラの組み込み命令を一通り書いてあるページとか無いですか?
いろいろ探してみたのですが、見つからなかったので、ぜひ、あったら教えて欲しいのですが。

あと、これ以外のcのコンパイラでおすすめなものがあったら教えて欲しいです。
よろしくお願いします。
238あぼーん:あぼーん
あぼーん
239名無しさん@3周年:03/04/18 17:26 ID:Thp126Lg
>>237
PICのCコンパイラはCCS-Cが、アマチュア標準の様です
240名無しさん@3周年:03/04/18 18:34 ID:9We4NfRp
>239
でも、ただで使うことが出来ないので不便じゃないですか?
CCS-Cは只で使うことが出来る方法があるのですか?
241名無しさん@3周年:03/04/18 18:54 ID:QTQnzXqr
ここおすすめ
http://zoetakami.fc2web.com/
242名無しさん@3周年:03/04/18 19:07 ID:Ijyh6Nq3
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
これは安いアダルトDVD一枚900円!!
http://www.net-de-dvd.com/
243bloom:03/04/18 19:12 ID:X4ZQCWZN
244名無しさん@3周年:03/04/19 18:05 ID:lwVuQc5W
>>240
なんで只でやろうとするかなぁ?


そりゃH8もAVRも只のCコンパイラがあるけどさ。
だったらavrでやりゃいいじゃん。
245名無しさん@3周年:03/04/19 20:18 ID:Tnyhcd6V
>>244 お手軽さがウリのやつが多いし...で, AVR になるわけだw
246山崎渉:03/04/20 03:58 ID:62iGJiyF
   ∧_∧
  (  ^^ )< ぬるぽ(^^)
247名無しさん@3周年:03/04/21 01:28 ID:bXGeNBPH
Liteだと2Kまでしか吐かないしデモ版を使ったほうがいいかも。

ただしコンパイルオプションが使えなかったり、コンパイルをわざと遅くしたり
するけど評価期間過ぎたらアンインストールしてレジストリ綺麗にして
再インストールすれば…アマチュアには嬉しいし

ちなみにsampleフォルダ覗いてみれば大体使い方は分かる
アセンブラで書いたことがあればね
248240:03/04/21 09:38 ID:+7Q+lVUj
>247
sampleフォルダを見ればだいたいわかるのですか?
すこし、勉強してみます。
アセンブラで少し書いたことがありますので。

返答ありがとうございます。
249名無しさん@3周年:03/04/21 10:02 ID:ULMOR66Q
つーかOpenSourceなPIC C Compilerはなかったっけ?
と思ってsourceforge.netを探ってたら
http://sdcc.sourceforge.net/
があったが。
250名無しさん@3周年:03/04/26 22:51 ID:AZk3Y8Yz
16F873とPCを通信させてとある処理をさせている初心者です。
プログラムを拡張した結果、ピン数とタイマーが足りなく
なってきたので16F873を2個に増やしたくなりました。
そこで質問なんですがRS232のRX線に16F873を2個接続する
のは許されるんでしょうか?
(TX線は使用しません)
 PC--MAX232のR1OUTピン--16F873のRC7--16F873のRC7
という感じ。
 
251名無しさん@3周年:03/04/27 00:03 ID:mJgh4G6p
>>250
電源が共通な入力ピンだから何個付けても問題なし
つーか、どうしてそれで悩むのか不思議なんだが
252名無しさん@3周年:03/04/27 00:06 ID:oB46a16Y
>>251
何個もつけていいわけないだろーが。。。。2、3個程度じゃ
問題ないだろうけど。
253名無しさん@3周年:03/04/27 01:04 ID:EZG1Xcfz
>>250
MAX232がどれだけ電流を引っ張れるかと、16F873が
どれだけ流す必要があるかにかかってくるんではない
のかな?

データシートを調べてみると分かってくるのでは?
254250です:03/04/27 09:12 ID:bHPGUeng
どーもです。元が機械屋なもんだで電気物で定型外の使い方をする時に
非常に不安になってしまうんですよね。
(反射波がどうのこうのという人がいたりして)
レスサンクスです。
255動画直リン:03/04/27 09:13 ID:8Fv3G4fQ
256世界にひとつだけの花:03/04/27 11:12 ID:hkBhZxxo
<血液型A型の一般的な特徴>(見せかけのもっともらしさ(偽善)に騙されるな!!)
●とにかく神経質で気が小さい、了見が狭い(臆病、二言目には「世間」(「世間」と言っても、一部のA型を中心とした一部の人間の動向に過ぎない))
●他人に異常に干渉して自分たちの古いシキタリを押し付け、それから少しでも外れる奴に対しては好戦的でファイト満々な態度をとり、かなりキモイ(自己中心、硬直的でデリカシーがない)
●妙に気位が高く、自分が馬鹿にされるとカッと怒るくせに平気で他人を馬鹿にしようとする(ただし、相手を表面的・形式的にしか判断できず(早合点・誤解の名人)、実際にはたいてい、内面的・実質的に負けていることが多い)
●権力・強者には平身低頭だが、弱者に対しては八つ当たり等していじめる(強い者にはへつらい、弱い者に対してはいじめる(特に人が見ていない場合))
●あら探しだけは名人級でウザく、とにかく否定的(例え10の長所があっても褒めることをせず、たった1つの短所を見つけては貶す)
●基本的に悲観主義でマイナス思考に支配されているため性格が鬱陶しい(根暗)
●何でも「右へ習え」で、単独では何もできない(群れでしか行動できないヘタレ)
●少数派の異質・異文化を理解しようとせず、あるいは理解を示さず、排斥する(差別主義者、狭量、視野が狭い、多数派=正しい と信じて疑わない)
●集団によるいじめのリーダーとなり皆を先導する(陰湿かつ陰険で狡猾)
●他人の悪口・陰口を好むと同時に、自分は他人からどう見られているか、人の目を異常に気にする(自分がそうだから容易に他人を信用できない、ポーズだけで中身を伴っていない、世間体命)
●たとえ友達が多くても、いずれも浅い付き合いでしかなく、心の友達はおらず孤独(心の感度が低く、包容力がなく、冷酷だから)
●頭が硬く融通が利かないためストレスを溜め込みやすく、また短気で、地雷持ちが多い(不合理な馬鹿)
●たとえ後で自分の誤りに気づいても、素直に謝れず強引に筋を通し、こじつけの言い訳ばかりする(もう腹を切るしかない!)
●男は、女々しいあるいは女の腐ったみたいな考えのやつが多い(例:「俺のほうが男前やのに、なんでや!(あの野郎の足を引っ張ってやる!!)」)
257名無しさん@3周年:03/04/27 22:23 ID:js9kWJwP
今日、久しぶりに日本橋の共立行ったら、
PIC16F818なんて置いてあるんだね。
これ、なんで秋月とかには置いてないの?(実は置いている?)
16F628から、僕の嫌いな(w コンパレータ取って、かわりにAD5本をつけた感じ
(USARTが無いのが残念)
あと内部クロックが
Internal oscillator block:
- 8 user selectable frequencies: 31 kHz, 125 kHz,
250 kHz, 500 kHz, 1 MHz, 2 MHz, 4 MHz, 8 MHz

というのも優れもの。
258名無しさん@3周年:03/04/29 17:57 ID:f2xQt0vI
何方か鈴商で売っているHDSP-2000を使ったことある人いますか?
ちょっと前まで秋月でPD4435が売ってたんですが、
今では販売していなくて変わりに何かないか探していたら偶然発見したんです、
しかし使い方が全くわからずかなりブルーな気分に陥ってます…
259ひまわり君:03/05/01 00:29 ID:XmT0YYhk
PIC16F84を使い、TMR0の入力をRA4で開け閉めする周波数カウンタを
参考にシフトタイミングランプを作っています。

RA4とRB0は直結し、1kΩを挟んで入力回路のLM358の出力ピンに接続
しましたが、LM358の出力がHでもLでもRA4ピンの電圧が0.8V一定になって
しまいます。当然カウンタは動作しません。

どこかおかしい所はありますか?
パワーオンリセット後、最初に下の部分を読みに行きます。

PORT_INI
BSF STATUS,RP0
MOVLW B'11111110'
MOVWF TRISB
MOVLW B'00001000'
MOVWF TRISA
MOVLW B'10111000'
MOVWF OPTION_REG
BCF STATUS,RP0
CLRF PORTB
RETURN
260名無しさん@3周年:03/05/01 02:51 ID:dw3vQ7MB
T0CKI(RA4:IN)をRB0(OUT)で切るの?
CLRF PORTB でRB0=Lになってる


信号入れるときはRB0:IN(ハイインピーダンス)にして
信号入れないときはRB0:OUT(ローレベルに引っ張る)にするのでは?
261ひまわり君:03/05/01 09:42 ID:04Vf+noY
レスありがとうございます。
そのはずなんですけどね・・・

ttp://www.geocities.co.jp/SiliconValley/8323/shift/shift1.txt
ttp://www.geocities.co.jp/SiliconValley/8323/shift/shift1.gif

CLRFの行は謎です。元のソースにあったのでそのままにしましたが、
計測サブルーチン内でRB0の開け閉めはやってるので削ってみます。
262260:03/05/01 10:48 ID:dw3vQ7MB
PORTB:Bit0じゃなくてTRISB:Bit0をいじるんじゃないでしょうか

ゲートを開ける TRISB:Bit0 = 1
ゲートを閉める TRISB:Bit0 = 0

あと気になる点は
ゲートを閉めてからカウンタクリア
入力ピンが浮かないようにする
263260:03/05/01 10:50 ID:dw3vQ7MB
入力ピン×
使ってない入力ピン○
264名無しさん@3周年:03/05/01 14:41 ID:1WedfUtr
>>259
読まずに書きますが、PICのRA4の出力はオープンドレインですが、
それはいいんですよね?

RA4/  bit4 ST  Output is open drain type.
265ひまわり君:03/05/01 16:05 ID:04Vf+noY
>>264
オープンドレインじゃないと困るんです。
カウンタのゲートなので。。

入力回路のオペアンプがHの時の出力電圧は3.5Vあったので
プルアップはしてません。
266名無しさん@3周年:03/05/01 16:09 ID:PCjhwQa4
おれなんか、考えてる暇あったら、まずやってみるけど。
ひまわり君は違うのかな。
267名無しさん@3周年:03/05/01 17:24 ID:Y7xqzIvi
些細なことですが、とりあえず、
  ORG 0
  goto main
  org 4
MAIN
 CALL PORT_INI;入出力ピンモード初期化

ってしたほうがいいんじゃないかなあぁ。
268名無しさん@3周年:03/05/01 17:26 ID:Y7xqzIvi
つっか、
MOVLW B'00001000';RA4のみinput

MOVLW B'00010000';RA4のみinput
なんじゃない?
269260:03/05/01 17:42 ID:j4Np2TA1
あ、見落としてた
270ひまわり君:03/05/01 17:52 ID:04Vf+noY
>>268
その通りですね。。感謝です。
直したところ、RA4の電圧は常に4.9V。

入出力を間違って動かしてるうちに、PIC内部の出力素子を破壊してしまったかな…
271260:03/05/01 17:59 ID:j4Np2TA1
まずRB0をRA4からはずしてタイマがカウントアップするか試してみるのは?
272名無しさん@3周年:03/05/01 22:51 ID:Ea433B2J
akiromライタできねーyo!

98と通信しねーyo!
273名無しさん@3周年:03/05/02 10:17 ID:iwtaO9UR
>>272
ここの完成品かっとけ。
http://www.bg.wakwak.com/~y-yoshida/
274ひまわり君:03/05/02 15:35 ID:4Gn8WQWf
>>271
やってみます!

RA4とRB0のどっちが異常なのか見極める必要がありますよね。
リンク先のtxtもタブが異常だったのが気になる。
手元のasmファイルでは正常に見えるのですが。

完成したら報告に来ます。どうもお世話になりました。
275272:03/05/02 22:26 ID:A47w8mqN
クロスケーブルだったオチでした…(恥

25pケーブルで首つりまつ…
276名無しさん@3周年:03/05/03 05:58 ID:Po+6Q56Z
よくあることだ、気にすんな
277ひまわり君:03/05/07 11:44 ID:Uov0wXwo
TMR0ネタの続きです。

>>261のソースは後閑さんの「楽しくできるPIC電子工作」の周波数カウンタ
をベースにした物ですが、RB0の出力でTMR0(RA4)への入力を制御しています。

しかし、
ttp://www.picfun.com/equipj45.html
を見ると、同様のゲートをRA4の出力で行っています。

RA4を出力モードにしてゲート制御をしつつ、出力モードのままカウンタ入力が
出来る事に気づきました。
278名無しさん@3周年:03/05/07 11:51 ID:ZVZuiWjT
279名無しさん@3周年:03/05/09 03:08 ID:B4zdkebr
最近MPLABをver6.20にあげたのですが,
デバッグでStepInto(F7)を使ってステップ実行すると,
ソースエディタウィンドウ上ではなく,Disassemblyウィンドウが開いてそちらで矢印が動いていきます.
知り合いのマシンではソースウィンドウ上で実行行が追っていけるようなのですが,
切り替える設定がメニューを一通り探したり,インストールしなおしたりしても見つかりませんでした..

どなたか解決法をご存知の方いらっしゃいませんか?

環境は
WinXP pro, MPLAB6.20, CCSC ver3.148(MPLAB plug-in導入済み)となっています.
280bloom:03/05/09 04:25 ID:qyXUH2Id
281_:03/05/09 04:44 ID:Ppz++Vif
282_:03/05/09 12:05 ID:Ppz++Vif
283_:03/05/09 14:58 ID:Ppz++Vif
284名無しさん@3周年:03/05/09 17:23 ID:B4zdkebr
>>279
自己レスです.
Readmeに書いてありました.
- Long file names and/or path names can cause problems with single file
assembly programs. There is a 63 character length restriction for
file and path names in the debug file. Shorten your file name or move
your file into a directory closer to the root directory (shorten the
path name), and try assembling/compiling your file or project again.

日本語ファイル名を含むとエラーが出ることに気をとられていましたがこんなこともあるのですね..
285名無しさん@3周年:03/05/10 03:01 ID:CW3rtFNh
改革なくして成長なしか・・・
久々に感動した・・・・(;´Д⊂)面白かったよ。
http://plaza16.mbn.or.jp/~satchel/omoshiroi/
286名無しさん@3周年:03/05/14 16:56 ID:6cw1oNpB
少し疑問があるので質問します。
ttp://www.hobby-elec.org/pic4_7.htm

に書いてある。
演算記号の書き方の中で四則演算,+,-,*,/の記号がありますが。
これは、アセンブラでつかえるのですか?
一応、使ってみたらエラーが出てきたのですが。これが使うことが出来たら
計算がすごく楽になると思うので、教えてください。

たぶん、無理なんでしょうね。
287名無しさん@3周年:03/05/14 17:11 ID:Rf4DcFEO
288名無しさん@3周年:03/05/14 22:10 ID:k0t4rdBp
>>286
定数を書く代わりに式を書ける ということだ。
PICに計算させる演算パッケージっていうわけでわない。
289286:03/05/15 01:22 ID:63+cWppZ
>>288
やはり、アセンブラに計算することはできないのですね。
でも、これでもかなり楽にプログラムを組むことができそうですね。
返答ありがとうございました。
290名無しさん@3周年:03/05/15 09:17 ID:+n92eFTU
そう、定数同士に使って解り易くするだけ。
でも、プログラム四則演算はアセンブラの醍醐味だよね。
2倍は左シフトとか。
291名無しさん@3周年:03/05/15 10:03 ID:HkfN0cA1
>>289
そそ。よく使う使い方では
DECFSZCOUNT2*99-1,F

ってな感じに使う。
あと、僕が良く使うのは変数領域で
    RAMTOP = 0x20
    VARAD=RAMTOP
COUNT  EQU   VARAD       ; ループカウンタ
    VARAD++
COUNT1 EQU   VARAD       ; ループカウンタ
    VARAD++
POINT  EQU   VARAD       ; テーブルポインタ
    VARAD++
work  EQU   VARAD

ってやっておくと、COUNT2をCOUNT1の下に追加したいとか、
PICを変えてRAMTOPのアドレスが変ったときも楽。
292名無しさん@3周年:03/05/15 15:41 ID:/0U7EJlB
PICアセンブラにデータスペース擬似命令ねぇの?(;´Д`)
293名無しさん@3周年:03/05/15 17:39 ID:lRVHp2PJ
>>292
DT - Define Table MPASM Assembler

Syntax
[<label>] dt <expr> [, <expr>, ..., <expr>]

Description
Generates a series of RETLW instructions, one instruction for each <expr>.
Each <expr> must be an 8-bit value. Each character in a string is stored in
its own RETLW instruction.

Example
dt "A Message", 0

dt FirstValue, SecondValue, EndOfValues

See Also
DATA DB DE DW
294名無しさん@3周年:03/05/15 17:39 ID:lRVHp2PJ
>>292
----------------------------------------
DW - Declare Data of One Word MPASM Assembler

Syntax
[<label>] dw <expr>[,<expr>,...,<expr>]

Description
Reserve program memory words for data, initializing that space to specific values.
For PIC18CXXX devices, dw functions like db.
Values are stored into successive memory locations and the location counter
is incremented by one. Expressions may be literal strings and are stored as
described in the data directive.

When generating an object file, this directive can also be used to declare
initialized data values. Refer to the idata directive for more information.

Example
dw 39, "diagnostic 39", (d_list*2+d_offset)

dw diagbase-1

See Also
DATA DB IDATA
295名無しさん@3周年:03/05/15 19:17 ID:/0U7EJlB
>>293-294
サンクス
296名無しさん@3周年:03/05/17 22:29 ID:i/r0Vqmk
>>295
PICの所謂RAMエリアはレジスタなので、
count db 0
ぬぁんて記述は出来ないよ。だから
291の書き方しか出来ない
297名無しさん@3周年:03/05/18 01:40 ID:kN77VlLG
CCSCのコンパイラをお使いの方に質問です.
CCSC(ver3.148)付属のLCD.Cを使ってLCD制御プログラムを書いてみたのですが,
どうしてもlcd_getc()関数の返値としてDDRAMの指定番地の値が取得できません..
 char senddata;
 senddata = lcd_getc(0x01,1);
こんな感じでlcd_getc()関数を呼び出しています.
使ってるのはSC1602BS*B, PIC16F84A, 20MHz.で,lcd_getc()の読み出し以外はすべて正常に動作しています.

一行目一文字目だけに'a'が入っている状態で上の関数を呼び出すと,
1回目に0x22,2回目以降は0x66が返ってきます.
誰か同じような経験された方いらっしゃいませんか?
298名無しさん@3周年:03/05/18 02:44 ID:oJ61QS8d
>>296
んーと、こういうのは無理なのかな?構造体定義みたいな感じ。
     offset 0
VAL_A ds 1
VAL_B ds 1
まぁいいか。
299名無しさん@3周年:03/05/19 14:10 ID:wKA2syYb
PICのタイマー0割り込みで、ご存知の方がいたら教えてください。

タイマー割り込みルーチンの先頭で、次回の割り込みに備えてrtccレジスタに値を書きますよね。
たとえば、156を書くと、その後オーバーフローするまでの100回(期待する時間ぶん)後に
また割り込みがかかるという具合。ところが、割り込み発生から割り込みルーチンに入るまでの
オーバーヘッド時間後にこの値を書き込むことになるので、
●オーバーヘッド時間分、期待する周期より長くなるのではと思うのですが、この考え方は正しいでしょうか。
●また、オーバーヘッド内には、レジスタ退避と他の割り込み判定の時間もあるので、
変数の長さと数、使用している他の割込数によってオーバーヘッド時間が異なると
思うのですが、この考え方は正しいでしょうか?
●これを補うためには、rtccレジスタに値を書き込にでリセットするのをやめて
常に256のオーバーフローを待って処理する。1/256で期待した時間になるような
水晶を使用する。この考え方は正しいでしょうか?
すみません。指導ください。すみません。
300名無しさん@3周年:03/05/19 14:26 ID:p0X+6jwm
女性客殺到!!

http://ime.nu/www.net-de-dvd.com/
301名無しさん@3周年:03/05/19 15:09 ID:wLapIMm7
302名無しさん@3周年:03/05/19 20:35 ID:aSzS3SE4
>>299
1.正しい
2.正しい
3.ぴったりの水晶が手元に有れば正しい

3の方法でも良いが、次のような方法はいかが?

現在のTMR0を取得
100 引く
結果をTMR0に書き込む。

これなら割り込みのオーバーヘッドを気にせずに済むよ。

実際には、100引く処理にかかる時間と、
TMR0レジスタに書き込む際に、2サイクルカウントが止まってしまうので
プリスケーラを考慮し、引く値を調整。
303名無しさん@3周年:03/05/20 02:24 ID:NfIImM+I
>>302
  さっそくのご指導、ありがとうございます。
> 1.正しい
> 2.正しい
> 3.ぴったりの水晶が手元に有れば正しい
  うーーっ、予想通りでよかったぁ。自信がつきました。
  ありがとうございます。

ところが but だがしかし (^^;
> これなら割り込みのオーバーヘッドを気にせずに済むよ。
    この理由が、よくわかりません。
    いま仮にオーバヘッドが15あったとすると、
    rtccがOVFして割り込みかかる→rtcc=0になって、
    オーバヘッド経由して、rtcc再代入時点では、rtcc値が「+15」。
    100引いて「-85」を再代入して、割り込み抜ける。
    次は85後に割り込みかかって、オーバヘッドが15かかるから...............
     うぉーーーー、すごい!! かしこいーー。なーるほどぉ。
    ちょっと嬉しいです。いーなぁ。今度から使わせてもらいます。
    明日実験してみよう。ありがとうございました。
    
ちなみに、H8などでは、OVFがかかった時点で、ハードウェアが自動的に
次の数値を再代入してくれるのですが、PICのrtccでは 自分で手当ですよね。
timer1,2などはPWMに使えるみたいなので、自動再代入でしょうか。調べてみます。
どうも、ありがとうございました。大変参考になりました。
304名無しさん@3周年:03/05/21 15:45 ID:77Ab5+UL
HI-TECHのPICC-LITEを使ってプログラムをしているものですが。
2個所どうしても、わからないところがあります。

一応、ビルトしてサクセスするところまでいったのですが。
たまに、fail to load プロジェクト名.cofとでて、これがなんのエラーだか
わかりません。

もう一つ、上のエラーがでてもBUILD SUCCEEDEDとでるのでプログラムで
書き込みしても自分の思うように負荷が動きません。
ずっと、いろいろやってみたのですが、よくわかりません。
ぜひ、おしえてください。
305304:03/05/21 15:47 ID:77Ab5+UL
あと、一応ソースは

#include <pic.h>
main()
{
char i=255;
TRISB=0b00000000;

while(1){
while(i--){
PORTB=0x00;
}

i=255;
while(i--){
PORTB=0xff;
}
}
}

です。これで良いと思うのですが・・・・
306((≡゜♀゜≡)):03/05/21 16:27 ID:fkhIsGP9
おすすめよ(≧▽≦b)
http://homepage3.nifty.com/coco-nut/
307名無しさん@3周年:03/05/21 19:22 ID:8lTk8h52
>>305
charで255って、-1ですが、OKですよね。
 とりあえず試すだけなら、
 forを使ってみてはどうでしょうか?

 while(1){
for(i=0; i<254; i++){
portb=0x00;
}

for(i=0; i<254; i++){
portb=0xff;
}
}

charで255までやらせて いい思い出がないので、
いつも i<254 として やっています。
ご参考まで
308名無しさん@3周年:03/05/22 00:58 ID:sqQmitoh
質問なんですけど。CCSのCコンパイラなんですけど。
EEPROMの書き込みでsigned int16 の関数を書き込む場合データを上下8ビットに
分割しなくちゃいけないんですか?
あともし分割しなくちゃいけないんだったらどうやってsigned int16からint型に
直したらいいんですか?
309304:03/05/22 19:15 ID:RH2hqiDW
>>307
307さんのデータをコピベしたらうまくいきました。
そうですね。只のcharに255入れたら-1ですよね。わすれていました。
ありがとうございます。

このソースは、サンプルプログラムを使って改造して出来たのですが、
新規にエディタ等でソースを作ると、ビルトするときに
Halting build on first failure as requested.
てでて、ビルトできないときがあるのですが、これは何を意味しているのでしょうか?
310名無しさん@3周年:03/05/22 19:27 ID:2qe9jHXp
>>309
  307です。私はCCS-Cコンパイラなので、それはよくわかりません。
  すみません。ごめんなさい。がんばってください。
311名無しさん@3周年:03/05/22 20:14 ID:7XcDUlFz
しかしどうしてPICは加算と減算でキャリーフラグの動作が逆なんだろうな
一度は嵌るよな
312名無しさん@3周年:03/05/23 09:02 ID:vS+Uf35g
>>311
↑キャリーフラグとボローフラグを混同している厨ハケーン
313名無しさん@3周年:03/05/23 11:02 ID:0jq6GShb
>>312
311は、「Z80などのマイコンとは 極性が反対」ということを
言っているので、別に混同しているわけではないと思うが.....
314名無しさん@3周年:03/05/23 12:26 ID:Ai5WSukO
>>313
明確な指摘は、馬鹿に逆恨みされるから注意が必要です
315名無しさん@3周年:03/05/23 12:41 ID:S/r5P5DT
白状すると、オレは
キャリーフラグは「借りーフラグ」だと思っていた。
だからボロー=キャリーだと思っていた。

さぁ笑いたければ笑え!
316名無しさん@3周年:03/05/23 17:00 ID:0jq6GShb
はははははははははははははは、はははのはー。

おれも白状しよう。
割り込み用のプリプロセッサコマンドで、
#int_rtcc
timer(){
:
:
の「int」を変数の型のintだと思っていた。
だから、
#char_rtccと書いたのはいうまでもない。デバッグにまる1日。トホホ
317308:03/05/25 23:04 ID:mmKTPT2c
すいません教えてください。
318山崎渉:03/05/28 14:24 ID:/6YB7YdC
     ∧_∧
ピュ.ー (  ^^ ) <これからも僕を応援して下さいね(^^)。
  =〔~∪ ̄ ̄〕
  = ◎――◎                      山崎渉
319名無しさん@3周年:03/06/07 07:02 ID:vG1zn5TV
・タイマー0を設定→割り込み許可→タイマー0のカウントが終わると割り込まれる
このプログラミングは解かるのですが、
外部からの入力によって割り込むようにするときの
書式が解かりません。おしえてください。
320アダルトDVD:03/06/07 07:04 ID:M4ozSHt3
超激安アダルトDVD18禁
注文時に2ちゃんのどの板からきたか御記入ください
明日6月8日まで消費税をサービスいたします。

http://www.net-de-dvd.com/
321名無しさん@3周年:03/06/07 07:07 ID:vG1zn5TV
IF文、AとBを比較し、Aが大きければAへ分岐
そうでなければBへ分岐

MOVF B、W    ;BをWにロード
SUBWF A、W   ;A−B(W)
BTFSC STATUS、C  A<B
GOTO A
322名無しさん@3周年:03/06/07 09:30 ID:FgBQQJ9i
>>319
たぶんINTピンかポート変更割り込みの事を言ってるんだと思うが
タイマー割り込みの時とおなじようにINTCONレジスタの該当する
割り込み許可ビットを立てておいて、グローバル割り込み許可をオン
にすればよいです。(PICですよね?)
323名無しさん@3周年:03/06/07 11:57 ID:GgB5R14I
>>332
PICです。解かりました。ありがとう。
324名無しさん@3周年:03/06/07 12:12 ID:kl3b/xwk
325名無しさん@3周年:03/06/07 20:53 ID:eGLERrjM
PICからAVRにも手を伸ばそうと思ってるんすけど
どーですかね
326名無しさん@3周年:03/06/08 00:08 ID:FRJWXjlh
>>325
PICに馴染めたのなら、すぐなじめると思うよ。
ただ、PICより情報少ないのがアレだけど。
327名無しさん@3周年:03/06/10 12:36 ID:qlaR0NpM
PICのICSP (基板上に{ICを取り付けたまま、プログラムを焼く方法)
について、どなたかご存じでしたら教えて下さい。

PICは16F877Aです。すでに今までに理解していることは
・RB6, RB7をLにして、RB3(LVP端子)もH(or L?)にする。
・この状態でVPP(=!MCLR)に13V印加すると、ICSPモードに入る。
・このとき、Clockが発振するとよくない。
          理由:プログラムが走り出すから? (自信なし)

ここで疑問なのは、VDD(+5V)の処置です。
1. VDD印加タイミング
  H8などのISPでは、上記のように「ISPモード」にしてから
  VDDを印加すれば良いのですが、PICの場合はどうでしょうか?
  VPP印加よりも前に? 同タイミング? それとも後からでしょうか。
    予測では、VDDが先でも、!MCLRがLならPICは動き出さないので
    OKなのでは?。MCRの電圧が、0Vから一気に13Vまで行けば
    良いのでは? と思っています。   
  
2. VDD供給元
  本などを見ると、ICSP時に使用する線が上記の、VPP, RB6, RB7, GND
  の4本だけのものもあれば、さらにVDDもつないでいるものもあります。
  しかし、VDDは、PIC以外にも基板上あるいは装置上のメインの電源なので、
  かなり電流を食うため、ライターからのVDDでは
  容量不足の場合もあるのではないかと考えています。
    これは、「容量に応じて、ライターから or 別電源からを
    選択すれば良い」と考えていいのでしょうか?
  
どうぞ宜しくお願いします。

  
328名無しさん@3周年:03/06/11 02:41 ID:ZYhk2QxN
age
329名無しさん@3周年:03/06/18 19:45 ID:o80oc41u
tiny26L記念sage
16MHzで動くやつだったら良かったのに。
330名無しさん@3周年:03/06/18 20:15 ID:Gt7MchBY
>>329
秋月の新取扱品のこと?

ライタはきちんと対応してくれるのだろうか・・・?
331名無しさん@3周年:03/06/18 23:56 ID:o80oc41u
そうです秋月のです。
えるむ氏のライタなら対応してるんですけどね。
高速PWM2chマンセー!
332名無しさん@3周年:03/06/18 23:56 ID:Rawdf3q7
EEPROMのついてるマイコンのデバッグ用に以下のルーチンを用意しておいて
ブレークポイント代わりに飛ばすと便利だというのは既出ですか?
回路に間違いがあったら壊しかねないから、ICEは使いたくないということで
思いついたのですが(つーか、実はICEが無いだけだったりして)。
で、それをライターに入れるかISPを使ってEEPROMを読み込めばその時点の
レジスタ等の内容が分かる、と。

全ての(もしくは必要な数の)レジスタやワークメモリをEEPROMに書き込む
             ↓
ポートにLEDを接続しておき、それを点灯する(合図用)
             ↓
スリープや無限ループなどでプログラム停止
333名無しさん@3周年:03/06/19 00:33 ID:Xq3vhR7Z
ファームをAVR910(Tiny26対応版)に書き換えちゃえばOK
ライタ上の40Pソケットは使えなくなってしまうけどね。
アダプタさえ作ってしまえば、
ISP端子経由でほとんどのチップに書き込めるよ。
334330:03/06/19 00:35 ID:FIqQBcmk
>>331
Windows2000に乗り換えてからはchan氏のライタ使ってなかったんだけど
今ではWindows2000でも動作するのね。

今度秋月の通販使用するときにでも注文しまふ。>tiny
335330:03/06/19 00:41 ID:FIqQBcmk
>>333
情報ありがd。
336青い猫 ◆POoODQNNNQ :03/06/20 03:25 ID:naPIbV2m
みなさん、スーパー初心者にちょっと力を貸してやって下さい・・・・。
ATMEL AT90S2313 で、どこかのピンから(どこでもいいです)電流を流したいと
思っています。ただそれだけです。色々見てみたのですが、どれも何故そうなのか
という事が書かれておらず、全く分かりませんでした。

本当に単純に、どこかのピンから電流を流すというのはどうすればよいのでしょうか・・・・。
とりあえず outp を使うのだろうという所までは分かったのですがそれ以上がどうも理解
できません・・・・。PORTD とか PINB とか訳が分かりません・・・・。

もしすっごく暇な方でしゃーねーから教えてやるか、って言う方、よろしくお願いします。
マイコン制御に関しては本当に初心者です。それから C もそんなに分かる方ではないと
思います。
337名無しさん@3周年:03/06/20 03:46 ID:oDSaBFry
ここの「テストプログラムの作成」にかいてあるプログラムとかじゃ駄目?
ttp://www.toshu-ltd.co.jp/gaki/electronics/AVR/gcc/index.html

漏れはアセンブラでキコキコと書くのが好きだからCは知らんけどな。
338青い猫 ◆POoODQNNNQ :03/06/20 04:19 ID:naPIbV2m
>>337
レスありがとうございます。
そうなんです、そういったページにある

outp(0xff,DDRB); /* PortBをすべて出力に設定する */
outp(0xff,DDRD); /* PortDをすべて出力に設定する */

こういったものは確かに意味は何となく分かるのですが、じゃあ「すべて」ではなく、
例えば PD0 のみ出力に設定するにはどうするのか?という疑問が湧く訳です。
ff という事は 16 * 16 で 256 通りあると思うのですが、D ポートは合計で
7つありますよね。それらがそれぞれ出力と入力の状態を持つとすると 2^7 で 128
通りあると思うのですが、その差は一体なんなんだろう??どう設定すれば
(どういう規則で設定すれば)どこか一つのピンから電流を流せるのかが分かりません・・・・。
って言うかそもそも上の式とかが間違っている可能性があるので (^_^; トンチンカンな事
を言っていたらすみません。

ああ、一体どうすれば・・・・。このままじゃ寝られない!!
339336:03/06/20 04:34 ID:oDSaBFry
>>338
2進数11111111→0xff
PD0のみ出力にしたいのならば
2進数00000001→0x01をDDRDにぶち込めばいいのでは。

AT90S2313のデータシートに一通り目を通しました?
340336:03/06/20 04:40 ID:oDSaBFry
一応書いておく。
DDRDの対応するビットを1にすると出力、0にすると入力になる。
だから、PD0-3を出力、PD4-6を入力にしたいときは
00001111→0x0fをDDRDに入れる。

・・・試験勉強中で全然暇じゃないだが。俺って良い奴だな。
341337:03/06/20 04:46 ID:oDSaBFry
>>339>>340は名前が336じゃなく、337だった。(鬱
342青い猫 ◆POoODQNNNQ :03/06/20 04:51 ID:naPIbV2m
>>336 サソ
うおおおお!!!これです、まさに!ありがとうございます!!(泣)
よっしゃ、これで一発書いてテストしてみます!

データシートなんか穴が開くほど見てたのに・・・・。どうやら論理的思考のカケラも
ないみたいです。逝ってきます。

重ねて、>>336 サソ ありがとうございました!
343青い猫 ◆POoODQNNNQ :03/06/20 04:52 ID:naPIbV2m
あ、俺も間違えた・・・・(^_^;

>>336>>337 の間違いですた。
344??? ◆POoODQNNNQ :03/06/20 04:56 ID:naPIbV2m
?????????????????????? >??????
?????????????????????????? >>337 ??
345青い猫 ◆POoODQNNNQ :03/06/20 04:56 ID:naPIbV2m
げげ、なんか文字化けしちゃいました >> 344
気にしないで下さい m(v_v)m
346名無しさん@3周年:03/06/20 06:16 ID:ngR494DY
( ´_ゝ`)∂ チョットこいや…
http://www.k-514.com/
347名無しさん@3周年:03/06/21 09:32 ID:CBQ01KMX
PICライターでの書き込みについてなのですが、
16F711に書き込もうとすると、途中でエラーが出て、
書き込みが正常に行えません。
こういった場合、どのような問題が考えられますか?
また、16F711のデータをきれいに消すにはどうしたらいいですか?
348名無しさん@3周年:03/06/21 11:47 ID:oIeWPDwY
>>347
どのライタを使っていくか書かないとわからんだろ
とりあえず、ファームウェアのバージョンを上げたのか?
349347:03/06/21 12:17 ID:CBQ01KMX
秋月のPICプログラマーVer.3キットを使っています。
今まで他のPICでは問題なく書き込めていたので原因がわかりません。
バージョンはあげていません。
よろしくお願いします。
もしくはそれらの説明があるサイトがあれば教えて下さい。
350348:03/06/21 12:47 ID:oIeWPDwY
>>349
PIC16F711はPIC16C711の間違いだよね?
だったらファームのupしなくてもかけるはずだが。

ちなみにPIC16C711は
フラッシュじゃないから、窓付きを使ってない限り消せないと思うよ。
焼き焼きに失敗したのか。ナムナム
351名無しさん@3周年:03/06/21 12:52 ID:K0iAnFLp
AVR使いなさい。
352347:03/06/21 13:07 ID:CBQ01KMX
すいません。
16c711でした。
どうしたら焼きを失敗せずに行えますかね?
どうしても最初、もしくは途中で止まってしまいます。
353348:03/06/21 13:15 ID:oIeWPDwY
>>352
アナログスイッチ(4066)の内部抵抗の関係で
ワンタイム版や窓付き版は失敗することがあるらしい。
→内部抵抗を減らすために4066を2段重ねにしてるのを見たことあるが・・・。

picのメーリングリストとかに持っていった方が良い気がしますな。
freeml内にあったはず。
354名無しさん@3周年:03/06/21 15:20 ID:uODvDfu7
>352
ちょっと違うかも知れませんが、
ttp://akizukidenshi.com/down/tk/picpgm_v4/pic16c771_isp.pdf
これは参考になりませんか?
ファームアップ+ハード改造すると今までWIN2Kで動作不安定だったのが解消されました。
355名無しさん@3周年:03/06/21 15:54 ID:uODvDfu7
>354に追加
うろ覚えで申し訳ないですが、たしか注意書きか何かで4066の他メーカーを使用すると
>353の内容の事で不具合有りというのは記憶しています。
ホビーで使うのならば、秋月キットでも構わないけど。信頼度からすると、もうちょっと高級な
タイプの方がお勧めな気がしましました。16F877を使っていて、いまいち信用出来なかった。
356名無しさん@3周年:03/06/21 18:01 ID:sI6FtS0r
☆☆☆☆☆
http://pocket.muvc.net/
357名無しさん@3周年:03/06/22 04:36 ID:sXNXcOLg
いやね、テレビショッピングでrombaってお掃除ロボットあるじゃない
あれ、作りたいんだけど、駆動系機構や駆動制御はいいんだけど
問題はゴミ吸い込みの部分なのよね
ポンプ機構をいかに小型化するかこれ、問題だよね
ヒントくれ
358名無しさん@3周年:03/06/22 08:57 ID:y1IOEii5
>>357
ぜんぜん参考にならないけど、
ttp://www.geocities.co.jp/Technopolis/8931/index.html#・75万Hit総力企画〜世界最小最安価!自動お掃除ロボ製作記の似非科学
おもしろかったっす。
動作中のビデオは必見!
359名無しさん@3周年:03/06/22 20:05 ID:2FSchiHE
roombaてバキュームじゃなくてローラー巻き上げ方式だよね。
対抗して小型ホウキと塵取りをつければ。
360名無しさん@3周年:03/06/27 19:20 ID:GnGgcy+J
PIC 16F84A で数を400数えたいのですが
ファイルレジスタは8ビットで255までなので
悩んでいます。

具体的に何を作るかというとステッピングモータに針をつけて
アナログ1分タイマーを作ろうと思っています。
モータが0.9°/パルスなので360°で400パルスです。
途中でリセットスイッチを押したとき進んだ分だけ
針を戻すためなんですが何か方法はありますか?
361名無しさん@3周年:03/06/27 19:21 ID:5kAvwZoU
PIC勉強しています。
ブレットボードに繋いでいろいろやってるけど、
シュミレーションではうまくいったプログラムが動かなかったりで
けっこうイライラ。

PICがポート入力をうまく感知してくれないのは
電流にノイズが入っていたりするから?
362名無しさん@3周年:03/06/27 19:22 ID:5kAvwZoU
皆の衆はどんな本で勉強したの?
363名無しさん@3周年:03/06/27 19:28 ID:Cf+zOjPB
>>360
8bit2つで16bitだろ。組み合わせろ
364名無しさん@3周年:03/06/27 19:42 ID:cQoosmJ9
(σ・∀・)σゲッツ!!ならココ!
http://www3.kcn.ne.jp/~barozza/
いまどき荒らしも流行らない?
荒らしAGE!!
365名無しさん@3周年:03/06/27 19:50 ID:0Yh20l9H
>>360
1秒を切りよく表示できない分解能だな(w
その問題は、棚上げしてもいいのかな?

基準位置に戻すだけでいいなら、センサー付けろ。
366名無しさん@3周年:03/06/27 19:58 ID:GnGgcy+J
.>>365
棚上げです
センサつけるのいいかも

>>363
具体的にどうやればいいですか?
CNT EQU 0C 0D
ですか
367名無しさん@3周年:03/06/27 21:10 ID:rkbfmXb4
>>361
ポート入力ってスイッチのこと?ならチャタリングだな。
PCのシリアル入力とかのことだったら、信号の変化が速すぎるのかも。
最近のPCで昔のコード動かすと速すぎたりすることはよくある。
368名無しさん@3周年:03/06/27 21:46 ID:VVmzatI+
>361
チャタリングについて、
tp://elm-chan.org/docs/te/te01.html
ブレッドボード上のPICは、パスコン付けてますか、リセット回路は、組み込んでますか。
電源電圧は安定化してますか、三端子レギュレタを使用してボード上で定電圧にする。
CPUクロック発生回路は外部ですか、内部発振回路が有ったらそっちで使ってみよう。
369名無しさん@3周年:03/06/27 22:10 ID:cf3o7gVy
>>360,365
電子的な解決策ではないが・・素てっぴんぐもーターにギア比1:1.5の
ギアをかませれ。そうすれば600stepで丁度一周だろ?(w

>>366
調べてみればいろいろあるYo じゃぁね。
ttp://www.google.co.jp/search?hl=ja&ie=UTF-8&oe=UTF-8&q=PIC+16bit%E6%BC%94%E7%AE%97&lr=
370名無しさん@3周年:03/06/28 00:03 ID:jm8EdqeI
>>361
プルアップしてない、ってオチじゃないよな?
371名無しさん@3周年:03/06/28 00:50 ID:k4Mf/gz0
>370 激しく有りうる。(汗)
特定の入力ポート以外でも常時プルアップされていると勘違いする。(笑)
372名無しさん@3周年:03/06/28 02:06 ID:z3eetQnl
>>366
俺はAVR使いだけど、
countH EQU 0c
countL EQU 0d
ってな感じで。
多倍長演算はキャリーフラグを使ってやる。
373名無しさん@3周年:03/06/28 02:25 ID:k4Mf/gz0
>366
単にカウントだけなら、2バイト演算はしないで8ビットカウンタを入れ子にした方が間違えないで済む。
374名無しさん@3周年:03/06/28 11:43 ID:MPmYtY20
いろいろ巡回してたら乾電池を使ったPICを書き込む回路を見つけたyo
回路が簡単だから作ってみようかな 秋葉原に調達逝ってこようっと
http://www.geocities.co.jp/SiliconValley-Sunnyvale/4889/
トータルioはフリーなのか?確かに、此れ入れるとwinMEでしか動かなかった
ハード依存のデバッガも動くのだが
375361:03/06/28 17:18 ID:gCcZC8ov
ありがとうごぜいやす。
>>367 >>368

LOOP
MOVF ポートA
MOVWF Fレジスタ
DECF Fレジスタ
?  スターツZ確認
GOTO LOOP
GOTO ・・・
こんな風にしてあるから、チャタリングは問題ないと思うんですが、、、
(シュミレートではうまくいった)
>>368さんの意見参考にしてみますm(_ _)m
376名無しさん@3周年:03/06/28 17:26 ID:BfTROVNA
>>361
フォ路ーです。
FETは分かりますよね。PICやC-MOSデバイスの場合、入力インピーダンスが∞すなわち、
入力配線中吊りだと静電気でHになったりLになったり・・・。
C-MOSの場合、入力PIN手で触っても静電気で死ぬことがある。
だから、必ず使っていないPIN入力をGNDもしくはプラス(5V?)に接続する。
本には稀に書いてあるが、最初はなかなか気付かず、なんでだと悩む・・・。
377名無しさん@3周年:03/06/28 17:27 ID:4RJBpRBW
>>366

正攻法だと思われる方法。
MOVLW  144    ;COUNT_LOW/HIに400+256を入れる.
MOVWF  COUNT_LOW ;+256するのはDECFSZでループ判定を容易にするため
MOVLW  2
MOVWF  COUNT_HI
LOOP:
;;;;ループ内処理をここに入れる;;;;;;;;;;;;;
DECFSZ COUNT_LOW,f   ;下位桁をCountDown、0なら下の命令Skip
GOTO  LOOP       ;0でないならLOOP
DECFSZ COUNT_HI,f   ;上位桁をCountDown、0なら下の命令Skip。
GOTO  LOOP
;ループ脱出後の処理をここに
378名無しさん@3周年:03/06/28 17:27 ID:4RJBpRBW
ループ内処理ルーチンが小さく、ループカウントが257〜511程度なら、以下の手もあり
MOVLW  144    ;COUNTに400-256を入れる
MOVWF  COUNT   ;
LOOP1:
;;;;ループ内処理をここに入れる;;;;;;;;;;;;;
DECFSZ COUNT,f   ;CountDown、0なら下の命令Skip
GOTO  LOOP1     ;0でないならLOOP
LOOP2:        ;初期値=256の状態で上と同じ処理
;;;;上と同じループ内処理をここに入れる;;;;;;;;;;;;;
DECFSZ COUNT,f   ;CountDown、0なら下の命令Skip
GOTO  LOOP2     ;0でないならLOOP
;ループ脱出後の処理をここに

ループ内処理ルーチンが小さく、ループカウントが確実に偶数なら、
MOVLW  200    ;COUNTに400/2を
MOVWF  COUNT   ;
LOOP1:
;;;;ループ内処理1をここに入れる;;;;;;;;;;;;;
;;;;ループ内処理2(1と同じ物)をここに入れる;;;;;;;;;;;;;
DECFSZ COUNT,f   ;CountDown、0なら下の命令Skip
GOTO  LOOP1     ;0でないならLOOP

うーめんどくさいー。AVRなら以下で終わり。
LDI R30,low(400)
LDI R31,high(400)
LOOP:
;;;;ループ内処理をここに入れる;;;;;;;;;;;;;
SUBI R30,1  ;R30:R31(16bit)=R30:R31(16bit)-1
BRNE LOOP   ;≠0ならLOOP  
;ループ脱出後の処理をここに
379名無しさん@3周年:03/06/28 17:44 ID:jm8EdqeI
>>375
ポートの初期化してる部分も晒してホスィ
380名無しさん@3周年:03/06/28 22:22 ID:k4Mf/gz0
>375 >361
ポート入力のサンプリング周期はどの位で取ってますか?
スイッチなどの機械接点だと10mSぐらい安定したロジックレベルにならないので
理想は、5mS位ぐらい間を開けてポートを読んで、計3回連続して入力有効をみましょう。
16F84あたりだと、Bポートの方がプルアップ内蔵で使い易いですけど。
381名無しさん@3周年:03/06/28 23:07 ID:hfhLlJDa
どこかで面白いチャタリング対策ルーチン見た。
入力LO状態でHi待ちする場合、
適当な間隔でサンプリングしてHiを検出したら、
LOOP:
連続サンプリングを開始して、
同じ状態が続く限りカウンタをUpし、一定カウント続いたらその値を有効にして終了
一定カウント数に達する前に状態が変化したらカウンタをリセットしてLOOP
ってな感じ。
Hi状態からLo待ちなら、一時的ノイズなら結局Hiが返り、スイッチ入力ならLoが
返るってのり
382名無しさん@3周年:03/06/29 00:24 ID:MGRyR8gJ
>>381
面白いというか、むしろ普通では?
383名無しさん@3周年:03/06/29 00:38 ID:oNuwrX/5
OLD: 前回のポートの値
NEW: 今回のポートの値
OUT: 前回の処理結果
OUT(NEW):新しい処理結果

OLD---------
)) XOR > -----
+--- |AND) ----
| +-- )) XOR> --OUT(NEW)
NEW--------- | +----
| )) XOR > --+ |
+--- |
| |
OUT-----+---------------------+

連続した2回のサンプリングが、両方とも出力している内容と異なる場合、
出力を反転する。
384名無しさん@3周年:03/06/29 00:46 ID:oNuwrX/5
>383
OUT(NEW) = ( (OLD xor OUT) and (NEW xor OUT) ) xor OUT
385名無しさん@3周年:03/06/29 17:10 ID:2jiG10vW
>>381
http://www.try-net.or.jp/~jr6end/document/PIC-DDS.doc
これかな?他にもどこかのAVR関連サイトでみた記憶がある。
間歇的じゃなく連続サンプリングというところが変わっていると思った。
チャタリング中他の仕事をしなくていいのならこっちの方がシンプルかも。
386名無しさん@3周年:03/06/30 16:29 ID:CdBpkbIc
>>375
ん?これでportAの特定のビット入力をチャタリング処理付きで入力できるの?解説キボンヌ。
387名無しさん@3周年:03/06/30 21:51 ID:AQc7K5ax
確に 変だな
これでは 特定ビットのチャタは 見えん
と言うか 何の処理をしているのかな
388青い猫 ◆POoODQNNNQ :03/07/01 03:41 ID:hfmnfcHv
以前お世話になりました。
またお世話にならせて下さい(?)

AT90S2313 なのですが、INT0 ピンを使った外部割り込み(立ち上がり)をしたいのですが、
ソフトウェアの面から、どうすればいいかほとんど分かりません。
どなたか、簡単なソース(C で)を見せて頂けませんか・・・・?

何を下らそな!と思われるかもしれませんが、C でサンプルを書いてくれてるサイトが
なかなかなくって・・・・。おながいしまつ!!
389名無しさん@3周年:03/07/01 08:43 ID:gYLxbZ9E
avrgcc用に割り込みやタイマーなどの使い方を簡単に解説したpdfファイルが
どこかにあったと思うが。場所忘れた。覚えている方よろしく。
簡単に書けば、マクロを利用した疑似関数をたてて、メインルーチンで
割り込みに必要な割り込みマスクレジスタなどを設定して割り込み許可命令を
出せば勝手に動く。

SIGNAL(SIG_INTERRUPT0) {
  /*SIGNAL()は疑似関数。()内には各割り込みに応じたキーワードを入れる*/
  /*使用可能なキーワードは (avrgcc)\avr\include\avr\(CPU名).hの*/
  /* Interrupt vectors を見ましょう. */

  /*処理内容をここに書く*/
}

int main(void) {
  /*初期化ルーチン*/
  :
  outp(BV(INT0),GIMSK);// INT0割り込み有効
  outp(BV(ISC01)|BV(ISC00),MCUCR); //INT Upedge割込
  :
  sei(); // 割り込みを有効にする
  /*メインルーチン*/
  :
}

390名無しさん@3周年:03/07/01 08:53 ID:ExZ3HeDs
391名無しさん@3周年:03/07/01 13:35 ID:5pF9LUvp
>>375
とりあえず、シュミレートはシミュレートな。
シュミレートを「趣味でやっているシミュレート」という意味で使っているなら、正しく
「趣味レート」と書くように。
392389:03/07/01 15:13 ID:Q4cen9UR
WinAVR(2003/04/24)のpdfマニュアル内に解説がありました。
(WinAVR)\doc\avr-libc\avr-libc-user-manual.pdf
5.15 Interrupts and Signals
393名無しさん@3周年:03/07/01 15:52 ID:ItRQ0Zi7
質問です。AVRですが、8bitタイマで256カウントより長いパルス間隔を計測したい
場合、どのように行うのがいいでしょうか?
上位桁にあたる部分はTMR0 overflow割り込みで適当なSRAM変数かレジスタを
INCさせて、信号はINT0割り込みで捉えて、TCNT0と上位桁用変数を読めばいい
と最初は考えたのですが、INT0割り込みが始まったときはTCNT0が255で、割り
込みルーチンでTCNTを読む前に0になった場合、TMR overflow割り込み禁止な
ので上位桁はUpせず256の誤差が生じそうです。
CPUは8535なので、1つならTMR1使えばレジスタの読み込み順序さえ間違わな
ければ簡単なのですが、わけあってタイムスケールの異なる2つの信号を10〜
14bitで取得しなくてはならないため、クロックの異なる2つの16bitタイマが
欲しいのです。
394あぼーん:あぼーん
あぼーん
395名無しさん@3周年:03/07/01 21:27 ID:wBv6cTWl
>391 なんか、心の寒い人だね
396名無しさん@3周年:03/07/01 22:09 ID:BAIkZOOi
>>395 オマエガナー
397名無しさん@3周年:03/07/01 22:20 ID:/uuZIJQn
PWN制御って何ですか?
素人質問で申し訳ないですが、
わかりやすく教えていただけるとありがたいです。
398名無しさん@3周年:03/07/01 22:55 ID:xISRSnZd
PWN?
ネタ?
399名無しさん@3周年:03/07/01 23:04 ID:bW+Harle
簡単に言えば、例えばモーターをまわすのに、モーターがガクガクブルブル
しない程度高速でスイッチをON/OFFさせて、そのONとOFFの時間の比をいじる
ことでモーター出力を調整しようと言う方法。
○○○○○○○○○○○○→最強(100%)
○○●○○●○○●○○●→強(67%)
○●●○●●○●●○●●→弱(33%)
●●●●●●●●●●●●→OFF(0%)

モーターじゃなくても電球でもLEDでもいい。マイコンを使う場合、
負荷への電流や電圧を調整するより高速スイッチON/OFFの方が楽で
ロスも少なくて部品も少なくて済むのでよく使われる。

http://homepage1.nifty.com/rikiya/software/106pwm1.htm
この辺がわかりやすいと思う。この手の奴は「○○とは」でぐぐると
たいてい親切な人の解説ページが出てくるよ。
400399@ついでに400ゲトズサーAA略:03/07/01 23:20 ID:OEz3gt/1
PWNか・・・やっぱり釣りだったのか・・・逝ってきます・・・
401399@ついでに400ゲトズサーAA略:03/07/01 23:21 ID:OEz3gt/1
反原発かぁ・・パワー・ウィズアウト・ニュークリア(PWN)
402青い猫 ◆POoODQNNNQ :03/07/01 23:24 ID:hfmnfcHv
>>389 サソ
ありがとうございます!早速試してみます。

何分初心者なんで色々分かってないのですが、その分、動いた時の感動も大きいです。
403名無しさん@3周年:03/07/01 23:55 ID:K70hH3sg
>>402
俺は>>398じゃないけど、
動いたときに報告してくれると教えた方も嬉しいだろうから、報告ヨロ

個人的には>>344がなんと言いたかったのか気になるところ。
404名無しさん@3周年:03/07/02 00:19 ID:4nVxSKZg
>>393
TMR overflowの時に下位も一旦保存すればいいのでは。
値を読むときは割り込み禁止にして読み込む。
カウンタが多少ズレるかも知れないけど気にしない方向でw
405393:03/07/02 00:59 ID:AVIJsMx5
TMR overflowで下位を保存する意味は何ですか?それは常に0(PS値によっては
いくらか進むかも)で、分解能が1/256の8bitタイマ状態になるだけのような。
外部割り込みルーチン内で取得予定なので割り込みは禁止状態です。
しかし割り込み禁止にしてもタイマーは動くし。タイマー自体を止めても
割り込み掛かってからルーチンが起動するまでに桁上がりするとだめ。

うーん・・・そうだ、
A)割り込みルーチンに入ったらすぐTCNT0取得、
B)その後上位桁変数取得
C)TMR0 overflow割り込みフラグが(TIFRのbit0)をチェック
  これが立っていたら、割り込み禁止後Overflowかかってると判定
  上位桁+1して、下位桁は255の時のみ0に置き換える(A-C間に桁上がりしている)
これでいけるかな。まずい点が合ったら指摘お願いします。

もう1つ思いついた。
2つのタイマは片方はPS=1/8,もう一方は1/256を使いたいのですが、
TMR0をPS=1/1で動かしてTMR0-OVFで16bitの変数をincしてそれを使ってもいいな。
実質PS=1/256の16bitカウンタになる。
406404:03/07/02 01:42 ID:4nVxSKZg
>>405
常に0でなら下位を保存する意味は無いね…ボケとる(;´Д`)
2つ目の方法のほうが無駄が無くて良いんじゃないでしょうか。
407405:03/07/02 21:29 ID:ZtygXpl7
ありがとう。それで考えます。8535ならメモリ余りまくってるし。
408490:03/07/03 13:55 ID:V9Gs3FA6
PICに限らない話ですけど、教えて下さい。

マイコン系のCコンパイラーで、
コンパイルしたときに「RAM 55% Used ROM35% used」とか
資源の消費量を計算して表示してくれます。
(少なくとも 今使っているCCS-Cコンパイラーでは表示されます)

一般に関数コールで RAMはスタックなどに使用されるので
消費量(=残量)は変動しますよね。
コンパイラ時に表示されるRAM消費量って、関数内からまた関数を呼ぶ、
いわゆるネストしたときのことも考慮に入れて計算してくれるもの
なのでしょうか?

つまり、コンパイラの表示では55%だと出ていても、
実際の動作ではRAM不足で暴走などが起こるものなのでしょうか?
幸い、今のところそのような症状には遭遇していないのですが...
どうぞ宜しくお願いします。
409名無しさん@3周年:03/07/03 15:07 ID:2btsVfab
説明書には書かれていないのでしょうか?
もし書かれていないならテストしてみてはいかがでしょうか。
ローカル変数をたくさん消費する関数A,Bを2つ用意して、
main()から関数A,Bを呼び出すプログラムと、
main()から関数Aを呼び、関数Aから関数Bを呼び出すプログラムとで
大幅にRAM消費量が異なれば考慮している、ちょっとしか変わらなければ
考慮していないかもしれない。
410名無しさん@3周年:03/07/03 16:39 ID:XkoZbeVC
>>408
プログラム実行時のスタック消費量なんてコンパイル時に解るのか!?
外部入力値によって分岐したり再帰するようなプログラムは予測不可能だが。
411名無しさん@3周年:03/07/03 17:23 ID:T+BBib4l
>>408
動的な状態のRAM使用率は計算してくれない。

手下が似たようなシチュエーションで、スタック食いつぶして
落ちてるのに気づかずに、

「このコンパイラ、バグがあります」

と、報告してきたときには、ウメボシの刑に処した。
412408:03/07/03 17:48 ID:V9Gs3FA6
みなさんありがとうございます。

>>409
取り説には書いてないんです。(読みが甘いかも)
確かにやってみればよいですね。努力せずに聞いてしまってすみません。

>>410
そそそ、そうなんですよね。外部割込みとかのイベントの起こり方で
流れが変わりますよね。そこまでコンパイラは見切っている? んな馬鹿なー
と思ったんです。やっぱ、おかしいですよね。

>>411
>動的な状態のRAM使用率は計算してくれない。
  ですか、了解しました。ありがとうございます。

勉強になりました。ありがとうございました。>>ALL

そうすると、コンパイラのRAM消費%の計算値は、
関数単独でコールされたとき(=ネストなし)の値なのでしょうね。
ROMの消費量は、多分信用できそうですね。

ちなみに PICでは、RAM容量が368byteとなっていますが
92byte*4バンクに分かれていて、配列が大きくとれないんです。
a[60], b[60], c[60], d[60]とかはいけるんですが、
e[240]ではダメとおっしゃるんです。残念。
AVRだとRAMアドレスはリニアになるんでしょうか?
だとしたら、ちょっとうらやましいなぁ。

413名無しさん@3周年:03/07/04 01:02 ID:nqCOjjl/
I2CインターフェイスとかのシリアルRAMってないのかねぇ
414名無しさん@3周年:03/07/04 02:40 ID:Jd1+qsID
>>413
秋月にあるよ・・・と言おうとおもったら、あれはI2CシリアルEEPROMか・・
415490:03/07/04 11:45 ID:orjh36f3
I2Cでいいくらいのスピードなら、
EEPROMをRAMとして使えばいいと思いますが....どう?
SPIなら もう少しアクセス早くできるね。
416名無しさん@3周年:03/07/04 14:03 ID:mAV3hurf
シリアルIF付きマイコン+SRAMとか、
シリアルIF付きでメモリが多いマイコン
を使うのはどう?安ければ・速度が遅くても問題なければだけど
417名無しさん@3周年:03/07/04 16:14 ID:x2QQyHVl
AVRは扱いやすくていいものだけど、
少ピンで大容量のデバイスが無いのが難点かなぁ。
20pinで128byte、28pinで256byte
418413:03/07/04 20:25 ID:nqCOjjl/
PICとかの処理能力(スピード)で満足するけど扱うデータ量
がちょっと多い場合なんか24C1024コンパチのSRAMと
かあったら用途が広がるのではないかなと思ったわけなんだ。
で、もしそんな石があるなら教えてほしいと。

漏れはPIC使いなんだが、以前PICに収まりきらないデータ
を保持しておかないと実現できないネタがあって、結局あきらめ
た。
普通のパラレルSRAMドライブすることも考えたけどセットが
大きくなるからねぇ。
E2PROMも考えたけど、スピードより書き込む回数に制限が
あるんで、使えなかったってわけなんだ。

なんせ開発環境はあるから、PICが使えると安上がりだし経験
も多少あるんで開発期間も短縮できる。

H8とか使えって? やっぱりそうだよなぁ。
PICにはPIC向きの使い方があるもんなぁ。
419名無しさん@3周年:03/07/04 22:26 ID:0DorNs8W
尻パラ変換ロジックIC+パラレルSRAMなんてだめでしょうか?
こっちもでかくなるからだめ?
420名無しさん@3周年:03/07/04 22:41 ID:Cp/bRBuw
古いDRAMを使う。16kbitとか64kbitとか。
ソフトが大変だけど・・・
421413:03/07/04 23:43 ID:nqCOjjl/
>419
そうなんだ。SRAM使ってちょっとした試作まではやったんですよ。
でもそれらをDIPパッケージで組んじゃうと大きすぎたんだ。
SMDで両面実装なら十分小さくすることはできるんだけど、所詮アマ
チュアの工作。よほどの衝動でもない限りなかなかフラットパッケージ
には踏み切れないものがあるですよ。
一度やっちゃえば免疫になると思うし、趣味といってもいずれは面実装
も積極的に導入しないとDIPだけでは手に入るものもこれからは限界
がでてくるだろうけどね。
キーになる部品がフラットしかないとかのどうしようもないときは変換
基盤でしのいでるけどね。
そのネタを考えてる時、8ピンのシリアルROMみたいなRAMがあれ
ばたとえDIPでも石1個でメモリが増やせるので、そんな都合のいい
話がないかなと探してみたんだけど、「どうやら無いらしい」というこ
とがわかってそのときはあきらめた次第。そんなこんなでそのネタに対
する製作意欲も失ってしまったってわけ。
なんせマッチ箱(徳用サイズじゃないよ)ぐらいに小さくしないといけ
なかったから。

>420
SLEEPしたらメモリ飛んじゃうねぇ。リフレッシュPICにやらせて
間に合うんだろうか....

いろいろアイデアありがとね。
422名無しさん@3周年:03/07/05 01:00 ID:W4Lz5CwP
>>421
検索したらあるにはあったけど容量が…かも。

I2CバスSRAM
ttp://www.semiconductors.philips.com/pip/PCF8570P_F5.html
トランジスタみたいな奴もあるのねw
ttp://pdfserv.maxim-ic.com/arpdf/DS2223-DS2224.pdf
423413:03/07/05 01:38 ID:FlTbcJYg
>422
わざわざ調べてくれたんですね。ありがと。
その辺の石だと容量的に今ひとつって感じですねぇ....
8570が手に入ればネタ実現も現実味が出てきそうでつ。
詰め込めるだけ並べればできそうな感じもします。
でもせめて4倍の容量ほしいなぁ

トランジスタみたいな奴でバカほど容量あるのってあったら
うれしいのになぁ。PICに直結できそうだし。
げ!ランダムアクセスできないの?それは困る....

漏れのようなアマチュアは部品入手性も問題になるし、いい
部品があっても難しいことがありますよねぇ。
424422:03/07/05 05:10 ID:W4Lz5CwP
>>423
暇なんで無問題。

ふと思ったんだけど、フラットパッケージのSRAMを変換基板に載せて
基板を2重構造にするとか…。
薄さが多少犠牲になるけど面積は減らせるかも。
最終手段は空中配線の方向でw
425青い猫 ◆POoODQNNNQ :03/07/05 05:41 ID:YmzFMTl/
>>389 サン
報告が遅くなりました!できましたできました!
嬉しくてたまりません!!この感動ってマイコンの醍醐味ですよね〜。
自分で考えたコードじゃないのがナニですが (^_^;
で、動いたのはいいのですが BV ってコマンドを知らなくて・・・・。
何か見るからに便利そうなんですが、調べても使い方が分からないんです。
もし暇〜な時あったら、教えて下さると嬉しいです m(v_v)m
426青い猫 ◆POoODQNNNQ :03/07/05 06:39 ID:YmzFMTl/
>>403 サソ
すんません、報告おくれますた・・・・。
>>344 は何か Safari 独特のバグで文字化けしちゃったんですが、自分でも何を言おうと
してたのか思い出せません・・・・すんまそ!
427名無しさん@3周年:03/07/05 07:12 ID:vdJHuEt1
>>425
吐き出される.LSTアセンブラリスト見ると分かると思いますが、
BV(n) は (1<<n)を表すマクロです。
outp(BV(INT0),GIMSK);
GIMSKはGIMSKレジスタの番号が、INT0はGIMSK内でINT0許可ビットが何ビット目に
あるかがioxxxx.h内で定義されていますので、上記の表記でioレジスタ0x3Bのbit6
をセットし、それ以外をクリアするすることができるわけです。
outp(0x3B,0x40);よりわかりやすいでしょ。

これはlibcの説明書にははっきり書いてないですね。
元になったtutorial manualのありかを後で探してみます。
428青い猫 ◆POoODQNNNQ :03/07/05 07:42 ID:YmzFMTl/
>>427 サソ
な〜るほど!じゃあこれを or でつなげる事によって、欲しいビットのみ1になっている
ビット列が得られる、って寸法ですね!?おお〜。べんり〜。
(間違ってたらハズカシイ・・・・)

ありがとうございました!
429名無しさん@3周年:03/07/05 09:00 ID:5Vdq/iNq
>>428
その通りです。INT0とINT1を有効にしたければoutp((BV(INT0)|BV(INT1)),GIMSK);
ですね。

入門tutorial manualはここでした。
http://www.avrfreaks.net/
から、[AVRGCC]タグをクリック
→[2001-03-01 Added Getting Started Notes from Harald Leitner.]クリック(*)
→だいぶ下の方にある[Getting Started Notes [283k]]からダウンロード

(*)このページにある他の文書も一読をお勧めします。
  「GCC-AVR Inline Assembler Cookbook」は日本語訳があります
  (http://ww2.tiki.ne.jp/~maro/)

なお、BV()マクロですが、最新版の(2003/04/17版)libc manualでは_BV()と表記
しているのですが、最近変わったのでしょうか?私は2.9あたりの古いバージョンを
そのまま使っているのでわかりません。
Bit manipulation
・ #define BV(bit) (1 << (bit))

新しいマニュアルを見ると、先のoutp(BV(INT0),GIMSK);は、用意されたマクロで
enable_external_int(INT0);とも書けるみたいですね。
430名無しさん@3周年:03/07/05 17:04 ID:wRblmISM
>>429
あらら、pdfのコピーペーストすると"_"が消えちゃう
Bit manipulation
・ #define _BV(bit) (1 << (bit))
です。
431名無しさん@3周年:03/07/05 18:08 ID:7sloFZZ3
★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆    ★☆

☆★体験しました?『 超過激&かわいいイエローキャブのライブチャット登場 』 ★☆ 

☆★ 生ライブ中、あなたの命令で若い娘たちがヌレヌレモード&イクイクモード  ★☆

☆★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆    ★☆

☆★ ・・・当然モザイクありません・・・・・アメリカ西海岸発 ・・・・・・・・ ★☆

☆★ 寂しがりやの日本人留学生の若い娘たちがお待ちしております!・・・・・・ ★☆

☆★ ・・もちろん、オナニー&バイブ好きの若い娘ばかり・・・・・・・・・・ ・ ★☆

☆★ ・・・ あなたのチャットをお待ちしております!!・・・・・・ ・・ ・ ・★☆

☆★ ・・・・・ 日本人留学生のハレンチな「性」を覗いてみてくださいmase・・★☆
☆★・・・・・・・・チャット・セフレをお探しのあなた!今すぐ! Let's Live!! ・★☆
☆★ ・10分間無料で体験できるほか7日間会費無料でございます・・・・・・・ ★☆
☆★            http://www.gals-cafe.com            ★☆
☆★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆  ★  ☆    ★☆
432名無しさん@3周年:03/07/06 16:16 ID:A6quJzXw
売ってるよ。こないだ4つ買った。
433432:03/07/06 16:17 ID:A6quJzXw
432は誤爆でつ
434名無しさん@3周年:03/07/07 18:51 ID:1MpA47Op
初歩的な質問で申し訳ありませんが秋月のHPのPICの型番の後ろに書いてある
PIC..F.../P や .../SP という意味は何なのでしょうか?
また、PIC16F..系は書き換え可で
PIC16C...系は一回のみの書き込みということで正しいのでしょうか?
よろしくお願いします。
435あぼーん:あぼーん
あぼーん
436_:03/07/07 19:29 ID:YppnuiFm
437名無しさん@3周年:03/07/07 20:22 ID:XpPUb1C7
>>434
microchipの日本語サイトにあるPIC16F84の日本語データシートの末尾に解説があるよ。
438名無しさん@3周年:03/07/07 20:45 ID:1MpA47Op
>>437
ありがとうございます。みてみます。
それにしてもここは広告がうざいですなぁ
439名無しさん@3周年:03/07/07 20:51 ID:GRN7pZe8
スレを必要以上にageなきゃいいだけだと思うよ…
440名無しさん@3周年:03/07/08 11:37 ID:U6Asg0UN
PIC16C711のFlash版、というかADコンバータを持った18ピンPICデバイスを
開発用に探してるんですが、なかなか見当たらないス。

16F819なんかで代用効きそうなんですが、商社経由だとロット500個。
検索しても通販で買える所がみつからないス(ノД`)

どなたか売ってるの見かけた事ないでしょうか?SOパッケージなら某所に
あったんですが、変換基板作るしかないのかな・・・
441名無しさん@3周年:03/07/08 14:59 ID:DtMkEXxx
>440
16F818が18Pinパッケージで良いのかな、共立電子に有りますけど?
http://www.netten.co.jp/eleshop/cgi/search.cgi?cyu=半導体&syou=PICマイコン&so=3

新型で物が無いときはここに相談すると良いかも。
http://www.ipishop.com/hotpic.html
442440:03/07/08 15:21 ID:U6Asg0UN
>>441
感謝!(´人`)ナムナム ありがとうございます。
共立エレショップ、初めて拝見させて頂きました。試作品作りには
秋月・千石しか利用してませんでしたが、選択肢が増えそうです。
これで消去待ち時間が無くなるス!

IPIは16C711-04/Pがレールで激安なので、いつも製品用(汗)として利用させて
頂いてますが、通常品リストになかったので諦めてました。新しめの物を
使うときは聞いてみる事にします。ありがとでした!
443HS:03/07/08 20:53 ID:BZremeh/
ここに書き込むのは初めてで、場違いだったらごめんなさい。
PICのアセンブラについて、何か良い本、もしくはHPなどありましたら、教えて頂きたいです!
特にアセンブラプログラムについて、勉強したいので、例などが載ってると嬉しいです。
お願いします。
444名無しさん@3周年:03/07/08 21:56 ID:DtMkEXxx
>443
一般的な所では、著書も有るので便利な
ttp://www.picfun.com/
リンクが有り、ちょっと例が参考になったのは
ttp://www.interq.or.jp/japan/se-inoue/pic.htm
ちょっと古いですが、実用で参考にしている本は
http://www.cqpub.co.jp/hanbai/books/34351.htm
(全ソースファイルCD付き)

アセンブラだけと限定するとテクニックが定石のみとなり発展しにくいです。
C言語系のコンパイル結果(アセンブラ出力)から、効率の良い方法が見つかる可能性も有ります。
445若輩:03/07/09 08:24 ID:EzPEz+QI
個人的にコレとデータシートがバイブル。

PICインタフェースハンドブック The Third Edition
http://www.mal.jp/book/book-PIH3E.html

最初に買ったのは、薄くてお手軽な444氏の2番目の物でした。
Cを知っててアセンブラを始めた私はカルチャーショックの連続でした。
今はアセンブラで解説されたアルゴリズム集みたいなのがホスィ。。。
446名無しさん@3周年:03/07/09 08:54 ID:wn9TOpjO
447名無しさん@3周年:03/07/09 13:31 ID:Ycg9bV66
#byte port_b=6

ファイルレジスタのファイルアドレス05hはPORTA
06hはPORTBと決まっているので、対応づけて指定する。
アドレスの6番地は変数レジスタport_bで表す。
変数レジスタport_aを使用する場合には、
#byte port_a=5と記述する。


上の意味がわかりません。
どなたかわかりやすく解説していただけないでしょうか?
お願いします。
448名無しさん@3周年:03/07/09 13:53 ID:OGYncMgV
名無し氏の電話番号が03-XXXX-XXXXと覚えるより、
電話機の電話帳に記憶させて「名無し氏」を引っぱり出すだけで
電話掛けられた方がラクでしょ。それと同様。
PortAは何番地だったかな・・・って考えるより
#byte port_a=5 と書いちゃって、5番地と書くかわりに
port_aと書けばすむようにすれば覚えやすく間違いにくい、ラクだよ。
ということ。
449_:03/07/09 14:05 ID:WLbZbOcs
450名無しさん@3周年:03/07/09 14:05 ID:Ycg9bV66
>>448

もうひとつ質問なんですが、
この5番地というのは何を表しているのでしょうか?
この05hや06hが何なのかがわかりません。
451名無しさん@3周年:03/07/09 14:56 ID:zwcD2e4/
>>450
>>444で紹介されている http://www.picfun.com/
こっちか、この人が書いている本仕入れてじっくり読んでみましょう。
最初からきちんと読めば理解できるはず。
452名無しさん@3周年:03/07/09 14:56 ID:Ycg9bV66
もうひとつ質問させてください。

2つのポテンショからアナログ入力するには
どうしたらいいですか?
453名無しさん@3周年:03/07/09 15:13 ID:zwcD2e4/
>>452
アナログ入力(ADC)が2つ以上あるマイコンを使う。
454名無しさん@3周年:03/07/09 15:29 ID:JjvFnRFQ
>>452
例えばPIC16F628のPDFの58ページ目には
Two Independent Comparators --- CM2:CM0=100
と書いてあるな。
これでRA0/RA3→C1OUT 、 RA1/RA2→C2OUT になるな。
455名無しさん@3周年:03/07/09 15:33 ID:JjvFnRFQ
>>450
例えばPIC16F628のPDFの14ページ目には
PORTA 05H
PORTB 06H
と書いてあるな。
456とも:03/07/09 16:00 ID:gITzXjEg
457名無しさん@3周年:03/07/10 01:05 ID:wuKJnGFX
>>450
それはアドレスね。
#byte a_port = 0x05; とするだけで、その後は

sw = a_pport;    // 変数swにaポートの内容を代入
if(bit_set(a_port, 3) == on){    // aポートの3bit目がonなら....
a_port = 0b00001101; // aポートに1101を出力する
のように使えるわけよ。
これは別にportに限らず、ADCONとかINTCONとかにも使えるので
ほとんどの設定はこの #byte = ....で終わってしまう。

#define over_run_error 2 // over_run_errorという表記が出てきたら 2 に置き換えてね
#byte RCSTA = 0x18; // 0x18番地を今後「RCSTA」と呼ぶからね

if(RCSTA, over_run_error)==H){
bit_clear(RCSTA, over_run_error);
}
てな感じに とても便利に使えるんだ。
458名無しさん@3周年:03/07/10 20:28 ID:tczyFeCX
>>450
つーか、アドレスという概念、IOポートという概念、理解してる?念のため。
459名無しさん@3周年:03/07/10 20:41 ID:gM817soD
普通にイイ(;´Д`)!!
http://www.k-514.com/
460名無しさん@3周年:03/07/10 23:27 ID:A8MouG6R
>450
予想としては、レジスターマップドI/Oを理解していないと思われますが。
461名無しさん@3周年:03/07/11 10:38 ID:x41TwmMg
>>460
つっか、(所謂)RAM(=レジスタ)にプログラムを置けないのがPICの
困りモノの所以ですね。
462名無しさん@3周年:03/07/11 13:52 ID:Mh70+19x
>>460
そうか?マイコンの場合それはそれでいいと思ったが。
ROM上に置いた定数を使いたいときは頭痛いけど。
463名無しさん@3周年:03/07/11 15:32 ID:jCd6yhK/
>>462
「マイコン」がインテルを指しているでしょ。w
464名無しさん@3周年:03/07/11 15:59 ID:6+En8iNv
465名無しさん@3周年:03/07/11 16:27 ID:Ls3JEesP
>>463
ハーバードアーキテクチャーって奴?
PentiumからRAMを抜いてキャッシュだけで動けるようにしたら
PICと一緒と言えるのかな(w
466名無しさん@3周年:03/07/11 21:37 ID:01h6vZuW
ハーバードアーキテクチャ = キャッシュメモリの構成において、命令用のキャッシュ領域と
                   データ用のキャッシュ領域を独立に持つ構造をいう。

プログラムメモリと、データメモリ(レジスタ)が別々の領域って事でしょう。
467名無しさん@3周年:03/07/11 22:34 ID:/3XRBLfF
命令とデータとで別々のバスを持ってるってことじゃないの?
468名無しさん@3周年:03/07/11 22:45 ID:fNa6E4Z2
命令とデータのバスが分離したアーキテクチャの事ですな。
ぐぐって1発目に見つかるところで>>466のように書いてある模様。
469名無しさん@3周年:03/07/12 01:14 ID:QSL4Eryg
>466 しかし此処にも、インテルの影響が有るとは参ったものです。
Pentiumは、外部接続はバスが一緒だからどう足掻いてもPICと一緒にはなれないかな。
内部はRISCなので大改造すれば。。。

PICとかは基本がRISCなので、ハーバードアーキテクチャなのは当然なのでしょう。
470名無しさん@3周年:03/07/12 02:10 ID:lkeU/e2F
F628とかF818とか便利でインテグレートされたチップが出てきて、
このところもっぱらそいつらを使ってるんだが...

30個ほど買いだめしたF84の使い道を考えてる今日この頃。

ところでさ、ブレッドボードでF84の逆差しやっちまったんだ。
しばらく気づかなかった。ブレッドボード溶けたよ(鬱
こんなときに限って電源の制限電流3Aぐらいに設定してるし。

話は変わるが、漏れは最初からSTART PLUSなんだが、F876とか
焼くとプログラムは小さくてもメモリがでかいから焼くのに時
間がかかる。
他のライターで、コードのあるところしか書かないとかいうの
はあるですか?
471名無しさん@3周年:03/07/12 02:32 ID:QSL4Eryg
>470
IPI PDE-EX 使ってますがフラッシュの時は、コードの有る所だけなので早いです。
472山崎 渉:03/07/12 12:12 ID:mXBxKMRu

 __∧_∧_
 |(  ^^ )| <寝るぽ(^^)
 |\⌒⌒⌒\
 \ |⌒⌒⌒~|         山崎渉
   ~ ̄ ̄ ̄ ̄
473名無しさん@3周年:03/07/12 13:54 ID:QNCrQJmv
微妙な知ったかクンに聞きたいが

ペンティアムにIOポートとかTIMERとかA/DとかCCPとか付いてんのか?
マイクロプロセッサとマイクロコントローラの違いってなんなんだ?
474名無しさん@3周年:03/07/12 15:03 ID:bn0IfpTX
>>473
何をマジレスしているんだ。ただ>>467の共通点で遊んでただけでしょ。
475名無しさん@3周年:03/07/13 16:44 ID:WKNOvjME
>473 あなたの、その優秀な頭脳が有れば内蔵されていない機能も追加できるよね。
476名無しさん@3周年:03/07/14 00:02 ID:3BsrXkrn
>>475
ダムヘッドの機能を高性能と呼ばれるプロセッサがソフトオンリーで
出来ない事実を知らない馬鹿が多い証拠だろ。
無視無視
477名無しさん@3周年:03/07/14 14:37 ID:ILj+YiE5
理屈だけならね
47816F873A:03/07/14 17:19 ID:KIKBM5D/
>>470
PICSTART-PLUSでも焼く範囲指定できると思ったけど。
479名無しさん@3周年:03/07/14 18:09 ID:W2Nqj975
http://www.geocities.co.jp/Milano/2813/2m.rocket.html


カウンターのこの差は何
480470:03/07/14 23:10 ID:H3aGzNUs
レスありがト。

>471
HPみても対応デバイスよくわからなかったのでIPIに聞いてみます。
情報サンクス。

>478
うん、できるね。
でも手入力が必要だし、ヒューマンエラーが介在する余地があるのは
あんまり芳しくないと思ってるんだ。
HEXファイル見れば一発なんだけど、これを書き込み範囲に自動的に
採用してくれるライターがあればいいなとおもってるんです。
481名無しさん@3周年:03/07/15 11:33 ID:Qg+IuWJz
質問なのですが、
最近PICの877に書き込むためにPDE-PLUSを購入しました。
ところがうまく書き込むことができず、
Current settings of PWRT and BOD are in conflict
というメッセージが出てしまいます。
どうすれば解消されるでしょうか?
ちなみにf84には問題なく書き込むことができました。
バージョンは3なので問題ないと思います。
482481:03/07/15 11:37 ID:Qg+IuWJz
すいません。877ではなく873です。
483山崎 渉:03/07/15 12:37 ID:Ji/MgYLv

 __∧_∧_
 |(  ^^ )| <寝るぽ(^^)
 |\⌒⌒⌒\
 \ |⌒⌒⌒~|         山崎渉
   ~ ̄ ̄ ̄ ̄
484名無しさん@3周年:03/07/15 20:34 ID:Qg+IuWJz
質問あげ
485名無しさん@3周年:03/07/16 04:44 ID:y2lIl9GI
>>481
パワーアップタイマーとブラウンアウトリセットの元の設定がそれとぶつかってるって
いうのか?ライターのオプションと、ソースのoptionの記述あわせるとか、
ソースの__configを注釈文にするとか。
と、いってみるテスト
物もってないから、だれか答えてくれや
486_:03/07/16 04:56 ID:9eUO8HnS
487_:03/07/16 05:14 ID:9eUO8HnS
488_:03/07/16 08:54 ID:9eUO8HnS
489名無しさん@3周年:03/07/16 10:19 ID:y7UHHAiI
>>481
877と877A はconfigが違うんで、今一度再確認してたもれ
490_:03/07/16 12:24 ID:9eUO8HnS
491_:03/07/16 14:44 ID:9eUO8HnS
492名無しさん@3周年:03/07/17 02:17 ID:9cqZRioD
493408=527:03/07/18 14:47 ID:VbhXmJ5s
PICの16F628を5V電源で使用していますが、
今度3Vで使おうと思っています。
で、データシートのブラウンアウトの項目を見たら
盛んに4Vで検出と書いてあるのですが、
3V電源の電圧が書いてありません。
これは、5Vで80%だから、3Vなら2.4Vと考えても
いいのでしょうか?
普通0.8VDDとか「割合」で表現されるものだと思っていますが
マイクロチップのそれには、4Vと絶対値が書いてあるので
不安に思っています。
494名無しさん@3周年:03/07/18 17:58 ID:0mDD6Onb
ほんとだ、どこにもかいてないなー。
とりあえずVdd=5Vの値とは書いてあるけど、それ以外の時はどうなるかは
どこにも書いてない・・・
495名無しさん@3周年:03/07/18 18:52 ID:TEYObYlI
>493
たぶん外付けで回路図出てるので、3V時は作れと言う事でしょう。
3Vの時、内蔵BODを使うと規定のVbodが得られず動作不定になっちゃうかも。
496名無しさん@3周年:03/07/18 22:00 ID:zw+Y17BX
>>493
http://www.microchip.com/download/lit/pline/picmicro/families/16c62x/40300c.pdf
http://www001.upp.so-net.ne.jp/FITDESIGN/manu2.htm
http://www001.upp.so-net.ne.jp/FITDESIGN/manu5.htm
こんなのみつけた。ふえー、本当に4Vみたいですね。
コンフィグレーションワードのBODENビットをOFFにして
外部リセット回路を使うか(MCLRの閾値はVddで規定される)、
ONにしてVBORを4Vにして使う(Vddは4.5V以上?)か
どちらかしかないようです。
497訂正:03/07/19 08:43 ID:7UIgz889
×VBOR
○VBOD(Brown-Out Detect)
498493:03/07/19 15:35 ID:TSPxgQ50
みなさんありがとうございます。
ですよね、何かおかしいですよね。
5V単一電源を基板に供給する時に、逆接防止でダイオード入れたら
5-0.6=4.4のVddになるわけで、BORが4V固定で待っていたら、
0.4Vしかマージンがなくなってしまいます。
こんなときリレーなんぞがONした日にゃ、即BODで引っかかります。
さらに3V電源の時は、BORかかりっぱなしで、動かないと思うんです。
データシートによると、16LF628のだと電源が2Vからになっていますが、
それでもBODは4Vになってる。5V以外ではBODなしで
やりなさいっていうmicrochipの ありがたいお言葉でしょうか。
やっぱ、外部RESET ICでしょうかねぇ。変なのぉ。
499名無しさん@3周年:03/07/20 05:03 ID:LLzBqAf7
>>498
希にそう言う死に方をするから、より安定した動きをさせたかったら
リセットICを使うのが良いと思われ。
500498:03/07/20 16:35 ID:ShC1sneS
そうですね
でも なんで4V固定なのか 理由が知りたいですよね
内部の基準電圧は1.25Vだろうから vddを抵抗分割して 比較すれば
可能だと思うのですが
せっかく便利な機能が入っているのに 残念
501名無しさん@3周年:03/07/20 21:44 ID:NnBUmST4
>>500
500getおめ。

Vddを抵抗分割して1.25Vと電圧比較だけなら結局電圧固定になるんじゃないの?
抵抗分割比を決めるレジスタが必要になってしまう。

Vddの何倍という感じで指定したいと言われても、そのVddが変化するんだから、
比べようがないんじゃないでしょうか?
リセットICや外部ブラウンアウトリセット回路が1つの電圧にしか対応できないのと
同様、内蔵のBOD回路も1つの電圧にしか対応できないのは仕方ないのでは
ないでしょうか?
502名無しさん@3周年:03/07/20 21:48 ID:U18In7VM
あっそうか その通りでした
勉強になりました
ありがとうございました
503名無しさん@3周年:03/07/20 22:30 ID:lLqIVHUA
>>501
なるほど、そうか。BODは使ったことがないので気にしてなかったけど、内部の固定
基準電圧で比較してると。漏れが今使ってる12F629/675のデータ見るとVBOR=2.0V
になっているが、これはそもそも低電圧動作タイプのPICだからそんな設定になって
るということだね。
504502:03/07/21 00:32 ID:dm/rAATD
>>503
ということは、5Vで電源のときは なかなかBODしないってことだよね?
  (そもそも5Vは不可かも?)
505名無しさん@3周年:03/07/21 01:27 ID:V21YSTo0
誰か、紫外LEDでPICの消去やってみた香具師いない?
PICイレーサ高ぇよ。
506名無しさん@3周年:03/07/21 09:35 ID:tFExu/cF
>>505
ttp://junkbox.info/diary/dy200209.htm
だめみたいね。
紫外線ランプ(殺菌灯)使った方が簡単では?数千円で自作できる。
扱いは普通の蛍光灯と同じで安定器とグローランプつなげばオッケ。
面倒なら、市販の小さい蛍光灯使った器具に、同じサイズの殺菌灯。
507名無しさん@3周年:03/07/21 09:37 ID:DcoHPKY9
秋葉で殺菌ランプと点灯器具を買って作る。
508名無しさん@3周年:03/07/21 13:21 ID:D3ivPSS8
でも、消去時間がかかりすぎるのと、時々失敗するので鬱。最適波長がちょっと違うのです。
ストロボ式の方が、万能に使えて便利。
消去時間数十秒と、殺菌ランプで数分の、費用対待ち時間いらいらのどっちを取るか。。。
509名無しさん@3周年:03/07/21 13:49 ID:mqot8UoB
でも ストロボ式って、チップが傷むって言いますよね。
私はデバイスを10個程度買って、古典的なイレーサで20分かけて....
510505:03/07/21 15:54 ID:V21YSTo0
>>506-509
うはwさんくす。
UV-EPROMを使うのは多分今回だけなので、なるべく安く済ませたかったんですが。。。
511名無しさん@3周年:03/07/21 18:57 ID:D3ivPSS8
>509
それは、初耳ですね。
初期のEP-ROMの時代に、ストロボの発光で帯電してダメージ受けるのは聞きますけど。
虎の子PIC1つだけで100回程度位なら平気でしたけど。
窓付きPICは勿体ないので、本チャンには使用出来ない貧乏プログラマの悲しさですね。
512名無しさん@3周年:03/07/21 22:07 ID:6QZdzI1M
>>333
ATtiny26対応版のファームってどこにあるんでしょうか?
AVRPROGのデバイス一覧にはtiny26が無いようですし・・・。
別のデバイス(Tiny12or15)として書き込めばokですか?
513名無しさん@3周年:03/07/21 23:27 ID:mfdO3+4a
>>511
光が強いので云々と言うのは聞いた事がありますねえ。
真偽は知りませんが。
514名無しさん@3周年:03/07/22 01:13 ID:m1kzjfRR
>510
こんなもの発見、Pocket Eraser(ポケットイレーサー)
MODEL RE-906 標準価格 \15,000
http://www.sunhayato.co.jp/newproducts/souko/newssouko12.html

PICのMLには、こんな投稿がありました。
(JW版の寿命は?のレスから)

PICのデーターシートには詳しく載ってないので、UV-EPROMのデータシート
を参考にします。

STマイクロエレクトロニクス(旧SGS-THOMSON)のM27C256B
(256Kbit UV-EPROM)のデーターシートによると、
「セルの消去には、約4000オングストロームより短い波長が必要である」
「消去のためには最小限15W秒/平方センチメートル必要である」
と書かれています。またこの条件を満たすためには、
「12W/平方センチメートルの紫外線ランプで、約15〜20分である」
「対象デバイスはランプから1インチの以内に置かれるべきである」
と書かれています。

私の使用しているイレーサは、6Wのランプ、デバイスまでの距離は8mm
で8分位で使用しています。回数を重ねるとやはり消えにくくなります。

波長はランプのカタログによると253.7mm(2537オングストローム)
だそうです。
515名無しさん@3周年:03/07/22 02:58 ID:mF9ACIdO
>>509
原理までは調べた事無いけど、UVEPROMが死ぬ確立が
高くなる事は身をもって体験してます。
516505:03/07/22 07:36 ID:alMObWP0
ん?
4000Åってことは、紫外LEDでも時間をかければ消える???
517名無しさん@3周年:03/07/22 13:24 ID:m1kzjfRR
>516
波長はOKだけど、ビットの電荷を追い出せるだけの単位面積あたりのエネルギー照射量が
足りない。1年位当てれば消えるかも。。。
518名無しさん@3周年:03/07/22 13:44 ID:m1kzjfRR
某所の掲示板からのコピペです。

> あと、紫外線LEDでROMの消去はできますか?
恐らくできますが、時間がかかるでしょう。

紫外線LEDの波長は400nmくらいなのに対し、
ROMの消去に最適な波長は250nmくらいです。
400nmはまだまだ目で見えるくらいの光です。

400nm以下ならゲートに溜まった電荷たたき出すことは
できるようですが、波長が長いと効率が悪くなります。(たぶんね)

某社のEPROMでは完全に消去するのに、
250nmの光を15W・sec/cm^2与える必要があります。
(パワーの面密度で与えられている点に注意)

仮に光出力が1mW/cm^2だとしたら、15000秒かかります。
紫外線ランプの出力は12mW/cm^2くらいであるうえ、
波長が250nmと短い(1個のフォトンのエネルギーが高い)ので、
20分くらいで消去できますが、
LEDではまずパワーの差が効いてくるでしょう。
(だれか405nmでの290mcdを、距離5mmでのW/cm^2に換算してください)

そして波長の差も効いてきます。
250nmと400nmの効率の差を10倍と適当に見積もれば、
150000秒くらいかかるでしょう。丸二日です。
519名無しさん@3周年:03/07/22 16:46 ID:o4nAnYXF
AVRで、ロックビットの設定状態をISP(シリアルモード)で
読み出す方法はないでしょうか?
やっぱ、パラレル書き込み機買うか、作るか、なぁ…。
520名無しさん@3周年:03/07/22 17:38 ID:o4nAnYXF
>>519で回りくどいこと書いちゃったけど、要はISPでガシガシ
デバッグしていた8515が、突然無反応になっちゃって、もしかして
何かの拍子にロックビットが書きかわちゃったのかな?と思って。

書き込みは、STK200ドングルで、書き込みソフトはyappです。

突然、yappのエラーメッセージで、「ターゲットの電源が入ってなくない?」って
言われるようになって、当然デバイス名も読み込めないし、全く何も
できなくなっちゃいました。

最初はPCのパラレルポートが死んだかも、と思ってプリンタつないだ
所、ちゃんと印刷できるので、パラレルポートは平気みたいです。

STK200ドングルのHC244がへばったかと思って、良品と載せ替えたけど、
やっぱり同じ。あとはターゲットの8515が変ってことになるけど…。

8515はQFP版で、すでにシステムに組み込まれちゃってるし、
もし8515が死んだのなら、はがして載せ替えないと…。
とにかく今はISPでしか外からは手出しできない状態です。

ISPでガシガシ書くと、AVRって逝っちゃうものなのでしょうか?
書き込み回数は100回に満たないくらいだと思います。
521名無しさん@3周年:03/07/22 20:41 ID:Ty1S4Z6j
>>520
確か仕様は1000回だったと思うけど・・・
実は導通不良とか・・・
522505:03/07/22 21:41 ID:J90SXaUR
>>517-518
うはwそれは桁が違いすぎ。
3桁のイレーサは諦めます。
とりあえずさんくす。
523名無しさん@3周年:03/07/22 21:49 ID:m1kzjfRR
>522
チープに消すには、1000円で買ったハンディ蛍光灯を殺菌ランプに交換する。か
キッチン小物の殺菌ライト(¥2000位ので1分*20回押し)で済ますが吉。
でも、消し残し有ると著しくサイクル寿命が短くなるので注意すべし。
524505:03/07/22 22:18 ID:J90SXaUR
>>523
それだ!
ハンズにあるかな?
525名無しさん@3周年:03/07/23 09:05 ID:sEVEXBBE
>>524
くれぐれも光が漏れないようにしろよ、目をやられるぞ。
ま、その程度解ってると思うが。
526505:03/07/23 09:43 ID:kmSPZ+oe
>>525
お気遣いありがd
527名無しさん@3周年:03/07/23 16:58 ID:ImrVnYHo
UARTから入力されたデータをちょっと書き換えてまた
UARTで出力するというプログラムを、アセンブラでゴリゴリ書いて
動かしていましたが、試しにCで書き直してAVR-GCCで
コンパイルしてみたところ、hexサイズが約半分になった…

鬱、鬱だ。
528名無しさん@3周年:03/07/23 17:10 ID:79LArl0O
可愛い娘たちが貴方を癒します・・・☆
http://yahooo.s2.x-beat.com/linkvp2/linkvp2.html

529_:03/07/23 17:26 ID:O01iUdn0
530_:03/07/23 19:21 ID:O01iUdn0
531名無しさん@3周年:03/07/23 19:33 ID:vZERK+ld
>>523 オートバックスで最初からブラックライトが入ってるハンディ蛍光灯があったような。
もしかしたらシガープラグの12Vだったかも知れないが。
532車乞 食并:03/07/23 19:58 ID:jBq5nZ27
>>531
黒いコートしてあるけど・・・・
533名無しさん@3周年:03/07/23 21:37 ID:vZERK+ld
あれブラックライトと殺菌灯は違ったかな?
あ、違うんだ。
スマソ
534505:03/07/23 21:42 ID:kmSPZ+oe
>>527
あ ー げ ー ん ー な ー ! !
535名無しさん@3周年:03/07/23 21:47 ID:vZERK+ld
(((;゚Д゚))ガクガクブルブル
紫外線の動物に対する影響について
ttp://www.oyamanishi-h.ed.jp/kenkyu/seibutu_2/sigaisen_2.html
536名無しさん@3周年:03/07/23 21:48 ID:vZERK+ld
しまった
537名無しさん@3周年:03/07/23 22:13 ID:LG2Wa7ML
>535 ちーん、ナムナム。 ブラックライトは、波長が長いので比較的安全です。
538名無しさん@3周年:03/07/24 00:23 ID:cH0gNWmn
大昔だけど、ブラックライトで2732とか2764を消そうと
したことがある。
20分ぐらい当てたけど、消えなかった。
539名無しさん@3周年:03/07/24 01:17 ID:U7UUMGao
ブラックライトは普通の蛍光灯から可視光線をカットして蛍光塗料を見やすくしたものだと思われ。
ブラックライトで消えるなら、蛍光灯でも消えるってばよ。
540名無しさん@3周年:03/07/24 01:23 ID:FaLqjHLK
>>533
>>539
 東芝ライテックのデータシートを見るとイイ。

 あれみると、ブラックライトとケミカルランプはコーティングが
されてるかどうでないかの違いだけで、ほぼ同じ模様。

 波長分布だけみると、殺菌灯よりまんべんなく出ている
ケミカルランプの方が効果有りそうにも思えるんだが・・・
#感光基板ならケミカルランプや、時間かかるけど普通の蛍光灯でも十分

 少なくとも、蛍光灯の透明ケースは外さないと、紫外光領域を
フィルタしそうなので外したほうがイイと思われ。



541名無しさん@3周年:03/07/24 02:53 ID:xfZhPfFP
>>527
なにか間違ってない?いくらなんでもそのような単純なプログラムで
gccといえどもアセンブラの半分で済むというのは考えにくいのだけど・・・
542名無しさん@3周年:03/07/24 08:40 ID:MJafQkz7
>>540
殺菌灯は水銀の発する光をそのまま素通し、
ケミカルランプや近紫外線を発する蛍光膜を使ってる
ブラックライトはケミカルランプ+300-400nm以外の光をカットするフィルターガラス付き
かな?
紫外線出力は殺菌灯が5割り増し程度(6W型で1W vs 0.6W)、だけど、
でも中心波長250nmと350nmでは勝負にならない予感・・・

反射板も普通の蛍光灯器具によくある白い反射板だと紫外線反射しないから
アルミ反射板にしろ!って書いてあるね。これも注意かな。
543名無しさん@3周年:03/07/24 09:07 ID:Mq9KZ5oN
>>541
私も最初は目を疑いましたが、外部SRAMを使ったFIFOや、
ビット単位でのフィルタをたくさん作り込んでいるので、
その辺の最適化が大きく効いているようです。
これからはタイミングをきっちり作り込みたいとき以外はgccで
書くことにします。
544名無しさん@3周年:03/07/24 12:59 ID:ELhN9aG6
>>543
あーなるほどSRAM大量に使ってるんですか。
gccってstoreとloadの距離が近いコードがあるとばしばし
レジスタに割り当てしてきますので、SRAMアクセスの塊のようなコードで、
アセンブラ側が間接参照の山のような処理だとあり得るかも知れませんね。
545名無しさん@3周年:03/07/24 14:14 ID:GQDADepE
16F873使って0.6kHzのPWMだしたいんだけど
CCPRxLレジスタとCCPxCONレジスタにデューティ値を設定しますよね
その設定の考え方がわからんのだけど、どう考えればいいの?

開発言語はアセンブラです。
546_:03/07/24 14:19 ID:T7A7T2WM
547名無しさん@3周年:03/07/24 16:56 ID:ncXAEsWP
>>545
http://www.picfun.com/
PICの活用−内蔵モジュールの使い方−CCPモジュールのPWMモードでの使い方
http://www.picfun.com/pic18.html

これでだめですか?
548名無しさん@3周年:03/07/24 19:56 ID:1wjP2myK
PIC16F628A−I/P
 って、どこが改良された個所なんでしょか?
http://akizukidenshi.com/catalog/items2.php?c=pics&s=popularity&p=1&r=1&page=#I-00379
549名無しさん@3周年:03/07/25 02:11 ID:1dlvbcc5
>548
EEPROM書き換え可能回数が100万、100年間のデータ保持時間。が明記されている。
プログラム実行サイクルが、全部1サイクルになった。(命令実行時間の短縮)
スタンバイ電流、消費電力の更なる減少。ノーマルでも最小動作電圧が2Vからになった。
550名無しさん@3周年:03/07/27 19:09 ID:pfuN1G50
うーみゅ 628にADが付くといいんだけどなあ
18ピンでADとUARTがあれば すぐ買うゾ
551名無しさん@3周年:03/07/27 20:10 ID:TUaKYHda
>>550
PIC16F818はだめですか?
UARTは無いけど。
>>199 , >>257
552550:03/07/27 23:03 ID:eGq/73LH
>>551
>>PIC16F818はだめですか?UARTは無いけど。
だめですね。ADとUARTが両方乗ったデバイスがホスィのです。
さらに8ピンのAD+UARTもあれば、鬼に金棒です。
8ピン→18ピン→28ピン(16F876)→40ピン(16F877)という
ラインナップで決まり となります。
553_:03/07/27 23:13 ID:hxJdDnZj
554名無しさん@3周年:03/07/30 21:06 ID:ujyYmzKa
最近PICも使わなくなったなぁ。
A/D、D/A、UART・・・みんなあるな。8ピンで・・
そんなデバイス使ってる。


555名無しさん@3周年:03/07/31 13:07 ID:/JaOphWc
えっ? 8ピンで AD,DA,UARTみんなあるんですか?
どこのマイコンでしょうか? 是非教えて下さい。
556名無しさん@3周年:03/07/31 15:24 ID:XtAFFwqS
>555
3m角、C8051コア、WEBで開発ツール込みで購入可能です。D/Aは付いてないですね。
http://www.semic.sanyo.co.jp/news/event/2002/pdf/signal01.pdf
557名無しさん@3周年:03/07/31 15:26 ID:XtAFFwqS
>556 間違い、D/Aも付いている。でも単体の値段もそれなりに高い。
558名無しさん@3周年:03/07/31 15:35 ID:XtAFFwqS
>556 3mじゃなくて3mmだった。(泣
559名無しさん@3周年:03/08/01 02:32 ID:n8GOLw0B
これって8ピンなんですか? QFPの多ピンに見えますが。
DIP8ピンを期待していました。
560名無しさん@3周年:03/08/01 02:40 ID:XpKbHlA4
どこが8pin?
561560:03/08/01 02:41 ID:XpKbHlA4
かぶったスマソ
562名無しさん@3周年:03/08/01 02:48 ID:6fa5hjRE
一番小さいピンレスの奴だと思うが
確か14品の筈。
マイコン太郎のHPに行ったら色々と
レポがあるよ。
563554:03/08/01 09:25 ID:7Z2e6PbZ
564554:03/08/01 09:27 ID:7Z2e6PbZ
すまん、書き込みしてしまった。
>>555
8ピンDIPもあるよ。漏れは使ったことは無いけど、中身のブロック数は同じ
(メモリは少ないな)だから、A/Dとシリアルならできるんじゃないかな?

ttp://www.cypressmicro.com
565名無しさん@3周年:03/08/01 10:10 ID:v/ag4abv
一般的な方式で良いので教えてください。

AVRで、1Mbps(のうち通信負荷数%)の某通信モジュールから受信したデータを
LCDに表示したり、キー入力でメニューを表示したり、表示を切り替えるというような
システムで、基本は10msの内部タイマー割り込みで回そうと思っています。

このとき、LCD表示ルーチンや、キー入力ルーチンは10msごとに
やろうと思うのですが、UARTの受信割り込みは10msに関係なく発生
してしまうと思うのですが、できれば10msの枠は崩さずにやりたいのです。

この場合、受信割り込みは使用するべきではないのでしょうか?
566名無しさん@3周年:03/08/01 10:24 ID:dtjO9CDb
キー入力や表示を別のマイコンに行わせるとか
567名無しさん@3周年:03/08/01 10:38 ID:7Z2e6PbZ
そうそう、こいつのA/Dなんかは遅いからね。せいぜいオーディオ帯域
までと思っておくのが良い。

8ピンは使ったことが無いんでちょっと8ピンでプロジェクト起こして
試してみたけれど、11ビットA/D、9ビットD/A,UARTも全部まとめて
入ったし、ピン数も足りてるから大丈夫でしょ。
あと容量的にはIrDAの受信モジュールとか、8ビットのPWMなんかも
いっしょに放り込めるみたいだけど必要ですかぁ?


>>565
通信割り込みの処理が10msもかからないなら、10msの中では処理できる
だろうし、タイマー割り込み応答時間が問題なら、通信処理最中にタイマー
割り込みが入ってこられるかどうか、入ってこられるようにできるかどうかっ
てだけの話ではない?
568名無しさん@3周年:03/08/01 11:59 ID:tIJonMB7
割り込みレベルをきっちり管理しろってこったな
569名無しさん@3周年:03/08/01 12:45 ID:IluBT93c
液晶は割り込みの外の方がいいんじゃないの?
570名無しさん@3周年:03/08/01 16:01 ID:YMqdJq9S
8pinといえば、AVRにもPICにもあったろ。
571名無しさん@3周年:03/08/01 16:03 ID:zm9094im
その8051コアのマイコン、PICのCCP相当のことはできますか?
あと、STEPの動作周波数の関係はどうなっていますか?
PICで、STEPの刻みでタイミングを合わせたり良くしているものですから、、
572名無しさん@3周年:03/08/01 17:00 ID:eVPivWsl
>>570
でもさすがにDACがあるものはないな。何に使うかは?だけど

>>565
1MbpsでUARTですか??SPIなどの同期通信じゃなくて??
それはともかく、表示ルーチン、特に液晶は長い時間が掛かるので、
これは割り込みの外の方がいいと思う。
UARTは割り込み内では受信データをバッファに収容するだけにして、
10msecタイマルーチンはタイミングが重要な処理や、表示すべきデータの元になるデータの取得だけを行い、
どちらもできるだけ短時間で切り上げて、適当なフラグを立てさせればいいんじゃないかと思う。
お約束の割り込まれるメインルーチンの無限ループでそのフラグを見て時間の掛かる処理をすれば
お互いの割り込みがかからない心配が減ると思う。

もっと簡単に、割り込み10msecタイマー割り込みで、タイミングが重要な最低限の
処理を行った後割り込みを許可して多重割り込みを許可するのもいいかも
573:03/08/01 17:03 ID:iTupfX2N
あるよ
574名無しさん@3周年:03/08/01 17:19 ID:7Z2e6PbZ
なんか混乱してる?
>>564のは、S社の8051コアじゃなくて、C社のM8コア。
値段もDigi-Keyで数百円。
575名無しさん@3周年:03/08/01 19:43 ID:NDa8Y1VA
576ぼるじょあ ◆yBEncckFOU :03/08/02 02:55 ID:CoKLCWZs
     ∧_∧  ∧_∧
ピュ.ー (  ・3・) (  ^^ ) <これからも僕たちを応援して下さいね(^^)。
  =〔~∪ ̄ ̄ ̄∪ ̄ ̄〕
  = ◎――――――◎                      山崎渉&ぼるじょあ
577554:03/08/02 12:20 ID:gKOT5aVv
>>571
漏れが8ピンって言ったのはC社のM8コアの方だけど、そっちの話かな?

CCP相当って言われても良くわからんけど、
PWMは一般的な幅と周期を変える他にデッドバンド付きで2相出力もできるし、
カウンタ、タイマは一般的なイネーブル付き。
STEPの動作周波数ってわからんけど、カウンタを二つ使って片方を
プリスケーラにしたいっていうなら当然そういうやりかたもできる。
クロックは48MHzと、あとは24MHzを分周したのと、32KHzだな。
発振回路は全部中で持ってるから精度がそんなにいらないなら
何もつけなくていい。(外付けCRも不要)
578名無しさん@3周年:03/08/02 15:29 ID:KeyVqGQX
>CCP相当
内部で複数のロジックブロックを組み合わせる事により実現する。
当然数に限りが有るのでPICのてんこ盛りI/O状態にするのは無理が有る
ttp://www.cypress-japan.co.jp/ad/mcu3/junan.htm

CY8C25112 @513.6円 チップ単価
ttp://jp.digikey.com/scripts/jp/dksus.dll?Criteria?Ref=798&Cat=32047656
CY3205-DK @51,103.2円 基本開発キット
ttp://jp.digikey.com/scripts/jp/dksus.dll?Criteria?Ref=798&Cat=32047763
CY3202-C @18,618円 Cコンパイラ/アセンブラ/リンカー
ttp://jp.digikey.com/scripts/jp/dksus.dll?Criteria?Ref=798&Cat=32048137

Digi-Keyでそろえて、総額7万円出せれば実現可能
579名無しさん@3周年:03/08/02 18:21 ID:gKOT5aVv
まぁ、
>>567
程度なら入るってことで。
580名無しさん@3周年:03/08/02 18:23 ID:gKOT5aVv
>>578
ソフトはCコンパイラ以外は無料で落とせるね。
ライターは自作すればオッケ。
581名無しさん@3周年:03/08/03 02:21 ID:0ZMCog4W
>577
CCP相当という表現がまずかったです。PWM出力が必要だけれど、Mainルーチンでは
通信プログラムが動いていて、パースするためには、割り込みのほかに
I2Cアクセスもあるので、命令ごとの実行STEPがPICのように簡潔じゃないと
困るんです。
16F系だと変数レジスタが足らないので、18F系に移るか、他のマイコンにするか思案しています。
現在は、複数のPICを連携して用を足してます。
582名無しさん@3周年:03/08/03 09:53 ID:kF8lZjUh
>581
命令ごとの実行ステップは、M8も8051もパイプラインとか分岐予測している
というものでもないから、単純に1命令ずつ読み込んでは実行するていう、
クラシックな動きでしょ?

1命令あたりの実にかかるクロックの数というか、実行サイクル数のこ
とだったら、M8Cコアは命令によってばらばらだね。
8051系は同じ時間で終わるものが多いけど、1サイクルが何クロックかっ
ていうのがCPUによってばらばら。
オリジナルの8051は確か12クロックが1サイクルだったけど、
そこまで互換にはしてないのが大半じゃないかな?

でも、I2Cってクロックにはシビアじゃなかったと思ったけど。
583:03/08/03 17:30 ID:dtZMXun7
ルーズだよ
584名無しさん@3周年:03/08/03 23:18 ID:xENMigL5
>>583
同期シリアルってタイムアウトもあるの?
たとえば5bitくらい送った後数時間そのままで、思い出したように残り3bitを
送ってもまともに動作する(仕様上)?
585名無しさん@3周年:03/08/04 00:24 ID:0SgX33st
>>584
仕様書はこっちから落とせるから読んでみては?
http://www.semiconductors.philips.com/buses/i2c/

クロックが0MHzからだから動いちゃうんじゃないの?
586名無しさん@3周年:03/08/04 02:47 ID:Gj9bjPwP
キットも含めてPICライタで一番安いのって幾らくらい?
587:03/08/04 08:06 ID:G1h33wf0
13Vいるかとか足の数で変わるだろ
588名無しさん@3周年:03/08/04 17:38 ID:ooW+Xw+S
PIC18でI2C弄ったときは楽だった
589名無しさん@3周年:03/08/04 17:46 ID:QPk7+HMf
>586
全部自作なら、部品代のみで済む。しかし上手に書けるかどうかは腕次第。
ttp://homepage3.nifty.com/kisinkaisdo/page013.html
590:03/08/04 17:48 ID:alcsUyz5
身障者じゃなきゃダイジョウブ
591名無しさん@3周年:03/08/04 18:07 ID:0SgX33st
CY8C25112だと、I2Cはソフトウェアライブラリとして出来上がったのが
開発ツールにオマケで入ってるから、これをCALLするだけ。
592名無しさん@3周年:03/08/05 07:46 ID:qrHNiiUW
>>589
こっちの方が何かと便利だったりして。同じソフトPICWWやね。
つうか、あたしはこれ作って使ってるよ。ごっついACアダプターが要らない。
http://www.geocities.co.jp/SiliconValley-Sunnyvale/4889/PICww_newhard.pdf
593名無しさん@3周年:03/08/05 12:18 ID:ttGoSuVh
市販品でいいから、USBのライターないすか?
この頃のノートってプリンタポートも232Cも付いてないんすけど。
USB-232Cはどうも相性があるらしくて。
594名無しさん@3周年:03/08/05 12:50 ID:40kAUX4g
>593
PDE-XP \25,800円
ttp://www.ipishop.com/picprog.html
595名無しさん@3周年:03/08/05 15:29 ID:C9bFz2Zz
>>593
自作汁
596名無しさん@3周年:03/08/05 16:47 ID:40kAUX4g
>595 自作しても、VAIOか何かでUSBアプリてんこ盛りで使えないワナ。
597名無しさん@3周年:03/08/06 06:46 ID:xi65jDWV
FTDIのusb<=>シリアル変換チップを使って仮想COMポートを作り、
ponyprogでかきこめ!
598593:03/08/06 11:02 ID:jl+mhYdY
>>594
だんけ

>>595
動かなかったとき、切り分けが面倒

>>596
HD

>>597
それなら市販のUSB−シリアル変換アダプタ使うが、
なんか動かないときに欝になりそうなので。


599名無しさん@3周年:03/08/06 11:30 ID:hmCT3zQI
>>593
USB-シリアル変換使ってるが、今までうごかんかった
書き込みプログラム、ないけどな。
600593:03/08/06 17:50 ID:nrljcS5+
>>599
COM番号が5とか、変な番号に割り当てられませんか?
あれがどうも。
601名無しさん@3周年:03/08/06 18:11 ID:5pYDSqSi
>>593
HPのノートとか、Dellの企業向けノートには載ってる物もありまつ。
>>600
デバイスマネージャからCOMポートの番号を変えれば良いと思われ。
602名無しさん@3周年:03/08/07 10:09 ID:cqcfiHCE
>>600
通常この手のソフトはだいたいCOM4までの使用を前提にしてますが、
601さんが言うように変更すれば全く問題なく使えますよ。
603名無しさん@3周年:03/08/07 17:19 ID:g3E7BVoU
>>599
動くんだけど、負荷が高すぎてこけることがある。
こける前に転送が終わればいいんだけど。
604名無しさん@3周年:03/08/09 00:58 ID:wqYjBOFK
MicroChipのHPにApplication Notes がいぱーいあるけどみんなpdfファイルなの
これってコードDLできないのかしら?
605名無しさん@3周年:03/08/09 02:03 ID:SlAXTABO
>>604
ソースもダウンロードできますよ。たとえば

http://www.microchip.com/1010/suppdoc/appnote/func/algorhyth/math/index.htm

には、右端にはPDFのリンクだけがあるけど、左端のAN220をクリックすると

http://www.microchip.com/1010/suppdoc/appnote/all/an220/index.htm

にPDFとソースのzipアーカイブのリンクがあります。
606:03/08/10 12:03 ID:v9W2/H99
ありがとう
607名無しさん@3周年:03/08/10 18:12 ID:FoSRggmT
最近PICを初めた者です。
アセンブルについて学びたいのですが、検索して見つけたページは
命令を単にならべてるだけの所ばかりで全く理解ができませんでした。
どこかいいサイトはないでしょうか?
608あぼーん:あぼーん
あぼーん
609名無しさん@3周年:03/08/10 18:39 ID:+3dvVyXH
Microchipが出しているPICのマニュアルを用意
あとは何でも良いから手ごろそうなサンプルプログラムを
頭から、マニュアルの命令の説明と対比しながら追いかけ
てみればいいんじゃない?

マイコンの基本がわかっていれば、PICはそんなに難しく
ないと思うけど(実際、漏れもPICをいじり始めてから2週間位
でADB<=>PS/2変換アダプタを作れたし)
610新宿歌舞伎町元祖裏DVD:03/08/10 20:12 ID:K62Ef8mM
▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼
 ☆★ 無修正DVD★☆なら 新宿歌舞伎町直送 ☆★
@新作@新作@新作@新作@新作@新作@新作@新作@新作@新作@新作@
★☆人気爆発新作ベスト5入荷
 白石ひより SNAPSHOT 岡崎美女 POISON 宝来みゆき パンドラ
 持月真由 水中SEXのAQUASEX 超売れ筋 
  店頭販売の売れ筋のみ厳選してみました 安心の後払い
      http://no1.to/first
   白石ひとみ 小森詩 山田まり 長瀬愛
@@ 及川奈央 レジェンド @@ 堤さやか 東京バーチャル 依然大好評
      http://fry.to/first
  サンプル画像充実  見る価値あり  最高画質
▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼▼
611名無しさん@3周年:03/08/10 20:27 ID:t9IGUnPm
>607
ネットでタダで手に入る情報だけでは、全然分からないと思います。
多少は懐をいためても、ちゃんとした書籍で自分に合った物を購入すべきでしょう。

何から何まで手取足取り教えてくれる、親切なホームページなんて有る訳きゃ無い。
みんなそれなりに苦労して書籍を購入して、その成果でヒントになる事を書いている人が
大半なんじゃないですか。

PICのニーモニックコード(機械語)が分からなければ、初心者用の入門書(中高生向き)
を探して、御自分でお勉強してみましょう。

そろそろ、「教えて君」を卒業した方が良いと思います。
このスレの>443あたりは、ちゃんと読みましたか?
612名無しさん@3周年:03/08/10 22:00 ID:eJUApIMk
>>607
もしのぶっちゃけ右も左もわからなければ、いろんなセミナーに参加するってのも一つの手かもね。
MPLABの解説くらいはきっとしてくれるでしょう。最低でも1冊以上の本は買って予習はしとかないと損だよね。
http://www.microchip.co.jp/seminar/seminar_index.htm
あとはCQ出版のエレクトロニクスセミナーでも時々開催してる、
地方の技術センターでもその手の講習はやってるところもある。

結局勉強は自分の為にあるわけだから、あの手この手を尽くして頑張ってください。
613名無しさん@3周年:03/08/10 22:34 ID:FoSRggmT
とりあえず、本を買って勉強したいと思います。
ありがとうございます。
614山崎 渉:03/08/15 18:12 ID:cYbbrbp4
    (⌒V⌒)
   │ ^ ^ │<これからも僕を応援して下さいね(^^)。
  ⊂|    |つ
   (_)(_)                      山崎パン
615名無しさん@3周年:03/08/20 12:13 ID:AmiHQ4lj
キットでも良いので安いPICライターって無いでしょうか?
自作する事もできるようなのですが、USBしかなくて変換アダプタを使うと書き込みできないみたいで…
616:03/08/20 12:57 ID:id1QrWE0
で、結局何が欲しいんだ?
617名無しさん@3周年:03/08/20 13:36 ID:PJVrkNK4
>>615
秋月のPICライタとかならば
シリアル変換ケーブルで書き込みできるでしょ
相性で動かないものもあるらしいけど、
その辺はgoogleで検索すれば情報が落ちてるし。
618名無しさん@3周年:03/08/21 21:06 ID:mqWpzSd5
秋月のキットも一様検討しましたがやはり高い…
やはり初期投資として我慢すべきか…
619名無しさん@3周年:03/08/21 22:27 ID:lsEIWiQ2
>>618
秋月キットのCD-ROM買って、必要な部品を集めて自分で作る。
おれはPicプログラマver2相当をそうやって作ったけど2000円以下でできた。
ただ、制御にPicを使ってるので、
誰か知り合いとかに焼いて貰う必要があるけどね〜。

漏れはAVRに乗り換えて、
picライタ使ってないので提供しても良いんだがな・・・。
620名無しさん@3周年:03/08/21 23:26 ID:oVTHjDLy
>618
これと、
ttp://akizukidenshi.com/item.php?inum=K-00200

>秋月キットのCD-ROM買って、必要な部品を集めて自分で作る。

で最新型が完成する。
621:03/08/22 01:26 ID:FRthqfS+
すごい!
伊東家のウラ技に出したら?
622名無しさん@3周年:03/08/22 13:23 ID:9Kudmzix
>>619
>>620
レスサンクスです。
おお、そんなに安くできるんですか!?
しかし相当のスキル必要みたいですね
そんなにスキルのない自分としては難易度高いです…
623名無しさん@3周年:03/08/23 00:37 ID:9c9ffbmT
パラレル接続のライタなんですけど
http://www.ops.dti.ne.jp/~ishijima/sei/elec1/p_wri_ci.gif
これのR5の意味って、なんなんでしょ?
624名無しさん@3周年:03/08/23 00:55 ID:FEP557LN
>>623
リビジョン五
625名無しさん@3周年:03/08/23 01:45 ID:scTfRBTV
手間とサポートチップを考えれば秋月扱いのリープ社のライタがお勧めだよ。
マイクロチップ100%コンパチだからね。
626名無しさん@3周年:03/08/23 02:14 ID:WJimbGkb
つーか>>615は「安い」がいくら程度なのかを書くべきk
627名無しさん@3周年:03/08/23 08:48 ID:AWtv3uz/
>625
"秋月扱いのリープ社のライタ"使ってます。
おかげで、最新のチップも苦労せずに使えます。
もちろんライタのPICは、窓付き版に変えています。
628名無しさん@3周年:03/08/23 18:57 ID:BHlUm+d3
>>626
いかに安くても使えない、使うのに不安があるのでは失格だろ。
629名無しさん@3周年:03/08/23 20:59 ID:LpnMq8Lk
>>628
正論とは思うが、>>626へのレスとしては変。(w
630名無しさん@3周年:03/08/23 23:05 ID:LJStFLgN
直接答えるなら、秋月扱いのリーぷ社の13700円が回答だろ。
純正と100%コンパチで純正の半値以下だ。
以前は秋月の倍近い価格で販売しているところもあったがいまはどうなったことだか。
631名無しさん@3周年:03/08/25 18:21 ID:xxsKOq08
ttp://www.piclist.com/techref/microchip/devprogs.htm
に、C1つ、R4つ、Q1つ、D3つだけの、JDMのもっとカンタンなライタを
見つけたので作ってみました

出来あがり写真
ttp://up.isp.2ch.net/up/006058b631c1.JPG

こんなのでも無事書きこめました
632名無しさん@3周年:03/08/25 18:37 ID:NHO/Hs5Z
>>631
ヲメ。
いいんだけど、PICライタ、書き込み電圧が15Vだかないと寿命が短くなるような話を聞いたが・・・
633名無しさん@3周年:03/08/25 20:11 ID:n2cQh6TZ
JDMも作成してみましたが変換アダプタではやはりダメぽみたい…
634名無しさん@3周年:03/08/25 22:07 ID:aC3xKj1/
変換アダプタってUSB-シリアルのことでしか?
635名無しさん@3周年:03/08/25 22:18 ID:n2cQh6TZ
そでつ。どうも出力が低いようで。
636名無しさん@3周年:03/08/26 01:53 ID:tfeKROlh
ほぉわっとぉ?
まともなアダプタなら専用のデコデコで-12V作ってる
インチキTTLラベルか?

いやまて、ライタも自作ならつじつまあわせりゃいいじゃん
637名無しさん@3周年:03/08/26 02:09 ID:qRx0UmL4
>>636
あったまわるそうな書き込みだな。
誌ね
638名無しさん@3周年:03/08/26 07:32 ID:FUtII+4U
JDMライタはうまく考えられてるが、
グラウンドレベルが違うから気持ち悪いんだよなー。
それと、パソコンによっては、RS232Cの電圧(電流)が取れなくて、
電圧不足に陥り、書けないことがあるんだよな。
素直に、+12.5VをPGMにしているライターの方が問題が無いよ。
ちなみに、LVP対応デバイスならVPP>8.5Vあればかけるし、書込み電流も
少ないからJDMでやるんだったら、そっちのほうが失敗は少ないね。
(16F628,16F877等)
639名無しさん@3周年:03/08/26 09:38 ID:UABVNZf2
レスサンクスでつ。
JDMライタがRS232Cから電圧とってるってことは改造して外部電源にしたりって事はできないでしょうか?
640名無しさん@3周年:03/08/26 14:23 ID:4Nl4/CIn
>637
悪かったな、どうぜ漏れはバカだよ。

あまたいい事威張りたければ、バカをカラカウよりもJDMみたいなモノ作れよ
641637:03/08/26 19:49 ID:UABVNZf2
今見たら意味違ってたw
JDMライタを外部電源で動作させることができるかです。
>>638
頭良かったら自分で解決してまつ。
642名無しさん@3周年:03/08/27 11:01 ID:yFgFSxs4
>>641
JDMライタは、シリアルポート自体を直接操作して電源を作ったりしてるし、
グラウンド位置が違う(パソコン側のグラウンドが、PICの5V)ので、
RS232Cのインターフェースとかつけたりとかナンやカンややっているうちに、
コストの高いライターになること必死。パラレルライターより高くつく羽目に。
JDMライターのメリットは、この部品の少なさと超ローコストがメリットなので、
これを改造する事は余り意味をもたないと思うよ。
JDMライタは完成度の高いライターであるわけだから、一つ変えるとルービックキューブの
面合わせみたく、2点3点とどんどん回路を変更していく必要がある。
IC-PROGのソフトは、いろいろなライターに対応しているから、そちらを考察するか、
黙って秋月製のAE-PICPGMを買っておいたほうが無難。
こんなところで頭を使うより、書き込もうとするプログラムに頭を使った方が
1000倍よいと思われる。
643名無しさん@3周年:03/08/27 11:12 ID:j4XPUrRx
ISPが簡単なAVRに汁。
644名無しさん@3周年:03/08/27 12:38 ID:yFgFSxs4
PICもISP(ICSP)は簡単と思われ。
ピンのI/Oで悩むのはAVRでもPICでも一緒だし。
PICを利用した製品の工場ICSPは普及しているよ。
マック8などのニードルピンやコンスルーピンで書き込んでいるのが一般的。

まあ、個人的にはAVRの方が好みだけど、量産ではPICの方がはるかに安いし。
645631:03/08/27 13:19 ID:ca2ICel2
JDM作ったのは、12F675を使うためでした
ほかに書きこめそうなのが見つからなかった
ライタが出来たので温度計でも作ってADCで遊ぼうかな思ってます
646637:03/08/27 18:04 ID:yOrgVBsf
>>640
レスサンクス
やっぱり厳しいでつか…
流れてる電流を直接とってると思ったらちゃんと操作してとってたんですね。
やはり秋月のキットを買うしか無いか…
647名無しさん@3周年:03/08/27 20:49 ID:Pa8HAmG1
>646 >620は、読んだのかな?
648名無しさん@3周年:03/08/27 21:02 ID:AQcdzTHD
秋月のキットは秋月がどうにかなったらゴミになるぞ。
リープは値段が倍だが不安は少ないぞ
18シリーズも焼けるぞ。
649644:03/08/27 22:57 ID:yOrgVBsf
>>645
読みました。
しかし配線に相当スキルが必要そうみたいなので…
それにファームウエアー云々とか書いてあるってことは専用ICが必要そうな予感

やっぱりPICは夢の又夢かも…。
650名無しさん@3周年:03/08/27 23:23 ID:Pa8HAmG1
>649
ファームってAVRプログラム用の事ね。(ダウンロード可でISP書き込み)×必要なし

専用IC(16F877プログラム済み)と改造方法とソフトが同胞されてる。
部品は、IC(14,16P)×3、三端子レギュレタ×2、CR類、ICソケットだけよ。
スキルが必要と思われるクロック回路は、専用IC上に既に実装ずみ。
651647:03/08/28 13:42 ID:9fEyVIoe
>>648
おお〜それならできるかも。
しかしPICプログラマーVer.4『バージョンアップキット』っていったいなんでしょうか?ICでしょうか?厨質問スマソです。
652名無しさん@3周年:03/08/28 13:56 ID:T8lN3if5
制御用のPICだよ。
忘れてたよ。V3キット+VUPキットだから リープとの価格差はさらに詰まる。
安くしたい香具師はVUPキット+自作でOKのはずだが....
653649:03/08/28 14:06 ID:9fEyVIoe
なるほど…
しかしVUPキットってなんですか?秋月のサイトで調べても見つからないのですが…
…連続厨質問スマソです。
654名無しさん@3周年:03/08/28 17:25 ID:rxvAmHMr
http://akizukidenshi.com/catalog/items2.php?c=kit&s=popularity&p=1&r=1&page=#K-00200

http://akizukidenshi.com/down/tk/picpgm_v4/index.htm

たしかに大盤振る舞いだが、これだけ注釈が多くなってくると鬱陶しいと
思うよな。
655名無しさん@3周年:03/08/28 17:55 ID:kPC/1/Q8
>653
VUPキット=バージョンアップキットの略のつもりでしょ(w

リープのやつは、16F877Aの書き込み対応したのかな?
16F877のモードじゃ焼けません。
AVRのプログラミングはもちろん不可なのでしょう。
656名無しさん@3周年:03/08/28 18:43 ID:rxvAmHMr
18が焼ける
17も焼ける
657名無しさん@3周年:03/08/28 20:07 ID:kPC/1/Q8
開発環境がやっとそろっても、まだまだ入手の難しい18F。
17C使うほど量産コストまで考えなくても良い、とほほでヘタレなプログラマなんで関係無いか。
658名無しさん@3周年:03/08/28 20:58 ID:rxvAmHMr
PICで計算するんだ!って場合は17Cは悪くない選択だろが
659名無しさん@3周年:03/08/28 22:54 ID:kPC/1/Q8
うーむ、計算なんてPICでするのなんか考えにも浮かばなかった。<へたれの原因かも(w
660名無しさん@3周年:03/08/28 23:14 ID:rxvAmHMr
いや、PICで計算するなんてのは立派なへタレだとおもいまつ
661名無しさん@3周年:03/08/28 23:33 ID:BjDoZlsX
>655
16F877A は ファームウエア3.11で対応だったよ。
マイクロチップのファームそのままだからね。リープは。
662647:03/08/29 17:21 ID:fT9/qJ0w
ttp://www.hobby-elec.org/pic5_1.htm
ここに秋月のライターの回路図が載っているのを発見
これってヤヴァイのかも?
しかし見た所かなり複雑な回路ですね〜
663名無しさん@3周年:03/08/29 17:58 ID:v0aQ6eSw
>662
各ピン数(8,18,28,40)に対応するために、アナログSWICで電源とプログラム電源を
切り替えているだけ。自分の使うPICに合わせて適度に省略すべし。

この回路で複雑と考えるのならば、PICの自作への道は永く遠い。(w
664:03/08/29 18:44 ID:Byb8aJcg
あおるなよデブ
665名無しさん@3周年:03/08/29 19:17 ID:aSLqSFHs
アナログSWをつかった事で、某アマチュアライター屋になじられていた。
漏れも実測したけどプログラム電圧は企画以下になってた。
SWのパーツを変えたから大丈夫と今は言っているが元々の思想が気に入らん。
AVRやフラッシュメモリとサポート範囲を広げているが、特定のパーツの
書き込みには接続冶具が必要とか言訳が山になっている。

誰かも言ってたが、イロイロできるライターを弄くるのが目的ならいいおもちゃだよ。
V4アップデートキットを元に始めれば自分の思い入れも入るだろ。
666名無しさん@3周年:03/08/29 21:25 ID:v0aQ6eSw
1日に300回とか、書き込み繰り返すとライターの実力って分かるような気がする。
秋月のは、アマチュアで使うには良いがハードにこき使うには軟弱。

アナログSWも同等以上の高性能品を使うと、安定度が全然違います。
667名無しさん@3周年:03/08/29 22:55 ID:///49dOP
日に300個も焼くなら害虫しろよ
アマ用のライターなんかすぐへたるだろが。

ワンテーマで300回もやり直さないと感性しないって話なら.....へタレだ
668名無しさん@3周年:03/08/29 23:51 ID:v0aQ6eSw
>667
まあ、自作のマルチタスクプログラムでISPも完全にエミュレート出来ないような状態で
数千行のプログラムコードから、バグを発見出来ないのが.....へタレかもね。
669名無しさん@3周年:03/08/30 00:48 ID:FjOOJejl
そんなのPICでやるなよな
670名無しさん@3周年:03/08/30 00:49 ID:mVLrcGY0
秋月に何を期待しているんだか
671名無しさん@3周年:03/08/30 01:02 ID:k5pfpNKU
>>667
そゆーのは中国人の仕事だよな
672名無しさん@3周年:03/08/30 13:01 ID:vV22ZRV7
>670
ほかの店にない何かを期待して、今日も現実逃避の客で店は一杯。
秋葉原で唯一アニメヲタに屈しないスポットだな。
673名無しさん@3周年:03/08/30 17:29 ID:urOR4BBg
ほかの店にない何かなら日米やニッシンパーツの方がいっぱい在る
674名無しさん@3周年:03/08/30 17:53 ID:PLK/onvn
店入ったら天井見てみ。
秋月ほど明るい見せはほかに無いよ
675名無しさん@3周年:03/08/30 17:58 ID:pLh/0lgj
いやー人気AV女優が簡単に流出するようになっちゃいましたねー。
今回はうるかちゃんです。豊満なバストに可愛らしい顔。
見てください騎上位でのオッパイの揺れ!
これで人気が出ないほうがおかしいですよね。
巨乳ばかりを揃えました。
無料ムービーをここでゲット!
http://66.40.59.72/index.html
676名無しさん@3周年:03/08/30 19:36 ID:t1qY47WV
蓮コラおっぱいが揺れて種が飛び出します!
ってか?
677名無しさん@3周年:03/08/31 06:36 ID:OPMTjcOn
教えてくださいな。
浮動小数点の値を、LCD表示器とかに出す時って、どうしていますか?
たとえばこんな時です。

16bit幅で入ってくるbinaryデータに、ある係数(0.12345みたいな)を掛けて
その結果を123.45でLCD文字表示器に表示したい場合。

  data = (long)b_port;
  data += ((long)c_port)<<8;

disp = ((float)data) * 0.1234;

.....この間の処理が 自信がない.......

  LCD_data = (unsigned long)(disp*100); // 小数点以下2桁出すので

徹夜あけは眠い。 つづきは また今度
  
678名無しさん@3周年:03/08/31 10:24 ID:CethDGnz
>>675
> data = (long)b_port;
> data += ((long)c_port)<<8;

ここはunion使って代入した方がいいね。お使いのCにあればいいけど。
union int_char {
  int i_data;
  char c_data[2];
} data;

  data.c_data[0]=b_port;
  data.c_data[1]=c_port;

union使わないとしても、処理が見えやすい、castを減らす点では
  long data;
  data = c_port;
  data = data<<8;
  data += b_port;
がいいかも
679名無しさん@3周年:03/08/31 10:31 ID:CethDGnz
>>675

>LCD_data = (unsigned long)(disp*100); // 小数点以下2桁出すので
最後にこうするなら、そして他に浮動小数点データとしてのdispを使わないなら
はじめから0.1234ではなく12.34を掛けた方がいいな。
#const constant1 (0.1234)
LCD_data = (unsigned long)((float)data*(constant1*100)); とか。
まともなCなら(constant1*100)は勝手に12.34に読み替えられる。

ほんとに浮動小数点が必要かというのも考えた方がいい。
dataや定数が16bit範囲内なんだから、32bit整数でもいけそうでしょ。

#const c1x (1234)
#const c1d (10000)
// constant = c1x/c1d

unsigned long LCD_data;
LCD_data = ((unsigned long)data*c1x)*(100/c1d);
680名無しさん@3周年:03/08/31 12:38 ID:CethDGnz
>>677
あ、まちがった、最終行
LCD_data = ((unsigned long)data*c1x)/(c1d/100);

先の奴だとdiv/0エラーになっちまう。
681逝ってきます・・・・:03/08/31 12:38 ID:CethDGnz
>>678
×先の奴だとdiv/0エラーになっちまう。
○先の奴だと答えがいつも0になっちまう。
682名無しさん@3周年:03/08/31 22:25 ID:ls9ubXMq
秋月のPICプログラマver3のCDって、
WinNTサポートしてない?
683名無しさん@3周年:03/09/01 00:19 ID:El2dttb8
>682
Windows 2000 または、Windows XPで
ダブル バイト文字(全角文字)を使用したユーザー名でログインし、
setup.exe を実行すると、「 Path or File Not Found.」が表示される場合があります。
この場合、シングルバイト文字(半角英数字)のユーザー名でログインし、
インストールを行って下さい。
ttp://akizukidenshi.com/down/tk/index.htm
Win2Kでは、対応してますが、NTでは不可だったと思います。
ttp://akizukidenshi.com/down/tk/pic_pgm/pic_pgm.htm
684名無しさん@3周年:03/09/01 02:47 ID:b2TSnIOh
>>683
WinNT使うと時々誤動作を起こすんですよね。
685名無しさん@3周年:03/09/02 21:11 ID:ubw0SUiI
DOSで使え
686名無しさん@3周年:03/09/03 06:49 ID:MqmSn3/e
PICはプロテクトかかってると吸出し出来ないのですか?
何かいい方法ないの?
687名無しさん@3周年:03/09/03 09:13 ID:jvTxeq2+
>>685
何かいい方法あったら、プロテクトにならないだろが(AA略)
688名無しさん@3周年:03/09/03 11:27 ID:s1gGxjji
電子レンジ弱で15秒
って話で、昔盛り上がったな
689名無しさん@3周年:03/09/03 22:31 ID:MqmSn3/e
あげ
690名無しさん@3周年:03/09/04 01:13 ID:ebK6qgI5
>>686
無くはないがお前には教えない。
691名無しさん@3周年:03/09/04 01:40 ID:BoxPGwrZ
>>686
チップ上面のプラスチックを薬品で溶かして
ヒューズビット部分をX線で消してやれば

って話で、昔盛り上がったな
692名無しさん@3周年:03/09/04 02:07 ID:83gfXL41
あげ
693名無しさん@3周年:03/09/04 09:46 ID:ewEYKlJs
うげ
694名無しさん@3周年:03/09/04 12:42 ID:UPqQuSVB
695名無しさん@3周年:03/09/07 15:56 ID:5Sz7/tzH
AVR Starter KitのSTK500って電源はACアダプタでいいの?
それとも別に安定化電源が必要?
696名無しさん@3周年:03/09/15 14:28 ID:lZtTAQG7
スレ違いかもしれないけど
C言語系をつかてる方が多そうですが
PicBasic Pro Compiler つかてる人使い心地はどおですか。
わたしベーシックはMSX以来ですが。
697686:03/09/15 21:15 ID:L5R4jnoD
>>691
>>694
もっと詳しく教えて
698名無しさん@3周年:03/09/15 21:56 ID:eMAIViaq
知 っ て ど う す る !?
699名無しさん@3周年:03/09/15 23:28 ID:KO+hR31D
知は身を助く
700名無しさん@3周年:03/09/16 20:22 ID:9B4e79y8
PICはアセンブラでつかへ
常にクロックを意識してデータピンの状態を意識汁!
701名無しさん@3周年:03/09/16 23:34 ID:vAqV6w+V
>>698
まさにトリビアだな
702名無しさん@3周年:03/09/18 12:29 ID:o7epk4aG
データピンって、どれですか?
703名無しさん@3周年:03/09/18 15:25 ID:/usBzT44
>>702
ピータパンの孫でガチャピンの従兄弟。
704名無しさん@3周年:03/09/21 17:04 ID:4VANTvk1
ITプラザのPICライターADVANCE、買おうと思っているのですが使えるでしょうか
705名無しさん@3周年:03/09/22 01:52 ID:zEadv55C
PICのメーリングリストが10日程前から止まっているんですが、
何かあったのでしょうか?
706名無しさん@3周年:03/09/22 04:31 ID:0dy0Mswp
↓ここのHPを、そのまんまやってみようと1週間頑張ってるんですが
http://www.hobby-elec.org/pic6_1.htm
何度も何度も何度も何度も確認してるのに
うんともすんとも・・・・・

HPの方が、どこか間違ってません?

707:03/09/22 09:32 ID:Ywbn1/Ta
アホや・・・
708名無しさん@3周年:03/09/22 12:53 ID:8xqrw2uq
>>706
アセンブラ理解してる?
PICプログラムの本買って読む。
709名無しさん@3周年:03/09/22 20:28 ID:Bf/RHnLl
>706
ちゃんと金送ったか?
キーワードが送られてくるからそれを仕込んで焼くんだよ。
710名無しさん@3周年:03/09/22 21:28 ID:0S/zhoMG
>>706
お前のほうが間違ってるに一票

LEDを流すプログラム&ハード作るくらいならPIC知らなくても電子工作やってれば2週間あればたいていできる。
711名無しさん@3周年:03/09/22 22:18 ID:XAGRmyKn
I2Cバスは、オープンドレインでつなぐ必要があるらしいけど
F84には1本しかありません
どうすればいいんでしょうか?
712名無しさん@3周年:03/09/22 22:57 ID:KI8YBXZr
>711
16F87Xに換える
713名無しさん@3周年:03/09/22 23:40 ID:PaSlFdfb
>>710
データは常に'L'にしておいて、トライステートコントロールのほうを
データレジスタ代わりに使う。
714名無しさん@3周年:03/09/22 23:42 ID:MGnTApCr
>>711
入力と出力を切り替えれば良いのでは。
線をLにしたい時は出力に、Hにしたい時は入力に。
715714:03/09/22 23:42 ID:MGnTApCr
先越された・・・。
(・ω・`)
716名無しさん@3周年:03/09/23 02:16 ID:JQ/H3OYF
保護ダイオードつーか寄生ダイオードが邪魔しないか?
素直にドライバ付けた方が安心なような
717名無しさん@3周年:03/09/23 02:49 ID:nH0CUSf7
んにゃ、大丈夫だと思うよ。
なんで邪魔するの?
718名無しさん@3周年:03/09/23 08:39 ID:ML9n9xVJ
>>713
>>714
なるほど、よくわかりました
ありがとう
719名無しさん@3周年:03/09/23 21:21 ID:c3qoHj/r
718
偉いな。
もれには差パリ和から無いョこのネタ
720名無しさん@3周年:03/09/23 22:27 ID:Vd/85IBW
>>719
ポートの回路概略とレジスタの関係を
721名無しさん@3周年:03/09/24 00:58 ID:2IScfd1b
>>720
   
   
 
 
 
 
 
 
 
              
                     ネタにマジレス過去悪い
 
722名無しさん@3周年:03/09/24 10:44 ID:roCgPQlj
>>715
入力電圧がVddを超えたらいやだけどね。
そういうときは抵抗+ダイオードクランプにして
おくくらいでいいんじゃないかな。
723715:03/09/24 19:46 ID:zbJjZDft
>>722
(´・ω・)
なんで俺なんだよ・・・。
724名無しさん@3周年:03/09/25 16:30 ID:kgdo39rm
秋月のPICNIC使ってる人いらっしゃいますか?

あれと秋月の加速度計キットをRS-232Cでつないで
IEでモニタできるのか知りたいのでつが・・・
725名無しさん@3周年:03/09/25 21:43 ID:nfBDg76y
>724
ここにソースは公開されているからちょこっと改造すれば
できるでしょう。
http://www.tristate.ne.jp/picnic.htm
726名無しさん@3周年:03/09/26 12:39 ID:omsvUnNy
質問です。

焼く対象のマイコンは今のところPICではないんですが……。

NECのVersaProVA10(Win2k)と、アドバンテストのR4945をプリンタケーブルで
(プリンタポートで)接続して、まずはヘキサファイルを転送しようとしています。

で、4945をP−IN(パラレル受信状態)として、
コマンドプロンプトからprint (hexファイル名)リターンとすれば
データ転送される……と4945の取り扱い説明書にも、今使っているワンチップマイコンの
説明書にもあるんですが、

30秒後、4945はタイムアウトエラーを発する。
4945のメモリ内容を見ても、転送はまったくなされていない。

というわけで、マイコンというよりはパソコンの(コマンドプロンプトの)質問でっす。

プリンタポートをコマンドプロンプトから使う前には、何か宣言とか設定とか必要でしたっけ?
DOSを使うのは数年ぶりで、すっかり忘れてしまいました。
727名無しさん@3周年:03/09/26 13:30 ID:ncBKKd6h
>>726
copy hoge.hex lpt
とか。

マニュアルはPC-9801にあわせて書いてないかい?
728名無しさん@3周年:03/09/26 22:17 ID:zQnVBXkW
>726
PR201などの低レベルなプリンタをインスコしる、などもヒントか。
W2kのDOS窓は思った通り動いてくれなくてムカムカする
729OUT OF ROM:03/09/27 11:18 ID:liQKqnBv
初書き込みです。 以下の問題への対処方法をご存じの方がおられましたらご教授をお願い致します。

PIC16F877を使用してプログラムを書いているのですが、CCS-Cでコンパイラすると「OUT OF ROM」とのエラーがでてプログラム領域かデータ領域が足りないといわれます。
これ以上容量の大きいPICはないと思われますので困っております。「*=16」は実施しています。
730名無しさん@3周年:03/09/27 12:00 ID:Eoz5HzLP
コードを最適化するかアセンブラで書き直すか外部にメモリを持つかメモリの多いCPU(PIC以外な)に乗り換えるか好きなのを選んでくだちい
731名無しさん@3周年:03/09/27 17:24 ID:nx4J/F/L
>>728
いい機会だからH8に移行する
732名無しさん@3周年:03/09/27 18:03 ID:+YLM9iP+
>>726
prnじゃなかったか?
733726:03/09/27 22:52 ID:F0pBGgyF
>727
はい、そのとおりですた……参った……。

回答くださった諸氏、ありがとうございました。
734名無しさん@3周年:03/09/29 02:55 ID:Hd/eU0Ku
>729
既出かも知れませんが、一応FAQ位はチェックしたのかな?

ROMが残っているようですがどうしてROM不足のエラーが発生するのですか?
ttp://www.datadynamics.co.jp/ccs/faq/7.htm

RAM不足となりますが、どうすれが良いでしょうか?
ttp://www.datadynamics.co.jp/ccs/faq/8.htm

CCS-Cは、やっぱり高級言語の特有な現象があるのでちゃんと理解して使用しましょう。
アセンブラのように、小回りやコンパイラ騙しのテクニックは利きません。
吐き出されるアセンブラ出力をチェキし、無駄な所は直接アセンブラで書くと劇的に
プログラムサイズは減少します。
735名無しさん@3周年:03/09/29 03:03 ID:Hd/eU0Ku
736名無しさん@3周年:03/09/29 03:15 ID:Hd/eU0Ku
>735 追加
CCS Cコンパイラ実用プログラミングテク
ttp://www.picfun.com/ctech00.html

Out of ROM対策
ttp://www.picfun.com/ctech04.html

一見便利なCCS-Cは、落とし穴がいっぱいなのか。。。(w
737Dain ◆iWdseY5PNM :03/09/30 13:55 ID:WS99Ir5i


http://microengineeringlabs.com/products/labx1.htm

と、PIC16F877を使って電卓を作っています
で、4*4のマトリックススイッチパッドで入力をしたいんだけど
電卓みたいに1ボタン1キャラクタの表示にならず
ずっと連続して出てしまうのです。

これは、チャタリングの問題らしいと聞いたので
100msのディレイをかませたんだけど
うまくいかないんです。

で、ダイナミックスキャンで行っているとしたら
スキャン毎にプリントされてしまうから
っていわれたんだけど。

正直、ダイナミックスキャンが理解できないんですよ。
かみ砕いてもらえません?
738名無しさん@3周年:03/09/30 16:11 ID:4O1LeqzH
>737
チャッタリング(バウンス)の回避

 スイッチの入力には必ずチャッタリングがあります。これは何かと言うと、
接点が閉じる時1回で完全には安定に接触せず何回かはずんでから完全
に接触するという現象です。
 従って、1回だけ接点入力がLowになったからと言ってすぐ次の処理を
始めると、続けて接点の状態を見に行くような処理の時には次に見に行
った時にはHighに戻っているという現象となります。
このチャッタリングは数msec続きます。

 これを回避するためには、1度接点に変化を検出したら、数msec後にもう
一度見に行って再度同じ状態であったらその処理をするという風にします。

ダイナミックスキャンとは

複数のキーが有り(マトリックススイッチパッド)行と列でマトリックスを組んで
少ないポート数で読む場合、必ずコモン(共通)線のドライブが切り替わります。
と言う事は、上の方のチャタリングと同じ現象が入力ポートで観測される可能性が
有ります。
よって、単純にキー入力ルーチンにウエイトを入れただけでは、処理が遅くなるだけ
で全く意味が無い訳です。
739名無しさん@3周年:03/09/30 16:46 ID:4O1LeqzH
>738 続き

>ずっと連続して出てしまうのです。

◎対策方法

一定周期(25mSとか)でキー入力のコモン(共通)線が切り替わるようにする。
この時、コモン切替→ウエート→ポート読みとり、になるようにする。
        ↑               ↓
         ←←←←←←←←←←
次に、同じキーが連続して2回押されるかどうかをチェックして入力有効に
し一回だけスイッチが押された事の処理を行います。

○2回押されるかどうかをチェック

スイッチごとにカウンターを付けて判断するのでは無く、4行(列)ごとのバイトで
次のビット演算を行います。

A:今回の入力値
B:1周前の入力値
C:1周前のビット演算値

今回のビット演算値=((A XOR B) AND C) OR (A AND B)

連続して2回ともONか、
前回か今回どちらか一方がONで且つ前回の演算結果がONだった時、
ONと判断する。

これを、コモン別に演算し記憶します。
740Dain ◆iWdseY5PNM :03/09/30 16:46 ID:WS99Ir5i
>>738

チャタリング、ダイナミックスキャンの解説、ありがとうございました。
理解できました。

確かにルーチン内にdelay入れても出てくる間隔が遅いだけで
連続して出力されてしまっています。

どう対処したらいいですか?
741名無しさん@3周年:03/09/30 17:12 ID:4O1LeqzH
>740
実践AT90S2313プログラム
ttp://www.try-net.or.jp/~jr6end/p/ar.html
より

チャタリングを防止しながらのスイッチリード《SW》は教科書の解説とはカウンタの
使い方が全く違います。
チャタリングが「無くなったであろう」頃を見計らってスイッチを読み込むでは消極的です。
チャッタが収まっているかも知れないけど、まだ続いているかも知れない。
だから遅延時間は十分に取る=これはノーマル。
ゲームプログラマーのわたしの場合、間髪入れずに連続読み込み。
読み込んだデータが一定回数続けて同じだったらチャッタは取れて安定したと判断、
そのデータをポートの読み込み値とします。

あと、電卓プログラムで入力を自分で何回も繰り返しているんじゃないの?
ONだけじゃ無くて、OFFからONの変化を持ってスイッチが押されたと判断しないと
押している間じゅう、キーリピート状態でしょう??
742名無しさん@3周年:03/09/30 21:21 ID:iiqZJc+r
課題?釣り?
743名無しさん@3周年:03/09/30 23:16 ID:bQxWjyl9
課題な上に丸投げ
744名無しさん@3周年:03/09/30 23:58 ID:f32aTB8E
メインプロセスをスイッチのチャタ除去に専念させるなんてなんて贅沢な(w
スイッチ入力ってインターバルタイマで処理するのが普通じゃない???
745名無しさん@3周年:03/10/01 02:42 ID:XE/olcuX
ボクちゃん17時になったから学校から帰る(w
746名無しさん@3周年:03/10/01 03:23 ID:XE/olcuX
>744
たぶん電卓作ってる時点で、インターバルタイマーも分かってないと思われ。。。
電卓なんで、手入力以外に重要な処理って無いんじゃないでしょうか?
747名無しさん@3周年:03/10/01 05:09 ID:Ix+IWSqd
誰が>737に「チャタリングの…」と言ったんだ?
誰が>737に「ダイナミックスキャンで…」と言ったんだ?

言 っ た 奴 に 聞 け !

…釣られてしまったなオレ
748Dain ◆iWdseY5PNM :03/10/01 09:29 ID:+5cviQDw
>>741
ありがとうございます。
参考にさせていただきます。

連続読み込みですか。
良い考えですね。
さすが現場の方は違いますね。

>>742
>>743

正直、課題です。
自分は社会人1年目で
6月末まではVBでアクセスと通信したりオラクルと通信したり
そういう事をやっていました。
はじめの現場が6月末までの約束だったらしいので
今関連会社のこの現場にいるのです。
ここは制御系の開発をやっていて
7月からRTOSやPICに関して勉強しはじめました。
石といわれてそれが理解できない、
回路図も読めないような自分でしたので
まだまだ学習不足です。

>>746
タイマ0制御の事でしょうか?

>>747
はじめ読んでいたPICの本の作者のサイトに行って
メールで質問していたのですが、
多忙らしく、返事をいただけなくなったのでこちらで質問させていただきました。
749Dain ◆iWdseY5PNM :03/10/01 09:31 ID:+5cviQDw
今専務の下について勉強させていただいていますが
昨夜、色々と質問されて答えがちぐはぐで
かなり怒られました。

とりあえず、ポートBの状態変化割り込みを使ってやれと言われたので
とりあえずそちらでやってみます。
750名無しさん@3周年:03/10/01 10:02 ID:ObM1Z0MI
ネタになる程の丸投げ野郎は死んでくれ
751名無しさん@3周年:03/10/01 10:31 ID:C2n97QyD
どっちも不幸だな
フリップフロップやシフトレジスタで発光ダイオード光らせるところから始めた方が近道でないか
752名無しさん@3周年:03/10/01 12:18 ID:j8YcfbKY
>>744
まぁ確かにリアルタイムシステムでは連続読みで安定待ちなどという悠長な処理は
御法度だけど、電卓レベルならかえってその方が向いていると思われ。
キー入力があるまで戻ってこなくていいんだし…
753名無しさん@3周年:03/10/01 13:16 ID:GlEKmQiS
派遣でオープン系のやつが制御系にまわされるようならもうダメポ

はッ専務ともどもパソナ・ルーム
754名無しさん@3周年:03/10/01 14:58 ID:XE/olcuX
どっちみち、掲示板で教えて君、○投げ状態じゃ先が知れている。
幹部候補生の形だけ研修なら、バカ上司量産タイプかも。

>744 は、電卓でもリアルタイム処理をするエリートプログラマ
なのかも知れないので尊敬してみる。
755名無しさん@3周年:03/10/01 20:22 ID:GlEKmQiS
きっとエリートプログラマが自販機の照光ボタンのLEDパレード作って悦に入ってるのでしょう
756名無しさん@3周年:03/10/01 21:52 ID:ObM1Z0MI
そしてプログラムは極めたと言い放つとてつもなく使えない管理職になって
会社を滅ぼすんだろうな。
757名無しさん@3周年:03/10/02 01:21 ID:H1luFS4J
実例を見てると笑えん物があるなあ。
とりあえず、技術を究めたと豪語する人間は、技術者じゃないと思うことにしてる。
758名無しさん@3周年:03/10/02 03:10 ID:Y8vL/DCU
>>756
良いじゃないか。
そういうのをのさばらせておく会社が一つでも無くなると言うことは。

彼がそうであるかどうかは、別な話として。
759名無しさん@3周年:03/10/02 04:32 ID:hWDKEZiB
なんかこのスレって2ちゃんっぽくないね。
「思考回路停止させた教えて厨」に対してのレスが凄く優しい。
なんだかんだ言ってみんな答えてるし。

P I C の メ ー リ ン グ リ ス ト の ほ う が よ っ ぽ ど 酷 い や w
760名無しさん@3周年:03/10/02 07:54 ID:PuAIR0cn
>>758

> P I C の メ ー リ ン グ リ ス ト の ほ う が よ っ ぽ ど 酷 い や w
>

加入したいのですが、どうすれば良いですか?
加入用のHPなどあったら教えてください。
761Dain ◆iWdseY5PNM :03/10/02 09:27 ID:U9I7JiZy
>>750
丸投げしたつもりはないのですが、
そう思われても仕方がないです。
勉強不足です。
すみません。

>>751
今借りている評価ボードにはダイオードついてないので・・・

>>753
もともと会社が制御系で、
はじめは課長と仲がいい他企業の会社に行って勉強させてもらいました。
それからは仕事先がないので
関連会社の制御系の会社になっています。
駄目かもしれませんが、頑張るつもりです。



みなさん、ご迷惑をおかけしました。
もう一度マニュアルや参考書などを見直してみます。
ありがとうございました。
762名無しさん@3周年:03/10/02 10:32 ID:GMXng8/+
>760
探すとあるよ、「PIC ML」で日本語のページでググレ。
しかし、FAQがいっぱいあるし、過去ログ読めが無言の規律なので、
2ch以上に殺伐としてML初心者にはとっても厳しいところ。
HTML形式で内容送ったり、ソース添付を長々と貼り付けて
○投げデバッグをお願いすると楽しい反応が。。。
763名無しさん@3周年:03/10/02 13:56 ID:+ind61Hv
>>761
丸投げじゃなきゃなんだ?
空気嫁、ボケ
764名無しさん@3周年:03/10/02 17:45 ID:9A09BEOb
>>754
リアルタイム制御の電卓。。。ゲーム電卓とか?<死語;;;

制御プログラム研修の課題には適当かも。
765Nanashi_et_al.:03/10/02 23:22 ID:cNyZxBAE
後閑氏の『C言語によるPICプログラミング入門』を買おうか迷ってます。
付属のCD-ROMに開発環境も含まれているようなのですが,何が入ってるのですか?
766名無しさん@3周年:03/10/03 00:19 ID:jujRlql8
なぁ、C言語でプログラミングするならPIC使う意味ないだろ
767名無しさん@3周年:03/10/03 00:36 ID:zX+Aw/BG
>>765
含まれてないよ
ソースコードだけ
768名無しさん@3周年:03/10/03 00:41 ID:B492CXmI
769名無しさん@3周年:03/10/03 01:13 ID:hV88vOy0
>>766 picはDIPがあるので特注品に使って間ス。
アセンブラだとやっぱりね。
770名無しさん@3周年:03/10/03 04:10 ID:tFedHCuG
>>762
言えてるね。あそこは常連の人がすぐに答えてくれるんだけど
以前質問したままで結果の報告がありませんねとか
フリーメールアドレスは感心しませんねとか言われて
けっこう怖いよね。気軽に発言できる雰囲気じゃない。
771名無しさん@3周年:03/10/03 04:39 ID:oSf1F6jg
>>770
常連は常連で馴れ合いが酷くて、結構適当なメールを投げてても
何も言われないのにね。

昔の技術系ニューズメールの雰囲気が未だに残っている。
まぁマナーの悪いのが増えても困るから解るんだけど、
名乗らなければ「あなたは誰?」みたいな嫌味タラタラなのはちょっとね…
ストレス発散してるとしか思えん。
772名無しさん@3周年:03/10/03 04:55 ID:m7eS9oU5
>>770
結果報告は後の人のためにもなるんで欲しいと思う。

>>771
馴れ合いウザイのに同意
773名無しさん@3周年:03/10/03 04:55 ID:W/JPVRB/
>>771
名乗るのは常識でしょ。
名乗る必要の無い2chが特殊な方だと思うけど。
774名無しさん@3周年:03/10/03 04:59 ID:oSf1F6jg
>773
いや、名乗るのは常識として、前にメールの名前欄が無記名のままで
メアドそのままになってる人に対して「あんた誰?」攻撃してたぞ。
メール本文の中では名乗ってるのに。
775名無しさん@3周年:03/10/03 05:17 ID:oSf1F6jg
まぁ、管理者自身、よく「ここをミロ」と出してくるHPが
ttp://www.geocities.co.jp/SiliconValley/5656/
↑コレもんだから、結構殺伐とした雰囲気を楽しんでいるのかもね

776名無しさん@3周年:03/10/03 06:04 ID:O2ib2kiS
777名無しさん@3周年:03/10/03 12:22 ID:LFpGqqDP
>>772
>結果報告は後の人のためにもなるんで欲しいと思う。
そうなんだけど、「報告しろ」という言い方がイヤ。
「先日の件は、どうなりましたか? また教えて下さいね」
という聞き方ができないのかね。
「以前教えてやったことに対する報告もしないやつが、
なんでまた質問するんだ、あぁ?」と受け取れる。
「あんたは何様?」という印象。
特定の人だけなんだけど、言い方が過激過ぎ。
778名無しさん@3周年:03/10/03 13:17 ID:FzaySegZ
雑談は、その辺で終了しませう。
779名無しさん@3周年:03/10/03 21:01 ID:0dosgcE0
質問でやんす。

ブラシレスDCモータ(24極、ロータは25極永久磁石)をPICを用いた自作回路で
駆動しているのですが、市販のコントローラで廻すと2000rpmまで廻るのに、
私の回路では700回転あたりから震動しはじめて、800回転で頭打ちになって
しまいます。

これってソフトのループに時間を食いすぎていて、脱調してるってことなんでしょうか。
ホールICの信号読み込みが失敗してるのかな……?
780名無しさん@3周年:03/10/03 21:14 ID:XqQdcXp9
1、オシロで市販のコントローラと位相を比べてみては?
2、ホールICから割り込みで動かしてるんですよね?
781名無しさん@3周年:03/10/03 23:05 ID:0dosgcE0
>780
はい、ホールICから割り込みなんですが……開発途中で前任者が退職してしまった
あーんど引継ぎが半日だけだったのでまだ全容が掴めてないのです。

まず「何が判らないのかそれを把握せよ」というところが現状です。

市販コントローラとの比較もまだです……。前任者もやってなかった。
782名無しさん@3周年:03/10/03 23:32 ID:qreTPJw4
オレもブラシレスDCモータさわってるが、
制御は市販のモータドライバにまかせて、
picで回転数いじれるようにしてます。
783名無しさん@3周年:03/10/04 02:43 ID:3nZEDhW5
784名無しさん@3周年:03/10/04 16:37 ID:VzfuP8r8
くどいけどさ、割り込みビシバシつかうようなプログラムをPICに食わせるのは邪道なんだからな。
785名無しさん@3周年:03/10/04 17:44 ID:lL2HWrLp
ちょっとググってみますた。

ttp://www.research.co.jp/MindStorms/msbbs/hw/hw_64.html
PICの割り込みって、W regtを自動退避しハードスタックが3段しかないので、
かなり効率が悪いんですよね。で、割り込み頻度が高くなってくると、
割り込み使わずフラグ待ちでループをぐるぐる回しした方が、
全体としてのパフォーマンスがあがったりするんですが

A/Dコンバータ使った時も、あんまり割り込み掛けすぎるとメインルーチン
進まずに処理落ちしてデータ欠落していた気がする。この時は割り込み内で
スタートとデータの格納だけだったけどオーバーヘッド大きすぎて使いづらかった。

メインの仕事が割り込みで、仕切られるようなプログラムはPICには向きません。
786名無しさん@3周年:03/10/04 18:07 ID:hJxSbM1z
>W regtを自動退避し
ってPCの間違いかしらねぇ・・・。

16シリーズもpush,popぐらいは欲しかったねぇ
787名無しさん@3周年:03/10/04 19:32 ID:CXlMUH0G
フラグを変えずにWに読み込む命令が無いからしばらく悩んだぞ
どうやって割り込みルーチン作ればいいんだよぅ
(初めは分岐でごまかしてた)
788785:03/10/04 20:06 ID:lL2HWrLp
>786
ぐは〜微妙に間違えているなあ

確かに、リターンアドレスは自動だが、W、STATUS、は手動で待避ですな。
あと、PCLATH、,FSRも場合によって必要です。

ごめんなさい、逝ってきます。 _| ̄|○
789名無しさん@3周年:03/10/04 22:42 ID:F3flixPp
PICのプログラムは全て定常処理とするようなプログラムがイイよ。
割り込み使うのは、スタンバイから復帰するときくらい。
790名無しさん@3周年:03/10/04 22:46 ID:MsPygWSO
お前等本当に技術をかじってる者達か?
あまりにもレベル低すぎ
791名無しさん@3周年:03/10/05 00:50 ID:mHurvDA+
PICとかAVRのマイコンはそれこそホビーから、ちょっとした試作まで
用途が広い(あとシリーズでも色々あるしな)ので、レベルの幅が大きくて普通でそ。
792名無しさん@3周年:03/10/05 00:59 ID:aCmopCN7
PICは低レベルだ。コンピューターとして考えるならば。
793名無しさん@3周年:03/10/05 01:48 ID:C8MTYaAz
>>790
じゃお前が何か面白いこと書け
794名無しさん@3周年:03/10/05 01:54 ID:aCmopCN7
白い犬!
795名無しさん@3周年:03/10/05 03:09 ID:enej2h0a
PICって割り込みを駆使するような用途には全然向いていないと思う。
ていうか、要因別ベクタ式でないという時点で終わっているような。。。

ちなみに、オリエンタルモータのブラシレスモータコントローラは
NECのUPD780988を使っていました。これはインバータに特化された石
ですね。こいつのコアも禿しく古くさいですけど。。。
796785:03/10/05 03:29 ID:VRVB8naL
>795
PICで割り込みを駆使していると言った段階で終わってると思われますが。。。

枯れていて実績があるコアの方が、信頼性は高く感じられるので良いと思います。
797名無しさん@3周年:03/10/05 11:10 ID:mjvpF1VR
>>795
PICくらいの規模だと全体の見通しが利くから
メインループで全部やっちゃうほうがスマートで処理時間把握もしやすいと思われ。
割り込み使うのは処理時以外スリープする用途だけとか
798名無しさん@3周年:03/10/05 12:22 ID:akemMGmH
ステッピングモータの励磁相生成にはタイマー割り込みが便利。
スローアップ制御をバックグラウンドでやらせる時にゃコンペア
マッチ割り込みが良いと思うがどうか。ってか他に方法が思い
つかんのだが(苦笑
799名無しさん@3周年:03/10/05 12:45 ID:/G2C3LL4
>>796
ステッピングモーターの話はタイマ割り込みですよね。
いま話題になっているのはたぶん外部割り込みの話じゃないかなあ。
800798:03/10/05 13:51 ID:akemMGmH
>799
そうだったのか。教えてくれてありがとさん。
まぁ簡単なプログラムだったらポーリングでも問題ないわな。
むしろその方が見通しのよいソースになるかも。
801名無しさん@3周年:03/10/06 02:01 ID:AmCu5wWT
ま、ちゃんと動けばなんでも良いんだよ
802名無しさん@3周年:03/10/06 11:28 ID:vQnzoyBG
モトネタの>>779の話題は、
1、相毎のアンバランス
2、ホールICコンパレート時の遅延が大きい
 だとするとたぶん もっと前乗りで処理せんといかんのじゃないかと思う。
 微分回路を入れて位相を調整するとか
3、ソフト上の遅延
  PICの速度で割り込み駆動ならあんまりないとは思うけど
  出力は割り込みの先頭でやってるよね?
  次の相の準備をその後やっておけばいい
803名無しさん@3周年:03/10/06 11:42 ID:vQnzoyBG
まてよ。700*24/60= 280Hzで頭打ちってのは
+/−のアンバランスで
 単純に信号が抜けてるんじゃないの?

とりあえず、PICのホールIC入力を外して、そこにオシレータの信号を入れてモータを無負荷でまわしてみればいい。
-->それでも脱調するならPICのプログラムミスだと判る

脱調せずに回るなら
ホールIC入力と出力パルスとの位相関係を回転数毎にプロットして
位相補償する必要がある
804名無しさん@3周年:03/10/06 15:09 ID:OUk8bqEZ
パルスがなんらかの理由(プログラムがそうなっている、ポートをちゃんと制御していなくて0に落ちていない等)でつながっているのではないか?
805779:03/10/06 19:03 ID:vIr3uYVd
>803
ご名答、上の信号が抜けてました……ゲートドライバ回りの定数を
直したら治りますた。

しかし、かねてから問題に感じてはいたのでPICを止めて多重割り込みがやりやすい
石に変えようと思います。

どうもありがとうございました>回答くださった諸氏
806名無しさん@3周年:03/10/07 09:30 ID:c4hWcZ7g
CCSとMPLAB IDEつかっているんだけど

Error[44] C:\PIC16F~1\LCDPRINT\LCDPRINT.C 336 : Internal Error - Contact CCS => Code 22:650

って、どういう意味?
807名無しさん@3周年:03/10/07 10:13 ID:7HRRuM3p
>>806
内部エラー CCSに連絡を。
808名無しさん@3周年:03/10/07 10:59 ID:c4hWcZ7g
ありがとうございます。
再インストしてみます。
809名無しさん@3周年:03/10/07 14:26 ID:JpxMiQb9
CなんかつかうのならPIC使うなボケ!
810名無しさん@3周年:03/10/07 14:36 ID:bhaDd9yF
>>809
あっそ
811名無しさん@3周年:03/10/07 17:43 ID:pwkB0qFa
気ぃワルゥしたかもしれんけど、なんもいいことおまへんねん
812名無しさん@3周年:03/10/07 17:54 ID:c4hWcZ7g
両方とも削除して再セットアップしたけど駄目でした・・・

OSごと再セットアップかな・・・
似たような症状になった人って居ないのかな?
ネットで探してみたモノの、それらしきページがみあたらなかったし。
813名無しさん@3周年:03/10/07 18:23 ID:pTS1hNcp
PICのCコンパイラは cc5x を使っている。
CCPのようなライブラリは無いが、軽くて使いやすいので
重宝する。
別に、PICでprintfなんか使う気無いが、四則演算や
アルゴリズムの記述をわざわざ、アセンブラでやる気はしない。
814名無しさん@3周年:03/10/07 19:20 ID:Sk4b+sij
言語でもなんでも低級なものキライ
815名無しさん@3周年:03/10/07 21:18 ID:uY9m6Xg7
>>814
君はBASICの虜だな
816名無しさん@3周年:03/10/08 02:18 ID:OtzfekiH
モードメニューとかシビアな処理を要求されない部分はCで書く。
ツールは使い分けが大事よ♪
817名無しさん@3周年:03/10/08 02:26 ID:XJ+yis3F
>>813
PICの分岐命令見るとそう思いたくなるよなあ。ちょっと複雑になると頭混乱する〜
818名無しさん@3周年:03/10/08 04:33 ID:o8BahVeR
>>816
楽出来る所で楽しようと考えない奴は単に要領の悪い奴だから
仕事も出来んのじゃなかろうか?
819名無しさん@3周年:03/10/08 07:49 ID:Wo2VW0Wc
cc5x では、実行ファイルとインクルードファイルしかなくて
コンパイル時間も、1秒以下で、アセンブラリストにも、Cのソースがコメントされていて
MPLABのデバッガがそのまま使えるので、STEP単位のシビアな処理も書いている。
820名無しさん@3周年:03/10/08 08:14 ID:gd/xjAwe
>>819
何時、吐き出すコードが変わるか分からないのに良くやるなぁ。
毎回ステップ数チェックするのか?
821名無しさん@3周年:03/10/08 09:25 ID:1Kc3pGGQ
マクロ使ったらいいじゃない。
822名無しさん@3周年:03/10/08 09:47 ID:Wo2VW0Wc
>>820
プロフェッショナル版を使っているが、
通常の処理の記述で、吐き出すコードが変わってしまうことは経験したことは無い。
当然シビヤな処理は、うまく関数にまとめたりして、
その中で、シンプルに書くようにしている。
関数を呼び出ししても、うまく、goto文でまとめてくれたりするからスタックの心配も要らない。
823名無しさん@3周年:03/10/08 13:38 ID:XJ+yis3F
>>822
Versionが変わっても?
824名無しさん@3周年:03/10/08 13:44 ID:R0FJ7lM2
だ〜から、PICをコンピューターとして使うな!つうの。無駄だよ。
PICはハードウエアとして、つまりFPGA的に使ってこそ光る!
タイミングを常に意識しつつ使う用途だな。処理の種類によって、実行時間が
異なるようなプログラムを走らせるならば、他社のマイコンを使うべきだよ。
825名無しさん@3周年:03/10/08 14:14 ID:Wo2VW0Wc
>>823
18Fシリーズだと、別プロダクトになっていてまだバージョンアップしそうだが
cc5xそのものは、もう枯れてバージョンアップはほとんど無いと思う。
新製品が出ても、インクルードファイルの追加のみ。

>>824
確かにそういう面もあるが、たった、1kのメモリでもステートマシンを
組み込む事ができて、EEPROM、RAMがわずかだけれど、持っていて
クロック回路内蔵で、8本足からあり、A/Dコンバータまで内蔵して
\100台から誰でも入手できるというのは魅力だよ。
826名無しさん@3周年:03/10/08 14:28 ID:o8BahVeR
>>824
どう使おうがユーザーの勝手。
お前本当に的外れだな。
827名無しさん@3周年:03/10/08 14:41 ID:Wo2VW0Wc
cc5xの場合は、free版でも最適化処理が甘いのと、1kワードまでに制限されているだけで、
そこそこ使えるから一度試すと良いと思う。
ただし、プロフェッショナル版でコンパイルするとASMコードは多少変わると思う。

僕は、PICを始めて初期の段階から、cc5xfreeを使い始めたから、メモリバンク
の変更や分岐命令の癖などで苦労をしたことが無い。
変数なんかも、関数の中で定義した物は、他の関数内でうまく使いまわしてくれるし、
PCと連携するときには、PCとPICで共通で参照する#define定義をインクルードファイルを作って
共用したりしている。

828名無しさん@3周年:03/10/08 16:19 ID:mRGWEu/d
アセンブラ馬鹿必死だなw
829名無しさん@3周年:03/10/08 17:04 ID:WeWpU7Fs
↑馬鹿哀れだな
830:03/10/08 20:40 ID:z00QOoYu
なるほど、必死だなw
831名無しさん@3周年:03/10/09 04:09 ID:n+ZcPzi/
限りなく少数派かもしれんが、一応報告
http://www.htsoft.com/products/piclite/index.html
Picc lite(free)のバージョンが2003/9/22にまたアップデートされました。
セットアップexeは従来からアプリケーションエラーの出るマシンがある
不具合があります。
その場合は、マシンを変えてインストール後、その展開されたフォルダを
LANなどでコピーし、MPLABと統合すれば使えます。
832名無しさん@3周年:03/10/09 14:23 ID:vxT8omEH
>>831
そんなクソなインストーラを放置してる所のコンパイラが生成するコードは
きっと香ばしいのだらう。
833名無しさん@3周年:03/10/09 20:15 ID:OGklSDkA
>>831
FreeのCクロスコンパイラがあるんですね、知りませんでした。
さっそくインストールしてみます

貴重な情報をありがとうございます
834名無しさん@3周年:03/10/10 01:36 ID:Y8m0TQv4
877だとページ制限があるけど、小さいアプリケーションを作るなら
これで十分なり
835名無しさん@3周年:03/10/10 12:33 ID:vjRdPkS2
>831
私もフリーのCクロスコンパイラの存在を知らなかったのですが、メーカーによって
ソースの書き方が少し違うと聞いたことがあるのですが、Piccの日本語の説明書か
詳しく解説しているサイト等がもしありましたら教えていただけませんか?
すごく興味が有ります。
836名無しさん@3周年:03/10/10 14:12 ID:f5E21Z74
>>835
英語のマニュアルを読む気が無いなら、使うのは難しいんじゃないか?

837名無しさん@3周年:03/10/10 14:31 ID:vPpygin1
HITECH PICC LITE
とりあえず教科書
http://www.edu-ctr.pref.nagano.jp/kjouhou/sangyou/kyouzai/H14kougyo/kougyou13top.htm

MPLABとの統合は日本のマイクロチップテクノロジのサイトに、転がってる
http://www.microchip.co.jp/document.htm ここらへん

はい、簡単なプログラムでアセンブラで面倒なのは全部これでやってます。
アセンブラなんてかったるくてつかってられんちょ。
838名無しさん@3周年:03/10/10 19:10 ID:6MSSXOHL
>>837
MPLAB 6 PICC Lite Toolsuite Plugin
って、どのディレクトリにインストールするものなんでしょう?

本体の方はどこでもいいんですよね
839名無しさん@3周年:03/10/11 06:13 ID:O2CfLvUV
mplabはまだver5のほうが安定するよ。
840名無しさん@3周年:03/10/11 13:07 ID:0IOejS1/
>>839
同じく
841名無しさん@3周年:03/10/12 00:31 ID:DLvqpj2J
ver5のトレースって、見づらくない?
6になって改善されたし、スピードもグンと早くなった
もう5には戻れないよ
842名無しさん@3周年:03/10/14 02:43 ID:Qv6/XyY6
PIC16F84Aと16F628、容量や周辺機能以外に大きな違いってあるんでしょうか?
BreakOutを16F628に移植してみたところ、同期信号が異常で画面が歪みが生じ、
まともに表示出来なかったのですが…
843名無しさん@3周年:03/10/14 06:50 ID:0pvyDO7D
>>842
何でデータシート見比べない?面倒だから?
844名無しさん@3周年:03/10/14 09:54 ID:86atO4vr
>>842
コンパレータをofflineしてる?
845名無しさん@3周年:03/10/14 12:26 ID:UiB6Ly28
>>842
はぁ。
過去ログも参照しないのかい?
16F628の派生は16F877のアーキテクチャー(アドレス)に似ている。
16F84とはピン互換であって、アセンブラレベルではアドレスが
ずいぶん違う。
アドレスはヘッダーファイルが定義するから、具体的な対策は
CMCON=7とか入れてコンパレータをデジタル入力にする必要がある。
846名無しさん@3周年:03/10/14 14:39 ID:InHuNaJJ
>>841
でも5でないと空きつきのICEが使えないョ
847842:03/10/15 00:17 ID:JGSpXhpG
レジスタアドレス(0Ch→20h)やEEPROMのアドレス、周辺機能のOFF等
全て628用に書き換えて移植したのですが。。。
プログラム自身は一応、ちゃんと動いているようなのですが、
画面が斜めに歪んで写ってしまいます。
もしかしたら、ピンから出力される電圧か電流が84と同じでないのか
くらいしか思いつかず、ここに質問させて頂きました。
データシートを見比べてみても、他に違いを見つける事が
出来ませんでした。。。
848名無しさん@3周年:03/10/15 19:19 ID:wBgNhSHA
16f873に関しての質問です。
プログラムの問題も絡むのですが、

port_c=0b00000001;

こういうポート指定の場合、
この1はどこを指しているのでしょうか?

お教え下さい。
RCとは思うのですが、
順番がわかりません。
849名無しさん@3周年:03/10/15 22:13 ID:sDP4Hu/I
コンパイラの中でport_cって8ビット定数が1なだけ
850名無しさん@3周年:03/10/15 23:19 ID:uijqHni2
RC0
851名無しさん@3周年:03/10/16 19:53 ID:U4BC9cit
>>847
あの有名なブレークアウトは、作者がマシンサイクルを命令やnopで微調整
してできた芸術品。
nop一つなくなるだけで同期ズレする。アブソリュートアドレスでちゃんと
マシンサイクルを計算しないと。
それと、これは基本中の基本なんだけど、
16F84で書いて、それから16F628に移植したほうが、製作時のバクを発見できていい。
852842:03/10/17 00:56 ID:tPTlW41C
>>851
842です。BreakOutは、まずMPLAB用に書き直すことから始めました。
また、実験できるように、16F84A用と、16F628用にビルド分けできるように
しました。

#ifdef __16F84A
#include <p16f84a.inc>
#define TARGET 1684
__CONFIG _HS_OSC & _WDT_ON & _PWRTE_ON & _CP_OFF
GPR equ 0x0C ; Top Address of General Purpose Register
#endif

#ifdef __16F628
#include <p16f628.inc>
#define TARGET 16628
__CONFIG _CP_OFF & _WDT_ON & _PWRTE_ON & _HS_OSC & _LVP_OFF & _MCLRE_ON
GPR equ 0x20 ; Top Address of General Purpose Register
#endif

こんな感じで。
内部でTARGETの値を見てこれまた#if〜#endifでそれぞれの
初期化やEEPROMアクセスルーチンの処理分け。
また、クロックも色々変えて実験出来るようにソケットにして
10MHz、20MHzの水晶やセラロックが差せるようにしました。
やってみた感じ、16F84Aでは、水晶発振器でもセラロックでも
あまり精度に関係無く表示出来ました。
16F628ではいずれにしても画面が斜めに表示されます。
何が違うんでしょうかね。。。
853名無しさん@3周年:03/10/17 19:17 ID:nefAmIWB
仕事で使うつもりでアトメルの代理店にAVRの事を問い合わせたら
アトメルはAVRを含めたマイコンを見直しの方向で、AVRは近い将来
やめるかも知れないと言われてしまった。

ショックなのだが、同じ話を聞いた人っている?
854名無しさん@3周年:03/10/17 21:23 ID:WHVsmwAt
アトメルは、はじめっからやる気なかったからなぁ
855名無しさん@3周年:03/10/18 02:48 ID:pWOqA12I
>>853-854
ええええ、ほんとに?
なくなったらどうしよう。

AVRを使った開発ばかりやっているので、
代替のMCUを今のうちに考えておいたほうが良さそうだな。

AVRの後じゃPICのアセンブラやる気にならないし、
H8はコードプロテクトかけられないし・・・

どこのMCUが良いかねぇ。
856名無しさん@3周年:03/10/18 08:15 ID:cunC9LjO
picの方を使っていてよかった(w
AVRの件に関しては前前から商社から聞いていたからね。
マイクロチップはやる気満々だし。
857名無しさん@3周年:03/10/18 08:36 ID:vIK9uJ6H
セーフ!!!
AVR入門の本を買って、AVRはなかなかのものだとは思っていたが。。。
先発メーカに勝つのは難しいものですね。
858名無しさん@3周年:03/10/18 09:18 ID:xl5cHHQO
>>855
それより今後のメンテのことを考えないと。
チップの手当てはもとより、開発環境の維持
とかね。
859名無しさん@3周年:03/10/18 10:06 ID:cXlbPhHI
いろいろあるけど、結局末永く同じアーキテクチャで
いきたいなら結局8051コアで決まりっていう気がしてるな。

8051コアはとにかくアーキテクチャは古臭いったらありゃ
しないけど、出回ってるし、IPコアとしてもすぐ登場するし。
製品が無くなるリスクが同じようなものなら、同じアーキテクチャ
のCPUのまま横滑りできるっていうのは利点だと思う。
860名無しさん@3周年:03/10/18 11:34 ID:5iFEOOQt
世の中良い物が残るとは限らないって典型例が又増えるのかな。

希望としては何処かが部門買い取りか開発者の独立だが
あり得ないだろうな。
861名無しさん@3周年:03/10/18 11:37 ID:F4Q/FDot
止めるのは代理店で、メーカーは続けるんでしょ
862名無しさん@3周年:03/10/19 01:45 ID:eIkVDlbW
出所不明の話を素直に信じない方がいいとは思うが。
わかっているとは思うが、ここは2ch・・・・

atmelが正式にアナウンスしたわけでもあるまいに。
863名無しさん@3周年:03/10/19 08:12 ID:Mh8hFH/4
わかっちゃいるけど、実際やめられちゃうと
切実な問題だったりするわけで。
864406:03/10/19 11:42 ID:1KK6GLo/
PICやAVRなどという毛唐プロセッサを使うな!
大日本帝国臣ならH8を使え!

マジな話、もしAVRが本当に消えるなら、
俺、絶対にPICは使いたくない。
865名無しさん@3周年:03/10/19 11:59 ID:OEwPyxfK
馬鹿は、なんでも一つの物でやりたがる。
優秀な奴は、時と場合により使い分ける。

ageとくか。
866名無しさん@3周年:03/10/19 12:31 ID:InG7ciYG
>>863
今はツールが進歩してC言語ベースでハードまで落ちるから、
PICクラスのCPUならツールの手ほどき受けた程度のやつでも、
48時間もあれば設計できちゃう時代なんだね。
http://www.google.co.jp/url?sa=U&start=1&q=http://nozomi.pico.cs.inf.shizuoka.ac.jp/slab/eiron/cs0047.doc&e=5926
867 ◆dddd24Shi. :03/10/19 13:24 ID:avoIwYig
PICの将来は真っ暗。
現在でもFPGAに乗せるPIC?の方が高速である。
しかもFPGAだから、改変可能。出力ポートもう8ビット追加!とかね。
PICの優位なところはA/D内蔵ってことくらい。と、現状では価格。
FPGAが100円になったら、PICの市場は極めて狭くなる。

というころで865もオールドファッションなんだよなぁ
全てがFPGAに乗っかる時代はもうすぐだ。
868:03/10/19 14:37 ID:Z/84jq+Q
と、通ぶってみました
869名無しさん@3周年:03/10/19 19:36 ID:UR+m3Fpt
FPGAのPIC?Niosの事かな? あれならあんまりコストは
下がらないよ。 FPGAが100円になる可能性も当分無い。

ま、適材適所を無視した一辺倒な視野狭窄では何も語れない
のでは?


一応アトメルがマイコンを切るって話は漏れも代理店情報として
聞いてはいるんだが今後どうなるかは気になってる。
誤報なら嬉しいが。
870865:03/10/19 20:02 ID:InG7ciYG
こっちのほうがわかりやすいか
http://nozomi.pico.cs.inf.shizuoka.ac.jp/slab/eiron/cs0047.ppt

>866
うーん・・気づいてくれると思ったんだがなぁ。
PPTファイル見て、もう一歩発想を進めてくれぇ。
871名無しさん@3周年:03/10/19 20:18 ID:InG7ciYG
周辺取り込んでFPGAのワンチップ化を図るなら、ついでにCPUコアも
というのはありだろうな。
PIC互換コア程度なら自前で作ったってたかが知れてるし。
872名無しさん@3周年:03/10/19 20:28 ID:MoAv5ueK
>>842
549の内容に該当してない??古い628なら大丈夫みたいだけど。
873名無しさん@3周年:03/10/19 23:18 ID:/k9B9xc0
>>869
Freeのコアじゃないの?
NIOSはもっと規模でかいよ。
874名無しさん@3周年:03/10/19 23:37 ID:UR+m3Fpt
>>873
どっちにしても、現在のマイコンの価格を下回るFPGAは
なかなか無いから難しいだろうね。
それ以前にフリーのコアは保証の問題で仕事ではなかなか
使いにくいのが現実でしょう。

FPGAにしてしまうメリットは確かに大きいがコスト的には
余り意味が無いね。
875名無しさん@3周年:03/10/20 19:09 ID:XRISx6yP
>>867
消費電力はどうなん?
昔に比べれば、喰わなくなって来ているだろうけど・・・
876 ◆dddd24Shi. :03/10/20 22:37 ID:66OGHdSe
電池の進歩の結果、意味のない議論になっていると思うのだが。

>>874
そんなの、信頼できるものをこれから作ればいいだろ。
なんせ構成次第でなんにでも化ける魔法にICだ。
チップの種類がマイコンの数分の一のラインナップで済むわけだよ。
シリコンは最後はチップ面積で値段が決まり、中身の複雑さは関係ないからね。

数年前に、マイコンが個人でも100円台で買えるようになるなんてお前予測していたか?

877名無しさん@3周年:03/10/20 23:00 ID:nKlYMljF
秋好きの新商品--PIC16F819
AVRに乗り換えたばっかりなのに、また迷ってしまふ・・・。
878名無しさん@3周年:03/10/20 23:45 ID:wsh8FjWU
AVRの新作は、秋月あたりじゃなかなか出ませんし。。。
879名無しさん@3周年:03/10/21 00:00 ID:Y2ZcZAaM
>>876
元々マイコンなんて100円だが?

で、ワランティーを保証するのがどれほど面倒で
工数が掛かるか解らないのかい?

素人が息巻くスレじゃ無いよ。
880名無しさん@3周年:03/10/21 01:22 ID:YHWZJtmT
現在主流のmegaをあえて置かないのは、H8とダブるからでしょうね。。。

秋付きのAVRはもうダメぽ☆
881 ◆dddd24Shi. :03/10/21 02:18 ID:N5BEoLZg
>で、ワランティーを保証するのがどれほど面倒で
工数が掛かるか解らないのかい?

単に己が無能だとゲロっているだけじゃん
882 ◆dddd24Shi. :03/10/21 02:25 ID:N5BEoLZg
昔から100円のマイコンって、4ビットとかの糞マイコンだろが。
あんなの、遅い!、バグだらけ!、命令はもれなく副作用付き!
装置の改訂毎にマスク変えるんだから全然安くもならん。

日本のメーカーがこんな糞マイコンを何個もつかって得意がってVCR作っているころ、
ドイツの某者ではZ80一個で賄ってVCR動かしていた。

昔の4ビットとかの糞マイコンと今のPICなんかとは雲泥の差がある。
敢えてたとえるなら、電卓と電算機の違い、カシオとNECの違いだ。
883名無しさん@3周年:03/10/21 02:32 ID:Y2ZcZAaM
結局何一つまともに議論する気は無いのか。

あほらし
884 ◆dddd24Shi. :03/10/21 03:07 ID:8JPOipqx
ぼくむずかしいことばいっぱいしってるよ
ほんもいっぱいよんだよ

だからぼくをかまって
885 ◆dddd24Shi. :03/10/21 04:18 ID:N5BEoLZg
>883
879のような物言いでは、全てのものは昔からあったことになるのだから議論になりようがない。
886名無しさん@3周年:03/10/21 04:50 ID:rvkvupTH
少なくとも◆dddd24Shiが半可通だと言うことはこのスレを見てる
だけで理解できる。
887名無しさん@3周年:03/10/21 05:17 ID:lwNPPBPO
>>886
ちょっと背伸びしてみたいお年頃なのさ。
888名無しさん@3周年:03/10/21 06:27 ID:xSKFtlQH
若いな。13歳くらいか。
889 ◆dddd24Shi. :03/10/21 11:50 ID:iKOM/sIX
ま、何がどうだと言う指摘なく「半可通だ」という奴はシッタカな訳だが
890名無しさん@3周年:03/10/21 12:42 ID:3/iOMufJ
>>886
リアル厨だった頃の自分を彷彿して、ちょっと。。。というか、かなり痛い(w
891 ◆dddd24Shi. :03/10/21 13:21 ID:X/+bUU8q
だってママがおそとであそんじゃダメっていうんだもん
892名無しさん@3周年:03/10/21 13:23 ID:rvkvupTH
良い歳したおっさんだが思考回路は小房なみなんだろう。

100円のマイコンは前からあった・・・正解。クソだろうが存在した。

FPGAがその水準に落ちる・・・可能性はあるがROMを考えるとまだまだ先なのは明白。

オリジナルコアは簡単で保証できないのは作った奴がへぼ・・・仕事で設計に従事したことが
無いとしか思えない。余程有能なのかもしれないが有能な人はこんなくだらないくだはまかないだろう。

総評・・・いたい
893名無しさん@3周年:03/10/21 13:24 ID:ktZJB/oE
荒れるから、構うなよ。
勝ち誇りたい趣旨が見え見えなんだから「お説ごもっとも」でいいよ。
放置して流せ。
894 ◆dddd24Shi. :03/10/21 15:16 ID:iKOM/sIX
>まだまだ先なのは明白。

若い奴は時間の概念がない。つうか、経験値が短いから20年とかいう長さが
判らないだろ?
シリコンはチップ面積で値段が決まる。
FPGAが100円に落ちるのはお前らの想像よりは案外に速いぞ。
今年は無理だろうが、来年、再来年となると判らん。
現にサイクロンはそれ以前よりも一段下がった。
競合各社が対抗品を当然出すだろ。
スパルタンで感激したのだってついこの間だろが。

漏れは勝ち誇りたいとかいう気持ちは全然無いよ。
頭が柔軟なお前らに楽してもらいたくないだけだ。
メーカーが取っ掛かりを作ったら、想定外のことをやらかして
市場を「あっ」と言わせるような製品でも半製品でもつくってくれよ。

今の時代、中高年技術者はプータロで2ちゃんに結構いるよ。
職場で若い奴らだけで駄弁っていたら時間が勿体ないよ。
895名無しさん@3周年:03/10/21 15:24 ID:Y2ZcZAaM
その理屈で行くと、CPLDよりも安くなりそうだな。
ありがたいことだ。
896名無しさん@3周年:03/10/21 15:37 ID:9NgC14rV
スレタイとどんどんずれてきてるなぁw
897名無しさん@3周年:03/10/21 18:31 ID:zGnj+92U
PIC18F452を使ってC言語でプログラムを作る予定なのですが、どこかいいHPないでしょうか?
出来る限りの検索はしたのですが、PIC18のC言語でのプログラムってのが全然なくて・・・
898名無しさん@3周年:03/10/21 19:15 ID:ZrZd+TRA

     ∧_∧∩ / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
    ( ´∀`)/< 先生!##JyP'セdm 君がウンコ漏らした!
 _ / /   /   \___________
\⊂ノ ̄ ̄ ̄ ̄\
 ||\        \
 ||\|| ̄ ̄ ̄ ̄ ̄||
 ||  || ̄ ̄ ̄ ̄ ̄||
    .||          ||
899 ◆dddd24Shi. :03/10/21 20:00 ID:251AOqtx
>>893
お前らは他人になにか言われると、それを排除するための方策・口実をどこからでも
探してきて、己は何もせずに済ますわけだろ?役人とやってることが同じ。
900:03/10/21 20:07 ID:EXE2JcAN
違うよ
901 ◆dddd24Shi. :03/10/21 20:29 ID:251AOqtx
言ってる端から、単純否定じゃん。ノーなしメ
902 ◆dddd24Shi. :03/10/21 20:32 ID:251AOqtx
903 ◆dddd24Shi. :03/10/21 20:33 ID:yr7JEDtx
>>899
おれは他人になにか言われると、それを排除するための方策・口実をどこからでも探してきて、昔から何もせずに済ますわけだ、役人をやってるがなにか?
904名無しさん@3周年:03/10/21 20:43 ID:kt5u9T0Y
荒れてるなぁ
905名無しさん@3周年:03/10/21 21:01 ID:ktZJB/oE
あらら。
906名無しさん@3周年:03/10/21 22:29 ID:YHWZJtmT
>>897
どこのコンパイラを使うのか明記しないと答えようがないと思うケド。。。
VC6.0。。。とか逝わないでね(w

18Fは16Fに毛の生えたようなモノだから、Cで書くなら16Fと変わらない
はず。PIC+C全般に関する情報で十分なのでは?
907名無しさん@3周年:03/10/21 22:33 ID:YHWZJtmT
>それを排除するための方策・口実をどこからでも探してきて、
で、自分はそうではないと。。。(w
908名無しさん@3周年:03/10/21 22:34 ID:ke4cL+FS
PIC-Progで1.05cでJDMに18F452に書きこみが出来ないのですが、
理由をご存知のかたお教え下さい。16F877は書けるのですが...
ちなみに、Wiz−C使ってますが私は間違ってますか?。
909名無しさん@3周年:03/10/21 23:04 ID:3mGU0x0I
>◆dddd24Shi.
なにか突っ込まれると、仮定を含んだ利己的な希望観測で反論してるのは
説得力欠くとおもうのだがどうか?

>FPGAが100円になったら、PICの市場は極めて狭くなる。
アキレスと亀ではないが、PIC等の価格はもっと落ちてるのでは?

>そんなの、信頼できるものをこれから作ればいいだろ。
マイコン使えば、一定のレベルで信頼出来る物をすぐに使える。
顧客にその裏付けを説明する必要も無い。

>電池の進歩の結果、意味のない議論になっていると思うのだが。
電池が進歩してもPICとFPGAの消費電力差が埋まる証左にならないのだが?
電池が保つならより保つ方が良い。

>シリコンはチップ面積で値段が決まる。
マイコンのほうがチップ面積取らないのでは?
910897:03/10/21 23:40 ID:zGnj+92U
すいません。
CCSのPCHを使ってC言語プログラムを作りたいと思ってます。
911名無しさん@3周年:03/10/21 23:56 ID:ed4+LoRC
DW誌10月号付録のサイクロン基板にAVRのコアを乗っけてみた。
A/DやPWMは使えないが結構遊べるぞ。
912 ◆dddd24Shi. :03/10/22 00:06 ID:BNx04Olh
>アキレスと亀ではないが、PIC等の価格はもっと落ちてるのでは?

ある程度以上下がっても意味がないつうか、材料代以下にはならないわけで。
そのパーツ故の高コストの要員が影響しなくなった暁には、PICなんかと価格差がなくなるってことだよ。
いま、3端子レギュレーターとトランジスタと値段変わらんだろ。
まごまごしているとトランジスタ一個よりもオペアンプのほうが安かったりもするわけだよ。

>電池が進歩してもPICとFPGAの消費電力差が埋まる証左にならないのだが?
電池が保つならより保つ方が良い。

これだって書いただろが。電池の自己放電のレベルと大差ない消費電力になったらそのパーツの消費電力が半分になろうとも稼動時間に変化は出てこない。

>マイコン使えば、一定のレベルで信頼出来る物をすぐに使える。
顧客にその裏付けを説明する必要も無い。

トンでもないシロートだな。
マイコンのハードが経験値を積んで信頼性を上げたとしても、そこにのるソフトが安心なんて保証は何もない。

マイコンだってテストパターンなんかがこれまで使った者がIPマイコンにだって
使えるわけで、マッタクの新規と較べれば、既存マイコン互換マイコンは多少安全性を裏付けることはできるだろう。

913名無しさん@3周年:03/10/22 00:30 ID:t91GLFDu
>>912
いい加減ウザイ。完全にスレ違い。内容も面白くなければ説得力も無い。まして
や、初心者の為に成る内容も無し。子供か?。何年実務経験積んだ?。
あ、ちなみに漏れはソフト屋実務経験19年。
914名無しさん@3周年:03/10/22 00:31 ID:GH9mtFVK
でも、実在しない絶対確実なIPコアと開発ソフトを現在は相手には出来ないので、
現状のPICとAVRでお勉強はしなくちゃならん訳だわな。しかも生き残りそうな方を。。。

所詮、絵に描いたもち。理屈は、あんたに任せるyo。
915 ◆dddd24Shi. :03/10/22 00:59 ID:BNx04Olh
19年前つうと汎用機が君臨していた最後の時代だな。
技術系のスーパーミニコンが消えるろうそくの煌きの時代。
多国籍企業なんかでは、コンピューターネットワークによる電子メールも実用になった頃だろ。
2400bpsのモデムが出てきて、ダイヤルアップで、リモート接続なんて
事もやられていた時代だ。

くらいの話はできる程度の経験年数はあるよ。
キャラクタマシンから触っているからな。

イーサネットが出てきたときに、イーサネットなんて絶対普及しないだろうと
思ってたものな。以後、新しく出てくるモノには多少謙虚になった。
916名無しさん@3周年:03/10/22 01:31 ID:t91GLFDu
>>915
はあ???
917名無しさん@3周年:03/10/22 01:41 ID:v+Z7utN3
結局古くさい自分流解釈の間抜けな反論擬きを書き殴ってるだけで
実際には反論たり得ない間抜けなレスだね。

半可通と呼ばれるのは当然だろう。
918名無しさん@3周年:03/10/22 01:58 ID:lB/7BAnC
ここは、初心者のためのスレなので高尚な話は止めてください。

個人レベルで、入手性、実現性を持っているのは、PICとAVR等なんです。
雑誌の付録で安く手に入るといっても、勉強用でしょ、
後閑さんの、HPを見ると、そこに既に色んなものがあるじゃないですか?
初心者に、表面実装の半田付とか苦痛だしね。
919 ◆dddd24Shi. :03/10/22 02:12 ID:BNx04Olh
>>918
新規にマイコンをはじめるのならSH8に白
PICは一般的マイコンとはかなり性格が異なる。
ステートマシンや、オシレーターが欲しいときとか、LEDつけたりスイッチ読んだりすることがメインならPICは便利。PICは確かにセカンドソースが無いが
PICが消滅するまでにはかなり時間もあるだろうし、個人での実験くらいなら
一生分のパーツを買い置きも可能だろ。

電子工作の初心者ってことと、SMDの手半田が苦痛とは別の話だよ。
今から電子工作をはじめるのなら、FPGAからというのを勧めるべきなのでは
ないかと思うよ。
920 ◆dddd24Shi. :03/10/22 02:13 ID:BNx04Olh
>917

お前のカキコは、「今日は雨が降ったから、明日はりんごだろう」
的だぞ。
921名無しさん@3周年:03/10/22 02:21 ID:IfseZmDU
頼むから、◆dddd24Shi.は放置してくれ。
スレ違いなのに構わず、いちいち噛み付いてくるからウザイったらありゃしない。

時と場所もわきまえる事すらできない中年・・・
922名無しさん@3周年:03/10/22 02:31 ID:MxpLZW6S
>>◆dddd24Shi.
ということにしておきたいのですね?
923名無しさん@3周年:03/10/22 02:35 ID:s1O0rwk9
馬鹿と喧嘩をするな、はたからはどちらが馬鹿か分からない
924名無しさん@3周年:03/10/22 02:54 ID:v+Z7utN3
話のわかる奴じゃないことが解った。

スマンもう馬鹿の相手は止める。
925 ◆dddd24Shi. :03/10/22 03:14 ID:BNx04Olh
>スマンもう馬鹿の相手は止める。

なにが腹立たしいってこーゆーやつらだよ。
他人をバカだと言うからには、何故バカなのかを説明できなければいけないわけだが
お前らは「バカだからバカなんだ」としかいえないだろ。

> 話のわかる奴じゃないことが解った。
こーゆー台詞は話をしてから言え。

相手の主張を理解した上で、「了承できない」とするならまだしも
926名無しさん@3周年:03/10/22 09:05 ID:9hkxKKs5
>>919
SH8っていつ出るの?
SH5はどうでしたか?
927名無しさん@3周年:03/10/22 10:03 ID:1Sfsgf39
藻前ら煽り口調さえ止めれば、書いてる事の本質で議論は十分出来ると思うんだがなあ。。
口のきき方(文章の書き方)が悪いんでないかい。ちょっと勿体ない気がした。
928名無しさん@3周年:03/10/22 10:05 ID:zEdymW6Z
必死な人ってさ、実社会でとことん無視されてて2ちゃんねるが最後の砦なんだろうね
929名無しさん@3周年:03/10/22 11:17 ID:EgdG8B4w
値段が一緒なら、ADコンバータ付きがお得だなー
16F84 → 16F819,16F628(コンパレータ)
12C508 →12F675

秋月V4ライタで焼けるし
930名無しさん@3周年:03/10/22 13:41 ID:hBm1D9HN
>>910
PIC18F252試しに使ったときはMPLAB+MCC18(demo版)だったけど
>>2のリンク先とかでなんとかなった

PCHも使ってみたが256バイトを超える配列とか優先順位付き割り込みの
やり方分かんなくて結局MCC18(demo版)をゴニョゴニョしながら使った
931 ◆dddd24Shi. :03/10/22 14:20 ID:TN8sY6G3
>927
文鳥とか、誤変換とかに惑わされず、本質を受取ってくれる奴が一人でもいれば
カキコした甲斐があったってものさ。
表面上、揚げ足とっても、少しでも伝わればいいさ。

2ちゃんが最後の砦なんて意識は全然ない。2ちゃんなんぞ其の内消えるさ。

若い時をいい加減に過ごした人間ってのはこーなるわけで、
お前らの将来像でもあるわけだよ。

それにしても松井は偉いなぁ。
あいつに総理大臣やらせたらどうよ?
932名無しさん@3周年:03/10/22 15:40 ID:JLUiBgFf
↑ 必死な人
933名無しさん@3周年:03/10/22 15:44 ID:IfseZmDU
>>932
構うなって!
934 ◆dddd24Shi. :03/10/22 18:48 ID:zHHUFtt/
ID:JLUiBgFf ← まだ更生の余地あり

ID:IfseZmDU  ← 民主主義の敵
935名無しさん@3周年:03/10/22 19:10 ID:v+Z7utN3
アホはスルーしましょう。

2chブラウザを使ってる場合は、◆dddd24Shiをあぼーんに
設定すると見ることも無くなります。
936名無しさん@3周年:03/10/22 19:59 ID:GH9mtFVK
>908
検索してみた、書き込みシーケンスが若干変更になった模様です。
ttp://216.239.53.104/search?q=cache:x66TncWKv9kJ:www02.so-net.ne.jp/~takeyama/index.shtml+%EF%BC%91%EF%BC%98%EF%BC%A6%EF%BC%94%EF%BC%95%EF%BC%92&hl=ja&lr=lang_ja&ie=UTF-8&inlang=ja
> 2003 8/1(金)
> しかしデータシート通りのフローでやってもうまく行かないのを、試行錯誤して一部フローを変更しているところがあって、本当にこれで正しいのかどうかが今ひとつ分からない。

あと、JDMは、
・ツェナダイオード8.2Vを8.7Vに変更。IC-Progの設定でI/O Deley(遅延)を最大にすれば8.2Vのままでも使えることもある。
(16F8XXの規定の電圧にするため)
・RB3を10kΩの抵抗でプルダウン。
ttp://www.ucapps.de/mbhp/mbhp_jdm_v2_sm.gif

ttp://cgi.biwa.ne.jp/~jr3roc/pic/ から、参照しました。
937名無しさん@3周年:03/10/22 20:37 ID:hpdJpPQD
荒らしはどこにでもいるけど、こういう初心者スレには
来ないで欲しい。
質問に回答してくれる人も、質問する人も噛みつかれそうで
レスしづらい。
リストラ中年の方は2chよりも、ハローワークのHPに行くべきでは
ないでしょうか?
938名無しさん@3周年:03/10/22 20:57 ID:r5XrL0dQ
>>937
最後の2行はカマッテ君向けのリップサービスかい?(w
939名無しさん@3周年:03/10/22 21:04 ID:474G50Ef
このスレにアラシなんか居ないよ。
自分にやさしいカキコしか欲しくないのなら公開の掲示板は合わないよ。
940908:03/10/23 00:45 ID:FilxRssR
>>936
レス有難う御座います。908の発言を良くお読み頂くと判ると思いますが、
16F877には問題無く書きこめております。ツェナの件もRB3の件も存じており
ます。自分の環境では双方とも対処せずに書きこめています。
問題は18F452に書きこみが出来ないという問題ですRB3は10Kでプルダウン
しても駄目でした。ついでに現象としては、プログラムのフラッシュには
書かれないがEEPROMのデータは書かれていました。しかも。1バイト飛ばし
で。諦めてライタ買った方がイイでしょうか?
941名無しさん@3周年:03/10/23 03:38 ID:Wc+jWYVv
>940
たぶんインターフェイスとの相性も有りでしょう。
時間が許せば、新バージョンが出るまで待った方がコスト的には安いのでしょうが、
秋月で純正に近い完成ライターが安価に買える現在では安心して書き込める環境を
整備した方が、良さそうです。

877は書けても、877Aは書けないのじゃ無いかな?
877は、書き込みのファームアップデートしなくても書けたから容易に書けると思う。
942名無しさん@3周年:03/10/23 04:12 ID:v2DnwkuO
秋月扱いのリープのが定番でしょ。

PICマイコンも最初はツマラナイマイコンだったんだね。知らなかったよ。
GIのPIC1650.クロック1MHzで命令実行時間4μs
20MHzになったおかげで売れ出したんだね?
943名無しさん@3周年:03/10/23 04:13 ID:v2DnwkuO
自己レス
元はROMしかなかった。手軽に使えるシロモノではなかった。
944名無しさん@3周年:03/10/23 10:35 ID:A9G+8nVp
>プログラムのフラッシュには
>書かれないがEEPROMのデータは
>書かれていました。しかも。1バイト飛ばし

典型的なパラレルの1PIN逝かれてる症状だね。
ライター不良か、PICの1PIN死んだか。
後者が大きい。マイコンのPINはFET入力でZ=∞だから、手で触った静電気でもPIN死ぬ。
パッケージに触れて、PINには触れないようにしないと。
後、保存するときもカーボンスポンジ(黒いスポンジ)に挿して、静電破壊を防ぐ。
945名無しさん@3周年:03/10/23 10:57 ID:rcWbIcE6
>典型的なパラレルの1PIN逝かれてる症状だね。

PICにはAVRのようなパラレル書き込みモードは無いと思われ。
946名無しさん@3周年:03/10/23 12:31 ID:0YycTvDW
超おばかな質問かも知れませんが、
PIC16F84Aはパソコンのメモリのように
メモリ拡張できるのですか?
947名無しさん@3周年:03/10/23 12:35 ID:xyvvIqNZ
>>946
必要な信号線を全部ポートにつなげて、/RD、/WR、/CSを適宜オンオフ
してやれば使えないことはないと思うが。
16F84ではピン数が少なすぎて、アドレスラッチなども必要になると思う。
結論:素直にH8使え
948名無しさん@3周年:03/10/23 12:44 ID:Wc+jWYVv
>946
メモリ拡張は、内部バスが外部へ引き出せないので無理です。
シリアルインターフェイスの、EEPROM、SRAMなら繋げられる。
16F877等のパラレルI/F搭載種に、8bitバス直結でアドレス用ラッチ追加の方が早いし確実。
949名無しさん@3周年:03/10/23 12:49 ID:A9G+8nVp
>>946
>>947を少し解説させてもらうと、PENの土台80系の初期の8bitCPUには、ALE(アドレス・ラッチ・イネーブル)pinという信号pinがあった。
どういう役割かというと、ALEがアクティブ(LOWアクティブLOWの時)だからの時はバスをアドレス出力モードにして、ノンアクティブ(Hi)の時データの入出力にバスを使うというもの。
すなわち、バス8pinをアドレス信号、データ信号共用にしてpin数を稼いでいる。
その流れが、後々のパイプラインバスにつながっている。

その様にやったとしても、16F84ではPINが少ない。やはり、intel80系(Z80等も含む。もちろんNECμPD70系とかも)とかmotorolla60系とかのカスタムLSI載ったボード使うか、>>947にあるようにH8を使うとか。
或いは、自分は使ったことないが、TMPとかTRONとかはどうなんだろ。あと、AVRも。
950名無しさん@3周年:03/10/23 12:52 ID:Wc+jWYVv
>942
WIZ-Cで、PDE-EXな、私は異端だな(w)
951名無しさん@3周年:03/10/23 13:40 ID:hiy6HENe
>950
自力解決が可能な奴は何つかったっていいんだよ。

>946
PICマイコンのプログラムメモリーの増設を考えるより、必要なメモリーが搭載されたパーツを使うべきだよ。
必要なメモリー搭載パーツがないのなら、そもそもPIC向きの用途とは思えない。
952名無しさん@3周年:03/10/23 14:52 ID:0YycTvDW
>>947
>>948
>>949
>>951
こんなに丁寧なレスもらえるとは思わなかったです。ありがとうございます。
まだ初学者なので何となく分かった程度ですが、不可若しくは相当無理があるということですね。
自作の小型パソコンを作ることを目標にしてますが、これは候補になり得ないものなんですね。
953名無しさん@3周年:03/10/23 17:05 ID:s/FYM615
>>946
>>952

直接のメモリ拡張でわないのだが・・漏れがやったことがあるのは、元々の外部I/Oが少なくて
良かったのと処理速度も遅くて構わなかったので、16F84にI2Cのシリアルメモリを接続した。
PIC上には簡単なインタプリタを走らせて、シリアルメモリのほうに入ってる命令コード(独自)を
読み出して逐次実行するような感じ。


本来ならROM容量のあるチップをつかうのが筋かもしんないけど、時と場合によってはこんな
のもアリということで(w
954名無しさん@3周年:03/10/23 22:28 ID:pEm5M0Sp
16F819始めました@秋月
955名無しさん@3周年:03/10/23 23:06 ID:m65MhyZF
>>948
ALEがあったのは80系というか8085じゃないっけ?
8080Aはマルチプレックスしていなかったと思ふ。
そのあとの8086/8088なんかもマルチプレックスだけど。
956名無しさん@3周年:03/10/23 23:52 ID:KqSQQOFN
>>955
確かに漏れの記憶でも8228とかいう石でデータバス分離したと記憶してるが。
でも、マルチプレクスでなかったか?
957名無しさん@3周年:03/10/24 00:03 ID:un2SNoDV
懐メロモードはその位にして、せめて現行で入手しやすい石の話にしてちょ(w
958名無しさん@3周年:03/10/24 01:23 ID:1j2r9Xpa
ってゆーか、全然PICやAVRの話じゃねいし・・・
959名無しさん@3周年:03/10/24 01:30 ID:prpjDUJU
>>958
ドレスラッチは、AVRでは重要な項目ですが?
960名無しさん@3周年:03/10/24 11:56 ID:sk4fsnIv
>>958
PIC → 枯れてる → 話題が無い
AVR→ ユーザが少ない → 話題が無い
って事
Cコンパイラの話になると荒らしがくるし・・・
961名無しさん@3周年:03/10/24 12:13 ID:bgtxWQ9v
>Cコンパイラの話になると荒らしがくるし・・・

言いがかりだな。どこがアラシだよ。
PICをC言語で使うって奴に、それが如何に無駄なことであるかをわからせることはPICの名誉を守ることにつながる。

PICはCPLDなんかを使ってて「んー 数秒そのまま待ってろ....」って場合に特効薬だ。
962名無しさん@3周年:03/10/24 12:39 ID:prpjDUJU
>>961
誰が何をどう使おうが勝手であり主観をまき散らしそれが
全てであり、正義であるかのように振る舞う行為こそ荒らし。
963名無しさん@3周年:03/10/24 13:48 ID:aKlFYvQd
>>958
AVRの一部は8051の差し替えを狙っている点で、メモリ拡張の方法もそれにコンパチな
わけで、結局インテルに話が行き着くと。。。

確かにワークエリアを広く使いたいときはPICは不利だわな。まぁ、AVRにしろH8にしろ
その分I/Oが減るので、ワンチップとしてのうま味は減るけど。
そういえば、シリアルRAMっていうのどこかが出してなかったっけ?
964名無しさん@3周年:03/10/24 13:52 ID:p3pSajbe
>960
まあAVRの現在主流のmegaは、gccで開発がトレンドなんでこのスレじゃ聞き難いだろうし。
PICに至っては、アセンブラよりは初心者向けであろうC言語の話題については御法度じゃあ
レスが進む訳が無い。PIC-MLの方がまだマシって事だね。
965名無しさん@3周年:03/10/24 15:34 ID:QdYw3nfX
初心者のためのスレッドだから、初心者が来なければ無理にレスを進める不要がない。
現行のものの話題に古いものとの繋がりを絡めて話すなら、初心者にも解るんだろうが、
話が横にそれて「昔こんなのがあった」って話題でレスを進められても、スレ違いなだけ。
マイコン全般で雑談したいなら別スレ立てればよい。

初心者がAVR・PICがらみでC言語について質問したなら、荒らしじゃない罠。
C言語だから荒らしが来るんではなく、自分と違う主観を軽く受け流せない輩が排
他的なカキコをするだけのことで、このスレに限らずこの板ではよくある話。
無視して流すしかない。
966名無しさん@3周年:03/10/24 17:29 ID:LIKfwClv
>誰が何をどう使おうが勝手であり主観をまき散らしそれが
>全てであり、正義であるかのように振る舞う行為こそ荒らし。

誰かのカキコが全てだなんて発想がオカシイ。イロイロな意見を聞いて自分で判断することだろ。
初心者がC言語でPICつかって、楽しい思いをするとは思えん。
「PICって使えネェじゃん。こんなの使う奴バカ!」なんて短絡されたのでは、其の初心者よりも初心者にとってモット迷惑だ。
AVRとPICでは市場が全然違う。gcc絡みの話題ならそもそもこのスレではスレ違いだろ。

>PICに至っては、アセンブラよりは初心者向けであろうC言語の話題については御法度

このような受取り方しか出来ない奴が初心者の疑問に答えられるのか?
967名無しさん@3周年:03/10/24 17:36 ID:Q1Lth3uo
>誰かのカキコが全てだなんて発想がオカシイ。イロイロな意見を聞いて自分で判断することだろ。
>初心者がC言語でPICつかって、楽しい思いをするとは思えん。

この二行、矛盾してると思うのは俺だけか・・・
968名無しさん@3周年:03/10/24 18:51 ID:prpjDUJU
>>966の主観など無意味。

> 初心者がC言語でPICつかって、楽しい思いをするとは思えん。

全く持って間抜けなり
969名無しさん@3周年:03/10/24 19:12 ID:7nor/MJ/
「使えない」と「使わない」は区別しような
970名無しさん@3周年:03/10/24 19:48 ID:/H6aAmtZ
>967
とうぜんだろ
971946:03/10/24 20:17 ID:F22UHPo0
>>953
そういうのすごくいいですね。っていうか、試しに是非やってみたいです。
もしお手数でなければ、製作のヒントをもらえませんか?
972名無しさん@3周年:03/10/24 21:47 ID:cJMEh3z/
>>971
16F84Aでか?
http://www.google.co.jp/search?hl=ja&ie=UTF-8&oe=UTF-8&q=16F84%E3%80%80I2C&btnG=Google+%E6%A4%9C%E7%B4%A2&lr=lang_ja

外部メモリとのインタフェースが取れれば、あとは自前でインタプリターの仕様を考えて
好きにすればいいと思うぞい
973名無しさん@3周年:03/10/24 22:06 ID:aEmQzjk5
をれ、PICでC言語が使えること自体に尊敬の念を抱くんだけどなあ。(C言語開発者に対して)
AVRとかH8とかスタック豊富な石は、ある意味当たり前の延長線上。最適化すげーけど(gcc)
でもPICのようなアーキテクチャでC言語で書けるというのはやっぱりすごいことだと思った。

結局使う側はC言語の便利さを利用したいだけで、
PICをアセンブラできちんと生かすのも良し、
C言語で分岐などを楽に間違いなく書けるメリットを享受する(何かは犠牲になるかも)のもよしでしょ
そんなこといったら膨大な資源を使ってしょーもないGUIで動いている今のPCは何だ?になっちまう。
974名無しさん@3周年:03/10/24 22:46 ID:7nor/MJ/
>>971
BASICStampってそういう構成でなかったか
975名無しさん@3周年:03/10/24 23:19 ID:pVwBZ9w+
やっぱCの話し始めるとあれるw
976名無しさん@3周年:03/10/25 01:52 ID:pMC3g9Pu
>975
だから、どこが荒れているんだよ。ボケ!
977名無しさん@3周年:03/10/25 02:03 ID:ZJglAizt
>973
同意。
をれはアセンブラで組みたかねーよってな関数をC言語で書いて
コンパイラが吐いたコードを最適化(出来れば)してライブラリ化してる。
978名無しさん@3周年:03/10/25 03:44 ID:SIGi33xM
てか主観のみで〜は糞という奴等無視に限る。
相手する奴も同レベル。
979名無しさん@3周年:03/10/25 04:36 ID:b7hN/tHn
荒れるからCの話しは禁止
980名無しさん@3周年:03/10/25 07:12 ID:FNO4bNoS
>>979
じゃあ今度はPASCALとBASICの話を・・・(AVRにあったよな)
981名無しさん@3周年:03/10/25 07:52 ID:xUzOlLZc
Cが荒れるとか言っている意味がわからんな。
PICで手っ取り早くファンクションを完成させるのにCは有効。
アセンブラレベルで綺麗にコーディングしたからって、所詮自己満足。
アナログ入力をログ変換するとか、科学計算させるとか出てきたら
やっぱりCはお手軽だよ。
wレジスタ一本でパズルのように考える
事は面白いが、いかに安いCPUで早く市場へ組み込み機を投入できるかと
いう命題を抱えている俺にとってはアセンブラなんて正直ナンセンス。
メモリ使用効率悪いって言ったって、今まで1KW位しか書く事無いわけだし。
PIC16F628等とPICCLITEで30プロダクト以上の製品を3ヶ月以内に投入できたのは
C言語を使ったからお陰だよ。
規模が間に合わなくなったら別のCPUやらFPGAも使うわけだが。

もっとも、このスレッドで有効な話題があるからいつもチェックしてるけどね。
あ、それとねAVRについては自分の取引している商社に聞いたら
うわさどおり、どうも本当らしい。内部的にはいい構成のCPUなのにね。
982ガイシュツ ◆atMKiSyUTU :03/10/25 08:55 ID:YZtOJbJN
次スレ用テンプレ案です。よかったらどーぞ

個人でも手軽に使えるオールインワンのマイコンチップ
PIC・AVRについて語るスレッドです。

過去スレ
●PIC・AVR 初心者のためのスレッド●
http://science.2ch.net/kikai/kako/981/981417210.html
●PIC・AVR 初心者のためのスレッド-2サイクル目●
http://science.2ch.net/test/read.cgi/kikai/1040610345/

参考になるページ
PIC-microchip http://www.microchip.com http://www.microchip.co.jp/
PIC関連-後閑さんのpage    http://www.picfun.com/
System.out http://www.ex.sakura.ne.jp/~leocrim/crimson/index.html
PICな日曜日 http://www.kimurass.co.jp/picindex.htm
PIC入門 http://www.interq.or.jp/japan/se-inoue/pic.htm

AVR-atmel   http://www.atmel.com/
AVR情報多い-chanさんのpage  http://elm-chan.org/
AVRGCCその他AVR関連 http://www.avrfreaks.net/
レディオテクニカ有志による 翻訳版 AVRデータシート
ttp://homepage1.nifty.com/radio_tech/
TAP-Config http://ww2.tiki.ne.jp/~maro/
983ガイシュツ ◆atMKiSyUTU :03/10/25 08:56 ID:YZtOJbJN
テンプレ案の蛇足。必要と思うならドゾー

H8マイコンについてはこちらで
 ★H8関連総合スレッド★ 
http://science.2ch.net/test/read.cgi/kikai/985810159/

CPLD、FPGAについてはこちらで
ALTERAとXILINX その2
http://science.2ch.net/test/read.cgi/kikai/1048943368/

Z80についてはこちらで
★☆  Z80 は永遠に不滅です ☆★
http://science.2ch.net/test/read.cgi/kikai/1006682535/
984名無しさん@3周年:03/10/25 09:42 ID:b6zndo2/
>956
確認したけど、8080はマルチプレックスされていませんでした。

というところで、PICでCかぁ。よくそんなコンパイラ作ったなという
点については関心するな。
構造化アセンブラ+数値演算ライブラリとして結構便利っしょ。
1クロック削るのに命がけになるような人には不満だっていうのは、
15年以上前から同じようなことを言われていたわけで、そりゃそうで
しょうと言うしかない。
985名無しさん@3周年:03/10/25 11:09 ID:TQALAN/8
>15年以上前から同じようなことを言われていたわけで、そりゃそうで
しょうと言うしかない。

PICの場合根本的に違うんだ!と言ったところで通じまい。
アーキテクチャの違いも何も無視して、価格だけで判断というのも
そりゃ傍からとやかく言う筋合いのことではない。

不満とか言うのとは意図が全然ちゃうわけで、道を横断するのにオウトン使ううような奴に
「横断歩道を歩いたほうが健康的だぞ」とわざわざ言う奴もま、居ないわけだが。
986名無しさん@3周年:03/10/25 11:56 ID:DJZ7WMdL
>>961あたりから推測するに、
PICはコンピュータ的にプログラムするものじゃなくて、ロジックの補助であるので、
PCや他のマイコンのように大きなファームウェアを「プログラム」する感覚で
捉えたら性能悪いつまらない石だということになるのが嫌だと言うことでしょうか?

まあいいんじゃない?適材適所を学べばそれでよしと。
987ガイシュツ ◆atMKiSyUTU :03/10/25 20:53 ID:YZtOJbJN
次スレっす

●PIC・AVR 初心者のためのスレッド-3サイクル目●
http://science.2ch.net/test/read.cgi/kikai/1067082692/
988946
>>972
ををっ、親切にありがとうございます。
こういうのがあるとは、全く気付きませんでした。