CPUアーキテクチャについて語れ 16

このエントリーをはてなブックマークに追加
1Socket774
おいお前らいい加減、無能なAMD房・Intel房・GKに振りまわされず、
エンコ時間がどうとかPIがどうとかPS3がどうとかじゃなく、
CPUコアのアーキテクチャについて語りましょう。

x86/RISC/CISC/スーパースカラ/VLIW/MIMD/SIMD
等について語ってもよし、
各SPUの汎用レジスタ128本のCell B.E.マンセー、
x86なワンチップスパコンのLarrabeeマンセー、
時代はGPGPUだ!、Sunは漢の浪漫!、龍芯(笑)、
昔々8086の時代は(以下略・・・等もよし。

さあ、不毛な争いを止めてCPUアーキテクチャについて語ろう!

前スレ
CPUアーキテクチャについて語れ 15
http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/

【過去スレ】
Part 1 http://pc5.2ch.net/test/read.cgi/jisaku/1082357989/
Part 2 http://pc7.2ch.net/test/read.cgi/jisaku/1101041110/
Part 3 http://pc7.2ch.net/test/read.cgi/jisaku/1139046363/
Part 4 http://pc7.2ch.net/test/read.cgi/jisaku/1151732227/
Part 5 http://pc9.2ch.net/test/read.cgi/jisaku/1159238563/
Part 6 http://pc9.2ch.net/test/read.cgi/jisaku/1169393906/
Part 7 http://pc11.2ch.net/test/read.cgi/jisaku/1172923824/
Part 8 http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/
part 9 http://pc11.2ch.net/test/read.cgi/jisaku/1186887760/
part10 http://pc11.2ch.net/test/read.cgi/jisaku/1202913839/
part11 http://pc11.2ch.net/test/read.cgi/jisaku/1214999146/
part12 http://pc11.2ch.net/test/read.cgi/jisaku/1219884494/
part13 http://pc11.2ch.net/test/read.cgi/jisaku/1223189876/
part14 http://pc11.2ch.net/test/read.cgi/jisaku/1231064800/
part15 http://pc11.2ch.net/test/read.cgi/jisaku/1235699613/
2Socket774:2009/09/21(月) 16:31:32 ID:WEoT1ID/
1乙
3,,・´∀`・,,)っ-○○○:2009/09/21(月) 18:29:32 ID:gVZrgLZ/
4Socket774:2009/09/21(月) 19:27:04 ID:sO9eUWHx
ウ ゲ こ
ン ハ こ
コ か は
ゲ ら
ハ 押
厨 し
の か
糞 け
ス て
レ 来
で た
5Socket774:2009/09/22(火) 12:55:05 ID:uzeJfvIM
6Socket774:2009/09/22(火) 19:19:37 ID:CKLwJRiO
これからはAtom対ARMがメインになるわけですね
7Socket774:2009/09/22(火) 19:29:57 ID:dkd0DbvF
否定はしないが、どこでだよ
8Socket774:2009/09/23(水) 00:18:20 ID:/bZlLpxY
>>1 乙
9Socket774:2009/09/23(水) 00:52:56 ID:gjfYeEpJ
10Socket774:2009/09/23(水) 01:44:45 ID:harojAw6
1チップで3TFlops弱のプロセッサが出ようとしてるのに
0.1TFLOPS程度のCPUがあーだこーだ語ってる場合かw
11Socket774:2009/09/23(水) 01:57:36 ID:4KoErQZ9
>>10
君、このスレに向いてないから帰りなよ
12Socket774:2009/09/23(水) 07:23:04 ID:kyWtRV7D
>>10

ムーアの法則がIntelに逆襲する
http://pc.watch.impress.co.jp/docs/2008/0418/kaigai436.htm

あながちそうともいえないんじゃないのw?
13Socket774:2009/09/23(水) 12:06:17 ID:F3KoxbZK
Z80が最強
14Socket774:2009/09/23(水) 15:50:57 ID:VzfoWp7S
V30
15Socket774:2009/09/23(水) 17:25:44 ID:U911Mw/X
6502
16Socket774:2009/09/23(水) 17:47:02 ID:jGo+inZw
Z1
17Socket774:2009/09/23(水) 20:23:44 ID:0UCTV5Nu
IBM、DNAとナノテクで作る次世代チップ──さらなる回路の微細化を可能に
http://headlines.yahoo.co.jp/hl?a=20090818-00000003-cwj-sci
マルチコア化にこだわらなくても、まだまだ進歩していける余地があるのだな。

CPUアーキアクチャーを構成する材料はまだまだ改良する余地はあるわけだし。
18Socket774:2009/09/23(水) 20:48:12 ID:8eGkelNy
>>17
量産するのにどんだけ時間かかるんだよそれ
19Socket774:2009/09/24(木) 21:43:28 ID:fPtdTdEd
>>18
数十年前にはシリコンウエハだってこんな量産できると思われてなかったろ
20Socket774:2009/09/26(土) 04:00:46 ID:9iiBoL47
トランジスタの発明からわずか10年で量産のためのシリコン集積回路が発案されてるがね
21Socket774:2009/09/26(土) 07:58:26 ID:MMx82wVd
拡張命令が糞の元凶、なんだよSSEってwww
22,,・´∀`・,,)っ-○○○:2009/09/26(土) 10:22:05 ID:FqUneIa2
と、ID: MMXが嫉妬しております
23Socket774:2009/09/26(土) 10:24:17 ID:Vv+PeDxi
MMXの嫉妬
24Socket774:2009/09/26(土) 10:31:53 ID:tECWK1Gd
>>22
うまいね、どうも
25Socket774:2009/09/27(日) 18:12:08 ID:rc0mp6fp
>>22
ワロタ
26Socket774:2009/09/29(火) 18:00:09 ID:eYa12Dhe
【レポート】Hot Chips 21 - Sunの16コア256スレッドプロセサ「Rainbow Falls」
http://journal.mycom.co.jp/articles/2009/09/28/hot_chips21_rainbowfalls/index.html
27Socket774:2009/09/30(水) 14:42:28 ID:gYhto9t3
いっそ富士通もマルチスレッド・マルチコアの製品作って「Kegon Falls」とか名付けてくれればなぁ
28Socket774:2009/09/30(水) 14:58:24 ID:yQcub0H1
華厳は最近水量が少なくなっていると聞くが
29Socket774:2009/10/01(木) 19:21:00 ID:rIq4pYEO
ARMやMIPS、PowerPCがもてはやされている今、
SPARCアーキテクチャっておちぶれた芸能人みたいだね
30Socket774:2009/10/01(木) 19:32:25 ID:iAzQkg+Z
富士通なめんな
31Socket774:2009/10/02(金) 01:43:04 ID:PNKB5TbP
>>29
MIPSパクって権利関係で問題抱えて結局MIPSと契約結ぶ事になった中国の龍芯開発チームの顛末を
知ってか知らずかインド政府はSPARCの開発を今さら始めるそうだ。
32Socket774:2009/10/02(金) 05:06:09 ID:QYFx1HUs
中森章ってNの人なの?
普段何してる人?
33Socket774:2009/10/02(金) 12:20:16 ID:0tDHmNrv
クタさんは本当にコンピュータの未来を半導体の面からも予言してて凄いわ
時代が追いついてきたよクタさん
34Socket774:2009/10/02(金) 12:23:13 ID:i0r2INMX
俺のアーキテクチャもちょっとしたもんだよ。
35Socket774:2009/10/02(金) 15:07:11 ID:uCpeq3sY
>>33
pgr
36Socket774:2009/10/02(金) 23:02:37 ID:2qyHsFjN
>>31
>MIPSパクって

如何にも彼ららしいじゃないか
37Socket774:2009/10/03(土) 01:22:29 ID:+qMDiR/t
クタは数千億円をドブに捨てた(米国に貢いだ)だけのアホ
38Socket774:2009/10/03(土) 19:03:26 ID:hTQoh5L/
>>31
そういや龍芯はx86互換にする話もあったが、これも権利絡みで消えたのかな?
39Socket774:2009/10/03(土) 23:00:43 ID:8G8LPL98
>>38
よくわからんが、龍芯1GHzの生産はSTマイクロの工場でやってるようなので、
仮に中国側がx86互換開発成功してもST側が生産しないだろう。(STはMIPSのライセンスを受けている)
40Socket774:2009/10/04(日) 01:55:01 ID:WBUVwB/Z
共産圏がまともに権利関係に従うわけなかろう
有事の際は全権利&工場&スタッフ全部押さえられるんだから
何で自由主義経済圏と同じに考えられるのかねえ。平和ボケすぎる。
41Socket774:2009/10/04(日) 02:12:22 ID:k1JxEc1f
後進共産国なんぞに海外拠点を移した企業は後でバカを見るという訳だな
42Socket774:2009/10/04(日) 02:42:13 ID:qCAuFQNv
だから米国の基幹企業はそのまま中国というのが少ない。
進出はたぶん米軍勢力範囲内に収まってる。
43Socket774:2009/10/07(水) 00:18:33 ID:a5m+lzbL
44,,・´∀`・,,)っ-○○○:2009/10/07(水) 00:37:19 ID:AhzexVqQ
2012年以降・・・
4GHzのHaswellで256bit FMAを2命令発行できたら4倍速なわけで
それでなくとも来年には量産されるSandy Bridgeには負ける。

いつプレスリリースってハッタリ言う場になったのかね
45Socket774:2009/10/07(水) 00:49:40 ID:q21pW/F8
さすがに2012年はないわ…
46Socket774:2009/10/07(水) 00:53:03 ID:F1LGrVZw
TSMC maintains 18-inch wafer tape-out in 2012
http://blog.livedoor.jp/amd646464/archives/51423975.html
47Socket774:2009/10/10(土) 01:42:19 ID:6+Adypoy
頼んで宣伝してもらってんだろ、察してやれよ
48Socket774:2009/10/10(土) 17:41:01 ID:q8bIQjO5
Sparcが今までにintelの脅威になったことなんてある?
49Socket774:2009/10/10(土) 20:22:20 ID:x6vMfceg
あるよ。エンタープライズサーバー市場ではずっと脅威のまま。今でも。
はやくIA-64なんて捨てればいいのにね。
50Socket774:2009/10/10(土) 20:33:43 ID:W4c9FMJm
51Socket774:2009/10/10(土) 21:14:16 ID:q8bIQjO5
>>49
IBM Powerの方が脅威なんでは?
中小規模サーバならx86が抑えてるがハイエンドサーバはPowerが優位

52,,・´∀`・,,)っ-○○○:2009/10/10(土) 22:18:59 ID:4pELrNs/
演算毎にパリティチェックが必要なようなクリティカル用途じゃ
ニッチながらも生きながらえる必要がある。
53Socket774:2009/10/10(土) 22:51:11 ID:ljF4Pk+I
航空宇宙分野か?
54Socket774:2009/10/10(土) 22:59:53 ID:vOGnpsBz
海洋研究開発機構のような導入事例もあるけど
大半は金融・証券
55,,・´∀`・,,)っ-○○○:2009/10/11(日) 04:15:16 ID:X3Uk0g//
あと電力とかも
56Socket774:2009/10/11(日) 07:40:15 ID:9T4G9Ysy
何年か前にJR東日本もIA64で主要システム統合化して雑誌に載ってたな
57Socket774:2009/10/11(日) 13:55:50 ID:DweMrYbp
>>56
EM64TじゃなくてIA64なの?
58Socket774:2009/10/11(日) 14:01:25 ID:9oz8b7Vh
メインフレーム代替用途だと、日本ではItaniumが結構採用されているよ。
日本で採用されてなかったら、Itaniumの売上もっと悲惨なことになってるだろうし。
59Socket774:2009/10/11(日) 14:04:03 ID:p5cvS0+4
なんで日本でだけあんな採用されてたのかねぇ
60Socket774:2009/10/11(日) 14:21:02 ID:1sIGEsvu
>>59
Win-NTも日本が一番採用されたらしい。なんでもその昔PC-Wave(今は亡きラッセル社
出版のPC/AT系雑誌)によると日本はUNIXを使用してる企業が少ないのでNTが売れたとの
こと。多分日本でitaium採用が多いのも日本企業にunix資産が少ないからじゃ
ないの?
61Socket774:2009/10/11(日) 19:58:26 ID:tMktm7gu
インテルの犬ころが多いだけだろ
62Socket774:2009/10/11(日) 22:25:19 ID:2wmjWm7h
  ∧_∧
 ( ´∀`) オマエガナー
 (    )
 | | |
 (__)_)
63Socket774:2009/10/11(日) 22:48:42 ID:3q8oS2Od
もうすぐ秋葉の中古サーバー屋さんとこに原価償却した中古itaniumマシンが出回るという訳か
64Socket774:2009/10/11(日) 22:54:32 ID:TBn8v9pf
65Socket774:2009/10/12(月) 13:34:11 ID:F1MJwNm8
mP6ってまだ生きていたんだなぁ
http://akiba-pc.watch.impress.co.jp/hotline/20091010/ni_cebox.html

どんな進化を遂げているんだろう?

ちなみに>>25のIDがmP6
66Socket774:2009/10/12(月) 13:45:12 ID:AdRmUuKC
67Socket774:2009/10/12(月) 14:20:37 ID:HNfByG0k
>>65
FPUが退化しているらしい。SXだけに。
68Socket774:2009/10/13(火) 00:52:32 ID:Cyha2l1C
69Socket774:2009/10/13(火) 02:50:37 ID:KPcd6X+i
ARM社のデュアルコアCortex-A9、低消費電力版でも性能はAtomを上回る
http://www.eetimes.jp/news/3380
70Socket774:2009/10/20(火) 10:30:53 ID:Egg9/Om3
age
71Socket774:2009/10/20(火) 11:32:48 ID:Wx2PcJB9
Power.org、「Power Architecture Conference 2009」レポート
〜PowerPCを通じて考えるCPUの未来像
http://pc.watch.impress.co.jp/docs/news/event/20091020_322898.html
72Socket774:2009/10/20(火) 12:07:27 ID:1VqQ3bBO
おもいっきり恐ろしいこと書いてあるんですけど

Big Brother potentially exists right now in our PCs, compliments of Intel's vPro
http://www.tgdaily.com/content/view/39455/128/
技術者によるvPro機能紹介ビデオ
http://www.youtube.com/watch?v=wlj7u3tOQ9s

カスパースキーさんもこんなことを
Kris Kaspersky- Remote Code Execution Through Intel CPU Bugs
http://tinyurl.com/remote-code-exec-intel-cpu-bug

マーケティングの裏返しともいえるし、ここでいっても仕方がないでしょうけど
73Socket774:2009/10/20(火) 12:34:19 ID:gbjojYo8
>Kris Kaspersky
またオマエか

ちなみにアナリスト達はAMDがシェアを失う原因はNehalem-EPのパフォーマンスよりも仮想化やvProのような管理機能の遅れにある指摘している
74Socket774:2009/10/22(木) 11:19:42 ID:iMADCv6S
>>72
ついに奴等が本気を出してきた。陰謀論的にも非常におもしろい。
「MSのWindowsアップデート、IntelのvPro、EFIにはどんな情報を取られても構いません。」
って態度になるのが普通だからな・・

Dr, Mihcio Kaku talks about his book" "Visions"
http://www.youtube.com/watch?v=9H74dqU-ybw
自作とはちょっと逸れるけどコンピュータ関連の話もある。(英語)
21世紀はこうなってるらしい。あたってるのがすごい。
75Socket774:2009/10/23(金) 06:22:17 ID:QwvhLPMi
>>74
司会者ウザすぎw田原みたいなハゲだな
76Socket774:2009/10/28(水) 10:54:35 ID:GhxwAL4Y
GRAPE-DRって失敗だったの?
77Socket774:2009/11/04(水) 16:14:13 ID:SwGtq2LO
>>76
技術的には失敗では無いでしょ。
低予算でよくあそこまで頑張れたな、と思うよ。

あそこから先は政治の問題だから…
78Socket774:2009/11/04(水) 16:23:33 ID:ATGCKBAY
元から用途限定だったんだよね、たしか
そうやって性能稼いでたと
79Socket774:2009/11/04(水) 19:41:24 ID:MLYuZXC+
VIA、Isaiahアーキテクチャを踏襲した「Nano 3000」
http://pc.watch.impress.co.jp/docs/news/20091104_326254.html
80Socket774:2009/11/05(木) 00:05:20 ID:PBr/ZC4u
>>78
元来は重力多重体問題の演算用に設計された。
81Socket774:2009/11/05(木) 11:21:44 ID:6mmNoB6Z
ぐらびてぃぱいぷだよね。
で、DRで汎用的な処理もこなせる、というふれこみだったけど失敗なの?
82Socket774:2009/11/05(木) 11:29:55 ID:0jHxABx8
作ってる先生がWebで「作ったばっかで実効性能出ないなー」ぐらいのことは書いてた気がする

もともとアホみたいに演算力の要るN体問題を安く計算するための専用機を汎用化したものだし
今の世代もFPGAでつくってるんで専用設計にはかなわないし、予算の問題から必要な量と速度のメモリも採用できないらしい

足らぬ足らぬは予算が足らぬ
83Socket774:2009/11/05(木) 11:55:23 ID:0UHDOGAZ
DRはASICだろ?
今月のtop500で新しいが数字出るらしいよ
green500ではトップ狙ってるらしいがはてさて
84Socket774:2009/11/05(木) 12:30:12 ID:0UHDOGAZ
×新しいが数字
○新しい数字が
85Socket774:2009/11/05(木) 14:55:41 ID:0jHxABx8
>83
ごみん、うろ覚えで書いたらまちがってた
DRでFPGAなのはPCIex x8とかのインタフェースだた
86Socket774:2009/11/05(木) 17:09:14 ID:E8DE3qCF
そこがネックとは書いていだけどな
87Socket774:2009/11/09(月) 14:15:12 ID:G79q8c45
NECが開発したDRPだと、処理実行中でも回路の再構成が可能。
ttp://journal.mycom.co.jp/news/2002/10/24/17.html

マルチコア化に変わるプロセッサーの新機軸になりそうだな。
88Socket774:2009/11/09(月) 16:56:43 ID:Xe78DeAH
動的再構成のFPGAなら昔からあるけど、流行った覚えはないな
キャッシュロジックTMってやつ
89,,・´∀`・,,)っ-○○○:2009/11/09(月) 22:10:57 ID:Q5DSOVTc
DAPDNAもKilocoreも会社ごと逝ってしまいました
90Socket774:2009/11/09(月) 22:24:26 ID:qZGDVXCr
>>88
機能固定で高速演算!低消費電力!!がハードロジックの売りみたいに言われる訳で。

それを再構成しても演算能力が極端に上がったりする事はマレだし。
ビット数で多数決とかビットを数えるとかみたいなハードウェア実装すればぜんぜん違う!なんてのもあるけど
演算機の数こそが力!みたいなのにはね〜。

消えちゃう事を利用した機密保持に特化した応用も有るけど。
91Socket774:2009/11/10(火) 12:35:42 ID:4FzNtJ/r
>>90
ウォークマンに載ってたやつはコーデックの各ステージを高速に入れ替えてたんだっけな

やっぱりにっちもさっちもこの素晴らしき世界
92Socket774:2009/11/13(金) 19:31:49 ID:AuONsbsb
スパコンは友愛されました…

【事業仕分け】スパコン開発予算、大幅に削減へ…「1位でなければ駄目なのか」など疑問相次ぐ [09/11/13]
ttp://www.chunichi.co.jp/s/article/2009111301000164.html
93Socket774:2009/11/13(金) 20:26:30 ID:CGqeEOT9
>「1位でなければ駄目なのか」

もうダメだな この国
順位の問題じゃなくて開発力維持の為の目標なんだが >スパコン一位
94Socket774:2009/11/13(金) 20:42:02 ID:3QEHNsiP
案の定自民の頃より酷いことになってるなwww
95Socket774:2009/11/13(金) 22:11:50 ID:o0kJOZBu
アフガンに毎年1000億円捨てに行くような狂った政権を選択したおまえらが友愛されろ
96Socket774:2009/11/14(土) 06:17:27 ID:8WXSS5Nw
開発力とかも1位じゃなくて構わないだろ
そもそも欧米に勝てるレベルでもないし
人がたくさんいるような国の方が向いているし
もっと足元を見た福祉とかに回すべき
97Socket774:2009/11/14(土) 07:58:27 ID:SYP/UhGu
いや足元は見るなよ。
98Socket774:2009/11/14(土) 09:12:12 ID:0E4DvqZW
別にF1レースじゃなくてもラリーとか開発力磨く場はあるだろ
99Socket774:2009/11/14(土) 11:49:42 ID:MOssTX7v
F1以前に自動車は既に…
スパコン以前にコンピュータは既に…w
100Socket774:2009/11/14(土) 12:26:29 ID:kkL1fagD
>開発力維持の為の目標なんだが

既存のシステムを超える性能を設定して開発すれば
結果的に出来た物が「完成時に」一位になるわけだからな。

>そもそも欧米に勝てるレベルでもないし

米はともかくEU圏はそもそもスパコン開発しとらんがな。
ハコ自体はアメリカか日本製。
つかスパコンと福祉がなぜ同列なんだか。

101Socket774:2009/11/14(土) 13:16:49 ID:Q177t1I6
何をするかが重要であってベンチで1位とるのが目的じゃ困るわ
102Socket774:2009/11/14(土) 13:18:55 ID:uGQmjOr9
米ってPCや組み込みに大量にCPU売り裁いて、その収益やフィードバックを
大規模サーバやスパコンに活用できるからね。それだけでもすごい優位だよ。
103Socket774:2009/11/14(土) 13:41:33 ID:iYd7FjV6
税金と人材を浪費する「ITゼネコン」
http://ascii.jp/elem/000/000/134/134297/
104Socket774:2009/11/14(土) 13:46:52 ID:RZOc/tn3
その逆を唱えて常に失敗し続けている日本。
重要な技術というならばお金になるはずなのに、
産業として成り立たせられずになぜいつもゼネコンみたいに税金にすがるのかね。
対象がスパコンかどうかが問題ではなく、何をやってもヘタレな電気ゼネコンの力不足が真相では。
GFLOPSが一位かどうかなんてお飾りのお題目にしか見えない
105Socket774:2009/11/14(土) 13:48:14 ID:RZOc/tn3
おっと、>>104>>102へのレスね
106Socket774:2009/11/14(土) 14:10:26 ID:fa5STrNM
アメリカは軍の予算が大きいからなぁ
戦闘機開発とか核兵器の賞味期限をシミュレーションしたり
107Socket774:2009/11/14(土) 14:12:19 ID:XtAgcAD1
>>104
京速にしてもたかが1000億しか投資してないじゃねえか
Intel様舐めてんの?
ヘタレなのは行政の方針だろwww
108,,・´∀`・,,)っ-○○○:2009/11/14(土) 14:54:49 ID:8rfW0ww8
日本のコンピュータ産業育ててるのは自動車と電子ゲーム産業だと思うのです
後者は政府が任天堂に支援したことなんて一度も無い

国がやったのはせいぜいCEROだとか変な天下り団体を作ったくらいだ
109Socket774:2009/11/14(土) 15:21:01 ID:Xozo3dNQ
>>106
そうそう
ちゃんとスパコンの需要があるからな すごい大規模な。
需要が無いのに供給だけってのは商売としては厳しい。

逆を言えばだからこそ官でやらないと
灯が消えてしまう。
32チャン2048ビットのデータバス引き回しなんて
今のところ日本でしかやれん
(京速プロジェクトの光コネ研究はこれの代替技術)。
Linpack番長のスカラ型じゃ効率悪くて話にならんネタも
世の中にはたくさんあるんでな。
110Socket774:2009/11/14(土) 16:06:06 ID:uGQmjOr9
>>108
自動車エンジン制御>組み込みPowerPC
DS>ARM
どれも海外規格CPU
111Socket774:2009/11/14(土) 16:49:34 ID:ukFJm4cD
団子屋さんはソフト屋さんだからな
CPUなんて何でもいいんだろ
112,,・´∀`・,,)っ-○○○:2009/11/14(土) 16:52:37 ID:8rfW0ww8
それを言ったらどっかの京速に使われる予定だった不治痛のSPARCだって元々はSunだが?
あとカーナビはSH4使ってるぜ
3Dモーションセンサーはニッチ製品でアホみたいに高かったけど、
Wiiでの採用で単価が落ちていろんな製品で使えるデバイスになり得た。
ついでに言うとソニーのプレステ事業の生き血をIBMがすすって出来たのがRoadRunner

やっぱゲーム機で採用されることのスケールメリットは大きいと思うのです。
携帯電話は日本は独自進化過ぎて駄目っぽいし
113Socket774:2009/11/14(土) 16:57:59 ID:ukFJm4cD
今後はARMの天下ってことか
114Socket774:2009/11/14(土) 17:50:35 ID:abQNgL3u
箱○とPS3でPowerは一杯作られた、いまこそPowerアーキテクチャ復権のとき!!!111 なわけないね

次期箱も箱○の設計そのまんまで行くみたいだけどCPUはどうするんだろ
Powerで3コア3.2GHzって現状から何をどうやったら性能向上できるのか
115Socket774:2009/11/14(土) 18:04:07 ID:Gu71qeH6
ゲームでマルチコアはどのくらい有効なんかね
cellを使い切れてないってのはとりあえず置いといても、PC向けでさえいまだに
高クロックデュアルがほしい、可能ならシングルでさらに上って感じのが多いみたいだし

まあ、次期ゲーム機CPUは無難にクロック上げ&コアちょっと増える程度じゃね?
値段高い時期にWiiに独走された経験からして、発売時の定価も6万どころか4万切るあたりが上限だろうし
あとはGPU強化&メモリとHDD増量ってとこで
116,,・´∀`・,,)っ-○○○:2009/11/14(土) 18:05:12 ID:8rfW0ww8
箱○のはCellのPPEと同一マイクロアーキテクチャのカスタム版なんだよな
117Socket774:2009/11/14(土) 18:20:44 ID:0mOzxaqI
HD対応だったのが次期ゲーム機では必須になるのかね。
PS4にララビー採用の噂あったけどブルドーザー+ララビーとかだったら笑う。
118Socket774:2009/11/15(日) 09:25:39 ID:AGoSbOIq
その前に家庭用ゲーム機が携帯型ゲーム機に喰わr
119Socket774:2009/11/15(日) 09:33:55 ID:y/NMNo1E
ゲーム容量的に、DVD何枚組とかなゲームは当分携帯できないだろう
ま、大画面が据え置き型最後のメリットだろうな
120Socket774:2009/11/15(日) 17:43:24 ID:B6hjVP0D
ゲーム機も今までの公式が崩れちゃったからなー
121Socket774:2009/11/16(月) 00:15:26 ID:aALZYZAe
ARM Forum 2009レポート【CPUコア編】
〜マルチコア拡張の普及版「Cortex-A5」の凄さ
http://pc.watch.impress.co.jp/docs/news/event/20091116_329293.html
122Socket774:2009/11/17(火) 00:58:54 ID:pVAhJqs+
123Socket774:2009/11/17(火) 01:02:52 ID:hnoaYZ79
124Socket774:2009/11/17(火) 12:23:00 ID:eDk2+zoO
IntelとNEC、スパコン技術の共同開発に合意
http://pc.watch.impress.co.jp/docs/news/20091117_329468.html
125Socket774:2009/11/17(火) 12:47:58 ID:HP2+pnJK
やっぱNECはこういう展開を考えてたのね。
相手がIntelとは思わなかったが。
126Socket774:2009/11/17(火) 14:05:24 ID:FDZoOiNO
昔あんな目にあったのに学習力無さ過ぎわろた
127Socket774:2009/11/17(火) 14:07:27 ID:p2r8s+cn
もうすぐ、インテルのお許しがないとスーパーコンピュータ作れなくなるのか
大丈夫か日電
128Socket774:2009/11/18(水) 18:39:50 ID:Rx05JO7X
なぜこんなネタをPC watchが取り上げるのか謎
129Socket774:2009/11/18(水) 19:01:16 ID:viqu6P07
>>128
Intelネタが大好きなライターが多いからに決まってるだろw
多分www
130Socket774:2009/11/19(木) 02:57:02 ID:zoGc5bgI
Intelネタを取り上げた記者には、毎回CPUが一個送付されるとか、何か隠れたインセンティブが有るんジャネ?
131Socket774:2009/11/19(木) 17:34:25 ID:1UtpJjcu
>>121
凄さ、っつてもインオーダー化とシュリンクのお陰しか見当たらないから、
更にブロック毎の電源offとかしてるAtomの方が凄いとオモタ。
132,,・´∀`・,,)っ-○○○:2009/11/20(金) 01:24:29 ID:OfG4YBQO
A8/A9はごく限られたケースだけAtomより電力効率良いとか言ってるけど
データバス帯域が狭いからSIMD使ってストリーミングとかやるとズタボロ。

外部アクセラレータに頼らなくて良い程度にはSIMD演算性能持ってるのは
Atomの強み。
133,,・´∀`・,,)っ-○○○:2009/11/20(金) 01:28:52 ID:OfG4YBQO
というかAtomはP4バス使ってるんだよな。
FreescaleのPPC G4系アーキなんていまだにFSB166MHzとかだぜ
134Socket774:2009/11/21(土) 18:52:50 ID:z9E+113Z
基板の問題もあるからな…
その辺PCは恵まれてる
135Socket774:2009/11/22(日) 18:12:06 ID:uAhI72rW
団子って日本の競争力低下喜んでそうだが
136Socket774:2009/11/22(日) 19:15:33 ID:PiSfOzLQ
IBMはCELL止めるんだとか
ロードランナーに入ってるやつの後継チップ
137,,・´∀`・,,)っ-○○○:2009/11/22(日) 19:54:14 ID:Htnio03U
富士通のSPARC64を使うことが競争のためだとは思わんが?w
138Socket774:2009/11/22(日) 21:49:21 ID:dsUf+HAd
>>136
ドイツ語
ttp://www.heise.de/newsticker/meldung/SC09-IBM-laesst-Cell-Prozessor-auslaufen-864497.html

英語
ttp://www.playstationuniversity.com/ibm-cancels-cell-processor-development-1295/

日本語
ttp://pocketnews.cocolog-nifty.com/pkns/2009/11/cellibmcell-7a1.html

現行CellのPowerXCell 8iの後継PowerXCell 32ivは開発中止
Cellのヘテロジニアス的アプローチは続ける
Sonyが金出せばPS4にCell後継乗るかも
139Socket774:2009/11/22(日) 23:03:46 ID:3drIGl+H
やっぱり今後はARMの天下ってことか
140Socket774:2009/11/22(日) 23:16:20 ID:MH1Gk6Kd
クライアント側ではじわじわとARMが勢力拡大していくと思う。
そしてx86は高級機に追いやられ…

いつか来た道
141,,・´∀`・,,)っ-○○○:2009/11/22(日) 23:48:03 ID:Htnio03U
ARMの「パソコン」作ったのは結局SHARPくらいでしたけどね。
Atomネットブックですら先進国では買い増し需要でしかない。
142,,・´∀`・,,)っ-○○○:2009/11/23(月) 00:14:00 ID:nT9DryEJ
アクセラレータを含むARMのハードウェア共通規格策定

ソフトウェアの共通規格策定

各社ともプラットフォーム共通化

Windows/x86エミュレーション技術の確立


これができんことにはARMのPC化は無理だろ。
結局各ベンダーの垂直統合モデルに都合が良い独自製品向けの汎用コントローラだからこそ
IP売上げトップなわけで。

たとえばAppleがiPhoneでGoogle Androidサポートするとか言うか?
あり得ない。
143Socket774:2009/11/23(月) 00:39:45 ID:5PQNzPU7
>>141
NetWalkerはパソコンじゃないし
>>142
前時代的だねー
wintelって時代がいつまで続くか分からない気配がどんどん強くなってるのに
OSSは止められんよ

団子さんはARMが勢力拡大すると不都合でもあるん?
なんか生温い視線じゃなくて、ARMに対する敵意を感じるんだけど。
消費電力の壁が律速要因として存在するなら電力効率に優れたCPUが伸びるって思わへんの?
144Socket774:2009/11/23(月) 01:08:58 ID:TCFqWH6e
ARMのPC進出を妨げるのはARM社自身がCPUを作ってないこと
つまりライセンスを受けた他の会社が作ることになるわけだが、
競争の激しいPC市場に参入できるほどの余裕のある企業が無い

まあ参入の可能性があるとすれば新興企業じゃね
145Socket774:2009/11/23(月) 01:17:56 ID:qcXHIkVH
>>143
ARMの糞性能でどうにかなると思ってるなら相当アレ
146Socket774:2009/11/23(月) 01:55:38 ID:5PQNzPU7
>>145
いや、それ何がだよ。
クライアントなら充分に能力あると思うぞ。
147Socket774:2009/11/23(月) 02:02:35 ID:LKJFFbih
たいていの人が携帯電話で足りてるわけだし、性能なんてそんなにいらんだろ
148Socket774:2009/11/23(月) 02:02:58 ID:NOFnHeHE
意外とMIPSが行けるんじゃないかね。
今後、人口がバカデカい中国で龍芯MIPSノートとか流通するようになるなら、そのおこぼれが先進国にも波及する可能性がある。
逆にARMが勝つ要素はスマートフォンとの兼ね合いだな。
149Socket774:2009/11/23(月) 02:06:28 ID:cazobsKf
armの年間生産量は既にx86抜いてんじゃないの大幅に

ps4、次期箱○、wiiで採用されたらいよいよ天下取るかもね

期待してるよ pc?用はキャッシュ大幅に増やして10wでも許すw
150Socket774:2009/11/23(月) 02:30:09 ID:avJQ1Dvc
箱は互換路線だから次もPower

用途も単価もダイサイズもまるっきり違うものの生産量比べてもねぇ…
151,,・´∀`・,,)っ-○○○:2009/11/23(月) 02:43:33 ID:nT9DryEJ
OSSの力(笑)

だからハードの共通規格作れよ。
垂直統合モデルで分断しまくりの現状じゃどうしようもない。
152,,・´∀`・,,)っ-○○○:2009/11/23(月) 02:58:13 ID:nT9DryEJ
ARM搭載端末って、結局ハードウェア(プラットフォーム)がオープンじゃないからな。

SHARPみたいなガジェット作ってくれるメーカーがいっぱいいて
ソフト開発プラットフォームとして解放してくれない限りは
オープンソース以前の問題。
153,,・´∀`・,,)っ-○○○:2009/11/23(月) 03:00:46 ID:nT9DryEJ
>>146
お前の言うクライアントは
電子レンジや冷蔵庫なんだよな

そんなもんにx86載せる必要なんて無いからそっちで頑張れよ
パイは大量にあるから
154Socket774:2009/11/23(月) 03:14:45 ID:NOFnHeHE
この話のオチが「冷蔵庫に80186が載ってました」というオチだったら素晴らしいな。
今日日の冷蔵庫はもっと高性能なの乗せてるだろうが。
155Socket774:2009/11/23(月) 03:19:09 ID:cazobsKf
>>150
arm系ならatomと同じ値段でもっとハイパフォーマンスのプロセッサー作りそう

内ゲバ防止のしばりもないし、同じ消費電力でいいなら楽かもね、進化のスピード早いし

糞x86はいい加減死なないかなw windowsも肥大化しすぎてるし
156,,・´∀`・,,)っ-○○○:2009/11/23(月) 03:28:19 ID:nT9DryEJ
限られたケースで性能良かろうが結局そういうのは流行らない

>進化のスピード早いし

ぷぷぷぷぷぷぷぷぷぷ

ちなみに今デモされてる40nmプロセスのARMが実際の製品に使われるのは2,3年後で
その時にはAtomはもっと電力効率は上がってるオチな。
マクロだけ先に完成→パートナー契約結んだ企業がSoC製造→ベンダーが製品開発、と
製品化までのスパンが長いのよ。組み込みの宿命だな。

ハードウェアプラットフォームが共通化されててチップ完成後即製品になるx86とはえらい違いですよ。
プンプン
157,,・´∀`・,,)っ-○○○:2009/11/23(月) 03:44:42 ID:nT9DryEJ
製品レベルではハイエンド(笑)のARMを搭載したNetWalkerの実際のとこの性能って
おおよそ10年前のPentium III水準なんだよね
SIMD性能もPentium III程度でもあればいいほうくらい

ネタにはなるがネタでしかない代物だ
158Socket774:2009/11/23(月) 04:20:21 ID:yFleCQwM
>>138
互換維持するために普通にCELLだと思うよ
GPUはNVIDIAでもATIでも構わないようになってるけどCELLは丸裸 らしい
それにしても4コア(拡張版?)+32コアも必要なのか次世代ともなると想像つかんな
CELLをGPUにしたいように見える
159Socket774:2009/11/23(月) 06:43:21 ID:5PQNzPU7
>>151
goldfishとか
でも別に共通規格作る必要ないんじゃね?
決め打ちにしなくてもいいんだから

>>157
Pen3程度の能力があればクライアントには充分と思いますが。
win98相当がそうストレスなく動く、これでいいんじゃないの?
多分団子さんは多くを望みすぎなんだよ

なーんかな、団子さんは…
160Socket774:2009/11/23(月) 07:08:40 ID:qcXHIkVH
>Pen3程度の能力があればクライアントには充分と思いますが。
じゃあ今使ってるPCを窓から投げ捨ててPen3マシンに替えろよwww
ほぼ確実に発狂できるから
161Socket774:2009/11/23(月) 07:25:42 ID:5PQNzPU7
>>160
馬鹿?あまりにお粗末なので死んでくれないか。

メインマシンでLinuxのパッケージ自ビルドしてる俺が性能低いのに乗り換えとか、嫌よ。
将来性能の高いARMが出たら乗り換えるかも。
端末専用機ならARMにしてもいいと思うけどね。
162Socket774:2009/11/23(月) 07:39:51 ID:qcXHIkVH
支離滅裂
163Socket774:2009/11/23(月) 07:44:34 ID:avJQ1Dvc
とりあえず団子にケチつけたいだけの阿呆という認識でOKか

ARMがメインユースに耐えるほど高性能化したら売りであるはずの電力性能は駄々下がりじゃぼけ
164Socket774:2009/11/23(月) 07:49:05 ID:5PQNzPU7
>>162
「開発機で現状のARMはありえん。高性能のx86がいい。」
「端末機ならARMでいいかもー」
これのどこが支離滅裂だよ。

もうちょい言うと、開発機としてのコンピュータを必要としてる層なんか少数だぞ。
加えて、FullHD動画再生程度ならARMプラットフォームで出来るようになってる。

「現行のバイナリオブジェクトをそのままARMで利用する」という枷が無いのなら、
いいプラットフォームだと思うんだが、ARMは。

>>163
>ARMがメインユースに耐えるほど高性能化したら売りであるはずの電力性能は駄々下がりじゃぼけ
これ、実際の所どうなんだろうねー、とは思う。
究極的にはISAの出来不出来が問題になると思うんだけど。
そういう所からARMの駄目さを論じるんなら歓迎よ。
165Socket774:2009/11/23(月) 08:48:12 ID:TCFqWH6e
>>156
来年には32nmのCortex-A9搭載製品が出るって話だぞ
166Socket774:2009/11/23(月) 08:52:15 ID:5PQNzPU7
CPUでもsamsungが覇権握ったらバロス
167Socket774:2009/11/23(月) 09:09:13 ID:cazobsKf
>>157
消費電力が数十分の一だろ

しかも全盛期のp3の10分の1以下の面積と値段は3分の1くらいか

面積、消費電力、値段同じにすればatom軽く超えるだろ

atomもintel信者御用達のπなら1M 90秒位なんだしw P3とかわらんだろw

armも新規格立ち上げるんじゃね?自由度それなりに残しながら

 wm6xやchoromeやanndroido走ればいいんだし
168Socket774:2009/11/23(月) 09:22:21 ID:LKJFFbih
とりあえず、現在Pentium3と大差ないG4のノートでネットやってるが
困ることといえば動画の再生くらいだな。

動画の再生はGPUその他の補助がちゃんとある最近の機種ではなんとかなってるみたいだし
3DCG作るとか、ゲーム機ではできないほどクソ重いゲームとかでもないかぎり
このくらいで足りる気がする

SHARPのアレは、店頭でちょっとさわった。遅いって感じはしなかったが画面狭いなw
携帯電話に慣れた連中は違うんだろうか
169,,・´∀`・,,)っ-○○○:2009/11/23(月) 10:37:16 ID:nT9DryEJ
>>165
で、何処の携帯電話メーカーが出すの?
そういう与太話はよく聞くけど
170,,・´∀`・,,)っ-○○○:2009/11/23(月) 10:40:23 ID:nT9DryEJ
製品ってのはチップレベルの製品だろう。
携帯電話メーカーはそのチップが出来上がってから開発が始まるんだ
171,,・´∀`・,,)っ-○○○:2009/11/23(月) 10:42:12 ID:nT9DryEJ
>>167
なにこの頭悪い全角文字www
ところどころ字間違えてるし
イライラするわね
172Socket774:2009/11/23(月) 11:08:48 ID:5PQNzPU7
>>169
多分元ネタのFudzilla
http://www.fudzilla.com/content/view/16500/70/
ARMの大本営まんまだしFudzillaだし"might be coming"だし、そこまでアテになる情報じゃないかな…
まぁ1年間生温い目で見てればいいと思うよ。

http://techon.nikkeibp.co.jp/article/NEWS/20091027/176991/
こんなのもあるけど、現在どうなってるのか不明
googleが出すとかだったら笑う
173,,・´∀`・,,)っ-○○○:2009/11/23(月) 11:09:55 ID:nT9DryEJ
Atomのネットブックの立ち位置って5年前ならXScaleのWindows CE/Linux搭載機、俗にハンドヘルドPC市場
だと思ってるが、あの市場って壊滅したろ?

Windows CEじゃなくてフルスペックのWindowsが動いて、好きなアプリが起動できる
x86マシンに駆逐されたも同然だよ。

今更ARMが取り戻せる市場ではない。
174Socket774:2009/11/23(月) 11:14:49 ID:5PQNzPU7
>>173
おぬし頑固よのう
平行線にしかする気ナッシングですか

まぁ5年もすれば市場が結果を見せてくれるでしょ
俺はARMの勢力拡大・x86一部切り崩し成功に賭ける
団子さんはx86一択にしてればいいよ
175,,・´∀`・,,)っ-○○○:2009/11/23(月) 11:19:19 ID:nT9DryEJ
>>172
32nmはhigh-k使えないってよ。

AndroidだかChromeOSだかってのは結局、Googleに情報を管理されるわけで
情報統制って意味では、アクティベーションやってるMSはグレーだが
Googleは真っ黒だな。
客商売には使えない。ISO認証やプライバシーマークすら通らない。

家庭用もしかりだが、デジカメで撮ってきた画像を加工して年賀状を印刷したりするもんだ
そういうきめ細かいサービスがクラウドにできるかな?
Google docsって縦書き文書すら作れないぜ?
いまさらワープロ専用機やプリントごっこ(笑)に戻れというわけでもあるまい?
176Socket774:2009/11/23(月) 11:31:57 ID:TCFqWH6e
IBM陣営はBulkもHigh-kだぞ

ところで何でそんなにARMを敵対視するのかわからん
Google批判まで始める始末だし
177Socket774:2009/11/23(月) 11:31:58 ID:Hw/lvYLK
企業向けの低価格端末が出ない限りはx86の優位は当面揺るがないだろ…
昔、Hがパソコン止めると宣言した時、SH端末でも全社的に使うんだろうか?と期待したんだけど…

徐々にARM等の機能を絞った端末が増えていくとは思うけど。
178,,・´∀`・,,)っ-○○○:2009/11/23(月) 11:34:29 ID:nT9DryEJ
「まぁ5年もすれば市場が結果を見せてくれるでしょ」
=21世紀になったら車が宙に浮いてる
179Socket774:2009/11/23(月) 11:35:53 ID:Hw/lvYLK
でも、Pen3機はまだ使ってるw
けど、CPU自体は不足してないけど、メモリが載らないとか
インターフェイス周りの弱さが目立つのでメイン機には使えないんだな。
せめて2G載ればねぇ…。
180,,・´∀`・,,)っ-○○○:2009/11/23(月) 11:37:39 ID:nT9DryEJ
>>176
そっちか。

ARM=TSMCだと思ってた
181,,・´∀`・,,)っ-○○○:2009/11/23(月) 11:44:07 ID:nT9DryEJ
>>177
AtomネットトップがCPUの省電力メリットが殺されてる現状では
バイナリ互換などデメリットのほうが多いARMが置き換えることはないと思うのだ。

HDDやUSB 2.0機器を複数繋げる用途に耐えうるI/Oハブ用意したら
それだけでそれこそARM本体よりも消費電力大きくなっちゃう。
液晶だって15インチクラスは最低限欲しいだろ仕事で使うなら。

性能で訴えるしかない。

というかハードの時代なんて終わってるんだが。
今はソフトが無ければただの箱。
ARMには実用に耐えうるビジネスアプリがないのが現状。
182Socket774:2009/11/23(月) 12:07:34 ID:fqedKYWk
ARM厨を一行でまとめると
俺が使うのはヤだけどお前らはARMクライアントで十分
でok?

俺は宣伝だけしてやるからお前らが素晴らしいものを作れ
だとTRON厨になるな...
183,,・´∀`・,,)っ-○○○:2009/11/23(月) 12:17:00 ID:nT9DryEJ
Cellの性能がPentium 4の○倍とか言ってホルホルしてたどっかのゲートキーピング屋さんと同レベルの妄想は辞めようよ。

Wintelの抱えるソフト資産規模はARMとは比べものにならん。

秀丸がNetWalkerで動くような時代になればまた別だが
Linuxブームの時ですら無視してたからなタキシード山本仮面様は
184Socket774:2009/11/23(月) 12:19:33 ID:Hw/lvYLK
>>181
新規案件でのシステム一括納入なら話は違うけどね。
Win機からMacへいれかえる見たいなもんだし。
ただ、ARMやSH、MIPS機にはVisualなんとか見たいな開発環境がないとかハードル高すぎw

>HDDやUSB 2.0機器を複数繋げる用途に耐えうるI/Oハブ用意したら

確かに実際に製品として供給されるARMチップだと省電力に的を絞った物が多くて
キャッシュ少ないはメモリMax512Mとかで載らない、USBは…と不満が多い。

>今はソフトが無ければただの箱。

それはクラウドで解消しそうだが…
もっとも、クライアント側に求められる性能ってのが
OSS連中が言うほど軽くないってのは重要な問題だが…。
185Socket774:2009/11/23(月) 12:23:47 ID:Hw/lvYLK
>>181
Atomネットトップはアンチウィルスソフト等がCPUパワーを使いすぎていて。
CPUパワーがあるからソフトが重くなるってWintelの悪癖が出すぎてる感があるけどなぁ…
186,,・´∀`・,,)っ-○○○:2009/11/23(月) 12:30:34 ID:nT9DryEJ
ARMにはウィルスがないから安全!(棒
187Socket774:2009/11/23(月) 12:42:47 ID:Hw/lvYLK
>>186
そうは言うがな…
標準添付されてるアンチウィルスソフトをインストールして再起動したら
起動時にdllがどんどん落ちるのはどうかと思うぞ…いやマジで…orz
188Socket774:2009/11/23(月) 12:45:24 ID:srrKjRXu
ソフトが重くなって何が悪いんだ
そんなに死んだ世界がお望みか
189Socket774:2009/11/23(月) 12:55:07 ID:avJQ1Dvc
Wintelでソフトが重いというか
ARMじゃ性能が足りなくて
重くできない
だけ
190Socket774:2009/11/23(月) 14:02:47 ID:jXkHAZOr
>>183
いまだにCellの性能信じられないバカ発見
ソフトがなけりゃタダの箱なんでしょ
どんな重隅で優劣決めてんだか
191,,・´∀`・,,)っ-○○○:2009/11/23(月) 14:06:03 ID:nT9DryEJ
普及すれば必然的にウィルス対策が必要になる。
逆にウィルス対策ソフト程度も動かせないんじゃ共通プラットフォームとしての普及は許されないだろう
垂直統合モデルで分断された世界がある意味理想ともいえる。

ARMの市場は「ニッチクライアント」で十分なのよ。

192,,・´∀`・,,)っ-○○○:2009/11/23(月) 14:09:11 ID:nT9DryEJ
>>190
信じてないわけじゃない。
最早見るに値しないだけだ。

積和算のスループット(笑)なんか既にお役御免だよ。>>138
193Socket774:2009/11/23(月) 14:41:35 ID:NOFnHeHE
ARMの性能が足りないだって?
混載されたSuperHコアの出番だな!
194Socket774:2009/11/23(月) 15:33:46 ID:YDmmLVrj
iPhone様がARMと仰っているのでね、もう勝負はついてるんだよw
195,,・´∀`・,,)っ-○○○:2009/11/23(月) 16:29:36 ID:nT9DryEJ
iPhone様(笑)
まだNintendo DSとか言った方が笑いだけはとれたのに。
196,,・´∀`・,,)っ-○○○:2009/11/23(月) 16:32:51 ID:nT9DryEJ
日本じゃソフトバンクという3番手キャリアの1機種という扱いだし
ワールドワイドじゃそれこそノキアやエリクソンを幅を利かせる世界で
世界ウン百万台程度じゃ成功とは言いがたい。
マカーが持ち上げてるだけで。
197Socket774:2009/11/23(月) 16:45:35 ID:9r6+avbe
>>196
iPhone以外でも携帯だとARMが多いんじゃないの?
Atomはこれから携帯やスマートフォンにチャレンジしようかって段階だし。
198,,・´∀`・,,)っ-○○○:2009/11/23(月) 16:55:36 ID:nT9DryEJ
うん、だから言ってるだろ。垂直統合モデルだって。
CPUのコードが共通でもSoCの構成要素の一つに過ぎず、プラットフォーム間の互換性は持たない。
Wintelプラットフォーム下での各PCベンダーのような平行分散を嫌うからだ。

たとえばNintendo DSのソフトがiPhoneで動いても任天堂にはメリットがないわけだぜ。

単に複数の「独自プラットフォーム」を構成する共通要素としてARMが存在しているだけ。
仕様が共通化され同じソフトウェアが動くx86のようなスケールメリットは生じない。
199Socket774:2009/11/23(月) 17:09:46 ID:uARxuxOP
アームのIP商売の収益ってたいしたことないよ。
逆に言うと格安でライセンスしてるから顧客は多い。

>2006年にARMコアは24億個出荷され、そのおよそ3分の2が携帯電話機向けだった。
>16億個前後のARMコアが携帯電話機に搭載されたことになる。この物凄い数値
>からすると、ARMの売上高はさぞかし巨大な金額かと思いきや、実際はそうでもない。

>ARMの2006年の売上高は4億8,360万米ドル、日本円で約500億円である。
>売上高が500億円とは、いささか少なすぎるようにみえる。Intelの2006年
>年間売上高は354億米ドルであるから、日本円で4兆円近くもある。
>ARMの売上高は、Intelの売上高の1.3%に過ぎない。

>アーム株式会社の代表取締役社長を務める西嶋貴史氏は、「ARMコアを内蔵した
>“半導体チップ”の売上高は総額で2兆円〜3兆円に達すると推定しています。
>ですが、ARMコアが半導体チップのトータルコストに占める割合はわずかです。
>このため企業としてのARMの事業規模は、Intelと違って比較的小さな金額にとどまっています」
200,,・´∀`・,,)っ-○○○:2009/11/23(月) 17:15:34 ID:nT9DryEJ
所詮部品のそのまた構成要素にすぎん。
「DDR2-DRAMチップはCore 2 Duoより売れている」
って言うようなもんで野暮
201Socket774:2009/11/23(月) 21:55:52 ID:47q579hW
垂直統合と対になるのは水平分業
202Socket774:2009/11/24(火) 00:04:50 ID:euFcfzd5
NECがスパコンでIntelを選んだ理由
http://pc.watch.impress.co.jp/docs/column/hot/20091124_330515.html
203Socket774:2009/11/24(火) 00:29:54 ID:BubHDolv
>価格競争力を度外視して、国家プロジェクトで世界一性能の高いスーパーコンピュータを開発しても、それは一時的な国威発揚にしかならない。

光インターコネクトとか45nmプロセスとかそういう要素技術が残ると思うのだが。
それをPCなり家電なりに活かせるのか、即転用はできないにしても先鞭になるのかという議論はまた必要かもしれない。


>総事業費約1,150億円という予算は、それに見合っているのか、ということを仕分け人は問うているのだと思う。

Intelは年間6000億以上R&Dに投資しているんですよ?5年で3兆円。
1150億円しか支援しないのだから先の見通しが微妙になるのはそらー当たり前でしょう。
スパコン開発の意義もわからなかった仕分け人には大金に思えるのかも知れないがなwww
だからアメリカ見習ってフェーズを幾つかに分けて一社に絞ってどかんと予算落とす方が良かったんだよ。
ただでさえ少なすぎる予算なのだから。
204,,・´∀`・,,)っ-○○○:2009/11/24(火) 00:34:17 ID:hPX1Nh9Y
富士通という私企業の予算を国に組ませないといけない時点でおかしいだろボケ
それともIntelは米の国営企業か?
205Socket774:2009/11/24(火) 00:52:50 ID:BubHDolv
>>204
国営企業ではないが利益出していっぱい税金落としてるじゃん
公共事業打って何が悪い
206,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:00:05 ID:hPX1Nh9Y
で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?
任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。
207,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:10:56 ID:hPX1Nh9Y
Intelはリソースの米国内調達に拘ってないんだよね。
モバイルチームはイスラエル人を雇ってるし、最先端プロセス用の露光装置は日本から買ってるわけだよな。
なんで日本は外部調達じゃなしに全部国産でやらんといかんのですか?
得意でもない分野まで。

食料自給率うpみたいな生産性のあることならまだわかるが。

Intelが22nmを手がけて旧くなった露光装置は中古で外部ファウンドリに流してるような2012年という時期に
独自に45nm作ったところで何が国益になるんですか?
45nmなんてルネサスは2008年に量産してるんですぜ?
君の主張は日本を鎖国して後進国にさせたいように見えてならんよ。
208Socket774:2009/11/24(火) 01:13:52 ID:pLNmnBH4
話が分散しすぎていると思うが。
光インターコネクトとか要素技術の話だろう?
209Socket774:2009/11/24(火) 01:15:25 ID:BubHDolv
>>206
>で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?

だから今の状態じゃおんぶにもだっこにもなってないんだよw


>任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

任天堂に何の関係があるのか
団子は国がスパコン開発支援しないで現状を打開できると思ってるの?


>それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
>無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。

競争が激し過ぎるところを避けるのはわからないでもない
でもIntelと競合するリスクや見込める利益なんかの議論は尽くされていないと思う
210,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:20:08 ID:hPX1Nh9Y
消費者向けの光伝送インターフェイスならそれもIntelがやってると思うのだが。

不治痛の手掛けたMOは流行らなかったぞ?
211,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:22:45 ID:hPX1Nh9Y
>団子は国がスパコン開発支援しないで現状を打開できると思ってるの?

国の金で国産CPU作る必要があるかといえば、否だな
ITゼネコンに金ばらまくって意味なら全く意味がないな


そもそもなんで民主党政権になってから言うわけだ?
日本が国営半導体企業を作らないといけないようなことを
自民がやってたわけでもあるまい?
212,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:27:14 ID:hPX1Nh9Y
それとも、Xeonでスパコン作って研究したら成果がどっかの企業にもってかれるんですか?

国の施策が不満ならそれこそ経団連構成企業で金出し合ってどっかの大学にスパコンでも作ればいい。
国立大への寄付の場合、国に税金もってかれない特権がある。
213,,・´∀`・,,)っ-○○○:2009/11/24(火) 01:34:46 ID:hPX1Nh9Y
俺はコンピュータに必要なのは後にも先にもソフトだと思う
214Socket774:2009/11/24(火) 01:57:42 ID:J8uS7stT
任天堂って電通の総バックアップ受けてなかったっけ
国じゃないにしろ真っ当な企業じゃねーだろな

それにウィンテルの構図だって米国政府が望んだ形だろうに
たぶん一企業の技術とがんばりだけってのはどこも無理。
215Socket774:2009/11/24(火) 02:02:49 ID:J8uS7stT
外人に金もたせ荒らさせ、トロン潰しなんてのも痕跡あるしな。
日本のソフト屋はゲームも何もかもその収益性から博打ヤクザが経営してるようなもんで、
ものづくり的な会社に育つはずもなし。
216,,・´∀`・,,)っ-○○○:2009/11/24(火) 02:05:08 ID:hPX1Nh9Y
シアトルマリナーズのスポンサー企業でもある
217,,・´∀`・,,)っ-○○○:2009/11/24(火) 02:09:31 ID:hPX1Nh9Y
http://blog.livedoor.jp/newskorea/archives/627525.html
自民政権でもろくなことになってない気がするんだが。
218Socket774:2009/11/24(火) 03:40:49 ID:mngxCW7S
元IBMの中の人でさえこんな意見だっつーのに団子ときたら

>●この事業仕分け手法はアメリカ人には話せない 投稿日: 2009/11/13
>(中略)
>・もし法的にオーソライズされていない質問者が多数決でプロジェクトに致命的とも言える
> 金額レベルの削減を1時間で決め、そのまままかり通るという手法が通ったとします。
> まさにアメリカのみならず世界の科学技術界の物笑いで、日本の大型科学技術プロジェクト
> 遂行への信用は大きく失墜するでしょう。(アメリカ人から聞かれてもうまく説明できないし、
> 話したくもないですね。来週がSC09ですから、日本の主な出展者にとってはワーストタイミング
> になってしまったに違いありません。)
>
>・ふたを開けてみたら、次世代スーパーコンピューター競争の最大の敵は20PetaFLOPSを
> 目指すIBMではなく実は自国政府でした、というのではしゃれにもなりません。個人的には、
> 最後まで関係者のねばりを見せて欲しいところです。
>
>・もう少し言うと、国の科学技術戦略を担当してきたオーソリティ(総合科学技術会議か)は
> こうした状況に対して当然ながら、きちんとなんらかの意思表示をする義務があると思います。
> と書いて総合科学技術会議のメンバーを調べたらトップが鳩山首相でした。
>
>●Blue Waters用POWER7 投稿日: 2009/11/18
>(中略)
>スーパーコンピュータのトップレベルでは、こんな激しい技術競争をしているわけですから、
>これがわかっていれば、2位でもいいなどという甘い発想はとても出てきません。
219,,・´∀`・,,)っ-○○○:2009/11/24(火) 03:53:25 ID:hPX1Nh9Y
2位じゃ駄目か。わかってるじゃないか。
なら、海外で売れるような競争力も無いSPARC64(笑)だけで組むとか尚更駄目だな。
Sandy BridgeとLarrabeeで仕切りなおしするとかのほうがまだ生産的だ。
220Socket774:2009/11/24(火) 03:58:17 ID:9Fw1q5W2
221,,・´∀`・,,)っ-○○○:2009/11/24(火) 04:10:18 ID:hPX1Nh9Y
>>218
あと、引用元ちゃんと示せ
略された部分が重要だろ。
君の自己満足なら俺には必要ない
222Socket774:2009/11/24(火) 07:02:41 ID:KUhziBj+
民主党がやろうとしてんのは前時代的な革命だね
形になってるものまで鬼の首とったかのように壊してる
支持もその茶番劇によるものだろう
223Socket774:2009/11/24(火) 14:09:10 ID:T8x5hoyG
元IBMといっても脳沢みたいなのもいるからなぁw
224Socket774:2009/11/24(火) 15:15:33 ID:7VpDZvzp
>>210
なぜそこでMOが?
225Socket774:2009/11/24(火) 15:24:29 ID:RQdqsZRS
一般消費者はもはや求めてないのにお役所が生きながらえさせてるメディアの代表格ではある。
226Socket774:2009/11/24(火) 19:38:11 ID:2v9OeFGY
>>207
超スレチだけどアメリカからイスラエルへの投資や寄付の免税措置は病的だ。
227,,・´∀`・,,)っ-○○○:2009/11/24(火) 22:20:49 ID:hPX1Nh9Y
>>218の引用元ググったら出てきたけどこの人も相当キてるよな


経団連に期待しろって言ったのは撤回する。
自社の雇用すら守らないお便所さんが景気対策のために税金投じてくれ云々言うから笑えるわ。
安定雇用を破壊して国内消費を鈍らせてるのは何処の誰だよ。
かつて経済一流と言われた日本だが、今はその程度の自浄作用すら期待できない。
228Socket774:2009/11/24(火) 22:28:48 ID:3QlTiAPM
No future!
229,,・´∀`・,,)っ-○○○:2009/11/24(火) 23:08:31 ID:hPX1Nh9Y
杉林は適度に伐採してやんないと
花粉症が増えたりして経済にマイナスなのです
樹脂も豊富で良質な燃料にもなる。

まずは日本の林業を救うところから始めようか。

だんご粉自給率100%は二の次
230Socket774:2009/11/24(火) 23:24:09 ID:wiPBCQni
団子の口から自給率とか聞くとは思わなかった
もっとグローバリゼーションマンセーな錬金術師のイメージだったよ
それとも何かを炙り出すためポーズで言ってんの?
231,,・´∀`・,,)っ-○○○:2009/11/24(火) 23:46:06 ID:hPX1Nh9Y
砂糖をバイオ燃料にするよりは余ってる木を燃やす方が生産的だろう。
有機物は無駄に腐らせてはいけない。
232Socket774:2009/11/25(水) 00:06:34 ID:wAdVFdaD
【ET2009レポート】XilinxとARM、合同記者発表会を開催
http://pc.watch.impress.co.jp/docs/news/event/20091125_331110.html
233Socket774:2009/11/25(水) 10:07:53 ID:mzW69JsI
農産物からアルコールってのは詭弁だしな
大輸出国アメリカが自国で食う分まで燃料にしても、アメリカの2ヶ月分の燃料にしかならない
234Socket774:2009/11/25(水) 12:59:33 ID:FJQN1Mgh
ARM7/9を既に実装してるトコロは、上位機としてARM11を望むけど
ARMとしてはCortex-A使って欲しくて揉めがちである、と。
ARM11コア製品がロードマップに前々から載ってるけど出てこないって会社、確かにあるなw
235Socket774:2009/11/25(水) 18:53:37 ID:LAPUmM6S
>>222
民主だか自民だかどっちでもいいが、
こんな糞は一度キャンセルすべきだったろうね。
236Socket774:2009/11/25(水) 20:34:51 ID:nfOfNSti
モータ制御をAtomで代替するのは非現実的
http://journal.mycom.co.jp/articles/2009/11/25/et2009_intel/002.html
237,,・´∀`・,,)っ-○○○:2009/11/25(水) 23:15:05 ID:XnXiDy9n
モータの制御なんてGHzなんてマスクROM内蔵の数十MHzの世界だな
今までの常識ならば。

どこまで打ち破れるか興味は尽きない
238Socket774:2009/11/25(水) 23:23:32 ID:FJQN1Mgh
Intelは、まだ8051改良型のMCS251や
8096系のMCS296とか作ってるんだから

そっち使え!って事だろ…
239,,・´∀`・,,)っ-○○○:2009/11/25(水) 23:43:31 ID:XnXiDy9n
仮想敵は元ローラ、TI、ルネサスあたりか。。。
一体いくらで売る気だ
チャレンジャーにも程がある
240,,・´∀`・,,)っ-○○○:2009/11/25(水) 23:44:48 ID:XnXiDy9n
モトローラってよりはフリスケか
241Socket774:2009/11/25(水) 23:48:34 ID:FJQN1Mgh
MCS251/MCS296は既にディスコンで保守品種ですが
まだ一応手に入ります。えぇ。

っていうか、その代わりがAtom?無茶すぐる…
242,,・´∀`・,,)っ-○○○:2009/11/25(水) 23:52:30 ID:XnXiDy9n
Cortex A9のクアッドコアでMini-ITXのボードあるから買って遊んでみようと思ったら
60マンとかふざけてるのかと

それはそうとiPhoneに感染するウィルスも出てきたし「MacOSは絶対にウィルスに感染しない」なんて
基地外マカーが少しは減るんだろうか
243Socket774:2009/11/25(水) 23:55:01 ID:FJQN1Mgh
>>242
クアッドにコダワリなければ、BeagleBoardでヨクネ?
まぁアレもIF少ないけど…
244,,・´∀`・,,)っ-○○○:2009/11/26(木) 00:02:16 ID:XnXiDy9n
デュアルコアCortex-A9のAndroidケータイの白ロム何個か繋いでクラスタリングみたいな
とてつもなくアホなことがやりたくなった
245Socket774:2009/11/26(木) 00:02:19 ID:pqeRkl2f
基地外マカーと言えば団子ちゃんと仲良しのあの方を最近見ないんだが
246Socket774:2009/11/26(木) 19:53:00 ID:8rNQQ9NL
ザイリンクスって読むのかw
247Socket774:2009/11/26(木) 22:46:04 ID:w1vP57Y+
248Socket774:2009/11/27(金) 20:54:57 ID:WGho4pLP
スパコン開発は世界一を目指さなければ意味がない - 東大・平木教授
http://journal.mycom.co.jp/articles/2009/11/27/hiraki/index.html
249,,・´∀`・,,)っ-○○○:2009/11/28(土) 01:42:42 ID:q4aoUrmv
GRAPE関係はなんでこうもキてる人ばかりなのか
250Socket774:2009/11/28(土) 05:06:03 ID:o+AyQL56
幕末の武士のように
もう自分たちが要らないんだって認めたくないんで
必死なんじゃない
251Socket774:2009/11/28(土) 09:44:54 ID:0vLYzTWw
CPUあーきてくちゃ、を語る人も同じに見えてるぞ。
252Socket774:2009/11/28(土) 10:34:23 ID:Zj56XYxe
武士道を語る町民がいたっていいじゃんw
253Socket774:2009/11/28(土) 15:29:49 ID:Cf7f/CDB
ニュー速とか見てるとスカラプロセッサは安い、
ベクトルプロセッサは高いという議論になったりしてんのな
安いスカラプロセッサなんてx86だけだというのに
これを理由にNECディスって富士通マンセーしたり
SPARCの富士通が日本勢だったりPS3にしろだのもう滅茶苦茶w
254,,・´∀`・,,)っ-○○○:2009/11/28(土) 15:31:07 ID:q4aoUrmv
たしかにひどい
255,,・´∀`・,,)っ-○○○:2009/11/28(土) 15:34:27 ID:q4aoUrmv
世界的にCO2排出量削減の流れになればベクトルは復権とはいかないまでも
アクセラレータとしては一定の支持を取り戻すことはできると思うよ。

いつになるかは知らんが。

まあ、汎用性は低いが安いベクトル型プロセッサ(GPU)も台頭してきたことだし
いろいろ面白いことになりそうですな
256Socket774:2009/11/28(土) 23:26:02 ID:I53zpS/V
>>255
米中もやる気ないし自国の経済を悪くする政策に世界が乗り気になるわけない。
「アホの日本が罠にかかりおった。
排出権相場を釣り上げて日本にある金を搾り取ってやる。ウヘヘ」
ってのが地球温暖化詐欺だろうに。
257Socket774:2009/11/28(土) 23:40:43 ID:1WkjCqI3
ま、CO2は25%減らさなきゃってのは科学的に要求される最低レベルの対策ってことはおいといて
日本のアレは中国とかもやるなら削減って話だから、実行に移されるなら米中も削減するよ
258OOO-⊂(´∀`旦⊂☆諫碕:2009/11/28(土) 23:54:45 ID:6zYEyD45
>>253
LRBスレにもちょっと前に書いたが、
スカラvsベクトルっていう世界観で未だに分析しているくせがあるのが悪い。

スパコンは
・どれだけ汎用品のものを流用するか
・どれだけ専用のものを新規に設計するか
という部分が重要で、アーキテクチャとか技術論というよりは
経済面でのメリット・デメリット論の世界なんだよ。
純粋に技術的にいえばHPCで自分がよく走らせるコードを神が分析して
それ用に神が最適化して設計したプロセッサのアーキテクチャが一番効率がいい。
つまり専用プロセッサの方が有利。

しかし、新規部分の多い開発にはコストがかかる。
結局のところ彼らの議論は、経済面の話なの。それがわかってないHPCオタが多い。
259OOO-⊂(´∀`旦⊂☆諫碕:2009/11/28(土) 23:57:21 ID:6zYEyD45
まあ一部プロセッサと書いてしまったけど、当然プロセッサだけじゃないよね。
260Socket774:2009/11/29(日) 00:17:08 ID:2oRNugae
経済面の話だってのは納得
でも、ものを安く作れるってのも「技術」じゃないのかな
経済面を全く無視した技術ってのは成り立ちうるんだろうか
261OOO-⊂(´∀`旦⊂☆諫碕:2009/11/29(日) 00:21:44 ID:5LUz4v7U
>>260
おれがいってるのはそこまで完璧に経済面を排除した首尾一貫した理屈じゃない罠。

少なくともGPGPUはベクトルプロセッサと似ているからベクトルプロセッサの様な末路を迎えるとか、
スパコン用にスカラプロセッサさえ頑張って開発して沢山つなげば、世界一になれるとか、
無理のある論理は排除できるだろ。

HPCオタは殆ど経済面の理屈で合理的に理解できる議論をしているのにもかかわらず、
自分達は科学・技術の話をしていると思いこんでいるところ。
だから技術面と経済面が合致しない部分で永遠に矛盾を抱えたまま綺麗な結論が出せないでいる。
262Socket774:2009/11/29(日) 00:24:56 ID:2oRNugae
なるほど、了解
263OOO-⊂(´∀`旦⊂☆諫碕:2009/11/29(日) 00:29:15 ID:5LUz4v7U
まあ蛇足であるが、用途が科学技術計算というジャンルで専門家の顔もよくでる話だから、
HPCオタは特にそういう傾向が強いんだと思う。技術に経済面の話を導入すると純粋な技術論が汚れるみたいな。
その点、コンシューマの雑多な話が多いおれらの方は技術と経済面とは自然にミックス
された状態の話題になれているからな。自作板の勝利ってことで。
264Socket774:2009/11/29(日) 00:53:56 ID:f1A/trH5
安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?
265MACオタ>264 さん:2009/11/29(日) 01:13:21 ID:pL7dE78L
>>264
  --------------------
  安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?
  --------------------
海千山千の悪党国家であるギリスもフランスもドイツも自国製スーパーコンピュータ用プロセッサなんて
開発していない…というのが、最も判り易い回答では?
266Socket774:2009/11/29(日) 01:14:21 ID:MArYkWTv
うお 久々に見た
267Socket774:2009/11/29(日) 01:23:02 ID:qryEl5hT
MACオタさん生きてたんだ…
268Socket774:2009/11/29(日) 01:37:27 ID:0Wt5eky0
せっかくだからオタさんに質問
- IBMがHPCでのCellの使用をやめるらしい件
- PS4のCPUにPOWER7コアが使われるという噂
について、何かコメントください
269MACオタ:2009/11/29(日) 01:53:20 ID:pL7dE78L
The RegisterのT.P.Morgan記者がSC09で展示されていたBlue Waters向けPOWER7ノード
について結構詳しい記事を書いています。
http://www.theregister.co.uk/2009/11/27/ibm_power7_hpc_server/
4chip (=32core) MCMの写真なども興味深いですが、マザーボードについて面白い記述が…
  --------------------
  There are two monster motherboards underpinning the processors and their
  memory and the hub/switch and its interconnects. These mobos are
  manufactured by Japanese server maker Hitachi and Brenner said that these
  were the largest motherboards ever made.
  --------------------
京速から遁走した日立はBlue Watersノード向けマザーボードの製造を担当していた
とか(笑) 国が技術振興のためにやるべきことは『何』なのか、考えさせられますね。
270MACオタ@続き:2009/11/29(日) 01:55:19 ID:pL7dE78L
ということが判明した訳ですが、技術振興のために国がやるべきことって『何』という
ことのヒントが隠されているような…
271MACオタ>268 さん:2009/11/29(日) 02:01:02 ID:pL7dE78L
>>268
最初の話ですが、IBMの米国特許をちょっと調べてみるだけでもCELL/B.E.への開発
投資が続いていそうなのは推察できますよ。
http://patft.uspto.gov/netacgi/nph-Parser?Sect1=PTO2&Sect2=HITOFF&u=%2Fnetahtml%2FPTO%2Fsearch-adv.htm&r=0&p=1&f=S&l=50&Query=AN%2F%22International+Business+Machines%22+and+%22Broadband+Engine%22&d=PTXT

2番目の話ですが、上の記事でPOWER7 MCMの消費電力とパッケージサイズについて
の記述を読んでから真偽を考えてみてはいかがでしょうか?
  -----------------------
  Both chip packages have the same pin count at 5,336 pins (92 pins by 58 pins),
  according to Alan Brenner, a senior technical staff member of the server and
  network architecture team within IBM's Systems and Technology Group:
  …
  At 800 watts, the package is not cool by any means, but the Power7 IH MCM is
  delivering performance at 1.28 gigaflops per watt at the package level.
  -----------------------
272Socket774:2009/11/29(日) 03:18:38 ID:gPHb32ZM
日立は京速では要素技術担当だったから(と思う)
IBMの下請けは何ら不思議ではないが
日立もPOWER売ってるしな
273Socket774:2009/11/29(日) 04:31:48 ID:JJ0feJZn
>>229
植林の売り文句は「伐採した木材を販売すれば儲けも出ますよ」だったが
輸入木材などで国産木材価格が暴落→放置
落葉広葉樹が一掃されて山も川も痩せて護岸工事の弊害もあって土砂崩れに鉄砲水。
森も山も川も海も壊滅状態。

日本の林業を救う方針は研修生という名の奴隷待遇の外国人労働力の使い捨て

日本人は石油化学や金属製品を加工する機械と紙屑紙幣を引きかえに
竹籠や藁細工を作る技術すらほぼ失ったのである。
274MACオタ:2009/11/29(日) 10:21:23 ID:2JwEUj1q
275Socket774:2009/11/29(日) 11:12:34 ID:0Wt5eky0
>>271
ありがとう
1件目はCell/B.E.じゃなくてPowerXCellの話だったんだけどまあいいや
オタさんは両方否定的ってことね
276275:2009/11/29(日) 11:19:15 ID:yXC5N5Eq
ID変わってるけど275です

一応元ネタ(?)貼っときます

Cell is no longer HPC material
http://www.theinquirer.net/inquirer/news/1563659/cell-hpc-material

Sony chooses IBM POWER 7 CPU for PlayStation 4
http://www.gamekicker.com/Gaming-News/Sony-chooses-IBM-POWER-7-CPU-for-PlayStation-4
277Socket774:2009/11/29(日) 11:32:46 ID:VOw6AVOb
>>274
1TFLOPS達成するのに800Wとかどうなのよこれw
Larrabee使えば1/4の電力で済むぞw
278Socket774:2009/11/29(日) 12:15:15 ID:yXC5N5Eq
京速を大艦巨砲主義と批判する人がいるが
アメリカだって巨大戦艦を作っているということだな<800W
279Socket774:2009/11/29(日) 13:54:41 ID:X/85PNkR
>>277
RadeonHD5750なら100W切ってますよ(棒
280Socket774:2009/11/29(日) 13:58:15 ID:xQEzGLxg
カタログスペックは結構です
281,,・´∀`・,,)っ-○○○:2009/11/29(日) 14:30:45 ID:1kopwAVM
>>277
Larrabeeのこないだのは単精度だぞ。
倍精度ならこないだのデモ機なら半分の500GFLOPS程度がピークだろうね。
(ただ同時処理できる演算数が減るので実効性能比は改善されると思われる)
282Socket774:2009/11/29(日) 16:37:41 ID:tPZFkmtQ
>>252
いいとか悪いとかじゃなくて、そう見えるってこと。
キてると思われるのが嫌なのかも知れないけど、
そう見えるものはしょうがない。
283Socket774:2009/11/30(月) 01:09:23 ID:Se0IXeDb
すれっどすとっぱー
284Socket774:2009/11/30(月) 02:03:34 ID:/o1S+CRy
>>278
それ池田とかいう池沼だろwww
285Socket774:2009/11/30(月) 09:23:24 ID:NKdi5QgW
いや、ノビーの影響なのか何なのか
結構言ってる人いるよ
286Socket774:2009/11/30(月) 14:08:30 ID:o3wbSh67
あのおっさんはただの芸能人だから指さして笑ってやるのが優しさってもん
287Socket774:2009/11/30(月) 20:13:02 ID:ZLxaYUuK
自称アルファブロガーは総じてキチガイ
288Socket774:2009/11/30(月) 21:23:48 ID:NSiddILq
SH-Mobileは、もうARMなんだな

ルネサス、1GHz CPU搭載の「SH-Mobile Appliation Engine 4」
http://k-tai.impress.co.jp/docs/news/20091130_332387.html
289Socket774:2009/11/30(月) 21:59:49 ID:NmPo1VmX
>>288
WindowsCEがSHサポート止めるからね…
290Socket774:2009/11/30(月) 22:05:36 ID:uG3d/LPL
あら、そうなの
291Socket774:2009/12/01(火) 00:16:57 ID:Ta7gQeQC
他社製OS使ってると怖いよな……
292Socket774:2009/12/01(火) 02:21:59 ID:UDrZVQap
>>288
http://ja.wikipedia.org/wiki/SuperH#SH-Mobile_G.E3.82.B7.E3.83.AA.E3.83.BC.E3.82.BA
要はGシリーズの流れなんじゃないの?

GPGPUに対応するようになるらしいし、SuperHがいらない子になるような……
293Socket774:2009/12/01(火) 20:15:22 ID:/C3MoG3C
そこでRXでつよ。
294,,・´∀`・,,)っ-○○○:2009/12/01(火) 22:46:47 ID:ekg7DMWw
1個3000円か・・・
評価ボードとか1台ウン万円なんだろうな
295Socket774:2009/12/05(土) 12:33:13 ID:ZHwFb1nT
296,,・´∀`・,,)っ-○○○:2009/12/05(土) 16:36:51 ID:KKTvEirv
なにこの超イケメン
キャー
297Socket774:2009/12/06(日) 01:28:15 ID:HGXL6vRo
団子ちゃんってその気があったの?
298,,・´∀`・,,)っ-○○○:2009/12/06(日) 01:32:15 ID:nTu8nNRJ
ねーよwwww
ちょっとだけお塩先生に似てるな
299Socket774:2009/12/07(月) 19:35:46 ID:V7UuNYWy
訳:ちょっとだけその気があった
300,,・´∀`・,,)っ-○○○:2009/12/07(月) 23:55:37 ID:3pFyeiTC
お塩先生はだんごやさんの心の師
301Socket774:2009/12/10(木) 20:49:17 ID:VGoFe/d7
2009/12/10 GPUコンピューティングの現状とスーパーコンピューティングの未来
http://www.slideshare.net/pfi/20091210-gpu

おもろかったんで張っとく
302Socket774:2009/12/10(木) 21:44:17 ID:LrCZtiXU
緑赤青w
303,,・´∀`・,,)っ-○○○:2009/12/12(土) 16:24:55 ID:LfwDXAhP
クラウドは 逆から読めば 道楽だ

 -○○○
304S3:2009/12/12(土) 18:59:18 ID:ApefDBaM
オレ何色?
305Socket774:2009/12/13(日) 03:16:41 ID:6zAbpAOu
黄色
306,,・´∀`・,,)っ-○○○:2009/12/13(日) 03:19:24 ID:Gw3F5ZKy
ああVIAのマザボの基盤の色か
307Socket774:2009/12/13(日) 06:49:36 ID:g7dw5jYu
いや、まじでs3は黄色
ページ見てみりゃわかる黄色と黒は勇気の標
あとepia,vbやらのボードは青

そういや、黄色のボードってsocket7時代のficとasus位しか
うちにないな

あと、ati/amdって何色?
308,,・´∀`・,,)っ-○○○:2009/12/13(日) 12:43:46 ID:Gw3F5ZKy
クリスマスカラーだよな
309Socket774:2009/12/13(日) 15:36:42 ID:nZtooEKu
クリスマベクトル
310Socket774:2009/12/13(日) 18:03:13 ID:QmFAYWWh
あげ
311Socket774:2009/12/20(日) 10:52:02 ID:qFU9fvno
POWER7でやっとOoO復活したのがうれしいね
インテルが失敗したインオーダーの深パイプライン設計を踏襲したりとしばらく迷走してたからなあ…
312MACオタ:2009/12/23(水) 11:38:27 ID:FLB/nvDP
来年のISSCCでPOWER7の詳細が複数の論文で公開されることは周知の通りです。
http://www.isscc.org/isscc/2010/ISSCCAP2010.pdf
  ------------------------
  5.4 The Implementation of POWER7): A Highly Parallel and Scalable
    Multi-Core High-End Server Processor
  5.5 A Wire-Speed Power Processor: 2.3GHz 45nm SOI with 16 Cores and 64 Threads
    (MACオタ注: おそらくPOWER6 - Z10の関係に対応するメインフレームプロセッサ)
  9.3 POWER7 Local Clocking and Clocked Storage Elements
  19.1 A 45nm SOI Embedded DRAM Macro for POWER7 32MB On-Chip L3 Cache
  19.2 A 32kB 2R/1W L1 Data Cache in 45nm SOI Technology for the POWER7 Processor
  ------------------------
今年のまとめとして、Hot Chips 21以来公開された資料からPOWER7についての技術情報を
書いてみます。
313MACオタ@続き:2009/12/23(水) 12:02:21 ID:FLB/nvDP
Hot Chipのプレゼン自体はまだ公開されていませんが、Power.orgが公開している
このプレゼンがKalla氏の講演とほぼ同じものであるようです。
http://www.power.org/events/powercon09/taiwan09/IBM_Overview_POWER7.pdf
 ・1.2 Billion transistors
 ・45nm, Cu-SOI, ダイサイズ: 567mm2
 ・2 FXU, 2 LSU, 4 FPU, 1Vector Unit, 1 Branch Unit, 1 Condition Register Unit,
  1 Decimal FPU
 ・6-wide dispatch / 8-wide issue
 ・ 1, 2, 4-way SMT
 ・OoOE
 ・32KB L1-I, 32KB L1-D, 256KB L2 per core
 ・ 8-core + 32MB shared eDRAM L3
 ・up to 32-socket
 ・コア性能向上
  - パイプライン改良
  - L1レイテンシ低減
  - L2とコアを緊密化
 ・チップ性能向上
  - 2-core (POWER6) -> 8-core (POWER7)
  - コア間インタコネクト高性能化
  - off-die eDRAM L3 (POWER6) -> on-die eDRAM L3 (POWER7)
  - Dual DDR3対応メモリコントローラ
 ・省電力機能
  - Power Gating 採用
  - Dose - Nap - Sleep
  - DVFS (Dynamic Voltage and Frequency Slewing)
  - Turbo-Mode (up to 10% frequency boost)
最終ページのプロセッサモジュールの写真は、明らかに>>274あたりのBlue Waters向けの
モノとは異なっているようですから、一般サーバー向けの開発もすすんでいるようです。
314MACオタ@続き:2009/12/23(水) 12:20:17 ID:FLB/nvDP
Power.orgが公開している別の資料にも興味深い記述があります。
http://www.power.org/news/newsletter/Power.org_Q3_2009_Newsletter_final.pdf
  (p.11)
  ----------------------
  The new POWER7 Core has a total of 18 execution units, including two
  fixed point pipelines bit aligned to the two LSU pipes.
  ----------------------
資料ごとにPOWER7の実行ユニット数の表記は異なっているのですが、>>313でも書いたような
12個という表記が多く、"two fixed point pipelines bit aligned to the two LSU pipes"というのは
FXUのことではなく、LSUにx86のAGU相当のアドレス演算用整数演算ユニットが追加されたもの
と思われます。
その他の追加情報は下記の通り
 ・11 levels of metal layer
 ・L3はコヒーレンシトラフィック低減のためのディレクトリとしても機能する
315,,・´∀`・,,)っ-○○○:2009/12/23(水) 12:40:58 ID:P04lSfD3
>x86のAGU相当のアドレス演算用整数演算ユニット

別モノだよ。
そもそもx86相当のアドレッシングモード自体がないのでAGUのような仕組みは原理的に無理。
ModRM以降の可変長フィールドを解決するものだが、あれは形式にもとづいてアドレス生成専用に
カスタマイズされている。

一方POWER ISAはアドレス演算も通常の整数演算も同じ命令で区別がない。
依存関係を走査すればできなくもないけど、コストがかかりすぎる。
Load/Store + アドレスインクリメントの複合命令ならあるがそれを分解せずに実行するのが一番コストかからんでしょ


逆にx86のアドレッシングモードはポインタをインクリメントしない。
「ARM相当」の間違いでしょ。
316MACオタ@ここまで:2009/12/23(水) 12:54:13 ID:FLB/nvDP
IBMがセミナー資料として公開しているJ. M. Tendler氏のプレゼンのいくつかのバージョン
がネット上で見つかりますが、POWER7のキャッシュ/メモリ階層と、コヒーレンシ維持機構
について詳細に記されています。
http://www.ibm.com/developerworks/wikis/download/attachments/104533325/POWER7+-+The+Beat+Goes+On+v3+(Presented+to+Philadelphia+Users+Group,+2009-11-17).pdf
 ・メモリ
  - デュアル・メモリコントローラ
  - メモリコントローラとDIMMの間には"Advanced Buffer Chip"を挟む
   (POWER4以来の"SMI"チップと同じ)
  - メモリコントローラとバッファ間は8-chの高速リンク
   差動インターフェース採用、6.4GHz, 28-byte (total?)
  - DDR3、800, 1066, 1333, 1600MHz サポート
・eDRAM L3 (32MB)
  - ローカル接続メモリの1/3のレイテンシ
  - 単一スレッドが全領域を占有する設定も可能
 ・"Fast Local" L3
  - L3の一部 (up to 4MB)を低レイテンシの高速領域として利用可能
  - 通常L3の1/5のレイテンシ
 ・L2 "Turbo" キャッシュ
  - L2はコアと緊密に結合
  - 上記の『ローカル』L3の1/3のレイテンシ
 ・キャッシュ階層
  L1: write-through, L2: write-back, Local L3: partial-victim, Shared L3: adaptive
 ・メモリコヒーレンシ
  - Global Coherence Throughput: 32GB/s (POWER6) -> 450GB/s (POWER7)
  - 『投機的』コヒーレンシ通信
  - 複雑な共有状態を表現する13レベルの共有ステータス

書き忘れましたが、統合eDRAMに関してSOIを利用したFBC (Floating Body Cell)との
観測もありましたが、トレンチ構造とのこと。
http://journal.mycom.co.jp/articles/2009/09/16/hot_chips21_power7/002.html
  ----------------------
  このDRAMはDeep Trenchキャパシタを使用するタイプのものであり、SOIのBox
  (Buried Oxide)層を取り除いてその下のシリコンバルクに深い溝を掘り、その溝の
  側面に情報記憶用のキャパシタを作る。
  ----------------------
317MACオタ>団子 さん:2009/12/23(水) 13:34:48 ID:FLB/nvDP
>>315
  ----------------
  Load/Store + アドレスインクリメントの複合命令ならあるが
  ----------------
"update"オプションのつくlwzu/stwuのような命令以外にも、FXUリソースを必要とする
命令はある様なのです。
gccの最適化ファイルが実行ユニットの内部構造の推測にどの程度役に立つかは謎ですが、
下記は power4 の最適化設定ファイルです。
http://gcc.gnu.org/viewcvs/branches/ibm/power7-meissner/gcc/config/rs6000/power4.md?revision=152732&view=markup
単純ロード (power4-load) 以外は、整数演算リソース (iuX_power4) を必要とするのが
判るかと…
318Socket774:2009/12/23(水) 15:41:42 ID:mVZUFjqa
>>312
5.5って "Power Processor" って書いてあるけどz11のことなの?
319,,・´∀`・,,)っ-○○○:2009/12/23(水) 15:59:33 ID:P04lSfD3
320MACオタ:2009/12/23(水) 17:03:11 ID:AbeI9a02
>>318 さん
  -------------------
  5.5って "Power Processor" って書いてあるけどz11のことなの?
  -------------------
言われてみると、Z10も実行ユニットの構成はPOWER6と同じでもISAはPOWERじゃないですね。
勘違いだったかも。
でも他にこのプロセッサの使い道って何なんでしょう?

>>319 団子 さん
そのプレゼンのダイ写真は、>>313>>316に含まれている写真とどこか違いますか?
資料を読まずに脊髄反射で書き込む癖はヤメた方が良いかと…
321Socket774:2009/12/23(水) 18:27:19 ID:JIXOi7Oo
>>257
http://www.youtube.com/watch?v=FPsJEOqNFPc
既に京都議定書にしたがって都合の悪い時だけ自称発展途上国の中国に金を搾り取られているらしい。
322Socket774:2009/12/23(水) 20:43:25 ID:98b6+k8K
>>320
> でも他にこのプロセッサの使い道って何なんでしょう?

wire-speedってんだからネットワークプロセッサなんじゃね?
323MACオタ>322 さん:2009/12/23(水) 20:48:45 ID:AbeI9a02
>>322
  ----------------
  ネットワークプロセッサ
  ----------------
それってボッタくりIBMが最新45nm SOIプロセスで製造した、ダイサイズ400mm2超の
チップが売れる市場なんでしょうか?
FreescaleのG4クラスの製品が強い分野だったような…
324Socket774:2009/12/23(水) 22:37:07 ID:98b6+k8K
うーん、確かに
謎だね
325Socket774:2009/12/23(水) 23:28:56 ID:98b6+k8K
あとはメインフレームのI/Oプロセッサかな
それなら、ある程度値が張っても大丈夫じゃないか?

POWER7よりダイが小さいのにコア数が倍になってるから
メインフレームのCPUってことはないと思う
326MACオタ>325 さん:2009/12/23(水) 23:52:01 ID:AbeI9a02
>>325
  --------------------
  POWER7よりダイが小さいのにコア数が倍になってるから
  --------------------
この辺はPOWER7に限らず、近年のハイエンドプロセッサはアンコア部の面積が大きいので
証拠とは言えないかと。コア自体もメインフレーム向けはVSXが削除されている可能性が
あります。
327Socket774:2009/12/24(木) 04:35:03 ID:gp4aonOu
>>323
クロック控えめでスレッド数も多いし、100GbE用のネットワークプロセッサじゃないの
328Socket774:2009/12/24(木) 20:32:48 ID:+M6CLSPx
IOP纏めてあるサイトってない?
329Socket774:2009/12/25(金) 00:39:17 ID:qiTmHfRD
ネットワークプロセッサーの意見に賛成.
16core 64Threds 2+ GHz ってスペックが
いかにもCaviumのOcteonや旧RMIのNetLogicのXLPの豪華版という感じだ…
# Octeon CN5860が 16core,XLP832 が 8core 32Threds.共に MIPS64

MACオタの言う「ボッタくりIBMがチップ売れる市場」と言えるか謎だけど
ハイエンドの Octeon だと$500〜$1000 ぐらい.
http://www.en-genius.net/site/zones/networkZONE/product_reviews/netp_101606

あるいはSun Niagara的な特定用途に強いサーバー用プロセッサーとか?
330Socket774:2009/12/27(日) 21:30:01 ID:WIlOSEOi
x86のμOpsで直接プログラムが書ければいいのに
331Socket774:2009/12/27(日) 21:37:02 ID:Gukhnjyu
メモリウォールに自分で激突するのがお好き?
332,,・´∀`・,,)っ-○○○:2009/12/28(月) 00:46:38 ID:TJ8Uq2yz
>>330
VIAと契約すれば?
333,,・´∀`・,,)っ-○○○:2009/12/28(月) 00:48:53 ID:TJ8Uq2yz
最近はx86命令セットは高級言語だと思うようにしている。
334Socket774:2009/12/28(月) 18:16:47 ID:KTq6oDv5
確かにw
335MACオタ:2010/01/02(土) 20:48:08 ID:i14xgP37
安藤氏の年頭のコラムが出ています。
http://journal.mycom.co.jp/articles/2010/01/02/next_generation_supercomputer/index.html
  -------------------
  安いからという理由で米国製のスパコンを買うことは、まんまと米国の策略に載るものである。
  -------------------
一見もっともらしい言い分ですが、スパコンを開発することとプロセッサを開発することを意図的に
混同して誤魔化してますね。
ここの皆さんは周知のように、昨年下期のTop500でトップを取ったCrayにしてもプロセッサは他社
製なわけで…
336MACオタ:2010/01/02(土) 21:19:47 ID:i14xgP37
スパコン利権とは全然関係なく、『日本出身』アーキテクチャであるCELL/B.E.はHPC市場で
活躍を続けています。今回紹介するのは、HPCにおける電力効率ランキング"Green500"で
上位を独占するヨーロッパ開発のCELLベース・スパコンQPACEの話。

今年のGreen500ランキングはこちら
http://www.green500.org/lists/2009/11/top/list.php
ご覧の通り同成績で1位にランクされている3システムは全て QPACE SFB TR Cluster です。

http://www.fz-juelich.de/jsc/datapool/cell/eQPACE/pleiter-eqpace-20090209.pdf
このプレゼンは今年初めのものでやや古いですが、アーキテクチャの概要を述べてあります。
QPACEの1ノードは、p.9のブロック図のようにシングルプロセッサのPowerXCell 8iとFPGA製の
ネットワークチップで構成されます。
Roadrunnerとは違ってプロセッサはCELLのみで構成されているのが興味深いかと。
ネットワークは京速と同じく3Dトーラス。

秋のSC09 (Top500でのお披露目)以降の資料はこちら。
http://www.fz-juelich.de/jsc/files/docs/vortraege/jak-2009/jak-2009-qpace.pdf
http://www.desy.de/dvsem/WS0910/pleiter_talk.pdf
性能評価や、FPGAの構成などが述べられています。
337MACオタ:2010/01/02(土) 21:38:31 ID:i14xgP37
QPACEは間違いなく『ヨーロッパ製スパコン』と言えるかと思うのですが、プロセッサ
は日本+米国の製品であることは注目に値するかと思います。米国だってRoadrunner
にCELL/B.E.の技術を使うことに躊躇はありません。

HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
インタコネクトやらが主戦場になっています。
>>335でも触れたように、Crayが一般向けx86プロセッサをコアに使って世界一のスパ
コンを製造し、果てにはあっさり次世代ではAMDからIntelに乗り換えるというのも、そう
した流れの上にあります。
http://japan.internet.com/webtech/20080502/11.html
  --------------------
  なお今回の提携は、Cray の『Cascade』プラットフォームから Intel が技術製品を
  提供するというものだ。Cascade は医学や物理学などの複雑な問題を解くために
  用いられる。
  --------------------
そういう意味で、『スパコン開発=ハイエンドプロセッサ開発』というすりかえをやっている
輩は信用できないことが良く判るかと…

そうは言っても、日本発のプロセッサであるCELL/B.E.を評価したのは欧米であって、
日本国内では叩きに余念が無いヒトが未だにいるというのも、ある種興味深い現象で
あったりするわけです(笑)
338Socket774:2010/01/02(土) 21:44:45 ID:4a1yIVtB
>>337
んなこと言っても、この板にある某スレだって
「たくさん繋げるだけだろ」的な連中がいる事も事実。
膨大な数繋げて性能だす事はとても大変で難しい事なのだが…。
339Socket774:2010/01/03(日) 10:08:25 ID:7HLiLGwn
日本で叩いてるのは勉強したくない技術者。
スパコンからハンディ機まで(電力)性能向上にマルチコアはどう考えても不可避なのに
どうしてもそのパラダイムから抜け出せないがゆえに叩いてクライアントの目から隠す。
団子は競争相手が追いついて来ないように叩きネタを日本語でばら撒いて煙に巻いてる変り種。
欧米のエンジニアは立派な大学出てて職の心配する必要ない、
あるいは競争の足止めたら食っていけないの判ってるからニューパラダイム受け入れるに易い。
340,,・´∀`・,,)っ-○○○:2010/01/03(日) 15:40:14 ID:os5qML5t
そこまでご立派なものじゃないよ。
というか、「今使える」ものを選ぶのは理に適った判断だろう。
341レトリック君:2010/01/05(火) 03:09:21 ID:utY/NQWE
>>339
モマエ、脳内だけで書いてるだろ。
並列度上げました、だから性能出るはずですみたいな幻想まに受けて、
使ってるとこ見たこと無いんだろな、修羅場だぞ。
二度と俺の目に付くところに現れるな。
342Socket774:2010/01/05(火) 13:57:41 ID:SimaXuVH
Cellで片付く問題なんてGPUより間口が広いだけでニッチにゃ変わりない
それを不勉強だとか罵ってるんだからただの精神論、最早オカルト
バカは放っとけばよい
343Socket774:2010/01/05(火) 17:10:51 ID:V0dHsAuL
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

って流れなのに>>341-342みたいな攻撃的弁護がでてくるあたり、
もう日本だめじゃね?Cell叩きがマルチコア叩きにまで発展しててさ。
数々のネガキャンが奏功したってところか。
344Socket774:2010/01/05(火) 20:14:48 ID:SimaXuVH
>>343
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

その流れでもMACキチは平気でCell擁護しちゃうから笑っちゃうよな
普通の人なら逆説的に「Cellである必要性なんて大して無いんだな」というごくありきたりな答えに辿り着くと思うよ
345Socket774:2010/01/05(火) 20:46:34 ID:on+xKnJH
現状Cellが丁度いいって話だろ現物あるし
しかしどーなってんだろこの働きたくないでござるな脳みそ
MACオタもMACオタならアンチもアンチだよ
346Socket774:2010/01/05(火) 21:19:16 ID:MvN9XxG2
手軽に速くできるなら、コアも速いに越したことはないしな
速いCPUなら、同じ性能なら使う数減らせる→そのぶん性能も出しやすいし接続も楽
また、同じ数使うなら当然性能は上がる。
347Socket774:2010/01/05(火) 22:18:03 ID:lGpud3MX
POWER無敵
348MACオタ:2010/01/06(水) 02:10:02 ID:6srrhf1b
日経BPが今回の事業仕分けに参加した金田教授のインタビューを掲載しています。
http://itpro.nikkeibp.co.jp/article/COLUMN/20091225/342666/
  --------------------
  世界最大のスパコンの国際会議「Supercomputing2009(SC09)」でもIBMの
  POWER7ベースと富士通のSPARC64 VIIIfxベースの基板が展示されていた
  ようだが、技術が分かる人が見れば富士通劣勢は明らかであると分かったはずだ。
  --------------------
これって安藤氏がMYCOMに投稿したBlue Watersと京速の比較記事に対する痛烈な
皮肉になっているような気が…
http://journal.mycom.co.jp/articles/2010/01/03/supercomputer2010/index.html
  ====================
  POWER7はチップあたり200Wで256GFlopsであるが、富士通は58Wで128GFlops
  であり、富士通の方が約1.7倍、電力効率が良い。
  ====================
Green500の結果あたりが楽しみですね。
349Socket774:2010/01/06(水) 03:17:50 ID:sXSMFjGi
スパコンというよりコンピューター研究予算であの程度あってもいいよ。
副産物の方が重要だからなこの場合。税金でなく企業が金出したケースがSCEだが、
案の定国内の足並み総崩れだしね。評価したのは欧米。
久多良木も日本では総スカンされるのわかっててIBMと手を組むのにやぶさかでなかったのだろう。
米国なら官民問わず研究予算はものすごい額になってるだろう。
350MACオタ@続き:2010/01/06(水) 03:22:01 ID:6srrhf1b
ちなみに上の記事、金田教授のこの指摘は興味深いかと。
  ----------------
  恐れるべきは、マスコミがよく比較するかつて地球シミュレータの計算速度を
  抜いたIBM製「BlueGene」の後継機である20ペタFLOPS級の最新鋭機ではなく、
  POWER7を搭載したIBMが真に本腰を入れて開発する汎用性の高い最新鋭機
  なのである。
  ----------------
POWER7は米国防総省のプロジェクトHPCS (High Productivity Computing Systems)
において、5社 (Cray, HP, IBM, SGI, Sun) -> 3社 (Cray, IBM, Sun) -> 2社 (Cray, IBM)
と3段階の競争試作で勝ち残ったシステムです。
http://www.darpa.mil/ipto/programs/hpcs/hpcs_plan.asp
HPCSの目標設定には『economically viable』の条件がつけられており、フェーズ間の
中間評価でも商業応用を強く意識して実験的なアーキテクチャは排除されたという
話も伝えられています。

偶然なのか真似たのかは判りませんが、京速プロジェクトの方もHPC専用というよりは
そのままSUN互換サーバーに使えそうなSPARC64 VIIIfxをプロセッサとして選択して、
やはり商用サーバーへの採用も狙っているようです。
IBMと正面からぶつかって勝つ算段があるのか、はたまた富士通一社のプロセッサ開
発を助成しただけなのかも見守るべきなのかもしれません。
351Socket774:2010/01/06(水) 03:25:30 ID:sXSMFjGi
というわけで自説の為に公開処刑狙ってるだけな気がする仕分け人には反対。
処刑した後、類似予算も立ち上げにくくなる。そこまで考えてないだろうな。
原資はどうあれもうちょっと研究関連職潤ってもいいと思うんだ。
日本がここまで消極的なのは貧乏性が故かもしれないし。上の無理解はどこだって変わらないよ。
352MACオタ>レトリック さん:2010/01/06(水) 03:27:04 ID:6srrhf1b
>>341
  -----------------
  二度と俺の目に付くところに現れるな。
  -----------------
他人の掲示板で中二病的に粋がる、この手のカキコミを久しぶりに見たような(笑)
353MACオタ>351 さん:2010/01/06(水) 03:30:37 ID:6srrhf1b
>>351
  -----------------
  研究関連職潤ってもいいと思うんだ。
  -----------------
総枠は増えませんから、京速に投入された予算の分だけ他の研究が割を食う
というのが世の道理なんですが?
354Socket774:2010/01/06(水) 03:34:02 ID:V23zueww
総枠は増えません(キリッ
賢しい…
355Socket774:2010/01/06(水) 03:34:14 ID:sXSMFjGi
ロケット予算も日米比べた場合むこうは国際戦略上の予算だから仕方ないが
確かNASAと比べ日本は人的、資金的にも1/20程度と聞いた事がある。
官だの民だの拘ってる場合じゃないっていう。
356Socket774:2010/01/06(水) 03:36:45 ID:sXSMFjGi
>>353
金は天下の回り物だよ。
ともかく総量が少なく枯渇してるせいで取り合い、
ねたみ合いになるのだと思う。
357Socket774:2010/01/06(水) 12:41:27 ID:LFZP4Sb3
MACヲタはPowerPCになるとハッスルハッスルするなw
358Socket774:2010/01/06(水) 22:57:09 ID:QdfZ+jlU
ほんとIBM大好きなんだから
359Socket774:2010/01/08(金) 21:30:05 ID:syqWnIgs
IBMオタに改名するベキダ
360Socket774:2010/01/09(土) 07:15:48 ID:1Hj9SiNW
361Socket774:2010/01/09(土) 10:29:25 ID:gSY2WyGA
これがDSに載るの?
362Socket774:2010/01/09(土) 11:56:19 ID:5ypPQ+Ot
スマートフォン市場ではSnapdragonとの戦いに勝てそうにないな
363Socket774:2010/01/09(土) 16:59:57 ID:Tp8xxeNS
保守age
364Socket774:2010/01/10(日) 20:13:46 ID:iI2ZPkS7
本当の意味で集積回路だからな>モバイル
365Socket774:2010/01/11(月) 20:21:45 ID:lOdJqdSC
何となく気になったので聞いてみるけどGPUでよく聞くFMADと
Bulldozerのブロック図で見たFMACってのはまったく違うもの?
366MACオタ:2010/01/11(月) 22:12:02 ID:sd9ZhS4B
NvidiaのFermi、なんとか量産に漕ぎ着けたようで…
http://journal.mycom.co.jp/articles/2010/01/11/ces05/002.html
  -----------------
  この日のイベントはTegraが主役だったが、最後にHuang氏は「NVIDIAのイベントは
  GeForce抜きでは終われない」と、"Fermi"アーキテクチャを採用した「GF100」につ
  いて語り始めた。
  現在、量産段階に入っており急ピッチで生産が進められているという。
  -----------------
367Socket774:2010/01/11(月) 22:24:02 ID:oSx/Cnm7
http://www.4gamer.net/games/099/G009929/20100108053/SS/003.jpg
同システムは,ご覧のとおりの液冷仕様だが,近づくとものすごい熱を放っていたのが印象的。
PCケースベンダーやOEM関係者が,「NVIDIAの次世代GPUでは,冷却が最大の問題になる」
と口を揃えていた理由がたいへんよく分かるシステムでもあった。
368Socket774:2010/01/11(月) 22:25:53 ID:WT7YPvZF
キャッシュ搭載でようやくまともにshaderが使い物になるな
369Socket774:2010/01/12(火) 03:00:03 ID:hbd0bfPI
370Socket774:2010/01/12(火) 04:34:21 ID:qNwl3IVW
だがx86ではない
371Socket774:2010/01/12(火) 11:35:07 ID:EfczRBzQ
いいことです
372Socket774:2010/01/12(火) 11:45:10 ID:aeiQwsAS
と思っているのは馬鹿だけです
373Socket774:2010/01/12(火) 12:05:29 ID:8SnHaKjf
Tegra2ってスマートフォン向けとは誰も言ってないよね
タブレット型デバイス向けみたいな事は言われてるけど
374Socket774:2010/01/12(火) 12:19:30 ID:EfczRBzQ
スマートフォンだったらHDムービーの再生は、やるとしても間引き映像だろうしな
375Socket774:2010/01/12(火) 19:36:53 ID:b7/PzBqo
昔話題になったNC辺りか
376MACオタ:2010/01/14(木) 08:16:04 ID:k6CkydoL
次世代Blue Geneである"Sequoia" (BG/Q)の情報が少し出てきたような…
377Socket774:2010/01/14(木) 19:55:53 ID:5zKxy5xD
>>376
どんな感じですか?
378MACオタ>377 さん:2010/01/14(木) 21:57:43 ID:Qfwj0uW3
>>377
検算してみたら、大元のプレスリリースの数字から簡単に導出できる程度の情報でした。
書かなくて良かった…
379Socket774:2010/01/14(木) 22:42:38 ID:5zKxy5xD
>>378
野心的な目標値に思えるのでいったいどんな物が出てくるか興味深いです。
380MACオタ:2010/01/15(金) 20:40:57 ID:B+xNc+Fe
Digitimesがグラフィックカードベンダ筋から拾ってきたFermiの状況です。
発表は3月だが、4月までは入手難らしいとのこと。
http://www.digitimes.com/news/a20100114PD202.html
  ----------------------
  Nvidia may see drop in global discrete graphics chip market share in 1Q10
  Monica Chen, Taipei; Joseph Tsai, DIGITIMES [Thursday 14 January 2010]

  Nvidia is expected to see its share of the global discrete graphics chip market
  drop from 65% in 2009 to 60% or even lower due to strong competition from AMD,
  according to sources from graphics card makers.

  Nvidia has refuted the claims saying it expects to see strong demand.

  Although Nvidia plans to launch its 40nm Fermi-GF100 graphics chip in March
  2010, mass shipments are unlikely to start until April, the sources noted. Nvidia
  responded saying its launch schedule remains unchanged.

  On the other hand, AMD has already launched its DirectX 11-supporting 40nm
  ATI Radeon HD 5970, 5870, 5850 and 5750 GPUs and will launch HD 5670, 5570
  and 5450 shortly. The company recently claimed to have shipped a total of two
  million DirectX 11-capable GPUs.
  ----------------------
381MACオタ:2010/01/15(金) 20:44:41 ID:B+xNc+Fe
上記に関連して、TSMCの40nmプロセスの歩留まりが上がらないという記事を
同じくDigitimesが数日前に掲載しています。
魚拓のリンクはAMD次世代スレッドのこちら。
http://pc11.2ch.net/test/read.cgi/jisaku/1263352294/91
  -----------------
  Foundry chipmakers, including Taiwan Semiconductor Manufacturing Company
  (TSMC), have been struggling to increase their yields on 40nm to over 70%,
  according to industry sources. The unsatisfactory yield rate has caused
  production for next-generation graphics processors and FPGA (field-
  programmable gate array) chips to run tight.
  -----------------
382MACオタ:2010/01/16(土) 20:10:48 ID:wq4dS+HM
Fermiの歩留まりですが、もう少し詳しい情報が台湾HKEPCより。
http://www.hkepc.com/4465
  ----------------------
  但近日外間據消息指出,目前 Fermi 繪圖核心的實際良率僅有約 20 %
  ----------------------
 ・Fermiの歩留まりはおよそ20%程度
 ・このため、3月に発表されても供給状態がまともになるのは4月
 ・一方、AMDのHD5000シリーズの歩留まりは60-80%程度
383MACオタ:2010/01/16(土) 20:46:10 ID:wq4dS+HM
富士通/SUNより発売されたばかりのSPARC Enterprise M3000
(Quad-Core SPARC64 VII/2.75GHz x 1)のSPEC2006が登録され
ています。
http://www.spec.org/cpu2006/results/res2010q1/
似たような動作周波数のx86シングルソケットシステムとの比較は下記の通り。

 (base/peak)       int       fp       int-rate    fp-rate
SPARC64 VII/2.75GHz 13.6 / 14.8  15.2 / 15.9  45.4 / 49.1  38.1 / 40.4
Xeon W3520/2.6tGHz  27.4 / 30.7  32.5 / 33.8  94.1 / 101  74.4 / 77.8
Opteron2384/2.7GHz  17.4 / 21.0  19.5 / 21.5  56.9 / 67.7  53.2 / 59.7

クロックだけはx86並になっても性能はも一つの様で…
384MACオタ@続き:2010/01/16(土) 20:55:49 ID:wq4dS+HM
385Socket774:2010/01/18(月) 18:24:31 ID:aQpiTUrI
SPARC64 VII/2.75MHz→2.75GHzだね
SunとFujitsuは同じ物?
386Socket774:2010/01/19(火) 01:07:33 ID:rKHsCX/F
Xeon(Nehalem-EP)とOpteron(Istanbul)で
組んだので簡単な性能測定結果。評判のNehaってこんなもの?
これならXeon E5540とOp 2431で似たようなモノで、Opのが安い。

Sandra 2009 SP3 Benchmark Result on WinXP-Pro SP3
======================================================
CPU   XEON E5540   OPTERON 2435  C2Q Q6600
Core   2x 4core+HTT  2x 6core       1x 4core
TDP    2x 80W      2x 75W        1x 105W
Clock    2x 2.53GHz    2x 2.60GHz     1x 2.40GHz
Memory 6xDDR3-1066R  4xDDR2-800R   4xDDR2-800
M/B    Super X8DT3   Tyan S2927E    Dell 755
ChipSet  Intel 5520     NFP3600      Intel Q35
------------------------------------------------------
Int.    132GIPS     106GIPS      37GIPS
F.P.    119GFLOPS   102GFLOPS   29GFLOPS
1'Cashe  419GB/s    485GB/s     201GB/s
2'Cache  258GB/s    307GB/s      33GB/s
3'Cache   91GB/s     81GB/s       (Non)
Memory  13.9GB/s    20.0GB/s     4.7GB/s
FP*Mem  1654      2040      174
------------------------------------------------------
MM-Int   239MPix/s    284MPix/s    80MPix/s
MM-FP   192MPix/s    134MPix/s    50MPix/s
MM-Dbl   100MPix/s     73MPix/s    25MPix/s
Cording  761MB/s     1000MB/s     364MB/s
------------------------------------------------------
FileSystem NTSC by LSI_MegaRAID-SAS_RAID5 (4xSATA)
・R.Read  66MB/s      62MB/s      ----
・R.Write  32MB/s      60MB/s      ----
・S.Read  123MB/s     340MB/s      ----
・S.Write  48MB/s     270MB/s      ----
======================================================
387Socket774:2010/01/19(火) 01:08:51 ID:rKHsCX/F
NehalemはInt.とF.P.は速くて、キャッシュは少し遅いだけだから、
キャッシュ内で完了する処理なら「速い」とは言えるし、MultiMedia
のF.P.と倍精度は3割も速い(逆にCording/暗号化は遅い)。

でもメモリーが絡むとガックリ遅くなる。DDR3-1066MHz×3chで
理屈の上ではOpteronのDDR2-800MHz×2chより速い筈なのに。
これなら今回組んだOp.2435(2.6GHz)の下のOp.2431(2.4GHz)で
メモリーが絡む大半の処理では、ほぼ同等性能になると読める。

そんな記事見たことないから質問してみた次第。
Super X8DT3の設定でも狂っているのかな?

これが設定ミスでないなら、OpteronのIstanbuleはNehalemに
負けてないって事になる。・・・・・詳しい人、教えて下され!
388Socket774:2010/01/19(火) 01:09:38 ID:rKHsCX/F
528 名前:Socket774[sage] 投稿日:2010/01/01(金) 12:22:44 ID:lEhCwdPM
TOP500だとNehalem-EPとBarcelonaでコア辺りのクロック性能同じ位だね。
参考になるのかどうか判らないが。
でも実質Intel専用ベンチのSandraで差が付かないってのは凄いな。
389,,・´∀`・,,)っ-○○○:2010/01/19(火) 02:40:36 ID:V4ouHagw
LINPACKってFP演算ユニットの並列度×クロック数が素直に現れるぞ
390MACオタ>386-388 さん:2010/01/19(火) 02:52:40 ID:Xfz8gXKr
>>386-388
コピペなのは存じ上げていますが、検索してみるとあちこちに貼られているようですので
回答しておきます。
  ---------------------
  でもメモリーが絡むとガックリ遅くなる。
  [中略]
  そんな記事見たことないから質問してみた次第。
  ---------------------
流石にSandraは有名ベンチマークですから同種の記事は検索すれば簡単に見つかります。
http://www.bit-tech.net/hardware/cpus/2009/03/30/intel-xeon-w5580-nehalem-ep-review/5
こちらの"unbuffered memory test"は、その一例ですね。それでも注目すべきなのは
メモリレイテンシでOpteronを圧倒している点です。たしかアム虫さんってPentium4は帯域番長
とかで、AMD製品はメモリレイテンシが優秀だから速いって主張していたのでは?

実はメモリ帯域の方も測定法で大きく異なる様で、同じbit-tech.comのistanbulベンチでは
こういう結果が(笑)
http://www.bit-tech.net/hardware/2009/07/07/amd-opteron-2434-review/3
bit-techの言い訳はこちら。
  --------------------
  We started by retesting the Xeon W5580, as a new version of Sandra, which supports
  Intel's implementation of NUMA, has been released since our original review. These
  new results show that the Xeon W5580 system has significantly more memory bandwidth
  and lower latency than either Opteron system - an important consideration if you're
  running lots of apps together such as a server used to power multiple virtual machines.
  ---------------------

まあ結果を推敲せずに、脳内妄想に一致するとコピペしまくるアム虫さんの習性ががGoogleの
検索結果に晒されただけ…というのが結論ですか。
391Socket774:2010/01/19(火) 18:10:16 ID:L68f3Acx
WinXPってNehalemのNUMAに対応してたっけ?
392MACオタ:2010/01/20(水) 19:46:22 ID:sipYmIQS
今日はTheRegisterより目ぼしいニュースが二つ。
まず、IBMの2009Q4業績の電話会議でIBMのCFO, Mark Loughridge より POWER7
のリリース時期が示されたとのこと。
http://www.theregister.co.uk/2010/01/20/ibm_power7_q1_launch/
  -----------------------
  "Later [in Q1], we'll introduce the next generation Power Systems, which will
  deliver two to three times the performance, in the same energy envelope,"
  Loughridge told the assembled Wall Street multitudes on Tuesday.
  -----------------------
 ・今四半期中にPOWER7製品が発表される
 ・45nm CPUプロセスの立ち上がりは順調で、65nm世代より5ヶ月は短かった。
 ・今年中にPOWERサーバーはPOWER7世代に更新される
393MACオタ:2010/01/20(水) 20:09:11 ID:sipYmIQS
もう一つは龍芯3号を使った中共の国産スーパーコンピュータ 『曙光 6000』が
今年完成予定とのこと。
http://www.theregister.co.uk/2010/01/20/china_ict_dawning_super/
  ---------------------
  Weiwu Hu, chief architect of the Loongson processors developed by ICT, told
  Technology Review that the future Dawning 6000 super, presumably based on
  the quad-core Loogson-3 MIPS-style processor, would be finished by the middle
  of this year and operational by the end of 2010.
  ---------------------
元ネタは MIT Technology Review のこちらの記事。
http://www.technologyreview.com/computing/24374/
概要は次の通り。
 ・昨年登場予定が今年に遅れた
 ・量産版マスクのテープアウトは昨年12月末。STMicro にて量産開始予定。
 ・遅延した分、65nm世代で8-16コアバージョンが出てくるかもしれない

噂のx86エミュレーション機能に関しては、TheRegs の Morgan 記者によると、IEEE Micro
に掲載された論文、
http://www.computer.org/portal/web/csdl/doi/10.1109/MM.2009.30
には、x86エミュレーション支援命令の追加によりネイティブコードの70%程度性能で
x86コードが実行できるという記述があるとのこと。
394MACオタ:2010/01/20(水) 20:47:31 ID:sipYmIQS
IBMの昨年第4四半期の業績ですが、CELL/B.E. と Xbox360 CPU の設計サービスで
がっつり稼いだ2006年以来、長期低落が続いていた Microelectronics 部門がちょっと
上向いたとのこと。
http://www.theregister.co.uk/2010/01/20/ibm_q42009_numbers/page2.html
  ---------------------
  On the Microelectronics front, chip sales were up 2 per cent in the quarter,
  and Loughridge said that the 300mm wafer baker in East Fishkill, New York
  was nearing full utilization and that 45 nanometer output was sold out again
  this quarter. No doubt some of that wafer baking capacity is being pressed
  into action to crank out Power7 chips and probably the z11 mainframe engines
  too. ?
  ---------------------
最後の一節は Morgan 記者の推測に過ぎませんが、45nm ラインもフル操業体制に
なっているとか。
395MACオタ:2010/01/23(土) 16:14:50 ID:ebeYPP2S
牧野教授の『スーパーコンピューティングの将来』が今月は怒涛の更新ですね。
最新はコレですが、スーパーコンピュータ関係のスレッドでまた怒り狂うネトウヨ
さんが現れそうな…
http://www.artcompsci.org/~makino/articles/future_sc/note083.html
  --------------------
  そういう見積もりをもってくるのは、そういうので買ってくれる人がいるからですから、
  買うほうに問題がある、ということではあります。国立大学の計算機センターや、
  理研の次世代にしても結局は同じ問題、というところもあります。ハードウェアの思想
  が15年遅れ、というだけではなくて、計算機を買う側の意識が、計算機というのは大変
  高価なものであるという 30年くらい前の意識のままである、ということです。
  --------------------
396MACオタ:2010/01/23(土) 16:52:14 ID:ebeYPP2S
ここのところしばらくカキコミしていなかった間の POWER/PowerPC 関係の大きな
ニュースは IBM の新組込コア "PowerPC 476FP" です。
http://www-03.ibm.com/press/us/en/pressrelease/28399.wss
 - LSI との共同開発
 - 1.6GHz @ 45nm SOI
 - 1.6W, 3.6mm^2
 - 256k - 1MB L2 support
 - PLB6 local bus, support 1 - 16 core

順当に考えると、これにHPC専用 Book-E 規格 APU を組み合わせたモノが
"Sequoia" (BG/Q) のプロセッサになりそうです。

実は今週もこのPPC476、ちょっとニュースに顔をだしていました。
http://www.eetimes.com/news/semi/showArticle.jhtml?articleID=222301670
  -------------------
  LSI announced in September it helped IBM Corp. developed the multicore PowerPC
  476FP. A four-core version running at up to 1.6 GHz is now available from LSI in
  TSMC's 40nm process.
  -------------------
共同開発の権利なのかどうかは不明ですが、TSMCでも製造できるようです。同時に
LSIは 500MHz eDRAM を顧客の設計に提供するというアナウンスもしてます。

ところで私にはハイエンドネットワークプロセッサと言えば、この辺のコアを使用した
SoC 製品になるような気がするのですが、>>312の "Wire-Speed Power Processor"
の正体が何なのかは、来る ISSCC の発表が楽しみです。
397Socket774:2010/01/23(土) 17:59:53 ID:pI7SLEoh
また自分を棚にあげて牧野はしょうもないこと書いてるな
398Socket774:2010/01/23(土) 19:02:05 ID:uTurV4UX
銀ピカの棚?
399MACオタ:2010/01/23(土) 21:03:13 ID:Mz/BEPLA
>>393の龍芯3号ですが、一昨年のHot Chips 20のプレゼン資料が公開されて
います。
http://www.hotchips.org/archives/hc20/3_Tues/HC20.26.621.pdf
個人的には今までの報道を勘違いしていた部分がありました。
 - 龍芯3は MIPS64 の "GS464" コアと SIMD プロセッサの "GStera" コア
  のヘテロジニアス構成
 - 報道中で出ていた『コア数』は上記の2種類のコアの合計
400MACオタ@補足:2010/01/23(土) 21:07:36 ID:Mz/BEPLA
そういう訳で、今年のTOP500で5位に輝いたGPGPUクラスタ天河1号も
含めて、中共のスーパーコンピュータ・プロジェクトはヘテロジニアス
路線に専念していると言えそうです。
401MACオタ:2010/01/23(土) 21:28:12 ID:Mz/BEPLA
ちょっと古いニュースですが、>>382-383あたりで書いたTSMC 40nm プロセス
の歩留まり、現状で解決されているというニュースが流れています。
ソースは Digitimes ですが、すぐ読めなくなるので DailyTech の記事を
引用しておきます。
http://www.dailytech.com/TSMC+Says+40nm+Problems+Resolved+Preparing+28nm+Fab+Production+/article17355c.htm
  -----------------------
  DailyTech spoke with a TSMC spokesperson yesterday, who stated
  that TSMC's 40nm yields are now "approximately at the same level"
  as the more mature 65nm process. Semiconductors are made in
  lithography chambers, and the process can be comprised of several
  hundred steps. Usually a new manufacturing process is developed
  and refined in a test fab and then transferred to production
  lines in a process called Chamber Matching. This theoretically
  ensures standard conformity and higher yields. There were several
  problems with chamber matching on TSMC's 40nm lines, leading to
  yield problems despite using the same process and recipes.
  -----------------------
402Socket774:2010/01/24(日) 02:32:46 ID:L+Rd/SCB
>>399
オタさんとあろうものが勘違いですか

たしか、英語だったけどそのものずばりの記事があったよ
ちょっとブクマを参照できない状況にあるので
アドレスは貼れないんだけど
403MACオタ:2010/01/24(日) 03:09:57 ID:Q/AWDBGf
>>396に書いた PowerPC 476, もう少し調べてみました。

まずこちらのプレゼン資料は概要を判りやすく書いてあります。
http://www.power.org/events/powercon09/taiwan09/IBM_Overview_PowerPC476FP.pdf
HPC向け SoC に使用される筈の Book-E APU (演算器やレジスタの内部拡張仕様)に
関しては、この資料の P.6 に次のような記述があります。
  ------------------------
  ・ High performance out-of-order auxiliary processor pipeline interface
   - Support the floating point unit
   - Support for future accelerator extensions such as VMX
  ------------------------
ますますもって、Sequoia のベースとなる公算は大きいかと。

更に 32nm 世代までは予定に入っているようで、こちらのプレゼンの P.7 にロードマップ図
が掲載されています。
http://www.cn.power.org/resources/power/pdf/06.PPC%20Embedded%20PowerPC%20Architecture.pdf
 - 476FP 12S: 45nm世代, 1.6GHz, 3.5mm^2 / 1.6W
 - 476FP 13S: 32nm世代, 2.1GHz, 2.5mm^2 / 1.3W
その他、P.34にはチップ内バスの PLB6 の帯域幅が 102.4GB/s であること、P.35 には
PPC440 直系である PPC464FP と比較して 7段パイプラインから 9段パイプラインにした分
ステージあたりのロジックは 37FO4 から 26FO4 に減少し、動作クロック向上に寄与している
ことが記されています。

積極的なロードマップから見ても、どうやら IBM の今後の組込向けコアはこの系列で決定の
ようで、現世代のゲーム機に使用された PPE / PX コアはお払い箱になったようです。
次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
のではないでしょうか。
404MACオタ>402 さん:2010/01/24(日) 03:13:00 ID:Q/AWDBGf
>>402
  ----------------
  オタさんとあろうものが勘違いですか
  ----------------
てっきり GS464 も GStera も同じ MIPS64 コアで、GStera の方には Altivec や SSE のような
かたちで SIMD ユニットが追加されているモノと解釈してました。
405MACオタ:2010/01/24(日) 03:40:14 ID:Q/AWDBGf
龍芯情報、少し追加。
http://blogmag.ascii.jp/china/2010/01/003384.html
  -----------------------
  これが今までの龍芯のように「創ったという結果を残して終了」ではなく、スパコン
  「曙光」のロードマップでは、曙光6000に8000超の龍芯3号を載せるとしているし、
  また上海のお隣、江蘇省政府は15万台の龍芯3号搭載PCを購入することを約束し
  ている。後者は額にして、2009年年末に5000万元(約6億8000万円)、今年と来年で
  それぞれ1億5000万元(20億円強)を支払うのだそうだ。15万台を50億円弱で購入す
  るのなら、平均して1台あたり3万円強となる。
  -----------------------
山谷氏は過去記事で龍芯を散々ネタ扱いしてきましたが、今回は好評価のようで…
406Socket774:2010/01/24(日) 10:23:08 ID:L+Rd/SCB
>>404
たしか↓の記事だったかな?

Hot Chips: the third Dragon CPU
http://www.h-online.com/newsticker/news/item/Hot-Chips-the-third-Dragon-CPU-737069.html
407MACオタ>406 さん:2010/01/24(日) 11:33:04 ID:bsqgJCTe
>>406
ご紹介ありがとうございました。

それにしても>>336-337 に書いた、

『CELL/B.E. を叩くのに懸命な日本…
 一方、欧米ではスーパーコンピュータ用コアとしておいしくいただいた。』

という構図も興味深いですが、中共のヘテロジニアスへの傾倒も,

『Intelを持ち上げて、成功した自国開発のヘテロジニアスチップ CELL/B.E. を
 引きずりおろすのに必死な日本。一方、中国はヘテロジニアスコアにx86
 エミュレータを実装していた。』

という対比でみると、なかなか(笑)
408Socket774:2010/01/24(日) 12:28:00 ID:1LpyWn63
ヲタさんもういいんだよ、cellは終わったんだよ・・・
409MACオタ:2010/01/24(日) 18:04:32 ID:7sUNozEW
>>396, >>403 で紹介した PPC476FP に関して GCC ML に情報が出ているようです。
http://gcc.gnu.org/ml/gcc-patches/2009-10/msg00499.html
 - 推測通り FPU は APU インターフェース接続で、FPU 無しの PPC476 もありえる。
 - 命令レイテンシ
  単純整数演算(加減算、論理演算、等): 1
  複雑整数演算(整数乗算、SPRアクセス、等): 4
  整数除算: 11, non-pipelined
  ロード/ストア: 4 (アップデート付きアドレシングのペナルティなし)
  浮動小数点演算: 6
  浮動小数点除算: 19 (単精度), 33 (倍精度), 共に non-pipelined

ところで>>403 で書いたこれですが、大きな勘違いで PPE と違って 32bit コアのPPC47x
では無理でした。
  -----------------
  次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
  のではないでしょうか。
  -----------------
410Socket774:2010/01/24(日) 18:24:07 ID:WHluXdx0
>>409
ブルドーザの目指してるところってこれじゃないのか
411MACオタ:2010/01/24(日) 18:58:23 ID:oeZiwZQt
それでは 64-bit 組込コアのロードマップはどうなっているかというと、"PowerPC A2"
という情報が世間では飛び交っているようです。
これもちゃんと根拠があったようで、GCC に設定が追加されていました。
http://gcc.gnu.org/ml/gcc-patches/2009-09/msg01764.html
 - こちらはちゃんと PPC64。
 - 組込向けコアなのは間違いないらしく、APU 接続演算リソースの定義がある。
 - in-order コアの様に見える
 - 乗除算専用パイプラインがあるらしい (DSP?)
 - MT は止めた?
 - 命令レイテンシ
  整数乗算: 1 (32bit), 6 (64bit)
  整数除算: 32 (32bit), 65 (64bit), 共に non-pipelined
  ロード: 5 (整数), 6 (fp)
  ストア: 1 (整数), 2 (fp)
  浮動小数点演算: 6
  浮動小数点比較: 5
  浮動小数点除算: 59 (単精度), 72 (倍精度), non-pipelined
  平方根: 65 (単精度), 69 (倍精度), non-pipelined

PPE直系の設計の様に見えます。文中に"SPE"なる記述も…
412Socket774:2010/01/24(日) 19:04:30 ID:kTtH3DnY
>>411
次のCELLにはこれが付くのか
413MACオタ@訂正:2010/01/24(日) 19:26:58 ID:oeZiwZQt
>>411 はちょっと訂正。
  ------------------
   - MT は止めた?
  ------------------
a2.md に記されたレイテンシ記述と、rs6000.c に記されたものが、ほぼ
2:1 の比率になっているようですから、2-way FGMT で間違い無さそうです。
414MACオタ>412 さん:2010/01/24(日) 21:06:48 ID:oeZiwZQt
>>412
  ----------------
  次のCELLにはこれが付くのか
  ----------------
むしろ次期 XCPU かと。

参考までに PPU の記述と比較してみました。
http://gcc.gnu.org/viewcvs/branches/ibm/power7-meissner/gcc/config/rs6000/cell.md?view=log
a2.md の中で演算リソースの割付が"nothing"のモノは、枠組だけ用意して
数値は適当な値を入れてあるだけっぽいので、もっともらしい値だけ比較します。
 64bit整数乗算: 9 cycles (PPU) -> 6 cycles (A2)
 32bit整数除算: 32 cycles (PPU) -> 32 cycles (A2)
 64bit整数除算: 64 cycles (PPU) -> 65 cycles (A2)
 浮動小数点演算: 10 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ロード: 7 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ストア: 13 cycles (PPU) -> 2 cycles (A2)
 浮動小数点比較: 6 cycles (PPU) -> 5 cycles (A2)
 単精度fp除算: 74 cycles (PPU) -> 59 cycles (A2)
 倍精度fp除算: 74 cycles (PPU) -> 72 cycles (A2)
 単精度fp平方根: 84 cycles (PPU) -> 65 cycles (A2)
 倍精度fp平方根: 84 cycles (PPU) -> 69 cycles (A2)

・整数DSP用のパイプラインが新設されて、一般の処理を行う一般整数パイプラインの負荷が
 軽くなった
・全体にパイプラインが短くなった
というのが改善点なのでしょうか?
415MACオタ@補足:2010/01/24(日) 21:14:11 ID:oeZiwZQt
>>411 でキャッシュに関する記述を書き忘れたので、追記しておきます。
 - 64-byte キャッシュライン
 - 16KB L1
 - 2MB L2
 - 16本の自動プリフェッチストリーム

キャッシュラインのサイズを半分にして、多少は利用率を上げた一方で、L1 は PPU より半減
ですか…
416MACオタ:2010/01/24(日) 21:40:25 ID:oeZiwZQt
こちらも昨年秋のニュースですが、AMCC の Titan コアを搭載した製品が発表されています。
Titan の発表ってもう2年以上前だったりするのですが…
http://pc11.2ch.net/test/read.cgi/jisaku/1178140550/392
http://pc.watch.impress.co.jp/docs/2007/0531/mpf07.htm
AMCC のリリースはこちら。
http://investor.appliedmicro.com/phoenix.zhtml?c=78121&p=irol-newsArticle&ID=1342823&highlight=
  -----------------------
  The APM 83290 includes a processor subsystem that integrates two Titan cores
  based on Power Architecture technology, delivering frequencies of 1.5 GHz per core.
  The Titan core is a superscalar, dual-issue, out-of-order core designed to achieve
  industry leading single thread performance on a per clock basis. Along with high
  performance, innovative circuit design techniques enable the APM 83290 to deliver
  speeds of 1.5 GHz in 90nm bulk CMOS while comparable designs require 45nm SOI
  process technology to achieve similar operating speeds.
  -----------------------
今となってはあらゆる点で PPC476 に劣る訳ですが、リリースにあるように 90nm バルクプロセス
で同レベルのクロックを実現しているのは立派と言えるのかも。
量産は今年Q1なので、476より早く登場するのも確かです。
417Socket774:2010/01/24(日) 21:47:06 ID:kTtH3DnY
>>414
なぜXCPU、CELLとは考えないの?
418MACオタ>417 さん:2010/01/24(日) 21:54:55 ID:oeZiwZQt
>>417
PPUの開発リソースをMSに横流しされた恨みをそう簡単に忘れるとも思えませんが…
419Socket774:2010/01/24(日) 22:00:13 ID:kTtH3DnY
>>418
それが根拠なの、根拠が弱いと思う
420MACオタ>419 さん:2010/01/24(日) 22:08:46 ID:oeZiwZQt
>>419
  --------------
  根拠が弱いと思う
  --------------
では言い換えましょう。チップ開発能力が殆ど無いMSのために、半導体開発の研究所を
持つSONYが開発費を共同で負担してあげる必要があるでしょうか?
421MACオタ@補足:2010/01/24(日) 22:20:51 ID:oeZiwZQt
>>419
真偽はともかく、こういう報道もありました。
http://pc.watch.impress.co.jp/docs/2008/0929/kaigai469.htm
  --------------------
  ちなみに、Cell B.E.の開発をSCE(ソニー)、IBM、東芝の3社のエンジニアで行なった
  米オースティンのSTI Design Centerには、現在、SCEのアーキテクトチームはほと
  んど残っていないと言われる。
  --------------------
現時点で未発表の"A2"ですから、昨年初頭の段階はちょうどアーキテクチャ設計の最中
だった筈。その時点でSCEの技術者が手を引いていたすれば…
422Socket774:2010/01/24(日) 22:40:27 ID:kTtH3DnY
>>420
前提がMSありきで、共同開発orリークというのがおかしい
>>421
今回のはpower関連だからとも取れる
423MACオタ>422 さん:2010/01/24(日) 23:06:10 ID:oeZiwZQt
>>422
IBMは商売に関しては悪の権化のような会社です。客から開発費をふんだくった上、
開発した製品の販売権も手に入れるという所業を繰り返しています。

今回話題にしている PPE, PPC476 も全て例外ではありません。
 ・PPE: SONYの資金で開発 -> MSに派生製品をライセンス
 ・PPC476: LSI Corp. の資金で開発 (>>396参照) -> コアはIBMブランドで販売
https://www-01.ibm.com/chips/techlib/techlib.nsf/products/PowerPC_476FP_Embedded_Core

さて、A2の開発費を出した客は誰でしょうか?誰が A2 を必要としているかで判るかと。
424MACオタ@続き:2010/01/24(日) 23:36:36 ID:oeZiwZQt
ちょっと CELL/B.E. 開発の現状を整理してみましょう。

龍芯3号と同じ Hot Chips 20 で東芝は SpursEngine を発表しています。資料はこちら。
http://www.hotchips.org/archives/hc20/2_Mon/HC20.25.211.pdf
p.17を見れば判りますが、SPEは単にバルクSiで製造しているだけでなく、完全にレイアウト
設計をやり直しています。SpursEngine が PPE を持たないのも周知の通りです。

一方で IBM が HPC 向けに設計した PowerXCell のレイアウトはこんな具合。
http://www.power.org/resources/devcorner/cellcorner/hpcspe.pdf (P.18参照)
倍精度ユニットは正に『ポン付け』としか言い様がありません。最新の CELL/B.E. のユーザー
ズマニュアルを読めば書いてますが、PowerXCellで新たにサポートされたDDRメモリの
インターフェースも、XDRメモリコントローラの先にコンバータが『ポン付け』…

IBMの設計がダメとは言いませんが、地道な設計の最適化を行うような人的リソースが
無いのは明らかです。そんなIBMに改良設計を頼むような顧客って誰でしょうか?
425MACオタ@続き:2010/01/25(月) 00:01:16 ID:EtwJE1f0
もう少し大胆に予測してみましょう。

まず、PPC476。 2-issue の PPC440 シリーズから一気に 5-issue OoOE に高性能化を
図りました。共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう
が、これって仕様としては明らかに PPC750 (PowerPC G3) シリーズの後継に当たります。
おそらく IBM が狙う顧客は任天堂でしょう。
APU インターフェースには小変更した VSX ユニットを搭載して、従来の倍精度FPUレジスタ
応用の単精度2並列SIMD命令をサポートすると共に、Altivec でSIMD幅2倍の性能向上も
図るものと思われます。

PPC-A2については、SONYとMS以外にはさっぱり売れなかったPPEをあえて改良したという
ことは、どちらかの会社が開発を依頼したことが間違いありません。しかし、それに留まらず
IBMの狙いは両方に売って大儲けすることです。
>>424 に書いたように、より開発依頼をする動機があるのはMS。しかし舶来信仰の日本企業
も引き続きパートナーシップを継続しようとする可能性はあります。

ここで注目すべきは、CELL開発中止のリーク。
http://www.itmedia.co.jp/news/articles/0911/25/news030.html
これもIBMのいつものやり方で、過去にはAppleのIntel移行の際にもPowerPCの極秘ロード
マップが半ば意図的にIBMのホームページに置いてあったことがありました。
メディアを利用したFUDはIBMのいつもの手口です。CELLがネタになっていることから、ター
ゲットはSONYでしょう。SONYは疑惑の2社のうち、積極的じゃ無い方ということになります。
従って A2 の顧客は MS でしょう。
SONYがIBMのFUDに掛かったか、否か、は現段階では不明ですが PS4 が一番先行不明
ということになりそうですね。

当たるか外れるかは数年後のお楽しみ。
426Socket774:2010/01/25(月) 00:19:36 ID:X8K8/API
ポン付けワロタw 正しくモジュール志向な設計方法取ってんなw
427Socket774:2010/01/25(月) 00:23:39 ID:7c1nIx4k
なんかSONY信者さんが狂ってますね

半導体開発の研究所を持つSONYさんがなぜIBMに開発を委託してるんでしょ?
単にMSと同じでCPUのような大規模プロセッサの開発能力がないからでしょ?
428MACオタ>427 さん:2010/01/25(月) 00:27:37 ID:EtwJE1f0
>>427
  ------------------
  CPUのような大規模プロセッサの開発能力がないからでしょ?
  ------------------
外人様に開発していただいたプロセッサを、最適化しつつシュリンクする術に長けている
ことはPS2用チップで証明済みかと?
429Socket774:2010/01/25(月) 00:28:40 ID:7c1nIx4k
>>428
うん だから開発する能力はないんでしょ?
430Socket774:2010/01/25(月) 00:47:13 ID:D0vEs2EC
MSはAMDに依頼する可能性も高いから
どうなるかはわからんな。CPUもGPUも
同一会社開発、製造の方が何かと楽で安心だろうし。
431Socket774:2010/01/25(月) 00:48:15 ID:uD0VHped
これでSONY信者と読み取ってしまう思考能力はある意味跳躍してるな。

つかSONYはPPE'なりA2なり使うしかないじゃん。
x86プロセッサーをSPEの頭になんか無理なんだし。
432Socket774:2010/01/25(月) 01:02:39 ID:oS0z0R8a
>>425
IBMによるFUDか…
後藤氏が最近になって拡張版CellをPS4に載せる計画が白紙になったと言ってたが…時期的に合致するのかな
433Socket774:2010/01/25(月) 01:05:03 ID:cfEItLPZ
枝葉末節に噛み付いてでもソニーを貶めたくてしょうがないんだろうなw

>>425
2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
全然出てきそうな気配がないけど。
434MACオタ>433 さん:2010/01/25(月) 01:13:48 ID:EtwJE1f0
>>433
  ----------------
  2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
  ----------------
もう今年は2010年ですから消えたのでは?
ただし、"A2"により PPE' 相当のコアが現存したことが確認された訳です。
435Socket774:2010/01/25(月) 01:15:33 ID:gNrlrMWP
コンソールの世代間の性能差となるとやっぱ一桁くらいは欲しいから、
まだちょっと早いんじゃないかなあ。
436MACオタ>435 さん:2010/01/25(月) 01:18:52 ID:EtwJE1f0
>>435
次世代 CELL 用に A2 造ったけど、SONY が手を引いちゃったのでコア仕様だけ
公開して客待ちという可能性も無いとは言えませんか…
437Socket774:2010/01/25(月) 01:26:11 ID:gNrlrMWP
まあチップじゃなくてコアだからあってもいいのかもね。
32SPEのチップとか言う話だと今年大量に使われることはまずないけど。
438Socket774:2010/01/25(月) 01:43:52 ID:eHzXwpwP
そういやPS2のEEってかなり速いらしいね
ゲーム使用に限定するなら世代の違うPPEと比べても遜色ない性能だとか
439Socket774:2010/01/25(月) 09:41:10 ID:xp4UgILQ
ゲーム用CPUは暫し休憩だよ。
MSは現行チップを45nmに移行させ、かつ、省電力にしないと次の6 or 8コアに
取り組めない。SCEはチップ面積的に45nm世代は無理。いずれも32nm世代以降
だが、両社爆熱での品質不良や高価格でWiiに完敗した経験から、32nm世代で
は無理せず、その次の22nm移行前後位しか次のゲーム機は出しづらい。
しかし、CPU設計のコア部分はもう大幅変更する力は両社とも残っていない
から、現行コアの改良だけなら急いで研究開発してその技術を他社に横流し
されたら涙目だし。
ゲーム機の三国志状態は続くし、ソフトメーカーのマルチ化は続くから、
移植の容易さを確保する必要があるので、極端に変わるとは思えないしね。
440Socket774:2010/01/25(月) 20:46:09 ID:vjDAiAJY
ゲーム機自体は、携帯機が主戦場になっちゃってるからねぇ…。

省電力コアとか機能の取捨選択という部分では面白いし
組み込み系チップのハイパフォーマンス化を先導するのだけど
スパコンとかそういうレベルの話では無いからね。
441Socket774:2010/01/25(月) 21:30:04 ID:968avAjx
それにしても、22nmとそれ以降って、まともに微細化がすすむのかねえ。
EUVになるのかEBになるのか。いずれにしてもすごいコストだ。
ゲーム用ならスループットの高いEUVが必要だろう。
代わりの3DLSIのロードマップもあっちこっちで出ているが、こないだの学会じゃ大手はみんな及び腰。
2015年に密結合の3DLSIとか書かれているが、3年5年遅れても驚かんぞ。
442Socket774:2010/01/25(月) 21:38:26 ID:kt559r2q
台湾が新しいリソグラフィ技術を考案しましたよ
http://journal.mycom.co.jp/articles/2010/01/25/iedm2009_sram/index.html

とは言えこういうのがすぐに物になるとも思えんが
443Socket774:2010/01/25(月) 21:51:41 ID:968avAjx
>442
これはただのEBの変種だからな。
EBの最大の問題はスループット。
この問題を解決する方法は、マルチビームとか昔から研究されているが
まともな形になったものはまだない。

少量な試作に使うくらいなら何とかならんこともないだろうが。
444Socket774:2010/01/25(月) 22:16:56 ID:kt559r2q
ま、確かに
現行の方式と比べて二桁ほど足りないんだっけか
装置価格との兼ね合いもあるけどまだ話にならんね
445MACオタ:2010/01/25(月) 23:11:41 ID:JoSA0K5J
どうやら PPC A2 は思ったより大物な気がしてきました。
命令セットの一覧が Binutils ML に投稿されています。
http://sourceware.org/ml/binutils/2009-09/msg00495.html
POWER5までの 64bit POWER サーバーの命令の全てと、POWER7 命令の一部を
サポートする上、多くの新命令が追加されています。
  ----------------------
  * ppc-opc.c (powerpc_opcodes): Add eratilx, eratsx, eratsx.,
  eratre, wchkall, eratwe, ldawx., mdfcrx., mfdcr. mtdcrx., icswx,
  icswx., mtdcr., dci, wclrone, wclrall, wclr, erativax, tlbsrx.,
  ici mnemonics. Update other mnemonics where required.
  [略]
  + { "ppca2", (PPC_OPCODE_PPC | PPC_OPCODE_CLASSIC | PPC_OPCODE_ISEL
  + | PPC_OPCODE_POWER4 | PPC_OPCODE_POWER5 | PPC_OPCODE_CACHELCK
  + | PPC_OPCODE_64 | PPC_OPCODE_PPCA2),
  + 0 },
  [略]
  +{"bpermd", X(31,252), X_MASK, POWER7|PPCA2, PPCNONE, {RA, RS, RB}},
  -----------------------
といった感じ。同時に、Freescale e500 の命令の多くもサポートしているようです。
例えば、こんな風。
  -----------------------
  +{"dcbtstep", XRT(31,255,0), X_MASK, E500MC|PPCA2, PPCNONE, {RT, RA, RB}},
  -----------------------
仕様はてんこ盛りな訳ですが用途は何なんでしょう…というか、結局これが今年のISSCCで
発表される"A Wire-Speed Power Processor" (>>312参照)なのでは?
446MACオタ@補足:2010/01/25(月) 23:32:49 ID:JoSA0K5J
自分のカキコミを読み直して、単なる腐れルーマーの類だと思っていた
『PS4にPOWER7が搭載される』というネタの大元は、IBMが"A2"コアを
SONYに売り込んだという話が元になっているのではなかろうかという
気がしてきました。
http://gaming.hexus.net/content/item.php?item=21348
ちなみに binutils のリポジトリを掘っていくと、"e500mc64" なる名前が登場します。
http://sourceware.org/cgi-bin/cvsweb.cgi/src/opcodes/ppc-dis.c?rev=1.44&content-type=text/x-cvsweb-markup&cvsroot=src
  ----------------------
  { "e500mc64", (PPC_OPCODE_PPC | PPC_OPCODE_BOOKE | PPC_OPCODE_ISEL
   | PPC_OPCODE_PMR | PPC_OPCODE_CACHELCK | PPC_OPCODE_RFMCI
   | PPC_OPCODE_64 | PPC_OPCODE_POWER5 | PPC_OPCODE_POWER6
   | PPC_OPCODE_POWER7),
   0 },
  ----------------------
どう見ても、Freescale QorIQ の 64bit 版な訳ですが、POWER7 命令もサポートしているよう
に見えます。
果たしてサマセット研時代のようなPOWER陣営大連合が果たされるのかどうか…
447Socket774:2010/01/25(月) 23:49:24 ID:XnEjUZhf
PPC A2のお披露目に期待age
448MACオタ:2010/01/26(火) 00:17:14 ID:hWVdbD19
POWER7ですが、ISSCCでの論文発表と同時に製品も発表されると言うことになりそうで。
http://www.itjungle.com/tfh/tfh012510-story07.html
  ------------------------
  Power your planet.

  In February, IBM will introduce the next generation Power Systems--the first of a
  family of systems and storage designed to meet the demands of a smarter planet.
  From the chip and virtualization capabilities all the way through to the operating
  system, middleware and energy management, Power Systems from IBM are integrated
  to help support the complex workloads and dynamic computing models of a new
  kind of world.
  Power Systems--the future of Unix servers. They're coming. Smarter systems for a
  Smarter Planet.

  ibm.com/poweryourplanet
  ------------------------
ソースは Wallstreet Journal に掲載された新聞広告だそうですが、確かに…
http://www-03.ibm.com/systems/info/power/poweryourplanet/
449Socket774:2010/01/26(火) 02:05:17 ID:2Kcd+3ZS
MACオタさん洞察すばらしいですね。
某社ではこのA2のことで話題が持ちきりでしたw
450Socket774:2010/01/26(火) 12:16:06 ID:OKvycky1
各地でMACオタさんの株が上昇しています↑
451MACオタ:2010/01/26(火) 21:39:51 ID:bF9XPRSO
PPC A2 が ISSCC で発表される "Wire-Speed Power Processor" だとすると、
アブストラクトには、こうあります。
https://submissions.miracd.com/ISSCC2010/WebAP/PDF/AP_Session5.pdf
  --------------------
  A 64-thread simultaneous multi-threaded processor uses architecture
  and implementation techniques to achieve high throughput at low power.
  Included are static VDD scaling, multi-voltage design,
  clock gating, multiple VT devices, dynamic thermal control,
  eDRAM and low-voltage circuit design. Power is reduced by >50% in a
  428mm2 chip. Worst-case power is 65W at 2.0GHz, 0.85V.
  --------------------
PPUより大規模そうな仕様にしては、16-core のチップ全体で 65W@2GHzは
現実的な数字に見えます。
それでも 4 Flops/Cycle 程度の APU を搭載したとして、2GHz でおよそ 2GFlops/W。
チップ単体でこれでは、システム全体で3GFlops/W を狙うと言われる Sequoia 用の
プロセッサでは無さそうに見えますが、さて。
452MACオタ@補足:2010/01/26(火) 21:47:59 ID:bF9XPRSO
A2 = Wire-Speed Power 説ですが、RealWorldTech 掲示板で Wes Felter 氏が思わせぶり
なカキコミをしていますね。
http://www.realworldtech.com/forums/index.cfm?action=detail&id=106880&threadid=106859&roomid=2
  ------------------------
  >Is there any primary source about the A2, or hard evidence of it at all, besides
  >this file in GCC?

  Be patient. (But not too patient.)
  ------------------------
ちなみに Felter 氏はこんなヒト。
http://felter.org/wesley/
453MACオタ:2010/01/26(火) 22:03:10 ID:bF9XPRSO
Freescale の 64bit Book-E プロセッサ, e500mc64 の方ですが、GCC の
リポジトリに Machine Description が置いてありました。
http://gcc.gnu.org/viewcvs/branches/ibm/power7-meissner/gcc/config/rs6000/e500mc64.md?revision=156013&view=markup
  -----------------
  ;; e500mc64 64-bit SU(2), LSU, FPU, BPU
  ;; Max issue 3 insns/clock cycle (includes 1 branch)
  -----------------
パイプライン構造、レイテンシ共に e500mc (QorIQ) と変わらない様ですから、
上限 2GHz 程度の普通の上位組込コアの様です。
Freescale は e500 コアで Altivec をサポートするつもりは無い様ですから、興味深い
応用は無さそうですね。
454Socket774:2010/01/26(火) 22:17:50 ID:rUrHKrVa
>>453
XBOX用って言っていたのは何だったのか?勘違い?
455Socket774:2010/01/26(火) 22:40:35 ID:z9y0xD40
やっぱりIBMオタに改名するベキダ
456MACオタ>454 さん:2010/01/26(火) 22:40:38 ID:bF9XPRSO
>>454
  -----------------
  XBOX用って言っていたのは何だったのか?勘違い?
  -----------------
命令セットの増強を見ると、単純な PPU/PX 改良版では無かったようです。

もっともBMが客も決まっていないプロセッサを開発する訳がありませんから、A2 に関
しては XCPU 後継として使われる可能性があります。しかし、その場合は VMX128 後継
となる APU を別途開発する必要がありますから、もう少し先の話では?

実現するとすればバリア同期やコア単位の電力管理に役に立つ "Wait" カテゴリの命令
が大きく増強されているようですから、8コア以上のマルチコアとして実装されそうですね。
457Socket774:2010/01/26(火) 22:44:56 ID:rUrHKrVa
>>456
先走っての勘違いって事か
先走りすぎだなMACオタ
458MACオタ:2010/01/26(火) 22:48:24 ID:bF9XPRSO
新聞報道されていた京速の機密文書が公開されたようです。
http://www.mext.go.jp/b_menu/houdou/22/01/__icsFiles/afieldfile/2010/01/26/1289511_1.pdf
作業部会での悲惨な評価はp.13からの資料にあります。
  -------------------
  ・ スカラ部による性能目標達成のためには、ベクトルは完成が遅れてもやむを得ない。
  ・ 現状では、世界一奪取に対する貢献度が見えない。
  ・ 統合アプリはない、統合Linpack はやらない、ということなので、ベクトル部を継続する意義
   はほとんど無い。
  ・ ベクトルで3ペタ達成可能であるならば、作る意味はある。3ペタが達成されないならば意義
   は低い。
  ・ メモリーのクロックが遅くなったベクトル計算機では、既存プロクラムの継続利用以外のメリ
   ットが無くなりつつあり、1ノード毎にばらばらに使うのでなければ、システム構成として見
   直すべき時期に来ている。
  ・ 現在のベクトル部詳細設計では、メモリバンド幅・演算速度比がベクトル計算機として
   効率的に動作するには小さすぎる。また、電力が世界の状況と比較して過大である。し
   たがって、製作を行うことには、地球シミュレータ以来のソフトウェア資産を継承する
   以外の意義は少なく、仮に中止したとしてもその影響は限定的である。
  -------------------
NECって自主的撤退と言うよりは、切られたのでは?
459Socket774:2010/01/27(水) 10:17:34 ID:GBdUBjOh
携帯型コンピュータのプロセッサ、「2013年にはARMがx86を超える」
http://www.eetimes.jp/news/3634
460Socket774:2010/01/27(水) 12:51:54 ID:inAvk0vH
2004年にはItaniumがx86を超える(キリッ
461Socket774:2010/01/27(水) 21:27:00 ID:GJygqFJt
すでに8086くらいは超えてるな
462レトリック君:2010/01/28(木) 02:06:01 ID:vLn9Fpin
>>461
志村ーッ、2004だってばw
463Socket774:2010/01/28(木) 07:59:29 ID:cxikDypa
Apple A4のベースになってるARMって何なのか分かる人いる?
資料が見つからない…
464Socket774:2010/01/28(木) 21:11:18 ID:pv6sxUZX
なんで、出来合いのチップを調達せずに、わざわざ自前で用意しようと思ったんだろうな?
465Socket774:2010/01/29(金) 00:36:19 ID:eRQ+cH87
>>464
appleだからだろ。
68kMAC時代から命令セットに手を入れる会社だし。
466Socket774:2010/01/29(金) 00:40:03 ID:oTd58LGM
3G → 90nm / ARM11 412MHz / PowerVR MBX-Lite
3G S → 65nm / ARM Cortex A8 600MHz / PowerVR SGX
どちらも既存のものをベースにちょいカスタムしたSamsung製SoCを採用。

自前でもなんでもなく順当にiPadもこの系統だと思うが。
IPは他社のものだからどうしようもないけど、SoC設計をApple買い取ったってことなのかもね。
467Socket774:2010/01/29(金) 01:48:49 ID:peOYWx6c
A1→68k
A2→PowerPC
A3→x86(-64)
A4→ARM

A3はいつまで続くのかなぁーっと
468MACオタ>467 さん:2010/01/29(金) 01:54:39 ID:nDBbxlWP
>>467
Apple ][ が抜けているのは、ちょっと歴史認識が間違っている気が…
469MACオタ:2010/01/29(金) 02:00:17 ID:nDBbxlWP
TomsHardware の SpursEngine レビュー。
http://www.tomshardware.com/reviews/leadtek-winfast-pxvc1100,2523.html
複数のIntel/AMDのホストプロセッサでの比較を行っていますが、
 - 消費電力据置きで、エンコード時間は半分以下で済む。
 - 画質はソフトウェアエンコーディングに匹敵
 - アドオンカードにしては値段も安い
ということで、好意的なレビュー結果でした。
470MACオタ:2010/01/29(金) 02:18:23 ID:nDBbxlWP
TheRegs の ISSCC プレビューですが、Morgan 記者は "Wire-Speed Power" を
試作品と見ている様で…
http://www.theregister.co.uk/2010/01/28/isscc_chip_preview/page2.html
  --------------------
  IBM's chip designers will be showing off another experimental Power7 derivative,
  an unnamed 2.3 GHz "wire-speed Power processor" that sports 16 cores and 64 threads.
  --------------------
471MACオタ:2010/01/29(金) 03:07:17 ID:nDBbxlWP
理研とNVIDIAが主催した"Accelerated Computing"研究会で、
https://reg-nvidia.jp/public/seminar/view/3
牧野教授が次世代GRAPE-DR の開発状況を語ったようです。
http://www.artcompsci.org/~makino/talks/roppongi201001xx.pdf (P.56)
  ------------------------
  GRAPEs with eASIC
   ・Completed an experimental design of a
    programmable processor for quadruple-precision
    arithmetic. 6PEs in nominal 2.5Mgates.
   ・Started designing low-accuracy GRAPE hardware
    with 7.4Mgates chip.

   Summary of planned specs:
   ・around 8-bit relative precision
   ・support for quadrupole moment in hardware
   ・100-200 pipelines, 300MHz, 2-4Tflops/chip
   ・small power consumption: single PCIe card can
    house 4 chips (10 Tflops, 50W in total)
  ------------------------
300MHz の HPC 向けプロセッサとはあまりに貧乏路線過ぎる気もしますが、電力効率
勝負になっている現在のトレンドには合致しているのかもしれません。
でも電力管理に(設計)リソースを振り向けられなくて、それほど効率も上がらないかも…
472Socket774:2010/01/29(金) 22:29:01 ID:48LxObXC
>>470
おいらも最初はIBM版Niagaraかと思ってたんだけど
オタさんがいろいろ書くもんだから分かんなくなっちゃった
473Socket774:2010/01/30(土) 01:36:00 ID:OCKk1ry5
armがmsと共通規格策定できれば凄いことになりそう

2年後くらいかな

1年後のandroid共通規格でもいいけど
474Socket774:2010/01/30(土) 01:46:27 ID:NWfoSSfy
PPC "A2" の開発に LSI が参加していることについて MAC ヲタが>>425で↓と書いているが
> 共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう

個人的にはネットワークプロセッサーよりも IO プロセッサーへの応用を期待してみる.
intel は IOP がディスコンしまくりだし,AMCC は 3ware を手放したみたいだが
マルチコアにスケールしやすいプロセッサーはIOプロセッサーとしてどうなのだろう?
# RAIDだとパリティ演算とかマルチコアで性能出し易そうに思えるのだが…触ったこと無いから解らん…

LSI のネットワークプロセッサーはハイエンドではない ARM だし,どうなのかね?
475MACオタ>474 さん:2010/01/30(土) 03:26:18 ID:9on66SoV
>>474
  ------------------
  > 共同開発した LSI Corp. はネットワークプロセッサへの応用を考えている
  ------------------
カキコミが分散して誤解させてしまったことは申し訳ありませんが、それA2じゃなくて
PPC476の話です(>>396参照)。
476MACオタ:2010/01/30(土) 20:37:12 ID:9on66SoV
安藤氏の今日の更新ですが、
http://www.geocities.jp/andosprocinfo/wadai10/20100130.htm
  ----------------
  今の計画では,富士通は当初計画通り2012年3月末に10PFlopsを作り,
  NECのベクトル部が無くなったのに,予算は変わらないというのは理解
  できません。
  ----------------
マスメディアでの記事まで含めて、散々事業仕分けを批判した挙句に
他人事のようにこれは無いのではないでしょうか?
文責とかそういうものって、いったい何所に…
477Socket774:2010/01/30(土) 21:52:39 ID:HaYdkyQt
翻って事業仕分けはスバラシイとか言い始めたわけじゃないんだから別に矛盾して無いでしょ。
事業仕分けに批判的な人でも京速計算機に問題が無いなんて考えてる人はほぼ皆無だと思う。
オタさんはこの問題に関して「スパコン利権vs事業仕分け」みたいな二極思考のようだけど。
478Socket774:2010/01/30(土) 21:54:18 ID:uVHFvPal
馬鹿だから仕方ない
479MACオタ>477 さん:2010/01/30(土) 22:28:24 ID:9on66SoV
>>477
  -------------
  翻って事業仕分けはスバラシイとか言い始めたわけじゃない
  -------------
いわゆる『文系』の世界と違って、自説の前提が間違っていることが明らか
になった場合は、そういう主張を行っても我々の世界では非難はされません。
むしろ過去の自説に偏執するほうが馬鹿にされます。

過去の安藤氏の主張に関しては、例えばこの記事を通読下さい。
http://journal.mycom.co.jp/articles/2010/01/02/next_generation_supercomputer/index.html
仕分け側の金田教授や、計画見直し論に京速サイドに立って批判を加えて
いるのが判るかと思います。
480Socket774:2010/01/30(土) 22:36:38 ID:CUQsMXOS
命令キューとリオーダバッファの区別のついていないMACオタが何を言うか(笑)
481MACオタ:2010/01/30(土) 22:38:16 ID:9on66SoV
ちと話は変わりますが、>>336で紹介した CELL を採用した欧州のスーパー
コンピュータQPACEの開発スケジュールが最後のリンクにあります。
もう一度貼り直しておきますが、
http://www.desy.de/dvsem/WS0910/pleiter_talk.pdf (P.32)
  ----------------
  ・ 01/08   Official Project Start
  [中略]
  ・ 08/09   Deployment of 4 racks at JSC and
          4 racks at U Wuppertal complete
  -----------------
TOP500でのお披露目まで入れても、余裕で2年以下ですね。
汎用プロセッサを選択するだけでも、これだけの開発速度を実現できるという
のは、頭においておいて良いかと思うのですが…
482Socket774:2010/01/30(土) 22:53:09 ID:3GfdHy0C
>>479
>仕分け側の金田教授や、計画見直し論に京速サイドに立って批判を加えて
いるのが判るかと思います。

そういうのが二極思考だと言っているんです。
京速サイド、事業仕分けサイドどっちの味方とかそういう視点でしか見ていない。

>今の計画では,富士通は当初計画通り2012年3月末に10PFlopsを作り,
NECのベクトル部が無くなったのに,予算は変わらないというのは理解
できません。

この主張に矛盾するような箇所は見つけられませんでした。
483Socket774:2010/01/30(土) 22:54:38 ID:3CnLW1tD
>>482
馬の耳に念仏だよ
484MACオタ>482 さん:2010/01/30(土) 23:06:20 ID:9on66SoV
>>482
  -------------------
  そういうのが二極思考だと言っているんです。
  -------------------
当該記事から安藤氏独自の『極』なる提案を読み取ることができると主張
されるのでしたら、その内容をお書き下さい。
485Socket774:2010/01/30(土) 23:12:07 ID:e4DD98L0
きもい
486Socket774:2010/01/30(土) 23:14:29 ID:OCNUFsQC
落ち着け
487Socket774:2010/01/30(土) 23:21:33 ID:3GfdHy0C
>>484
いいえそういった主張はしません。
ただ単に安藤氏は様々な状況を総合的に判断して京速は推進すべしとの結論なのでしょう。
488MACオタ:2010/01/30(土) 23:37:18 ID:9on66SoV
Power.org が Power ISA 2.06 (サーバー仕様) の特徴について白書を公開しています。
ISA 2.06 Server Environment というのは POWER7 のための規格のようなモノですから、
POWER7 の改良点そのものかと。
http://www.power.org/resources/downloads/Power.org_White_Paper_What_is_New_in_Server_Environment_of_Power_ISA_v2.06.pdf
 ・ VSX (Vector-Scalar Extension)
 ・ Processor Compatibility Register
  ISA 2.05用の仮想マシンとISA 2.06用の仮想マシンの切替に役に立つそうで。
 ・ Authority Mask Override Register と User Authority Mask Override Register
 ・ 複数ページサイズの同時サポート
 ・ DCBT/DCBTST 命令によるプリフェッチの拡張
  昔から使われているテクニックですが、より現代的な仕様を追加しました。
  - Transient (一時的使用) 指定
  - Stride-N プリフェッチ: 疎行列アクセス用
 ・ メモリアクセス順序の強制
  PowerPC ISA は『緩い』メモリオーダリングを持つ命令セットですが、SPARCやx86の
  エミュレーション用にこの機能が役に立つそうで。
 ・ DFP (Decimal Floating Point)
489Socket774:2010/01/30(土) 23:40:51 ID:r1JjubY8
オタさんファビョっちゃった
490Socket774:2010/01/31(日) 09:40:25 ID:MzIQbD9D
一瞬POWER7版PowerPCかと思ったw
491Socket774:2010/01/31(日) 15:13:46 ID:vqFsqtRV
MACオタの口から文系批判が出るとは思わんかったw
492MACオタ>491 さん:2010/01/31(日) 18:21:54 ID:1yjeuoJz
>>491
特に批判しているつもりはありませんよ。昔、かの世界は伝統芸能の様に
説が『存在する』ということに意味があるので、現実世界の動向にかかわらず
間違いを認めると変節漢として非難されると聞いたのですが…
493Socket774:2010/01/31(日) 19:29:47 ID:KIDcoz5Q
認めなきゃ!間違いを!<オタへ
494Socket774:2010/01/31(日) 20:30:57 ID:dFNPGiKm
>いわゆる『文系』の世界と違って、自説の前提が間違っていることが明らか
>になった場合は、そういう主張を行っても我々の世界では非難はされません。
>むしろ過去の自説に偏執するほうが馬鹿にされます。

ゲハ厨風情が「我々の世界」とか言い出すようになってるとはワナビー病も根が深い
495MACオタ>494 さん:2010/01/31(日) 21:56:21 ID:1yjeuoJz
>>494
特に自慢になるような話でもありませんが、ゲハ板より私の方が古いんですよ。
古いカキコミを検索してみると、この位は辿れますね…
http://mimizun.com/2chlog/mac/piza.2ch.net/log/mac/kako/943/943041662.html
  -------------------
  6 名前:MACオタ :1999/11/25(木) 07:48
   ドルさん,解説どうも。
   サポートをMac OS 8以上に限定すれば,httpはiCabの様にHTTP Access
   機能をAppleScriptで呼び出すだけで使えるす。遅いけど。
   どなたかREALBasicあたりで頑張ってみてわいかがすかね?
  -------------------
496Socket774:2010/01/31(日) 22:57:36 ID:5I7EitZN
「> ゲハ板より私の方が古い」ことがどう>>494 と繋がるのかさっぱり分からん。
いきなり自分語りしだして何考えてるんだコイツ。
497Socket774:2010/01/31(日) 23:06:30 ID:KIDcoz5Q
しーっ、見ちゃいけません
498MACオタ@補足:2010/01/31(日) 23:19:26 ID:1yjeuoJz
ふと懐かしくなって自作板の過去ログを探していましたが、最古のスレッドも
残っているのですね。
http://mentai.2ch.net/jisaku/kako/945/
私の最初のカキコミはこれっぽいです。
http://mentai.2ch.net/jisaku/kako/945/945651630.html
  -------------------
  10 名前: MACオタ  投稿日: 1999/12/20(月) 21:57
   登録シールを見ると92年8月から使ってるApple Keyboard II (US)。
   当然キートップの刻印はほとんど消えてるす。
  -------------------
499Socket774:2010/01/31(日) 23:21:42 ID:QXjkP70+
反転、MACオタさんの株が下落しています↓
500Socket774:2010/02/01(月) 00:03:41 ID:lABIHalb
こんなMACオタには減滅した・・・かまってちゃん全開でひくわ
501Socket774:2010/02/01(月) 00:38:24 ID:GL9NK3t1
団子が消えてオタが残った
502Socket774:2010/02/01(月) 00:45:16 ID:EAq6juci
MOTO製G5の話題あたりから懐メロ入って来たとかw
503Socket774:2010/02/01(月) 01:25:25 ID:AdGDJaT5
>>476辺りでバランスを崩し始め、復旧を試みるもそのまま負のスパイラルへ
FYIや情報交換ではなく自我を保つために書き込みするタイプがたびたび陥る罠です
504MACオタ:2010/02/01(月) 19:14:44 ID:rktrAdlu
>>393 で言及されている龍芯3号の論文見つけました。
http://ams.ict.ac.cn/onchipmem/papers/ICT-Godson-3%20--%20A%20Scalable%20Multicore%20RISC%20Processor%20with%20x86%20Emulation.pdf

それから>>392でこういうニュースがありましたが、
  ----------------
   ・今年中にPOWERサーバーはPOWER7世代に更新される
  ----------------
IBMが POWER7 搭載ブレードサーバー (POWER6 搭載の JS23/JS43 の後継機)の研修会
を3月に予定しているとのこと。
http://www.redbooks.ibm.com/residents.nsf/residencies/PW-0105-R01?Open
Q2あたりに製品がでるのでしょうか?
505MACオタ@訂正:2010/02/01(月) 19:52:28 ID:rktrAdlu
上のIBMのリンクって研修会の案内じゃなくて、該当機種の Redbook の編集バイト
の募集ですね…
506MACオタ:2010/02/02(火) 06:46:33 ID:kko00L6f
2/8のISSCCのプロセッサセッションでのPOWER7講演(>>312参照)と共に、製品発表も行われるようです。
http://www.theregister.co.uk/2010/02/01/ibm_power7_launch/
  -------------------------
  It looks like IBM's initial Power7-based servers are going to be launched in
  New York on February 8. Big Blue sent out the invitations today.
  -------------------------
507,,・´∀`・,,)っ-○○○:2010/02/02(火) 23:45:50 ID:hSNfD5Gf
2chより面白いサイトがあってね
508Socket774:2010/02/02(火) 23:48:42 ID:M5C9MwaG
もう団子食べ飽きた、イラネ
509MACオタ:2010/02/03(水) 07:31:58 ID:ZkoxHoCc
なんと2/8にはTukwilaも発表になるんだとか。
http://www.theregister.co.uk/2010/02/02/intel_server_chip_launches/
  --------------------
  High-end server chip rivals Intel and IBM have picked the same day
  - next Monday, February 8 - to launch their respective quad-core
  "Tukwila" Itanium and eight-core Power7 processors.
  --------------------
すでに顧客には出荷が始まっているとのことで、Intel の新製品発表の通例
として、搭載製品も同時に公開されるのでしょう。
510MACオタ@補足:2010/02/03(水) 07:37:08 ID:ZkoxHoCc
参考までに一昨年の Hot Chips 20 で発表された Tukwila のプレゼン資料を
貼っておきます。
http://www.hotchips.org/archives/hc20/3_Tues/HC20.26.911.pdf
ここからメモリコントローラの変更が行われたことは発表されている訳ですが…
511Socket774:2010/02/05(金) 23:38:44 ID:rCFtQ7wC
ARM系で一本
Cortex A、R、Mそれぞれに後継のロードマップとな
ARM Preps 2-GHz, Multicore Chips for Smartphones
http://www.pcmag.com/article2/0,2817,2358752,00.asp

Cortex-Aに関して言えば、今後は鯖にも使われていくんだろうけど、
そうなると気になるメモリの心許なさ
アドレス空間拡張の予定はあるんじゃろうか…
512,,・´∀`・,,)っ-○○○:2010/02/06(土) 09:31:36 ID:kCi1iHQ2
サーバねぇ
513Socket774:2010/02/06(土) 11:12:35 ID:RJeUKv3j
組み込み向けサーバとかあんの?
514Socket774:2010/02/06(土) 14:22:15 ID:wMU/6bmO
ARMとか鯖にならんかと妄想したこともあるが、
よくよく考えると棲み分けできる場所が無い。
高性能なヤツは何個CPU積んでも無理っぽいし、
中小企業のちっさいヤツはどうせWindowsだしAtomで十分だし。
515,,・´∀`・,,)っ-○○○:2010/02/06(土) 16:14:37 ID:kCi1iHQ2
ARMは割と好きだけど流石に・・・ねぇ
516Socket774:2010/02/06(土) 19:20:49 ID:Z9531TMX
団子ちゃんは無理せず今までのようにARM(笑)って言ってればいいと思うよ。
その方が自然です。

これまでもARMをサーバに出来ないかって話は結構出てたんだけどね。
http://www.eetimes.com/222601123
とりあえず、PASemiとCiscoはアップを始めたっぽい。
517Socket774:2010/02/06(土) 19:58:52 ID:BuPM/VMm
Atomがあんだけ安く出ちゃうと、ARMの出番は無いな。

Intelが殿様商売でボッタクリCPUのみ売ってた頃ならともかく。
518Socket774:2010/02/06(土) 20:32:36 ID:G3uW7np+
その意味ではVIAに頑張ってもらわんと。
519Socket774:2010/02/06(土) 21:25:20 ID:wMU/6bmO
ARMが鯖になれるならSuperHもなんとか頼む!
520Socket774:2010/02/07(日) 01:01:13 ID:jJFaYBvK
>>516
PASemiってPowerPCやってたんじゃなかったっけ?
521Socket774:2010/02/07(日) 01:47:01 ID:k9sZKN6T
>>520
Apple傘下でARM作らされてるよ。
522Socket774:2010/02/07(日) 09:36:30 ID:jJFaYBvK
うん、それは知ってる
523Socket774:2010/02/07(日) 14:00:41 ID:in1+Ru8i
いよいよx86が終わると思うと胸が熱くなるな
524Socket774:2010/02/07(日) 16:40:59 ID:Mxq+/byn
10年後もx86が残っているに1票
525Socket774:2010/02/07(日) 18:34:16 ID:n9EWu0KM
MSが他のプロセッサへの移行を本格的に始めたらx86も終わりそうな
気がするけど、MS自身がハードウェアの商売でも始めない限り、Winを
別の環境に移行させる意味はないからな。
526MACオタ:2010/02/08(月) 01:01:12 ID:kCnuu4MY
>>396 で紹介した LSI Corp がIP売りするPPC476FPコアについて、
LSIのサイトに資料がありました。
http://www.lsi.com/DistributionSystem/AssetDocument/PPC476FP-PB-v7.pdf
将来的には TSMC の 28nm プロセスでも製造可能にして、1.6-1.8GHz で動作予定
とのこと。
アプリケーションの例として、同時発表した eDRAM を混載したブロック図も掲載され
ています。
527MACオタ@補足:2010/02/08(月) 01:02:08 ID:kCnuu4MY
上記の話題の日本語記事も紹介しておきます。
http://techon.nikkeibp.co.jp/article/NEWS/20100121/179496/
528MACオタ>520-522 さん:2010/02/08(月) 07:51:38 ID:kCnuu4MY
>>520-522
少なからぬ旧 P.A Semi の社員が Apple を退社済みとのこと。
Ahlee Vance 氏の記事なので信用できると思いますよ。
http://www.nytimes.com/2010/02/02/technology/business-computing/02chip.html?ref=technology
  ------------------------
  Some of the chip engineers Apple gained in its purchase of PA Semi appear
  to have already left the company. According to partial records on the job
  networking site LinkedIn, at least half a dozen former PA Semi engineers
  have left Apple and turned up at a start-up called Agnilux, based in San
  Jose. The company was co-founded by one of PA’s leading system architects,
  Mark Hayter.

  Neither Mr. Hayter nor other onetime PA workers who left Apple for Agnilux were
  willing to discuss either company’s plans. According to two people with knowledge
  of the two companies, who were unwilling to be named because the matter is delicate,
  some PA engineers left Apple a few months after the acquisition because they
  were given grants of Apple stock at an unattractive price.
  ------------------------
529Socket774:2010/02/08(月) 10:48:31 ID:8s5N9LAv
http://www.yusuke-ohara.com/weblog2/archive/2010/01/second_opi.html
>iPadにP.A.Semiの技術が...という論調を目にするけれど、私が知る限りにおいてP.A.Semiの部隊は四散してしまった筈。
530MACオタ:2010/02/08(月) 20:33:19 ID:i2j+4fL+
ISSCCを前に、色々情報が出てきています。
まず、発表を目前に控えたPOWER7搭載サーバーの話題。
http://www.theregister.co.uk/2010/02/08/ibm_power7_systems_launch/
http://www.theregister.co.uk/2010/02/08/ibm_power7_chip_launch/page2.html
 - Power 750 (Power 550 後継)
 - Power 755 (HPC向け)
 - Power 770, 780
 - 3 GHz, 3.3 GHz, 3.5 GHz, 3.55 GHz, 3.8 GHz, and 4.1 GHz。最高 4.5GHz?
 - 4.1GHz は Power 780 の "TurboCore" モード (4-coreのみ有効でOC)
531MACオタ:2010/02/08(月) 20:54:57 ID:i2j+4fL+
RealWorldTech の David Kanter 氏が掲示板に POWER7 講演の詳細を投稿していました。
http://www.realworldtech.com/forums/index.cfm?action=detail&id=107204&threadid=107204&roomid=2
 - L1D のレイテンシは 2-cycle (POWER6は4-cycle)
 - L1のSRAMセルは、0.426um^2。6T構造
 - "Fast Local L3" の load-to-use レイテンシは 25-cycle。SRAM を採用した場合より
  3-cycle 程度のペナルティはある。(>>316参照)
 - L3 の動作クロックはコアの1/2
 - L2のレイテンシは 8〜9-cylcle
 - L3 全体のレイテンシは 75-cycle 程度
 - 2つの整数および4つ?の浮動小数点パイプラインごとに独立したレジスタファイルを持つ
532MACオタ@訂正:2010/02/08(月) 21:00:49 ID:i2j+4fL+
上の話ですが、講演はまだ始まっていないので、予稿集の情報だと思われます。
533Socket774:2010/02/08(月) 21:25:01 ID:fymYUEha
Fast Local L3、コヒーレンシ取らない占有領域として使うんですかLSみたいに
534MACオタ>533 さん:2010/02/08(月) 21:31:26 ID:i2j+4fL+
>>533
>>316のプレゼン資料を見れば判りますが、コヒーレンシは維持されます。
  ---------------------
  - Automatically clones shared data to multiple private regions.
  ---------------------
535Socket774:2010/02/08(月) 21:46:24 ID:fymYUEha
Power7の最大の売りは何ですか?
536MACオタ:2010/02/08(月) 22:00:58 ID:i2j+4fL+
先週のニュースらしいですが、POWER7で浮かれるIBMの East Fishkill 工場で
飲料水に大量の鉛が含まれていることがバレたそうで…
http://www.poughkeepsiejournal.com/apps/pbcs.dll/article?AID=2010100202008
  ----------------------
  WICCOPEE ― Too-high levels of lead have been found in drinking water at
  IBM Corp.’s East Fishkill complex, prompting the company to provide alternate
  sources of water.
  ----------------------
流石、工場労働者なんて人とも思わない守銭奴IBMらしい所業ですね。
537Socket774:2010/02/08(月) 22:17:56 ID:fymYUEha
>>536
Power7の最大の売りは何か答えてよ?
538MACオタ>537 さん:2010/02/08(月) 22:25:42 ID:i2j+4fL+
>>537
  -----------------
  Power7の最大の売りは何か答えてよ?
  -----------------
そういうことはIBMの営業に電話すれば良いのでは?
539Socket774:2010/02/08(月) 22:28:01 ID:fymYUEha
>>538
さんざんコピペしてるくせに、答えられないのかよ
540MACオタ:2010/02/08(月) 22:39:52 ID:i2j+4fL+
POWER7のベンチマーク結果も出てきました。
まずは SAP SD (Standard) http://www.sap.com/solutions/benchmark/sd2tier.epx
 - SPARC64 VII/2.88GHz (32-chip/128-core): 17,430 [users]
 - POWER7/3.55GHz (4-chip/32-core): 15,600 [users]
 - POWER6/4.2GHz (16-chip/32-core): 14,432 [users]
 - Itanium2/1.6GHz (32-chip/64-core): 12,500 [users]
 - Tigerton Xeon/2.93GHz (16-chip/64-core): 10,600 [users]
 - Istanbul Opteron/2.6GHz (8-chip/48-core): 10,000 [users]
541Socket774:2010/02/08(月) 23:37:37 ID:zSjOHSur
性能ぶっ千切りだな
542Socket774:2010/02/08(月) 23:46:50 ID:h/Ciw5Ft
お値段の方もブッチギリです
543MACオタ:2010/02/09(火) 05:41:31 ID:wiDvnLYd
IBMのプレスリリース来ました。>>535さんご希望の『売り文句』も書いてありますよ。
http://www-03.ibm.com/press/us/en/pressrelease/29315.wss
FUDの元祖IBMとは思えないほど発表から販売開始までの時間は短く、一部機種は
2月半ばに販売するとのこと。
 - Power 750 Express, Power 755: 2/19
 - Power 770, Power 780: 3/16
 
ベンチマークをまとめたSystems Performance Report はこちら。
http://www-03.ibm.com/systems/power/hardware/reports/system_perf.html
SPEC2006_rate, SPECjbb, 前述の SAP SD 2-Tiers, Oracle eBS Benchmark,
LINPACK HPC, STREAM, NAMD, SPEC OMP2001 の結果があります。
544MACオタ:2010/02/09(火) 06:16:24 ID:wiDvnLYd
IntelのTukwila発表も来ました。Itenium 9300シリーズとのこと。
http://www.intel.com/pressroom/archive/releases/2010/20100208comp.htm
  ----------------
  The Intel Itanium processor 9300 series ranges in price from $946 to $3,838 in
  quantities of 1,000. OEM systems are expected to ship within 90 days.
  ----------------
搭載製品の同時発表とはいかなかったようで…
なお、製品ラインは下記の通り。
http://download.intel.com/products/processor/itanium/318691.pdf
 9350: 4-core, 1.73GHz, 24MB L3
 9340: 4-core, 1.60GHz, 20MB L3
 9330: 4-core, 1.46GHz, 20MB L3
 9320: 4-core, 1.33GHz, 16MB L3
 9310: 2-core, 1.60GHz, 10MB L3

その他、注目点はこんなものでしょうか?
 - 既報通り、Neahlem-EPとはプラットフォーム共通化が図られているとのこと。
  "share several platform ingredients, including the Intel(R) QuickPath Interconnect,
  the Intel Scalable Memory Interconnect, the Intel(R) 7500 Scalable Memory Buffer
  (to take advantage of industry standard DDR3 memory), and I/O hub (Intel(R)
  7500 chipset). "
 - "Foxton" Technology はNehalenと共通のブランド"Intel Turbo Boost Technology"
  になった模様。
545Socket774:2010/02/09(火) 06:23:21 ID:7vLXVre+
>Intel 7500 Scalable Memory Buffer
ここだけ興味がある
他はもうガイシュツネタばっかだろ
546Socket774:2010/02/09(火) 17:22:43 ID:oVTzk6E5
547MACオタ:2010/02/09(火) 23:02:45 ID:wiDvnLYd
当然のごとく今日も色々。
まず国内でのPOWER7搭載サーバーの発表。
http://www-06.ibm.com/jp/press/2010/02/0901.html
http://enterprise.watch.impress.co.jp/docs/news/20100209_347981.html
個人的な注目はパッケージの写真です。
http://enterprise.watch.impress.co.jp/img/epw/docs/347/981/html/ibm372.jpg.html
今回発表されたミッドレンジサーバーに搭載されているのは、この中で「セラミック・
モジュール」のチップと思われます。真ん中の「オーガニック・モジュール」(要するに
プラスチックパッケージ)のチップはBladeCenter等に使用される筈です。
BladeCenter や IntelliStation POWER がどういった価格帯で登場するかが楽しみかと。

電力効率のスライドも、サーバー製品の消費電力が公開されたという点で興味深いかと
思われます。
http://enterprise.watch.impress.co.jp/img/epw/docs/347/981/html/ibm411.jpg.html

ちなみに POWER System サーバー (旧 pSeries) で用いられる性能指標 rPerf の
解説はこちら。
http://www-03.ibm.com/systems/power/hardware/notices/rperf.html
548MACオタ:2010/02/09(火) 23:11:42 ID:wiDvnLYd
"Wire-Speed POWER" (>>318-329 参照)講演のレポートが EETimesに来てます。
http://www.eetimes.com/news/semi/showArticle.jhtml?articleID=222700420
用途に関しては、色々含みを込めているよう感があります。
  --------------------
  "It's not a network processor or a server processor but a middle ground, a blurring
  of the two worlds," Johnson said.
  The chips will be used in a range of standalone systems and PCI Express adapter
  cards in servers. It is mainly designed for use in IBM's own systems, however the
  company is willing to sell it on a merchant basis as well.
  --------------------
正直、『サーバープロセッサと(組込向け)ネットワークプロセッサの中間的存在』って
デスクトッププロセッサのことでは? かつての PowerPC G3/G4 の様な。
含みを持たせていると言えば、記事の最後がこう締めくくられています。
  --------------------
  Johnson was chief architect of IBM's Power4 processor. He also designed IBM's
  portion of the processor in the Microsoft Xbox 3609 [MACオタ注: Xbox 360の誤植
  でしょう] videogame console.
  --------------------
単に PX/PPE と同じグループが開発したと言いたいのかどうか…
549MACオタ@続き:2010/02/09(火) 23:27:33 ID:wiDvnLYd
話の順序が逆になりましたが、記事中に含まれる新情報は下記の通り。
 - 64-bit
 - 16-core, 1.43B Transistors, 428mm^2 (POWER7は 1.2B Transistors, 567mm^2)
 - 65W @ 16-core/2.3GHz, 20W @ 4-core/1.4GHz
 - 16-core 版は 8MB 内蔵キャッシュサポート
 - 10G Ethernet 4ポート内蔵
 - XML, 正規表現処理, 暗号化アクセラレータ搭載
 - グルーレスでSMP可能
 - プロセッサ製品としてを外販予定
 - 開発期間は5年
 - Linux ハイパーバイザをサポート
 - (製品版の?)テープアウトは一週間前。ファーストシリコンは2週間以内に
  (既に製作済みの)搭載システムでテスト予定。
 - ここでの議論と同様に、アナリストも用途に疑問を呈している。
  ----------------
  "That's a huge chip, bigger than most of the PC and server processor Intel
  makes and probably twice the size of many network processors out there,
  so cost-wise it will be tough for them to be competitive," Gwennap said.
  ----------------
550Socket774:2010/02/09(火) 23:27:49 ID:sBXrnP6s
> デスクトッププロセッサのことでは?

ないない
551Socket774:2010/02/09(火) 23:34:24 ID:775lAe+4
> 『サーバープロセッサと(組込向け)ネットワークプロセッサの中間的存在』

こう↑言われると
旧P.A. SemiのPWRficientを連想してしまったんだが…
# あるいは,SunのNiagaraに近いかな?
552Socket774:2010/02/09(火) 23:46:42 ID:3UG+NB9t
Azulみたいなアクセラレータ的に使えそうだな
553Socket774:2010/02/10(水) 00:29:16 ID:gZDUJ9IR
MSと協議して、WindowsにPowerチップを対応してもらうようにすべきだな。

Xbox360にWindows派生のゲームOSが載っているから、
Windows for Power PCをつくるのも難しい話ではない。
554Socket774:2010/02/10(水) 00:33:23 ID:ubNaBToy
555Socket774:2010/02/10(水) 02:28:12 ID:wB5XLdWt
以前発表されたRP2の改良型っぽいな。
556Socket774:2010/02/10(水) 09:27:48 ID:JuDALow/
>>553
おっと、NT4をディスるのはそこまでだ。
557Socket774:2010/02/10(水) 14:08:32 ID:wB5XLdWt
一応2000のRCまでAlpha版はあったんだよな。
558Socket774:2010/02/10(水) 17:19:52 ID:gZDUJ9IR
tp://twitter.com/ali_bin_ibrahim
東京の公共の場所では関西弁は法律で禁止すべきである。関西人はまともな世界では不良外国人。
559MACオタ:2010/02/10(水) 20:51:21 ID:ioIW7ln4
"Wire-Speed Power Processor" = PowerPC A2 の確定情報来ました。
正確には SOC 製品である Wire-Speed Power Processor の汎用プロセッサコアが
PPC A2 ということになります。
http://www.theregister.co.uk/2010/02/09/ibm_wire_speed_processor/
  ------------------
  The processor's A2 cores are small, 64-bit PowerPC cores based on IBM's
  embedded architecture - "a little bit different from our server architecture,"
  said Johnson. Full vitualization and hypervisor support is also included, along
  with some new instructions that allow for low-latency interaction with the
  processors' accelerators.
  ------------------
その他、新情報は次の通り。
 - 2.3GHz は電力効率が良い周波数というだけで、3GHz でも動作する。
 - アクティブなコア数で消費電力は 20-65Wの範囲で変化する。平均的には 55W 程度。
560MACオタ:2010/02/10(水) 21:01:09 ID:ioIW7ln4
ところで前述の Wes Felter 氏 (>>452 参照)、ArsTechnica 掲示板でも A2 コアに
関して思わせぶりな投稿をしています。
http://episteme.arstechnica.com/eve/forums/a/tpc/f/77909774/m/376004823041?r=332007923041#332007923041
  --------------------------
  Originally posted by BadAndy:
    Whatever it is, not hard to see cross-over from this thing into next-generation
    game-console tech

  Because games loooove low per-thread performance.
  --------------------------
上に書いた3GHzで動作するという話も含めて、PX/PPE と A2 の関係は『何か』ありそうな
感じです。
561MACオタ:2010/02/10(水) 21:45:05 ID:ioIW7ln4
Sequoia (=Blue Gene/Q)の情報が少しだけ。
http://www.er.doe.gov/ASCR/ASCAC/Meetings/Nov09/Strayer.pdf
P.13 にアルゴンヌ国立研究所の ALCF-2 の後継機 "Mira" について次のような
記述があります。
  -----------------
  Mira Blue Gene/Q System
  ・10 Pflop/speak
  ・~800K cores, 16 per chip
  ・~70PB disk, ~470 GB/sI/O bandwidth
  ・Power efficient, water cooled
  -----------------
Sequoia のプロセッサに関しては 8-core説と16-core 説がありましたが、16-core で
確定ですね。
SIMDユニットも、3.2GHz/倍精度2並列説と1.6GHz/倍精度4並列 (or 倍精度2並列 x 2)説
がありましたが、16コアともなると1.6GHzで間違いないのではないでしょうか。
PPC470系コアと VSX x 2の"Double Hummer"後継 APU の可能性が高くなったかと。
562Socket774:2010/02/11(木) 11:50:02 ID:8a7XpUlw
PS4のCPUは普通のマルチコアCPUのプランが浮上してるってことだけど
"A2"を使ったマルチコアなのかな?

去年夏頃にIBMが"A2"を提案
    ↓
強い関心を持つが態度をはっきりさせないSCE
    ↓
痺れを切らすIBM、自社販売に旨味がない32ivを開発中止と意図的にリーク
    ↓
返事を迫られるSCE ←いまここ
563Socket774:2010/02/11(木) 14:43:51 ID:/EY2WhMq
SPE2の試作が行われたのは去年で
しかもFPGAベースのシミュレータを作成したのはSCEIらしいから
依頼してるにしてもPPE部分だけじゃないかね
564MACオタ:2010/02/11(木) 14:58:28 ID:GsEjP4NF
日経BPのISSCCレポートに"Wire-Speed Power"の追加情報がありました。
プロセッサコアのL2キャッシュがeDRAMなんだとか。
http://techon.nikkeibp.co.jp/article/NEWS/20100210/180114/
  ---------------------
  Wire-Speed Power Processorでは,8MバイトのL2キャッシュに混載DRAMを
  それぞれ使った。

  混載DRAMのセル寸法は,0.067μm2である。Intel社のWestmereのL3キャッシュ
  のSRAMのセル寸法は 32nm世代でも0.171μm2であることからも,面積密度が
  非常に高いことが分かる。Wire-Speed Power Processorの発表では,SRAMに
  比べて面積で2倍,消費電力で5倍以上よいと述べた。
  ---------------------
565MACオタ>563 さん:2010/02/11(木) 15:00:28 ID:GsEjP4NF
>>563
面白そうな話なので、ソースがあるならよろしくお願いします。
566Socket774:2010/02/11(木) 15:27:55 ID:Q6+4pX7+
性能とか信頼性はどうなんだろうね<eDRAM
567MACオタ:2010/02/11(木) 15:35:35 ID:GsEjP4NF
スーパーコンピューティングの話題を少しだけ。
Anton というMD専用計算機があります。SC09でゴードンベル特別賞を取ったとのこと。
http://journal.mycom.co.jp/articles/2009/12/01/sc09_gordonbell/index.html
概要は牧野教授のサイトのこちら。
http://www.artcompsci.org/~makino/articles/future_sc/note080.html

技術的な話題は別にして、開発プロジェクトとして興味深い点がいくつか。
 ・私企業が開発している。
  MYCOMの記事にはこうあります。
  ---------------------
  D.E Shaw研究所はAntonと呼ぶ分子動力学(Molecular Dynamics:MD)計算専用
  のスパコンを開発し、その最初のシステムでのシミュレーション結果を発表した。
  [中略]
  D.E.Shaw氏が創立したD.E.Shaw & Coは運用資産2.5兆円の世界最大規模の
  ヘッジファンドであるが、現在は、Shaw氏はヘッジファンドの日常のマネジメントに
  は携わらず、 D.E.Shaw Researchのチーフサイエンティストとして、MDのアルゴリ
  ズムやAntonシステムの開発を行っているという。そして、同研究所の運用費用は
  Shaw氏のポケットマネーで賄われていると言われている。
  ---------------------

 ・ハードウェア自体は…
  一昨年の Hot Chips 20 でも講演が行われています。プレゼンはこちら。
  http://www.hotchips.org/archives/hc20/2_Mon/HC20.25.421.pdf
  P.30 に演算コアとなる ASIC の写真があります。
  チップに記された製造国は… MBxxxというチップ名ですから京速のあの会社でしょうか。
  
568Socket774:2010/02/11(木) 15:36:18 ID:7fcMxBTe
>>565
ソースは後藤氏のこの記事でしょ。
http://pc.watch.impress.co.jp/docs/column/kaigai/20091224_339258.html


>>562
SCEがPS3との互換性を完全に捨ててでも普通のマルチコアCPUを選択しようと
考えているならPOWERを選ぶ必然性自体がないからなぁ。


他の選択肢も余りないかも知れないけど。
569Socket774:2010/02/11(木) 15:55:58 ID:mozDik8n
>>567
ESですね。
PKGが白色で見慣れないなあ。材料がPEEKとかかな?
570Socket774:2010/02/11(木) 15:57:35 ID:mozDik8n
と思ったら後ろのページにセラミックって書いてた。。。
普通か。
571Socket774:2010/02/11(木) 16:14:58 ID:Q6+4pX7+
>>567
> チップに記された製造国は… MBxxxというチップ名ですから京速のあの会社でしょうか。

p.32に "90 NM CMOS .implemented in Fujitsu CS100HP Process" って書いてあるよ
572MACオタ:2010/02/11(木) 16:33:03 ID:GsEjP4NF
>>571 さん
見逃してました… 間違っていた訳でもないので勘弁してください。

教訓としては、こういうことかと。
 ・欠けているのは予算では無く、知恵ではなかろうか?
 ・本当に必要なモノなら、投資を集められるのではなかろうか?
 ・本当に必要で、投資を集めるほど信用されていなくても、自前でできることは
  あるのではなかろうか?
 ・最先端プロセスでプロセッサごと開発しなくても、目的には適うのではあるまいか?
等々

お金の話をすれば、東大一校で集めた個人寄付金は2008年度で50億円近くとのこと。
http://utf.u-tokyo.ac.jp/introduction/index.html
個人寄付金って大半は医学部でしょうか… ガン治療とか看板にすればなんとかなる?
573レトリック君:2010/02/11(木) 18:21:41 ID:eco9lAS2
MBっちゃあ、8bitの時代からFがchip名に付けてたぜ。
MB6809とか。
NならμPDか。
574Socket774:2010/02/12(金) 00:51:05 ID:s3jTWByn
575Socket774:2010/02/12(金) 00:57:18 ID:Cz04gznl
>しかし一方で回路ブロックの設計を根本から改良する機会を失ってはいないだろうか。
>回路ブロックを統合する段階で設計の手直しが発生し、貴重な時間を失ってはいないだろうか。
>あるいは設計内容が陳腐化しているのに気付かず、再利用し続けてはいないだろうか。

何様気取りなんだ、福田
576Socket774:2010/02/12(金) 04:39:24 ID:S8jVMyOY
おれも思った.
本業の人たちが既に検討済みなんだろうとは想像しないのかね?
# その上で,最も「早い・安い・旨い」のが現状なんだろうけど

そもそも某 i や別の某 I 等の少数以外は自社fabすら無くて
TSMCやUMCで製造してるのに独自設計してメリットあるのかね?

個人的には,せっかく動いてる(≒枯れてる,実績ある)ものを
金かけて壊そうとしている中二病患者を見ている気分なんだけど
577Socket774:2010/02/12(金) 06:20:33 ID:8l2ZpoUw
そりゃ本業も検討済みだろ
本業であってもそれが正しいか正しくなかったかは結果論でしか語れんが
578Socket774:2010/02/12(金) 06:28:32 ID:1pmLcTi0
例えば、既存のIPの組み合わせて設計すると、既存IP部の消費電力
はだいたい決まってるのでシステム全体の消費電力の削減にあれ
これ頭を悩ますことになる。
既存のIPを使わずを新規設計した場合、効率を重視した設計をする
ことにより、その部分の消費電力を元から削減出来たりするるので
システム全体の消費電力を削減しやすい。
今回の講演は新規設計のメリットを考えさせられるほど優れた成果だった。

ということでしょ?
579Socket774:2010/02/12(金) 13:45:54 ID:7SFXIriB
必要ならするし不要ならしない
判断ミスはあっても検討しない奴なんてネット番長にしかいない
580Socket774:2010/02/12(金) 21:15:31 ID:uiERv3a6
http://techon.nikkeibp.co.jp/article/NEWS/20100210/180114/
> SOIプロセスの採用によって,通常のしきい値電圧のNMOSトランジスタを電源スイッチに使えた。

SOIだとNMOSをスイッチに使えるのはなんで?

NMOSがスイッチってことは仮想VssをVdd電圧まで
釣ることになるんだろうけど、通常のCMOSと違って
ソース・ドレインからサブストレートへのリークが少ない
からってことなのかな?
581Socket774:2010/02/12(金) 23:20:12 ID:QtndgfLN
NEC to show quad-core Cortex-A9 processor
http://www.eetimes.com/news/latest/showArticle.jhtml?articleID=222900146

ARMのクアッドコアだって
582Socket774:2010/02/12(金) 23:54:38 ID:5KVBDrlY
NEC?
なにそれうまいの?
583レトリック君:2010/02/13(土) 00:31:45 ID:Tqp83x79
IPによる設計には色々と光トカゲがある
なまじっかブラックボックス化しようとして中の情報を十分出さないと全体の設計や検証に差し支える。
中の仕様や動作を知らずに全体を作ったり検証できるわけがない。
かといって中の情報を詳しく出していたらな何のためだったのか分からなくなる。
しかも綺麗な理想論を言う人は少なくないので中と外の設計担当間で情報の疎通が…
実際には結構大変でそ
584Socket774:2010/02/13(土) 18:39:03 ID:PHIWper2
光トカゲの鳴き声↓
585MACオタ:2010/02/13(土) 19:20:22 ID:QBVVRxhO
PPC746FP を共同開発した LSI Corp. が自社でネットワークプロセッサ "Axxia"
をリリースしました。
http://www.lsi.com/news/product_news/2010/2010_02_09b.html
  -------------------
  Axxia Communication Processors are capable of managing huge volumes of
  wireless traffic with low latency and no load on the CPU complex. The first
  member of the Axxia Communication Processor family, the ACP3448 processor,
  features four powerful PowerPC^(TM) 476FP processor cores with a large 512KB
  L2 cache per core, 4 MB of system cache, integrated DDRIII memory controllers,
  and a wide array of intelligent offload engines, including industry-proven packet
  classification, traffic management, security processing and deep packet inspection.
  The on-chip processing elements are tied together using the new LSI Virtual
  Pipeline technology.
  -------------------
製品ページはこちら。(PDF資料へのリンク有)
http://www.lsi.com/networking_home/networking_products/multicore_comm_processors/axxia/index.html
 ・4-core, up to 1.8GHz
 ・512KB L2
 ・4MB eDRAM システムキャッシュ (アクセラレータを含むSoC全体で共有)
 ・Dual DDR3 メモリコントローラ
 ・各種アクセラレータ (パケット処理、セキュリティ、正規表現)
 ・45nm, SOI
リリースによると
  --------------------
  The first members of the Axxia family, designed to deliver 20 Gbps performance
  for today’s wireless infrastructure requirements, will be available in February of 2010.
  --------------------
最初の製品は今月にも販売開始ということと、上記の製造プロセスから IBM で製造するものと
思われます。
586MACオタ:2010/02/13(土) 19:29:13 ID:QBVVRxhO
既に Freescale を分社している以上、もはやどうでも良い話なのですが、
栄光の Motorola が更に2分割されるんだとか。
http://mediacenter.motorola.com/content/detail.aspx?ReleaseID=12429&NewsAreaID=2
  -----------------------
  SCHAUMBURG, Ill., February 11, 2010 -- Motorola, Inc. (NYSE: MOT) today
  announced the Company is targeting the first quarter of 2011 for its planned
  separation. Motorola intends to separate into two independent, publicly traded
  companies. One will include the Company’s Mobile Devices and Home businesses,
  and the other will include its Enterprise Mobility Solutions and Networks businesses.
  -----------------------
587MACオタ:2010/02/14(日) 03:24:04 ID:Wj71GeXX
AMDネタの上、11月の Financial Analyst Day の頃の話題なのですが、
プロセッサ・アーキテクチャ的には面白い話題なのでここで取り上げて
おきます。
ネタは当時の comp.arch での "bulldozer details + bobcat"というスレッド
なのですが、業界の人気者 Andy Glew が登場して色々語っています。
http://groups.google.com/group/comp.arch/browse_thread/thread/759bcccbfa0b8b07/73ad8a087e55ed0c
Glew の投稿だけでも拾い読みすると面白いかと。とりあえずここではかい
つまんで興味深いところだけ抽出しておきます。

- AMD's Bulldozer is an MCMT (MultiCluster MultiThreaded)
 microarchitecture. That's my baby!
 Bulldozer は俺の考えた MCMT (MultiCluster-MultiThread) アーキテクチャの
 実装。

- The only bad thing is that some guys I know at AMD say that Bulldozer is
 not really all that great a product, but is shipping just because AMD
 needs a model refresh. "Sometimes you just gotta ship what you got."
 でもなぁ… AMDのツレが言うにはAMDは製品サイクルに切迫して製品化
 してくるらしいんだよな。「何でもいいから今出来てるのを出さなきゃいけない
 時もあるんだよ」って。
588MACオタ@続き:2010/02/14(日) 03:37:20 ID:Wj71GeXX
- came up with MCMT in 1996-2000 while at the University of Wisconsin.
 It became public via presentations.
  I brought MCMT back to Intel in 2000, and to AMD in 2002.
  I was beginning to despair of MCMT ever seeing the light of day. I
 thought that when I left AMD in 2004, the MCMT ideas may have left with
 me.
 元々MCMTはウィスコンシン大にいた1996-2000頃に考えていたんだ。
 で、Intelに2000年に戻ったときに提案し、2002年に移ったときにも宣伝
 しまくったんだ。でも中々日の目を見なくてAMDを離れた2004年には
 すっかりあきらめてたんだよ。

- Of course, AMD has undoubtedly changed and evolved MCMT in many ways
 since I first proposed it to them. For example, I called the set of an
 integer scheduler, integer execution units, and an L1 data cache a
 "cluster", and the whole thing, consisting of shared front end, shared
 FP, and 2 or more clusters, a processor core. Apparently AMD is calling
 my clusters their cores, and my core their cluster. It has been
 suggested that this change of terminology is motivated by marketing, so
 that they can say they have twice as many cores.
 もちろんAMDは俺のMCMTのコンセプトにに色々手を入れてる。例えばオリジナル
 のアイデアでは整数スケジューラ・整数ユニット・L1キャッシュをセットで「クラスタ」
 とよび、2組以上のクラスタと共有デコーダ、共有FPUで「コア」を構成するという
 ものだった。ところがAMDは俺の「クラスタ」をコアと命名し、「コア」の方をクラスタ
 と呼んでる。マーケティングのためにコアが2倍あるように見せかけたいのが丸判り
 だよね。
589MACオタ@続き:2010/02/14(日) 03:59:15 ID:Wj71GeXX
- My original motivation for MCMT was to work around some of the
 limitations of Hyperthreading on Willamette. E.g. Willamette had a very
 small L0 data cache, 4K in some of the internal proposals, although it
 shipped at 8K. Two threads sharing such a tiny L0 data cache thrash.
 Indeed, this is one of the reasons why hyperthreading is disabled on
 many systems, including many current Nhm based machines with much larger
 closest-in caches.

 元々 MCMT のアイデアは Willamett で Hyperthreading の性能が上がらない
 問題を解決するためのものなんだ。知ってのとおり Willamett の L0 [データ]
 キャッシュのサイズはメチャ小さい。初期の設計では 4KB だったし、出荷された
 バージョンでは増えたとは言え 8KB だ。
 このちっぽけなデータキャッシュを2つのスレッドで共有するとキャッシュスラッシ
 ングが多発する。結局のところ、これが多くのシステムで Hyperthreading が
 無効に設定された原因だし、当時よりはるかに大きなL1キャッシュを持つ Nehalem
 でも状況は変わっていない。
590MACオタ@続き:2010/02/14(日) 04:00:43 ID:Wj71GeXX
- To avoid threads thrashing each other, I wanted to give each thread
 their own L0. But, you can't do so, and still keep sharing the
 execution units and scheduler - you can't just build a 2X larger array,
 or put two arrays side by side, and expect to have the same latency.
 Wires. Therefore, I had to replicate the execution units, and enough of
 the scheduler so that the "critical loop" of Scheduler->Execution->Data
 Cache was all isolated from the other thread/cluster. Hence, the form
 of multi-cluster multi-threading you see in Bulldozer.

 スラッシングを避けるために、俺はスレッドごとに L0 キャッシュを占有させる
 ことを考えた。でもL0独立でALUとスケジューラを共有すると言う構成は無理だ。
 単純に2倍のサイズのキャッシュを用意したとしても短いレイテンシを維持できない。
 そんな訳で、俺は実行ユニットとスケジューラも独立にした。これで ディスパッチ
 →実行→データキャッシュアクセス というクリティカルな部分がスレッドごとに
 独立した「クラスタ」ができあがる。。君らが見た Bulldozer の構成図そのものという
 ことだね。
591MACオタ@続き:2010/02/14(日) 04:21:49 ID:Wj71GeXX
- True, there are differences, and I am sure more will become evident as
 more Bulldozer information becomes public. For example, although I came
 up with MCMT to make Willamette-style threading faster, I have always
 wanted to put SpMT, Speculative Multithreading, on such a substrate.
 SpMT has potential to speed up a single thread of execution, by
 splitting it up into separate threads and running the separate threads
 on different clusters, whereas Willamette-style hyperthreading, and
 Bulldizer-style MCMT (apparently), only speed up workloads that have
 existing independent threads.

  Bulldozer に関する情報が増えてくれば明らかになるんだろうけど、俺の
 MCMT が Bulldozer そのものって訳じゃないだろうね。例えば、俺は
 Willamett の Hyperthreading を高速化するに当たって Speculative Multi-
 threading (SpMT) の実装が頭にあった。SpMT は複数スレッドを費やして
 シングルスレッドアプリを高速化する手法だ。

- If I received arows in my back for MCMT, I received 10 times as many
 arrows for SpMT. And yet still I have hope for it. Unfortunately, I am
 not currently working on SpMT. Haitham Akkary, the father of DMT,
 continues the work.

 もし俺が MCMT の実装にかかわっていたら、SpMT を全力で押してたと
 思う。今でもその気持ちに変わりは無いけど、今はそういう立場じゃ無い。
 DMT [Dynamic Multithrading] の提案者の Haitham Akkary が今でも
 研究している様だね。
592Socket774:2010/02/14(日) 04:23:41 ID:/LK7WEU/
intelがhyperthreadingの効率アップのためにそのテクニックを使わなかった理由も興味があるねぇ
593MACオタ@続き:2010/02/14(日) 04:35:13 ID:Wj71GeXX
- Perhaps I should say here that my MCMT had a significant difference from
 clustering in, say, the Alpha 21264,
 http://www.hotchips.org/archives/hc10/2_Mon/HC10.S1/HC10.1.1.pdf
 [中略]
 Anyway: if it has an L0 or L1 data cache in the cluster, with or
 without the scheduler, it's my MCMT. If no cache in the cluster, not
 mine (although I have enumerated many such possibilities).

 MCMT は Alpha 21264 のクラスタリングの概念とは大きく違うことは強調して
 おきたい。
 [中略]
 要するに、L0なりL1なりの最上位のデータキャッシュがが独立している
 クラスタリングは俺の MCMT アーキテクチャということになる。もちろん
 そうじゃない構成のクラスタリングは有り得る。

- Motivated by my work to use MCMT to speed up single threads, I often
 propose a shared L2 instruction scheduler, to load balance between the
 clusters dynamically. Although I admit that I only really figured out
 how to do that properly after I left AMD, and before I joined Intel.
 How to do this is part of the Multi-star microarchitecture, M*, that is
 my next step beyond MCMT.

 俺は MCMT でシングルスレッドを高速化するために頑張った。例えばクラスタ
 間のロードバランスのための二次スケジューラなんてのも考えた。でも、結局
 そのための「正しい方法」ってヤツを思いついたのは AMD を退社した後、ちょうど
 Intel に戻る前くらいだった。それが MCMT を越える新しいアーキテクチャ M*
 (Multi-star) さ。
594MACオタ@続き:2010/02/14(日) 04:55:08 ID:Wj71GeXX
- Also, although it is natural to have a single (explicit) thread per
 cluster in MCMT, I have also proposed allowing two threads per cluster.
  Mainly motivated by SpMT: I could fork to a "runt thread" running in
 tghe same cluster, and then migrate the run thread to a different
 cluster. Intra-cluster forking is faster than inter-cluster forkng, and
 does not disturb the parent thread.
But, if you are not doing SpMT, there is much less motivation for
 multiple threads per cluster.

  そう言えば、SpMT のためにクラスタ内で更に SMT をやるってのも考えた。
 スレッドの分割を同じクラスタ内で走るスレッドにやらせて、実行は別クラスタ
 でやるんだ。スレッドさえ分かれてしまえば、別々のクラスタで実行する方が
 親スレッドに対する干渉は小さいからね。
  いずれにせよ SpMT を採用しないなら、クラスタ内 SMT にそれほど意味はない。
595MACオタ@続き:2010/02/14(日) 04:57:11 ID:Wj71GeXX
- With Willamette as background, I leaned towards a relatively small, L0,
 cache in the cluster. Also, such a small L0 can often be pitch-matched
 with the cluster execution unit datapath. A big L1, such as Bulldozer
 seems to have, nearly always has to lie out of the datapath, and
 requires wire turns. Wire turns waste area. I have, from time to time,
 proposed putting the alignment muxes and barrel shifters in the wire
 turn area. I'm surprised that a large cluster L1 makes sense, but that's
 the sort of thing that you can only really tell from layout.

 元々 Willamette が頭にあったから、俺はクラスタ内の L0 データキャッシュは
 容量が小さいものを考えていた。チップ上のレイアウトで実行ユニットのデータフロー
 のサイズに収まるようにL0の容量を決めると良いんだよ。。 Bulldozer の L1 は随分大
 きくて配線に無駄な「戻り」部分が必要だと思う。俺は常々配線の戻りのところには
 データアライメント用のマルチプレクサとバレルシフタにすれば良いと言ってるん
 だけどね。
 L1 が大きいからといって良いことは無いと思うんだけど、まぁそれもチップのレイアウト
 次第だよね。
596MACオタ@続き:2010/02/14(日) 05:13:23 ID:Wj71GeXX
- Some posters have been surprised by sharing the FP. Of course, AMD's K7
 design, with separate clusters for integer and FP, was already half-way
 there. They only had to double the integer cluster. It would have been
 harder for Intel to go MCMT, since the P6 family had shared integer and
 FP. Willamette might have been easier to go MCMT, since it had separate FP.

 FPU を共有していることに疑問を持っているヤツもいるよな。もちろん K7 は
 [整数パイプと浮動小数点パイプがスケジューラから分離しているという点で]
 別々の整数クラスタと浮動小数点クラスタを持っていると言える。後は整数
 クラスタをもう一つ追加すれば良いだけの話だよね。
 P6は整数パイプと浮動小数点パイプでスケジューラが共通だから MCMT の
 実装は難しい。Willamette は浮動小数点パイプラインが分離している分、MCMT
 の実装はより楽になっている。

- Anyway... of course, for FP threads you might like to have
 thread-private FP. But, in some ways, it is the advent of expensve FP,
 like Bulldozer's 2 sets of 128 bit, 4x32 bit, FMAs, that justify integer
 MCMT: the FP is so big that the overhead of replicating the integer
 cluster, including the OOO logic, is a drop in the bucket.

 君らは独立したFPクラスタが必要だって言いたいんだろうけど、Bulldozer の
 FPU は128-bit の FMAなんて実行ユニットだけでもでかすぎる。その上、
 整数パイプと同じくOOOロジックを備えたスケジューラなんて無理だよ。
597MACオタ@続き:2010/02/14(日) 05:22:11 ID:Wj71GeXX
- You'd like to have per-cluster-thread FP, but such big FP workloads are
 often so memory intensive that they thrash the shared-between-clusters
 L2 cache: threading may be disabled anyways. As it is, you get good
 integer threads via MCMT, and you get 1 integer thread and 1 FP thread.
  Two FP threads may have some slowdown, although, again, if memory
 intensive they may be blocking on memory, and hence allowing the other
 FP thread t use the FP. But two purely computational FP threads will
 almost undoubtedly block, unless the schedulers are piss-poor and can't
 use all of the FP for a single thread (e.g. by being too small).

 じゃあ一つのクラスタの中に FPU も入れろよって言うヤツもいるかもしれない。
 でもな、浮動小数点演算ってのはだいたいにおいてメモリの負荷が大きいんだよ。
 クラスタで共有している L2 なんて、すぐスラッシングでダメになっちまう。とにかく
 二つの整数クラスタでFPUを共有ってのは丁度良いってことになる。
  ひとつのFPUを二つのスレッドで共有するっては、ちっとは遅くなるかもしれない
 けど、片方のスレッドがメモリで引っかかった時にもう片方が演算が出来るって
 意味でうまく動く。ところが独立した二つのFPUなんて、スケジューラがよっぽど
 ヘボく無い限りメモリ帯域を喰い合うだけで無意味なのさ。
598MACオタ@続き:2010/02/14(日) 05:41:14 ID:Wj71GeXX
- I don't expect to get any credit for MCMT. In fact, I'm sure I'm going
 to get shit for this post. I don't care. I know. The people who were
 there, who saw my presentations and read my proposals, know. But, e.g.
 Chuck Moore wasn't there at start; he came in later. Even Mike Haertel,
 my usual collaborator, wasn't there; he was hired in later, although
 before Chuck. Besides, Mike Haertel thinks that MCMT is obvious.
 That's cool, although I ask: if MCMT is obvious, then why isn't Intel
 doing it? Companies like Intel and AMD need idea generating people like
 me about once every 10 years. In between, they don't need new ideas.
 They need new incremental improvements of existing ideas.

 Anyway... It's cool to see MCMT becoming real. It gives me hope that my
 follow-on to MCMT, M* may still, eventually, also become real.

 色々書いたけど、俺は MCMT に関する権利を主張しようって訳じゃ無い。
 俺は当時誰がAMDで働いていたか知っているし、誰が俺のプレゼンや企画書
 を読んでいるか知ってるけど、当時まだ Chuck Moore はいなかったし、俺の
 仲間だった Mike Haertel も Chuckよりちょっと前に入社した程度だった。Haertel
 は MCMT を買ってくれたけどね。
  それにしても俺は思うんだが、 MCMT がうまく機能するとすれば、何故 Intel
 は俺の提案を袖にしたんだろうね?結局のところ Intel や AMD みたいな大企業
 にとって、新アーキテクチャなんて10年に一度くらいしか必要なくて、既存アーキを
 洗練させるのがうまいやり方なんだろうね。

 とにかく MCMT が日の目を見たのは良かったと思うよ。願わくば M* も採用される
 日が来ればと思うね。
599MACオタ@続き:2010/02/14(日) 06:16:58 ID:Wj71GeXX
- There were several K10s. While I wanted to work on low power when I went
 to AMD, I was hired to consult on low power and do high end CPU, since
 the low power project was already rolling and did not need a new chef.
 The first K10 that I knew at AMD was a low power part. When that was
 cancelled I was sent off on my lonesome, then wth Mike Haertel, to work
 on a flagship, out-of-order, aggressive processor, while the original
 low power team did something else. When that other low-power project was
 cancelled, that team came over to the nascent K10 that I was working on.
  My K10 was MCMT, plus a few other things. I had actually had to
 promise Fred Weber that I would NOT do anything advanced for this K10 -
 no SpMT, just MCMT. But when the other guys came on board, I thought
 this meant that I could leave the easy stuff for them, while I tried to
 figure out how to do SpMT and/or any other way of using MCMT to speed up
 single threads.

  当時 K10 なるプロジェクトはたくさんあったのさ。そもそも俺がAMDに雇われた時の
 仕事は低消費電力プロセッサだったんだけど、これが俺の知る限り最初の「K10」
 って名前のプロジェクトだった。
 このK10の開発は既に随分進んでいて、俺の仕事は全然なかったんだが、あっさり
 キャンセルされて俺は宙ぶらりん状態になった。丁度そのころ Mike Haertel が入社
 してきて、一緒にハイエンドの OoOE プロセッサの開発を担当することになった。例の
 低消費電力プロセッサのグループは、別のプロジェクトに回され、それとは別の
 低消費電力プロジェクトをやっていたチームが我々の K10 の開発を行うことに
 なった。この K10 が MCMT の K10 って訳だ。
  俺の K10 プロジェクトに関しては、Fred Webner から MCMT の実装だけに専念して
  SpMT とか余計なことに手を出さないように約束させられた。しかし (Webner が失脚して)
 別の取締役が来たんで、その約束は無かったことにして SpMT を含むあらゆる方法で
 MCMT によるシングルスレッドの高速化を実装することにした。
600MACオタ@続き:2010/02/14(日) 06:48:35 ID:Wj71GeXX
- - indeed, the scheduler structure of queues
 feeding an RS arose from the debate between OOO (me) and in-order (Sager
 and Upton) -

 実際、(Willamette 開発時に) スケジューラの構造で OoO派(俺)とインオーダー派
 (Seger と Upton)で議論があった。
 
- Mitch Alsup was K9.

 [K9について尋ねられて]Mitch Alsup が K9 をやってたな。
 [MACオタ注: Mitch Alsup は Motorola 88Kや Ross HyperSPARC のアーキテクト]
 
- Some of us have done a lot of work on dynamic predication. (My resume
 includes an OOO Itanium, plus I have been working on VLIW and
 predication longer than OOO.) But since such work inside companies will
 never see the light of day, do not let that hold you back, since you are
 not so constrained by NDAs and trade secrets.

 俺の経歴にも書いてあるように、OoO の Itanium やプレディケーションについては色々
 研究したけど、Intel 社内では日の目を見なかった。俺はNDA とか色々あって無理だが、
 お前さんがやるなら頑張れ。
601MACオタ@ここまで:2010/02/14(日) 07:10:42 ID:Wj71GeXX
ALU間の配線レイアウトの話について語っているところも面白かったので
書こうかと思いましたが、長いのでヤメておきました。

ところで、この話に関して AMD のサイトで blog を執筆している John Fruehe 氏
(http://blogs.amd.com/work/ 参照)が「Andy Glew なんて知らねーし。そんな怪しい
ヤツの言うことより、俺を信じろ」とか書いて失笑をかっていました。
例のアニキと言い、どうして AMD のマーケティングは間抜けなのやら…
http://www.xtremesystems.org/forums/showpost.php?p=4225217&postcount=206
  ------------------------
  I have no idea who Andy Glew is, but he left the company several years ago.
  ------------------------

602Socket774:2010/02/14(日) 07:19:32 ID:D4oXSgCt
ワロスw
603Socket774:2010/02/14(日) 07:38:58 ID:3oTjQGRW
K9で却下された提案をブルで再び使うのか
ttp://pc.watch.impress.co.jp/docs/2006/0202/kaigai238.htm

提案は、CPUをマルチスレッド&マルチクラスタの構成にし、マイクロアーキテクチャの全レベルでマルチレベル化、
さらにさまざまなマルチスレッディングテクニックを実装するというものだったようだ。
例えば、スケジューラやインストラクションウインドウ、ストアバッファ、レジスタファイル、ブランチプレディクタなどをマルチレベルにする。
加えて、投機マルチスレッディング(SpMT:Speculative Multithreading)、非明示的マルチスレッディング(IMT:Implicit Multithreading)、
スキップアヘッドマルチスレッディング(SkMT:Skipahead Multithreading)といった技法を持ち込む。
604MACオタ>603 さん:2010/02/14(日) 08:32:13 ID:Wj71GeXX
>>603
後藤氏を信じるのは自由ですが、Glew 氏によるK9情報は>>600
605Socket774:2010/02/14(日) 08:39:26 ID:3oTjQGRW
K10って書いてた
>Glew氏は、以前、K10のために提案したものの、AMDに拒否されてしまった技術をリストアップしていた。
>Glew氏が提案したのは、かなり尖ったアーキテクチャだった。
606MACオタ:2010/02/14(日) 12:37:56 ID:Wj71GeXX
ちなみに2ちゃんねる的には Andy Glew 氏のサイトの職務経歴は2005年半ばの
話題でした。
  ---------------------
  132 名前:MACオタ 投稿日:2005/08/08(月) 06:18:21 ID:9pfRTF4F
    P6の主要アーキテクトの一人として知られるAndy Glewが昨年AMDを退社した後,Intelに
    戻ってるとのことす。
    http://www.geocities.com/andrew_f_glew/cv-glew.html
  ---------------------
607580:2010/02/14(日) 13:28:59 ID:sxHaLuFq
どうやら>>580の解釈であってるようでした
608Socket774:2010/02/14(日) 16:04:06 ID:JviKC0SN
>>593
M*ってのが気になる
なんか書いてないの?
609MACオタ>608 さん:2010/02/14(日) 21:57:01 ID:Wj71GeXX
>>608
ご当人のブログで発表資料等が紹介されています。
http://andyglew.blogspot.com/2009/12/links-to-mlp-coherent-threading.html
610Socket774:2010/02/15(月) 10:07:43 ID:Dg+O6xA1
Andy Glewのスライドのほう、新風やハイパースカラじゃないか…
611Socket774:2010/02/15(月) 17:30:09 ID:6N+k5INy
SIMDを使ったプログラムを書いているのだけど、マンデンブロ集合以外に、
プログラミングコストに比較して満足感があるものってなにかないかな。

ゲームは、キャラクターつくったりドット絵かくの大変なのでパス。
612Socket774:2010/02/15(月) 17:31:08 ID:6N+k5INy
つかいま一番つかってみたいのはG4/G5のAltiVecなんですけどね。
613,,・´∀`・,,)っ-○○○:2010/02/15(月) 22:38:10 ID:imhVWoSl
別に大したことないぞあれ
614Socket774:2010/02/17(水) 23:12:59 ID:jIvzAyKW
俺はMVI,MAX,VIS辺りかな…
615MACオタ:2010/02/23(火) 00:33:21 ID:6aN/dP7E
IntelのサイトにTukiwilaのTDPが書いてます。
http://www.intel.com/products/processor/itanium/specifications.htm
 -9350/1.73GHz (4-core): 185W
 -9340/1.60GHz (4-core): 185W
 -9339/1.46GHz (4-core): 155W
 -9320/1.33GHz (4-core):; 155W
 -9310/1.60GHz (2-core): 130W
流石に20億トランジスタは伊達じゃありませんな。
これと比較すると8-core, 4GHzでチップあたり200Wと言われる POWER7 は低消費
電力と言えるのかも…
616Socket774:2010/02/23(火) 09:02:25 ID:TpvPnKnq
ネタの古さと程度の低さにビックリ
617Socket774:2010/02/23(火) 16:28:23 ID:ie/mu8Le
最後の行を言いたかっただけでしょw
618,,・´∀`・,,)っ-○○○:2010/02/24(水) 16:11:08 ID:QutNOdX2
describe(笑)

ますます描「画」と遠くなったぞ
619Socket774:2010/02/26(金) 20:04:46 ID:oGRP4Zxp
ね…描画
620MACオタ:2010/02/28(日) 11:29:22 ID:TTBuX29w
まずは古めのニュースをまとめておきます。
まず、POWER7の製造状況に関するIBM Power Systemsのゼネラルマネージャ、
Ross Mauri のコメント
http://www.itjungle.com/tfh/tfh021510-story03.html
  --------------------
  "The yields are good on the Power7 chips," Mauri said to me ahead of his
  presentation. "And if you are hearing rumors to the contrary, call me up and
  I am happy to deny them," he added with a smile.
  --------------------
それから、日立と仏Bull SAのPOWER7搭載機の発表。
両者ともPower 75xのOEMの様です。
日立: http://www.hitachi.co.jp/New/cnews/month/2010/02/0210b.html
   http://www.hitachi.co.jp/Prod/comp/EP8000/power7.html
Bull SA: http://www.wcm.bull.com/internet/pr/rend.jsp?DocId=539095&lang=en
621Socket774:2010/02/28(日) 12:33:35 ID:fQ/ywCDH
IBMオタさんだあ
622MACオタ:2010/02/28(日) 13:04:07 ID:TTBuX29w
円周率計算でIntel i7 PCで世界記録を樹立した Fabrice Bellard 氏が件の
実行コード "tpi" を公開しています。Linux版とWindows版。
http://bellard.org/pi/pi2700e9/tpi.html
手近で見つかった結果はこんな感じ。
 128Mi (134,217,728)桁, 8-Thread
 ・Barcelona Opteron/2.3GHz./8-core: 125.018 sec.
 ・Shanghai Opteron/2.7GHz/8-core: 91.986 sec.
 ・Harpertown Xeon/2.5GHz/8-core: 89.859 sec.
 ・Nehalem Xeon/2.66GHz/4-core: 65.080 sec.

マルチスレッドとSSE3に対応した最新の円周率計算コードですので、今後のベンチマークは
この辺に移行すべきかと思うのですが…
623MACオタ@補足:2010/02/28(日) 13:05:28 ID:TTBuX29w
上記の結果は全てLinux版によるものでした。
624Socket774:2010/02/28(日) 13:06:52 ID:IOPfYmwP
AMDで遅いものなんてアム虫がブーブー言うだけだろ
625Socket774:2010/02/28(日) 13:40:08 ID:IOPfYmwP
ちなみに i5 670で2thread

tpi.exe -T 2 -o pi.txt 128M
Using 3.67GiB of RAM
Computation to 128000000 digits, formula=Chudnovsky
Output file=pi.txt, format=txt, binary result size=53.1MB
Binary Splitting
Depth=24, thread_level=1
mem max disk max operation compl lv
545M 545M 0 0 completed 100.0% 0
time = 63.601 s
Compute P, Q
362M 545M 0 0 completed
time = 0.836 s
Division
599M 599M 0 0 completed
time = 5.646 s
Sqrt
528M 599M 0 0 completed
time = 3.793 s
Final multiplication
925M 925M 0 0 completed
time = 2.353 s
Total time (binary result) = 76.247 s
Base conversion
523M 925M 0 0 completed
time = 13.922 s
Total time (base 10 result) = 90.170 s
Writing result to 'pi.txt'
626MACオタ>625 さん:2010/02/28(日) 13:51:20 ID:TTBuX29w
>>625
参考までに>>622の結果は"128M"じゃなくて"128Mi"の結果です。
627Socket774:2010/02/28(日) 14:03:24 ID:IOPfYmwP
あまり変わらんな
tpi.exe -T 2 -o pi.txt 128Mi

Using 3.67GiB of RAM
Computation to 134217728 digits, formula=Chudnovsky
Output file=pi.txt, format=txt, binary result size=55.7MB
Binary Splitting
Depth=24, thread_level=1
mem max disk max operation compl lv
571M 571M 0 0 completed 100.0% 0
time = 66.222 s
Compute P, Q
377M 571M 0 0 completed
time = 0.874 s
Division
623M 623M 0 0 completed
time = 6.115 s
Sqrt
547M 623M 0 0 completed
time = 4.134 s
Final multiplication
966M 966M 0 0 completed
time = 2.699 s
Total time (binary result) = 80.044 s
Base conversion
549M 966M 0 0 completed
time = 14.836 s
Total time (base 10 result) = 94.879 s
Writing result to 'pi.txt'
628Socket774:2010/02/28(日) 14:23:38 ID:7SwDPgiv
マルチスッドレに対応してるわりには遅い
629MACオタ>628 さん:2010/02/28(日) 14:28:21 ID:TTBuX29w
>>628
タスクマネージャで負荷変動でも眺めていれば理由は自明ですよ。
630MACオタ:2010/02/28(日) 16:06:38 ID:TTBuX29w
>>403でも紹介した中国語版Power.orgにおいてある資料ですが、"64-bit Multi-
threaded"の次世代組込コアについて言及がありました。
http://www.cn.power.org/resources/power/pdf/06.PPC%20Embedded%20PowerPC%20Architecture.pdf
(P.6参照)
今見ると、これが PowerPC A2 コアであることは明らかなのですが、当該スライドの
タイトルが"Power Architecture Cores Available for Licensing"とあるように、A2コア
を外部にライセンスする気満々なのは明らかです。

で、Sequoia 用コアについて再度考え直してみると、>>396, >>403, >>561あたりで書い
たようにPPC 470系であろうと予測している訳ですが、
https://newsline.llnl.gov/_rev02/articles/2009/feb/02.06.09-sequoia.php
 - 1.6 PetaBytes of memory
 - 98,304 Nodes
ということで、プロセッサカードあたりのメモリは明らかに16GBを想定していることが判
ります。Blue Gene/P からはプロセッサカード内でのSMP動作モードが追加されていま
すので、16GBのメモリを使用するのに32-bitコアのままで問題無いのであろうかという
疑問が出てきます。

PPC470自体がサポートする物理メモリは、ちょうど上のプレゼンに書いてあって、4TB
とのこと。
  ---------------------
  - Real memory support up to 4 terrabytes
  ---------------------
16コアで16GBを共有するのですから、プロセスあたり4GBの制限があっても何とかなる
のかもしれませんが、PC的な64-bit SMP のようにノード内の全メモリをスレッド間で共有
するようなコードは使えません。

どうせ Blue Gene で動かす以上、PCクラスタからのベタ移植なんて考えないのかもしれ
ませんが、64-bitの A2 コアを選択する可能性も出てきた…ということで。
631MACオタ:2010/02/28(日) 17:07:37 ID:TTBuX29w
ECのスーパーコンピュータ共同利用プロジェクト PRACE が2009年の技術報告書
を公開しています。
http://www.prace-project.eu/documents/d8-3-2.pdf
この報告書から>>336で述べた QPACE について、目に付いた話題を。

 2009年下期のGreen500の上位を独占した QPACE の試作機 eQPACE ですが、
 開発目標は下記のように設定されている (P.13)
  - QPACE アーキテクチャの QCD 計算以外の分野への応用
  - トーラスネットワーク用 FPGA の他分野応用のための改良
  - 上記の目的のためのQPACEネットワーク用 MPI ライブラリ等、ソフトウェアの開発

 汎用HPCコード応用のため、FPGAインタコネクトは、ハード/ソフト両面から、現在も
 開発中 (P.63-66)

 現状のインタコネクト性能 (P108, 表24)
  ・QPACE         MPI Latency: 4.7us, BW: 845MB/s
  ・Altix XE (IB QDR)  MPI Lantecy: 1.7us, BW: 2500MB/s
  ・Altix ICE (4x IB)   MPI Latency: 1.9us, BW: 1800MB/s

2009年下期のTop500の登録ではeQPACEの実行効率は77.2%とあまり良好とは言えま
せんが、今後もう少し向上は期待できるのかもしれません。
632MACオタ>624 さん:2010/02/28(日) 17:24:40 ID:TTBuX29w
>>624
  -----------------
  AMDで遅いものなんてアム虫がブーブー言うだけだろ
  -----------------
ちょっとπスレッドを見てきましたけど、もうAMDで速いコードなんて無くなったみたいですよ。
http://h2np.net/pi/pi_record.html
633Socket774:2010/02/28(日) 17:33:05 ID:IOPfYmwP
蟲にはそれがわからんとです
634Socket774:2010/02/28(日) 19:47:53 ID:7eumbHoc
業界が汎用に走り過ぎている希ガス
とんがったアーキテクチャで差別化しないと共食いになりそう…
635Socket774:2010/02/28(日) 20:19:48 ID:j/skOWaY
>>634
あなたの住んでる世界にはASIC市場がないんですか?
636MACオタ:2010/02/28(日) 20:54:04 ID:TTBuX29w
>>622で紹介したTachusPIはWindows版でもコマンドコンソールが必要なので、
バッチファイルを書いてみました。

Bellard氏のサイトからダウンロードして解凍したフォルダに、以下のテキスト
ファイルを "tpi.dat"という名前で保存して、ダブルクリックすると計算できます。
なお、Windows版は64-bit Windows必須。

@ECHO OFF
SET /P NTHREAD="スレッド数: "
SET /P NDIGIT="計算桁数 (例: 1M): "
.\tpi -T %NTHREAD% %NDIGIT%
SET /P ENDOK="終了"
637Socket774:2010/02/28(日) 21:23:30 ID:+dcUonSP
>>622
πってCPU内蔵キャッシュの性能テストだよな。
638Socket774:2010/02/28(日) 21:25:28 ID:YmPAfr1t
完全に同意
639Socket774:2010/02/28(日) 21:37:57 ID:IOPfYmwP
amdの報告はまだ?
640Socket774:2010/02/28(日) 22:34:13 ID:7SwDPgiv
MACオタのレスくらい読めって
ちゃんと書いてあるよ
641Socket774:2010/02/28(日) 22:54:29 ID:IOPfYmwP
linuxだろ
642Socket774:2010/03/02(火) 23:44:13 ID:N2L1uw05
あげ
643MACオタ:2010/03/04(木) 21:03:08 ID:bi/m7VuB
POWER7とTukwilaのSPEC CPU2006の結果がSPECのサイトで公開されています。
どちらもRateのみ。POWER7の値は既にIBMのサイトで公開済みですが、個別の
ベンチマーク結果を確認したい方はどうぞ。
CINT2006_rate
 ・POWER7 on AIX
 3.3GHz/32-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09576.html
 3.55GHz/32-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09577.html
 3.1GHz/64-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09578.html
 3.5GHz/48-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09583.html
 3.86GHz/16-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09586.html
 3.86GHz/64-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09587.html
 4.14GHz/32-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09580.html
 ・POWER7 on Linux
 3.3GHz/32-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100205-09568.html
 3.55GHz/32-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100205-09566.html
 ・Tukwila on HP-UX
 1.73GHz/8-core http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100208-09616.html
644MACオタ@続き:2010/03/04(木) 21:06:42 ID:bi/m7VuB
645Socket774:2010/03/04(木) 21:19:14 ID:SoPZxcZc
Tukwila死亡
646MACオタ@続き:2010/03/04(木) 21:38:24 ID:bi/m7VuB
色々な基準で他のアーキテクチャと比較してみると、こんな感じ
■ 2-socket
processor       core CINT(base/peak) CFP(base/peak)
 POWER7/3.86GHz  16  586 / 652       531 / 586
 Nehalem/3.33GHz   8  255 / 274       204 / 211
 Istanbul/2.80GHz   12  168 / 215       133 / 148
 Niagara2/1.58GHz  16  171 / 183       124 / 133
 Tukwila/1.73GHz    8  128 / 134       132 / 136

■16-core
processor       CINT(base/peak) CFP(base/peak)
 POWER7/3.86GHz  586 / 652       531 / 586
 POWER6/5.0GHz   466 / 542       465 / 544
 Nehalem/2.93GHz  466 / 499       361 / 372
 POWER6/3.60GHz  289 / 363       226 / 263
 Shanghai/3.1GHz   232 / 274       203 / 228
 Dunnington/2.4GHz  204 / 221       120 / 128
647Socket774:2010/03/04(木) 21:45:55 ID:qysoWJlI
POWER7はパッケージにもソケットにも馬鹿みたいに金かけてるから参考にならねえ
プロセッサモジュールの価格も数倍違うだろどうせ
648レトリック君:2010/03/04(木) 22:15:08 ID:afk/VI64
いやそれは正しい方向なんだよ。
上に上にと逃げて、高く売らなきゃ生き残れない。
立場的に。
649Socket774:2010/03/05(金) 01:44:31 ID:RvfR0pQp
power4のMCMは家が建つくらいだったが
650Socket774:2010/03/05(金) 13:38:20 ID:kx6mSf6e
>>649
不意を突かれたw
651Socket774:2010/03/06(土) 11:32:31 ID:Ea/vz0ED
>>646
POWER6って性能悪かったんだな…
652レトリック君:2010/03/07(日) 00:50:05 ID:lr+sNJma
>>649
売値はな。
製造原価は…
653Socket774:2010/03/07(日) 10:54:21 ID:Kg4/tQIn
レトリック君ってIBMの人だったのか
654MACオタ:2010/03/08(月) 01:49:41 ID:/G1wwpzL
先週IBMがチップ間光インタコネクトに利用可能なアバランシェ光検出素子を
発表しました。
IBM Researchにまとめた情報が出ていたので、URLを書いておきます。
http://domino.research.ibm.com/comm/research_projects.nsf/pages/photonics.apd.html
IBMによると、今これでダイ上に検出素子、光変調器、光スイッチ、光バッファを集積する
ことが可能になり、光インタコネクトを実装する基礎技術は一通り揃ったとのこと。
655Socket774:2010/03/09(火) 00:29:29 ID:VgdHrP1y
>>41
ゴーストバスターズもPS3リードだけど、超絶劣化したね。
656Socket774:2010/03/09(火) 02:00:08 ID:7vXMo2ih
MACオタが後藤をネタに語る悪寒
657Socket774:2010/03/09(火) 03:12:42 ID:qIkLB7Ou
なんでMIPSて落ち目になったの?
658Socket774:2010/03/09(火) 12:07:17 ID:JLHxoplb
WSがPCに喰われほぼ消滅→組み込みに活路を見いだす→ARMとの競争に負ける
というのが消費者側からの見方だが、どうなんかね。
659Socket774:2010/03/09(火) 17:03:13 ID:HQi3QE5m
捲土重来を狙ってるみたいだが。

Androidでビジネス拡大を狙うミップスの新戦略
http://monoist.atmarkit.co.jp/fembedded/31mips/mips01.html
660Socket774:2010/03/10(水) 13:51:56 ID:IQPA8ybZ
POWER7Macを発売してください>MACオタさん
MACオタならできる
661Socket774:2010/03/10(水) 17:57:11 ID:4a0mAjv3
MACオタ「俺にだって、、できないことくらい、、、ある・・・・」
662Socket774:2010/03/10(水) 23:49:13 ID:gDim2SPA
実際にMIPSがARMに比べて組込向けで劣ってたところって何なんだろうね。

SoC向けのIP展開の遣り方や価格がダメダメだったのかね?

それともPCと対抗するのに力を入れてる間に、ARMが組み込み向けのシェアを
占拠してしまって、出遅れたのが致命傷だったって事なのかな?
663Socket774:2010/03/11(木) 00:17:19 ID:7PFYjvS2
>>662
高性能に傾斜しすぎていた傾向はあるのでは無いかな。
SHが16bit化コードで先行して、ARMがthumbで続き、MIPS16とかはあまりやる気が…

求められているのは高性能ではなく、ほどほどの性能とローコストだから。
ま、今でもだけど。
なのでARM主流がなかなかARM7TDMIからCortexに移らないww
664Socket774:2010/03/11(木) 13:15:51 ID:MjsrZS4R
そしてなぜダメだったのかとか議論にもの登らず消えていくSuperH。
665Socket774:2010/03/11(木) 19:12:25 ID:M1Aywpxl
MIPSは1995年頃に内紛があったような気がした
R10000はスペックの割に異様に遅かった(速くない)のが印象に残っている。クロックも上がらなかったし

ARMはIPを積極的に売ったので勝ったというのが定評だと思う。

MIPSは命令セットだけ買えるので、独自コアや独自拡張が欲しい人が使っていたかな
ゲーム機とかネットワークプロセッサとか
666Socket774:2010/03/11(木) 19:27:01 ID:M1Aywpxl
MIPSの内紛はクボタがらみだったと思うが輪をかけて自信なし

個人的な好みで言うと、MIPSは割り込みの扱いが面倒だし、SHはディスプレースメントが短くて不便
667Socket774:2010/03/11(木) 20:18:21 ID:KeqIbbWN
すーぱーえっち
668Socket774:2010/03/13(土) 18:43:26 ID:MIRMiKRQ
みだらなぷっしー
669Socket774:2010/03/14(日) 09:04:14 ID:5Ch/VAb8
2000年からのXeonのCPUコア数の
変化が解るグラフってどこかにありませんか?
670Socket774:2010/03/17(水) 00:09:23 ID:IV5d0fQd
■福田昭のセミコン業界最前線■
2009年はどんな年だったのか
http://pc.watch.impress.co.jp/docs/column/semicon/20100317_355029.html
671Socket774:2010/03/17(水) 21:42:36 ID:rnoPU6n6
ロースペの性能比較が面白すぎて困る
672Socket774:2010/03/18(木) 08:52:19 ID:CMwPYB3D
[GDC 2010]Larrabee計画の延期が影を落とす,Intelの“グラフィックス最適化”セッション
http://www.4gamer.net/games/107/G010710/20100317030/

> しかし蓋を開けてみれば,その内容は「デュアルコアCore i7・i5・i3プロセッサに搭載された
>『Intel HD Graphics』と,同グラフィックス機能に向けた最適化の話題のみ」という,お寒い内容。
>ただでさえ閑散としたセッション会場を,早々に立ち去る参加者も目立ち,聴講者は筆者を含めて数える程度だった。
673Socket774:2010/03/18(木) 10:21:18 ID:t92ebmtx
http://www.4gamer.net/games/107/G010710/20100317030/
[GDC 2010]Larrabee計画の延期が影を落とす,Intelの“グラフィックス最適化”セッション
674Socket774:2010/03/19(金) 02:53:13 ID:mPCuMPHr
Cellといいララビーといい
シンプルコアレンダリングの何が障害なんだろうな
675Socket774:2010/03/19(金) 23:20:55 ID:J0fmKyKv
>>674
構造がシンプルでも、求められる出力は従来と変わらないんだから、別の何処かが複雑化するだけじゃね?
おまけに新しい構造だからゼロスタートで頑張れとか言われたら、誰も近寄りたくないんじゃないかな。

一方、従来型で構造が複雑なのはプロセスの進化で相殺できるし、使う側もノウハウ流用できる。
676Socket774:2010/03/20(土) 05:29:38 ID:76reHPwT
Larrabeeは多少性能に難があっても製品として出しちゃえば良かったんだよ、否が応でも対応アプリが出てくるだろ
第一期製品で完全版を目指しすぎインテル
677Socket774:2010/03/20(土) 05:37:38 ID:sbh4BvJ2
Mercedみたいになったと思うぞ
678MACオタ:2010/03/20(土) 09:19:51 ID:2FaaqQLO
Intelと富士通がWestmereのSPEC2006を登録しています。
http://www.spec.org/cpu2006/results/res2010q1/
この話題を語る前に少しばかりおさらいを。

最近のIntelコンパイラは"Auto Parallel"オプションによってCINT/CFPの結果でも
単純なコア当たりの性能が判りにくくなっています。
それでも"base"の結果のみAuto Parallelが無効にされている結果を見つけたという
話がRWT掲示板に投稿されていました。
http://www.realworldtech.com/forums/index.cfm?action=detail&id=107677&threadid=107677&roomid=2
このCore i3-540搭載機のBullの登録(並列化OFF)と富士通の登録(並列化ON)を比較して
みましょう。

■Core i3-540のCINT2006_base & CFP2006_base
        CINT_base  CFP_base
並列化無   25.6      27.5
並列化有   26.2      29.3

参考:
Bull CINT2006 http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100126-09456.html
  最適化: -xSSE4.2 -ipo -O3 -no-prec-div -static -opt-prefetch
富士通 CINT2006 http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100118-09374.html
  最適化: -xSSE4.2 -ipo -O3 -no-prec-div -static -parallel -par-runtime-control -opt-prefetch
Bull CFP2006 http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100126-09454.html
  最適化: -xSSE4.2 -ipo -O3 -no-prec-div -static -opt-prefetch
富士通 CFP2006 http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100118-09351.html
  最適化: -xSSE4.2 -ipo -O3 -no-prec-div -static -parallel -opt-prefetch
679MACオタ@続き:2010/03/20(土) 10:03:24 ID:2FaaqQLO
CINT/CFP を構成する個別ベンチマークの結果を比較すると、更に興味深い結果が
見て取れます。
■自動並列化の効果
 CINT (全12ベンチマーク)
  - 462.libquantum: +50.4%
  - その他: -3.2〜0%
 CFP (全17ベンチマーク)
  - 436.cactusADM: +85.7%
  - 434.zeusmp: +16.5%
  - 410.bwaves: +9.9%
  - 482.sphinx3: +9.1%
  - 456.GeemsFDTD: +6.6%
  - 481.wrf: +3.1%
  - 470.lbm: +2.4%
  - その他: -2.9〜+1%

つまりCINTで並列化が寄与するベンチはたった一つ。CFPでも顕著に効果があるモノは
5つ程度ということです。
コア単体の性能比較を行いたい場合は、これら並列化の効果が大きなサブベンチマークを
除いた幾何平均を求めればOKということでもあります。先のCore i3-540の結果をこの方式で
計算してみると次のようになりますが、自動並列化の効果がキャンセルできてることが判ります。

■Core i3-540の修正CINT2006_base & 修正CFP2006_baseの比較
 CINTは 462 を除く。
 CFPは 410, 434, 436, 459, 481, 482 を除く。
        CINT_base(Mod)  CFP_base(Mod)
並列化無     22.3          26.3
並列化有     22.1          26.2
680MACオタ@ここまで:2010/03/20(土) 11:01:14 ID:2FaaqQLO
ここから本題です。Intelと富士通が登録したWestmere-EPの結果を同クロックの
Nehalemと比較してみましょう。

■ i7-980X vs i7-975 on Windows
            CINT_base CINT_base(Mod) CFP_base CFP_base(Mod)
i7-980X/3.33GHz    34.8     28.4        36.9      30.0
i7-975/3.33GHz     31.6     26.5        32.9      27.1

つまりシングルコア性能で見てもCINTで+7%,, CFPで+11%程度の性能向上があります。
ただし、この比較、980Xは64bit Win7 + icc11.1, 975は32bit Vista + icc11.1なので
その点は考慮する必要もあるかもしれません。

■ Xeon X5680 vs Xeon W5590 on 64-bit Linux
            CINT_base CINT_base(Mod) CFP_base CFP_base(Mod)
i7-980X/3.33GHz    39.0     30.2        44.8      33.4
i7-975/3.33GHz     34.2     27.8        40.4      32.0

こちらは両方とも64-bitコードということで、より信頼が置けます。
int でのコア当たりの性能向上は 8.5%, fp では 4.2%ということになります。
まあ Tick-Tock での "Tock" での性能向上としては、こんなモノでしょうか?
681MACオタ@参考資料:2010/03/20(土) 11:35:40 ID:2FaaqQLO
682Socket774:2010/03/20(土) 11:52:00 ID:/cHpY9+M
Modの方は参考にはなるだろうけど
「コア当たりの性能向上」って言い切っちゃうのはどうなの?
683MACオタ>682 さん:2010/03/20(土) 12:11:34 ID:2FaaqQLO
>>682
どの辺が疑わしいと思いますか?
ちなみにSPECの登録データによると、ターボブーストのリミット値は3.6GHzで同じとのこと。
684,,・´∀`・,,)っ-○○○:2010/03/20(土) 13:13:28 ID:lZIalqH7
そもそもWestmereはコアレベルで見るとAES/CLMUL命令の有無を除けばNehalemの単純シュリンクなんで
そんなもんでしょう。

IACAのDLLがNehalem用とWestmere用で全く同じサイズだった時点で素性はわかったようなもの
685Socket774:2010/03/20(土) 18:00:42 ID:GAgr2qiY
Macオタさんと団子さんが引っ付いたw
686Socket774:2010/03/20(土) 20:00:43 ID:vV2SC1Bl
うほっ
687Socket774:2010/03/20(土) 20:54:20 ID:akBc5CBs
TBの上限が同じならL3$が増えた以外にエンハンスあるっけ?ブーストしやすいくらいか?
688,,・´∀`・,,)っ-○○○:2010/03/20(土) 22:29:25 ID:lZIalqH7
AES/CLMULの利用で高速化出来るコードってどれくらいあるのかしら
689,,・´∀`・,,)っ-○○○:2010/03/20(土) 22:51:13 ID:lZIalqH7
http://www.freeweb.hu/instlatx64/
この辺見るに本当にNehalemと同じだな
L3は確かに容量1.5倍だがレイテンシは14clk→17clkと増大してるし
一概に性能向上に寄与してるとは言い難い気が。

>>687が正解じゃない?
シュリンクでコア当たりの消費電力が落ちてる分TDP枠に余裕ができてるはず
690Socket774:2010/03/20(土) 23:53:20 ID:VN9Nq9cJ
>>683
省略した項目が「コアあたりの性能向上」を反映してる可能性はないの?
691Socket774:2010/03/21(日) 11:15:12 ID:MZqFJVal
LSDが増えるって話はどうなったんだ?
692MACオタ:2010/03/21(日) 12:00:10 ID:tQ2m2qMB
>>684 団子 さん
 -------------------
  IACAのDLLがNehalem用とWestmere用で全く同じサイズだった時点で素性は
  わかったようなもの
  ------------------
「そんなもの」で頭から決め付けては面白くもなんとも無い訳で、L3の変更やらメモリバッファの増量等の影響がどのように現れるかを問題にしているという
ことになります。命令仕様の改善とマイクロアーキテクチャの改善は区別して考えるべきかと。
http://www.realworldtech.com/page.cfm?ArticleID=RWT031710140138

>>690
上に書いた話とは逆に、Nehalem -> Westmere ではそれほど劇的な変更があったわけでは
ありませんから、個別ベンチマークごとに劇的な性能差が出るとも思えません。
特殊なアクセラレータ命令を使用する場合は話が別ですが、それはまた別の話かと。
693,,・´∀`・,,)っ-○○○:2010/03/21(日) 12:25:23 ID:NRePceAu
だからレイテンシ・スループット一通りデータ取れてるだろ。
データを見る限りでは劇的に変わったものはない

Merom->Penrynでスループットが劇的に改善された命令はあるが
Westmereの特性はNehalemの単純なシュリンクそのものだ

AES/CLMULのために演算ユニットを拡張したと言う情報も特にないし、
むしろAES-NI/CLMULはNehalemに元々実装されていた(が無効にされていた)可能性すらある


> 「そんなもの」で頭から決め付けては面白くもなんとも無い訳で

へえ、Intelはおまいさんを面白がらせるために存在してるのか
見上げたもんだなあ
694Socket774:2010/03/21(日) 12:37:35 ID:CmAgKTjb
人間性が表れる2レスだなw
695Socket774:2010/03/21(日) 13:43:43 ID:PgI8z6kb
ああ、なんか落ち着く
696Socket774:2010/03/21(日) 15:14:11 ID:mhJ301ub
>むしろAES-NI/CLMULはNehalemに元々実装されていた(が無効にされていた)可能性すらある
HTT隠してたオレゴンの事だから、これは可能性でかいよね
隠し玉と呼ぶにはインパクト弱いけど暗号関係のスピードアップは目を見張るものがある
697Socket774:2010/03/21(日) 15:38:05 ID:RsOxVsOx
「鶏冠にきてけんか腰な物言いしてる方が負けだわ!」

ララァは賢いなぁw
698MACオタ>696 さん:2010/03/21(日) 16:57:45 ID:tQ2m2qMB
>>696
  ------------------
  HTT隠してたオレゴンの事だから、これは可能性でかいよね
  ------------------
物事の前後関係を理解されていない様に思います。
WillametteでHTTが無効にされていた理由は、開発者当人が語っている通り(>>587-600参照)。一方団子さんが書いているように、AES-NI/CLMULに関してハードウェア的な強化は無さそうです。

推理小説じゃ無いんですから、『動機があるから怪しい』という論法は違うかと。
699MACオタ:2010/03/21(日) 17:15:54 ID:tQ2m2qMB
今回やってみた Westmere vs. Nehalem の比較に関する、個人的なまとめです。
1. Intelはマルチコア化でコア性能を犠牲にするつもりは無さそう。
 AMD が Istanbul で 4 → 6 へのコア増強と引き換えにクロックを下げたのとは対照的です。

2. L3レイテンシの低下はL3容量その他の改善によりカバーされている。

3. 次世代以降の性能向上の鍵はダイスタッキング等によるメモリ帯域の改善になる可能性が大きい
 今回の比較でもCINTに比べてCFPの改善が小さいという結果になりましたが、これはCFP2006が結果に対するメモリ帯域の依存性が大きいという特性によるものです。
マルチコア化に伴って『コア当たり』のメモリ帯域はますます逼迫する方向に向かうため、メモリ帯域の分野でのブレークスルーがますます重要になりそうです。
700Socket774:2010/03/21(日) 17:38:47 ID:iJ9hNA/p
CellGPUぜひ見たかったw
701Socket774:2010/03/21(日) 17:41:36 ID:zgBIADX0
702Socket774:2010/03/21(日) 19:16:49 ID:NqPTbfLG
>>699
コンパイルオプションの違い(-m32と-m64など)がけっこう効いてそうな気がするなぁ
703MACオタ>702 さん:2010/03/21(日) 20:06:52 ID:hb18Li8q
>>702
X5680とW5590の比較は、どちらも -m64 です。(>>681 参照)
704MACオタ@補足:2010/03/21(日) 20:20:37 ID:hb18Li8q
よく読みなおして、>>703は間違っているかもしれませんね。
x86_64 Linux 上の ICC は、-m32 のオプションを明示的につけない限り 64-bit コードでコンパイルします。
W5590でのコンパイルオプションは、
  --------------------
  C benchmarks: icc
  C++ benchmarks: icpc
  --------------------
とあるので、64-bit と決め込んでいましたが、"Bortability Flags"の項目を見ると
  --------------------
  400.perlbench:   -DSPEC_CPU_LINUX_IA32
  462.libquantum:  -DSPEC_CPU_LINUX
  483.xalancbmk:   -DSPEC_CPU_LINUX
  --------------------
とあるので、32-bit 用の互換オプションがつけてあります。

つまり、64-bit Linuxでわざわざ 32-bit 版 ICC でコンパイルしたということですか…
705MACオタ:2010/03/21(日) 21:14:07 ID:hb18Li8q
CINT2006_base での 32-bit コードと 64-bit コードを比較してみました。
>>680と比べることが可能なW5590のデータはありませんでしたが、X5570だといくつか比較が存在します。
・32-bit: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20091209-09268.html
・64-bit: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100202-09553.html
■32-bit ICC on x86_64 Linux の Xeon X5570 CINT2006_base
 (Modは462.libquantum を除いた幾何平均)
      CINT_base CINT_base(Mod)
64-bit    34.5     27.3
32-bit    32.0     26.1

今回比較に用いたMod指数はちょうど64-bit版が1.5倍ほど有利になる 462.libquantum を除いているため、差が小さくなります。
例によって個別のベンチマークで 32-bit と 64-bit の得失を比較するすると、こうなります。
 - 456.hmmer: 約2倍 64-bit 版有利
 - 462.libquantum: 49% 64-bit 版有利
 - 401.bzip2: 10% 64-bit 版有利
 - 473.aster: 10% 64-bit 版有利
 - 429.mcf: 16% 32-bit 版有利
 - 403.gcc: 11% 32-bit 版有利
 - 471.omnetpp: 8% 32-bit 版有利
 - 464.h264ref: 7% 32-bit 版有利

32/64で差が大きい 456.hmmer も除外した新指数で幾何平均を求めると、上記の結果は次のようになります。
■32-bit ICC on x86_64 Linux の Xeon X5570 CINT2006_base
 (Modは462.libquantum と 456.hmmer を除いた幾何平均)
      CINT_base CINT_base(Mod)
64-bit    34.5     26.0
32-bit    32.0     26.4
706MACオタ@続き:2010/03/21(日) 21:19:42 ID:hb18Li8q
>>705で述べた、462.libquantum と 456.hmmer を除いた改変版 CINT2006_base を用いて Westmere と Nehalem を比較してみましょう。
■ Xeon X5680 vs Xeon W5590 on 64-bit Linux
  (Modは462.libquantum と 456.hmmer を除いた幾何平均)
            CINT_base CINT_base(Mod)
X5680/3.33GHz    39.0     28.7      
W5590/3.33GHz    34.2     28.2      

それでも約2%の性能向上ということになります。
コア性能は犠牲にしていないという結論は変わらないと思いますがいかがでしょうか?
707,,・´∀`・,,)っ-○○○:2010/03/22(月) 04:25:28 ID:oQigsKs+
そもそもキャッシュの容量(エントリ数)増やせば必然的にヒットレイテンシは増大するもんなんだが
低下ってなんだ低下って
そのL3自体1コアあたり2MBだし実際のワークロード考えればスレッド当たりのL3容量は決して増えてないしな

ヒットレイテンシの特性見ても8MBが12MBに増えたところで大きくは変わらんでしょう

シュリンクによる消費電力低下とTurbo Boostで説明できてしまうな
708Socket774:2010/03/22(月) 09:20:02 ID:6u2oaeOj
柄の悪い方が出て来た
709Socket774:2010/03/22(月) 11:18:14 ID:J9zaGnO2
Cellの基礎技術開発は着々と進んでいるね。
PS3では爆熱をいとわない開発陣と組んだから、高性能だが爆熱の熱処理のため
に高価になって出足くじいたが、PS4では200Wからスタートせずに済みそうだ。
Cellへの東芝の貢献は非常に大きいね。

低電圧LSIを実現するSRAM回路技術の開発
32nmで試作、0.7V動作の不良率1/10000
http://www.semicon.toshiba.co.jp/shared/eye/201002.pdf
710Socket774:2010/03/22(月) 12:05:17 ID:RwX/2B1W
アーキテクチャにはもう飽きてくちゃった
711Socket774:2010/03/22(月) 12:49:57 ID:mDKCd74y
>>674
ハードが楽した分、ソフト側が割喰うという構造を何とかしない限り
普及しない。

CELLコンパイラの顛末なんてその好例だろう。
712,,・´∀`・,,)っ-○○○:2010/03/22(月) 12:52:45 ID:oQigsKs+
スカラロード・ストア命令さえあれば多少使い勝手はマシになる
713Socket774:2010/03/23(火) 15:05:11 ID:lIIkywfm
>>711
小規模のアプリなら特に問題は無さそうだけどな。
ハードを絞り出すような開発なら何だろうとカスタマイズのスキルは要るだろう。
今時のコピペPには無理な話なだけで。
714Socket774:2010/03/23(火) 21:09:32 ID:85lM8si1
>>713
わかったからお前が手本見せろ
715,,・´∀`・,,)っ-○○○:2010/03/24(水) 00:45:21 ID:CSoVWjfI
SIMD厨の俺ですらあれはかったるい
716Socket774:2010/03/24(水) 19:31:05 ID:Uyef6FHP
それは1クロックに命をかけた男達の物語である…
717Socket774:2010/03/24(水) 20:36:57 ID:GVNDVloH
もう伸びしろのないCPUなんか語ったって仕方ないだろ
GPUについて語れ!にスレタイ変更すれば?
718Socket774:2010/03/24(水) 20:47:29 ID:kSW2qE5Q
だってGPUの完成形はlarrabeeだもの
719MACオタ:2010/03/25(木) 03:13:07 ID:su5o4Ss9
TheRegisterのMorgan記者がIBM純正のCELL Blade QSシリーズについて書いてます。
http://www.theregister.co.uk/2010/03/23/ibm_kills_qs21_blade/
QS21は今年の6/25で受注終了、次世代機QS2Zは昨年報じられたように開発中止という話なのですが、最後をこのようにまとめています。
  ---------------------
  The reason why the QS22's days are numbered is simple. IBM, say sources
  familiar with the company's plans, is to add specialty processing capabilities
  like those embodied in the SPEs in the Cell chip to the future Power chips
  beyond the current Power7 generation. Perhaps starting with Power7+ and
  definitely in full bloom with the Power8 generation.
  ---------------------
CELL/B.E.としての開発を止めた理由は、SPEがPOWER8のアーキテクチャに取り込まれるから…という話。

もっとも、IBMはPOWER5の頃から『アクセラレータを搭載する』と言い続けていますが、実際に登場した実装は Altivec, DFP, VSX のように、単なる演算ユニットが増えていくだけでした。
今回も今までと同様に、宣伝文句のみで終わるのか?本当に CELL/B.E .の様ななヘテロコアを投入するのかは注目かもしれません。

VSXが既にSPU ISAの改良版としての要素を取り込んでいますし、今更、Sonyや東芝も権利を持つSPEを使ってまでヘテロコアやコプロ的なアクセラレータに手を出すというのは眉唾なのですが…
720Socket774:2010/03/25(木) 10:35:41 ID:Z9LKG++e
命令を取り込むだけでしょ?
まさかローカルストアを持つコプロを取り込んだりはしないだろうし。
721Socket774:2010/03/25(木) 13:49:49 ID:IUfpmytz
ローカルストアが最大の肝なのに
722Socket774:2010/03/25(木) 17:07:21 ID:Ya0WTCv/
32nm版のCellもないのかな?
723Socket774:2010/03/25(木) 18:18:29 ID:R93NR76S
それとこれとは別
724Socket774:2010/03/25(木) 20:28:11 ID:gOPi5wvK
つかPOWERにSPEが付いたらそれCellやん
725Socket774:2010/03/25(木) 22:33:54 ID:Aqr9RnII
完全体の?
726Socket774:2010/03/25(木) 22:38:58 ID:bonIn+xi
Cellみたいな共同開発って権利関係はどうなってんの?
727Socket774:2010/03/25(木) 23:48:50 ID:wC+TcV9l
>>724
たしかに
728Socket774:2010/03/26(金) 06:44:17 ID:JtrMw9Au
共同開発元のライバルの案件すらPPE流用しちゃう権利ゴロのIBMさんだから
自社案件にSPE流用することなんて問題にならんだろう
729Socket774:2010/03/26(金) 11:32:06 ID:QUNgcQXQ
なんかデジャブかと思ったらアナハイムだった。
730Socket774:2010/03/26(金) 16:37:15 ID:JJrWFIys
SCEや東芝の権利が入っていようが、POWERに採用したら
金払わないといけないとかそういうのはないから、
大した問題にはならないだろうな。
731MACオタ:2010/03/27(土) 16:48:32 ID:N3xCMfP5
安藤氏の今日の更新は色々と突っ込みどころが多いですね。
http://www.geocities.jp/andosprocinfo/wadai10/20100327.htm
  --------------------
  1.NVIDIAがFermiベースのGTX480,470を発表
  --------------------
流石に真っ当な仕事をしているヒトがネットばかり見ているという訳でも無いでしょうから『情弱』呼ばわりするつもりもありませんが、ルーマーサイトに属する Semiaccurate よりは Anandtech のレビュー位は読んで欲しいような気もします。
GPGPU性能も扱っているという点では、御本人の専門分野にも重なるかと思うのですけれど…
http://www.anandtech.com/video/showdoc.aspx?i=3783&p=6

  ---------------------
  このQS21はTop500 2位のRoadrunnerに使用されているもので,まだ,3年やそこらは
  使うでしょうから,その間に必要となる保守部品はストックしておくのでしょうね。
  ---------------------
PowerXCell 8iを使ったCELL BladeはBladeCenter QS22の方だったりします。
http://www.top500.org/system/9485
732Socket774:2010/03/27(土) 16:55:15 ID:DXYWK1Ye
なんだ結局Cellは止めちゃうのか
733Socket774:2010/03/27(土) 17:01:17 ID:qGrVqydH
> 安藤氏の今日の更新は色々と突っ込みどころが多いですね。

そう思うなら本人にメールしてあげなよ
きっと喜ぶと思うよ
退職して暇してるかもしれないし
734Socket774:2010/03/27(土) 17:05:26 ID:qGrVqydH
>>732
まあ、クターがいなくなっちゃったしね
735Socket774:2010/03/27(土) 17:07:36 ID:0tVzBGoR
QS21に使われているCellって90nm版だけ?
736Socket774:2010/03/27(土) 17:45:28 ID:SNFwEVpK
>GPGPU性能も扱っているという点では、御本人の専門分野にも重なるかと思うのですけれど…

退職して唯のライターになったからかんけーないとか
737Socket774:2010/03/27(土) 17:56:13 ID:SNFwEVpK
ttp://images.anandtech.com/graphs/nvidiageforcegtx480launch_032610115215/22215.png
ttp://images.anandtech.com/graphs/nvidiageforcegtx480launch_032610115215/22216.png
というかこの辺はアーキテクチャどうこうよりも
比較対象が遅すぎるだけジャン
285比じゃ物量並では?
738Socket774:2010/03/27(土) 19:22:07 ID:lAQzL8NX
しかしPower8がSPEとのヘテロコアとか面白いな
739Socket774:2010/03/27(土) 19:40:37 ID:xrqHB3PX
>>732
5年前とは状況がまるで違うからな。
前提が崩れたら予定を変えるのは当然。
740,,・´∀`・,,)っ-○○○:2010/03/27(土) 23:28:52 ID:pJg4SW1L
SONYが家電のIntelになるとか息巻いてた頃の話だからな
741MACオタ>団子 さん:2010/03/28(日) 10:22:38 ID:Xy1m3rTn
>>740
団子さんの方は Anand の GPGPU の結果を見て、ちっとはやる気はでましたか?
http://www.anandtech.com/video/showdoc.aspx?i=3783&p=6
742,,・´∀`・,,)っ-○○○:2010/03/28(日) 11:11:23 ID:nvnx7jQ0
得意なのだけ引っ張ってきた感が否めないがそれ以上に前世代が酷すぎた
743Socket774:2010/03/28(日) 11:13:30 ID:hxCdHi1o
比較対象の他社もね
744,,・´∀`・,,)っ-○○○:2010/03/28(日) 11:13:49 ID:nvnx7jQ0
CPUとの比較がない時点で×だな
ちなみにN-QueenはCell SPEより半速のAtomの方が速かった
745,,・´∀`・,,)っ-○○○:2010/03/28(日) 11:14:56 ID:nvnx7jQ0
746,,・´∀`・,,)っ-○○○:2010/03/28(日) 11:32:41 ID:nvnx7jQ0
N-Queenは分岐粒度が問われるのでSIMDの並列度が高いのよりも
独立動作するスカラプロセッサ大量のほうが速いよ。

実際問題FermiはGT200に引き続きSIMDをラップしただけのエセスカラからは脱却してないようだから
単純に命令フロントエンドの増分しか性能上がっていない。

予想だと
SCC>>Larrabee>>Fermi
747Socket774:2010/03/28(日) 11:38:26 ID:HabHO05I
だらだらつまんねえレスしてないで、string libraryでも書きなおしたらどうだ?
748,,・´∀`・,,)っ-○○○:2010/03/28(日) 11:42:40 ID:nvnx7jQ0
無駄w
749Socket774:2010/03/28(日) 12:02:02 ID:hxCdHi1o
>>745
なんかルパンが出てくるんだが
750,,・´∀`・,,)っ-○○○:2010/03/28(日) 12:19:30 ID:nvnx7jQ0
イベント終了っぽいから消しといた
751Socket774:2010/03/28(日) 19:36:51 ID:gbVvaAPa
ちゃんとベクトル化したか?
探索問題のベクトル化については昔から研究があるぞ
752Socket774:2010/03/28(日) 19:38:04 ID:gbVvaAPa
京大津田研あたりが日本では有名
753,,・´∀`・,,)っ-○○○:2010/03/28(日) 19:44:49 ID:nvnx7jQ0
どのみちビットボード使うのが速い
754Socket774:2010/03/28(日) 22:31:39 ID:LY1KKagf
海外BBSでもPower8がSPEとのヘテロみたいに書かれてるけど本当にそうなん?
単にSSEみたいに各コアに簡略化されて搭載されるんじゃないの?
755Socket774:2010/03/29(月) 00:40:55 ID:r88MONsI
オタさんも書いてるけど、VSXが拡張されるだけだと思う
756Socket774:2010/04/01(木) 13:15:18 ID:mJxKklpu
まあ、出て見ないとわからないな
VSXが拡張されてるだけなら現状でもそうなってるわけだし意味わからなくなるw
757MACオタ:2010/04/01(木) 18:25:23 ID:Xb5zlDzT
Magny-Cours, Nehalem-EX と新製品の発表でSPEC2006の登録も面白いことになっていますが、今回は>>678-681>>704-706に続いて Westmere vs. Nehalem の比較です。
あれから、同じ4-coreでの Westmere と Nehalem の結果も登録されました。前回の考察がどの程度正しかったのでしょうか。
比較対象は次の二つです。
 ・Westmere Xeon E5630/2.53GHz
  CINT: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100315-09943.html
  CFP: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100315-09934.html
 ・Nehalem Xeon E5540/2.53GHz
  CINT: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100315-10044.html
  CFP: http://www.spec.org/cpu2006/results/res2010q1/cpu2006-20100315-10042.html
OSおよびコンパイラは同じ条件で64-bit環境になります。
 OS: SuSE Linux Enterprise Server 11 (x86_64), Kernel 2.6.27.19-5-default
 Compiler: icc/ifort Version 11.1 Build 20091130
 Base Pointe: 64-bit

では結果を見てみましょう。Mod版は>>679の基準で、次の基準で計算しています。
 CINTは 462 を除く。
 CFPは 410, 434, 436, 459, 481, 482 を除く。
■ Westmere vs. Nehalem (4-core, Linux, 64-bit)
            CINT_base CINT_base(Mod) CFP_base CFP_base(Mod)
E5630/2.53GHz    30.7     24.0        35.6      34.0
E5540/2.53GHz    29.8     23.5        26.6      25.3
             +3.2%    +1.9%       +4.6%      +2.7%

コア増加分を抜きにしても2-5%程度の性能向上を果たしています。注目は、シングルスレッド性能のみを評価したMod版での比較より並列化の効果が加味されている公式版の指数の方が差が大きくなっていることで、WestmereとIntelの
最新コンパイラは並列コードへのチューニングが進んでいることが示されているのでしょう。
758MACオタ:2010/04/01(木) 21:10:20 ID:Xb5zlDzT
Magny-Cours と Nehalem-EX の方ですが、HP (Magny-Cours), Bull SA, Dell (Nehalem-EX) が rate の結果を登録しています。
Magny-Cours の登録全て2-socket/24-core, Nehalem-EX の方は全て4-socket 構成ということで直接の比較は難しいのですが、同クラスの構成と比較してみた結果を示します。

■各種マルチコア・サーバープロセッサの CINT2006_rate
                                 base     peak
POWER7/3.86GHz/2-socket/16-core/4-SMT    586      652
Nehalem-EX/1.87GHz/4-socket/24-core/2-SMT  466      502
Westmere-EP/3.33GHz/2-socket/12-core/2-SMT 355      378
Shanghai/2.6GHz/4-socket/24-core/noSMT     313      400
Magny-Cours/2.3GHz/2-socket/24-core/noSMT  309      398
Westmere-EP/3.47GHz/2-socket/8-core/2-SMT  286      302

■各種マルチコア・サーバープロセッサの CFP2006_rate
                                 base     peak
POWER7/3.86GHz/2-socket/16-core/4-SMT    531      586
Magny-Cours/2.3GHz/2-socket/24-core/noSMT  290      318
Nehalem-EX/1.87GHz/4-socket/24-core/2-SMT  272      280
Shanghai/2.6GHz/4-socket/24-core/noSMT     251      276
Westmere-EP/3.33GHz/2-socket/12-core/2-SMT 248      257
Westmere-EP/3.47GHz/2-socket/8-core/2-SMT  214      222

出揃ってみると、圧勝ですね。POWER7
物量主義だけでなんとかなるのは、CFP2006_rate だけというのも良く判ります。
759MACオタ@補足:2010/04/01(木) 21:21:10 ID:Xb5zlDzT
760MACオタ@訂正:2010/04/01(木) 21:33:34 ID:Xb5zlDzT
>>758はCFP2006_rateの方に間違いがありました。
 誤) Nehalem-EX/1.87GHz/4-socket/24-core/2-SMT  272      280
 正) Nehalem-EX/1.87GHz/4-socket/16-core/2-SMT  272      280
要するに4-core の E7520 のデータということです。

>>758-759の Nehalem-EX は 1.83GHzのモデルで揃えたつもりでしたが、CINTは6-coreで2.53GHzまでブーストがかかるL7545の結果。CFPは4-coreでターボブースト無しのE7530の結果ということで混じってます。
761Socket774:2010/04/02(金) 03:41:51 ID:q2NHfUh6
POWER7の爆熱振りを見ると,どうしてもあれがARMと張り合うような組み込み向けプロセサには見えない。
762Socket774:2010/04/02(金) 04:20:05 ID:Zj71dDLH
763Socket774:2010/04/02(金) 14:15:25 ID:02Isnjd/
>>761
POWERとPowerPCは別物だしARMともターゲットが違う
最近はCortex-A8/9などでPPCの領域にも手を伸ばしつつあるが
764Socket774:2010/04/02(金) 16:03:26 ID:IfvCAZdp
>>755
単体では今もVSXとSPUと同じようなもんでしょ
各コアに点在して実装してるからトランジスタ辺りの演算性能が稼げないだけで
765Socket774:2010/04/03(土) 20:16:45 ID:tJ9AOKia
766Socket774:2010/04/04(日) 14:10:42 ID:3D2MWI0u
>>765
あー、確かCortex-A9で2GHz達成できるっていう技術ね。
今年本当に出るんかね?
767Socket774:2010/04/05(月) 20:35:43 ID:AULwJfHa
マイクロソフト、次期Windows ServerではItaniumをサポートせず
http://www.computerworld.jp/topics/mws/178429.html

Itaniumはゆっくり死亡していきそう?
富士通もItaniumサーバ出さないみたいだしね
768Socket774:2010/04/05(月) 20:58:02 ID:hBB9sjEb
HPどうすんの…
769Socket774:2010/04/05(月) 21:17:17 ID:I72SdhdD
alpha復帰
770Socket774:2010/04/06(火) 01:27:50 ID:azMAhxkq
ルネサス エレクトロニクスの誕生
http://pc.watch.impress.co.jp/docs/column/semicon/20100406_359246.html
771Socket774:2010/04/06(火) 15:01:33 ID:X9u+UT3W
PA-RISC…
772MACオタ:2010/04/07(水) 01:10:33 ID:MdJIazCm
ロシアのiXBTなどで既に言及されていた話ですが、GPU版のGF100は倍精度浮動小数点演算が単精度の1/8に制限されているんだとか…
もう少し判りやすい記事を待っていたのですが、Hexusのレビューを見ると間違い無さそうです。
http://www.hexus.net/content/item.php?item=24000&page=3
  -----------------------
  Delve a little deeper, handily not mentioned in any briefing, and NVIDIA
  is limiting the double-precision speed of the desktop GF100 part to one-
  eighth of single-precision throughput, rather than the one-fifth speed of
  the Radeon HD 5000-series. We'll have to wait for the Tesla parts before
  that's restored to the one-half speed the GF100 is capable of.
  -----------------------
安いGPUを買って、文字通りのGPGPUを企んでいた皆さんは残念でした。

PS3のLinuxサポート廃止と言い、貧乏HPCにはイヤな時代になってきました。背景としてはさほど大きいものとは言えないHPCが不況の中で市場として認められきたという事実があるようです。
http://www.theregister.co.uk/2010/03/25/idc_hpc_servers_2009/
  =======================
  The non-HPC portion of the server market was actually down 20.5 per
  cent, to $34.6bn - a decline that was nearly twice as steep as that in
  the HPC space.
  =======================
唯一の希望は Magny-Cours の投入で自爆的なディスカウントによるサーバー市場での逆襲を狙うAMDプラットフォームくらいでしょうか…
773MACオタ:2010/04/07(水) 01:20:52 ID:MdJIazCm
AnandTechによると、iPad に搭載された Apple A4 の性能は Nexus One の Snapdragon/1GHz より随分性能が良さそうなんだとか。
http://www.anandtech.com/show/3633/apples-a4-soc-faster-than-snapdragon
いくつか有名どころのサイトの表示時間を比較していますが、m.cnn.com を除いて9-60%高速だとのこと。
SunSpider Javascript Bench の結果も、次の通り。
 - iPad (Apple A4): 10475 [ms]
 - Nexus One (Snapdragon QSD8250): 14409 [ms]
 - iPhone 3GS (Cortex A8): 17360 [ms]

もっともこの結果に関しては、モノが携帯デバイスだけに省電力設定の違いに起因する可能性も大きいと考察しているようです。
774Socket774:2010/04/07(水) 01:24:30 ID:/KHeBKlf
RADEONの倍精度解禁によってRADEONに手を出す人も増えるかも
775Socket774:2010/04/07(水) 01:55:58 ID:agXJZ8l8
解禁つってもHD4800から倍精度使えてたし中華GPGPUスパコンもそれで組んであるしいまさらだなぁ
どうせならHD5800だけでなく下位モデルでも解禁してくれりゃいいのに
776Socket774:2010/04/07(水) 02:11:55 ID:URNZ+iiN
SunSpiderベンチ Core i5 660&safariでやったら685.4msだった。
速すぎわろた。まあ比べる方が間違いなんだけど・・・
Atomだとどれくらいなのかな?
777Socket774:2010/04/07(水) 02:19:51 ID:fZDt943f
PA-RISCバイナリ互換性を持ったItanumuエミュレーターをamd64版HP-UXで実装予定です
778Socket774:2010/04/07(水) 03:07:25 ID:1nKupBD0
そこで颯爽とPOWER7Macの登場だろ
779,,・´∀`・,,)っ-○○○:2010/04/07(水) 20:11:25 ID:4uyD1/UY
債務超過状態で辛うじて儲かるネットワーク部門(PSN)をソニー本体が吸収
有能な社員は辞めていく倒産秒読み状態に入ったそんなSCEにまともなサービスを期待する方が無駄。
780Socket774:2010/04/07(水) 20:47:27 ID:Hz520nAY
うわープログラム齧ってるくせに数字も読めないんだ?

ソニーに承継されるネットワーク事業は2009年3月期売上高15億円、
旧SCEは2009年3月期売上高9851億円、営業利益375億円、債務超過額105億円。
ネットワーク事業以外は新しいSCEに継承。
781Socket774:2010/04/07(水) 21:50:38 ID:BdKDLvJw
サムスン電子の第1四半期業績見通し、連結営業利益は過去最高に
http://jp.reuters.com/article/technologyNews/idJPJAPAN-14679420100406
782MACオタ:2010/04/07(水) 23:27:08 ID:MdJIazCm
Apple製品の分解・修理法を公開しているサイトとして有名な iFixIt が、半導体調査会社の ChipWorks と組んで Apple A4 の断面写真やらダイのX線写真やらを公開しています。
http://www.ifixit.com/Teardown/Apple-A4-Teardown/2204/1
せいぜいインタビューくらいしか一次ソースを持たない日本のメディアと比較して絶望してみてはいかがでしょうか?
783Socket774:2010/04/07(水) 23:41:36 ID:aVNJDfId
設計はAppleが握ったけどチップの製造はやっぱりSamsung?
784MACオタ>785 さん:2010/04/08(木) 00:10:35 ID:e+L2hcR4
>>783
上の記事ですが、色々面白いことが書いてあります。
A4はTSVでは無いとは言え、プロセッサダイにDRAMダイ2枚を重ねた3層-3Dダイスタッキング構造なのですが、
 - DRAMダイは Samsung 製 K4X1G323PE
 - プロセッサダイには、従来の iPhoneプロセッサには必ず記されていた Samsung の型番が無い
785Socket774:2010/04/08(木) 00:14:35 ID:NxY/A/El
なんだワイヤボンディングか
そらそうよね
786Socket774:2010/04/08(木) 02:50:42 ID:Pp7nulOP
この手だと、内蔵のグラフィックス・コアが貧弱だったり
有って無きが如しが多いというか…
Imageon積んでたアレとか何故かアクセラレータ無しの
WM標準ドライバで動いてたり、なんて事が珍しく無いけど…

A4はどうなんだろうねぇ…
787,,・´∀`・,,)っ-○○○:2010/04/08(木) 11:01:02 ID:urB6a2Po
>>780
ああ読む気にもならないね。粉飾まがいなんていくらでも操作しようがある。

そもそも、その「2009年3月期」の営業利益は年じゃなくて四半期単位の数字ってオチだろ。
第2〜第3四半期に莫大な赤字を計上しておいて第4四半期のみ数字をよくするいつもの手だよ。
通年ではどんどん赤字が膨らんでいく。

PSNはゲームのみに収まってるからその程度だがあらゆる家電向けの配信インフラとして拡大した場合の
収益の可能性を考えると、多少見込みあるんじゃね。
あくまで少なくともCell家電だとかPS3搭載家電だとか作るよりは見込みがあるって話であって
俺の感想としてはうまくいきそうにないけどね。


もちろんバージョンアップで元々あった機能を強制的に使えなくするような製品なんて
他のメーカーは見習っちゃいけないしね
788Socket774:2010/04/08(木) 11:40:08 ID:5eDBhtAb
おまえさん住友銀出身の奴かい?
789Socket774:2010/04/08(木) 16:34:39 ID:y8pbynC6
おまいら、テラスケールリサーチ謹製SCC48コアがQ2に出るってのに何やってんの…
790Socket774:2010/04/08(木) 17:50:46 ID:CLAxXYv0
>>787
読む気にもならないとか言いつつ粉飾まがいとか恐ろしいこと口走るなよ
つーかパッと見りゃ売上1兆が四半期だとゲーム事業どんだけって話じゃん
ついでに2010年3月期も黒字見込みなんだとよ

で、売上15億のネットワーク部門って読めばこれはインフラベースの事業で
収益ってのは上層のコンテンツプロバイダに乗せるモデルになってんだな、と思うだろうに
事業の再編成は収益ベースでどーのこーのって着眼がそもそもオカシイって言ってんだよ

数字読めないつーかスタンスで頭ん中が固まっちまうのはアホだね
791Socket774:2010/04/08(木) 20:48:53 ID:X9QyeQND
792,,・´∀`・,,)っ-○○○:2010/04/08(木) 21:30:10 ID:urB6a2Po
だからお前の脳内資料はいいから正確なのソース付きで提示してみ。
2008年4月から2009年3月までのQ1からQ4の営業利益全部並べてみ?

Q2で在庫の値下げ分含めて超絶赤字計上してるのにどこでどうやって返してるんですか。
793,,・´∀`・,,)っ-○○○:2010/04/08(木) 21:38:34 ID:urB6a2Po
そもそもゲーム事業、PS3出して以来通年で黒字出した年一度もないですよ。

http://jp.reuters.com/article/topNews/idJPJAPAN-11635820090924

> 今期のゲーム事業は4年連続の営業赤字になる見込みだが、
> 「黒字化は私の使命」と述べ、積極的なコスト削減や売り上げ増加を狙っ
> て早期の実現を図る考えを示した。


しかも今年度は売上げ台数下方修正してるしね。
それとも逆鞘だから売れないほうが利益になるのか?
794Socket774:2010/04/08(木) 21:42:44 ID:NSCn+KJz
>>786
今時のPC基準でいけば貧弱でも
XGA固定で必要十分な性能は確保してある。
795MACオタ:2010/04/08(木) 22:05:10 ID:e+L2hcR4
AMDが業務用GPUの新型 FirePro V8800 を発表しました。
http://www.amd.com/us/products/workstation/graphics/ati-firepro-3d/v8800/Pages/v8800-specifications.aspx
GPGPU的に見ると、VRAM 2GB, ECC 無しということで新味は無いようです。
現世代ではチップの仕様として、ゲーム向けと大きく差別化することが出来ない様で…
796Socket774:2010/04/08(木) 22:08:31 ID:CLAxXYv0
>>792
決算の数字は再編のプレスリリースからだよ。
http://ke.kabupro.jp/tsp/20100224/140120100224029598.pdf

最近SCE単独としての子細な決算の数字は本体側に編入されていて出されていなかった。
今回そういう数字を表に出せた事はむしろ経営の健全化に向けた目途が立ったようなもんだろ。
797,,・´∀`・,,)っ-○○○:2010/04/08(木) 22:13:23 ID:urB6a2Po
株主向け情報にゲーム事業(≒連結子会社でもあるSCE)の営業利益含めて載ってるから
読んでみたらいいよ。

会計学以前に算数の問題だ
798,,・´∀`・,,)っ-○○○:2010/04/08(木) 22:18:35 ID:urB6a2Po
> 今回そういう数字を表に出せた事はむしろ経営の健全化に向けた目途が立ったようなもんだろ。

違うな。
在庫を抱えるのはソニー本体(=値下げ時には棚卸資産の評価損で赤字計上)だから
連結での「ゲーム事業」分野でみた方が実情をよく表している。

要するに重要なことからは常に逃げている
799MACオタ:2010/04/08(木) 22:43:20 ID:e+L2hcR4
まあ団子さんはいつものように、『見たいものしか見えない』状態なんで頭が冷えるまで真っ当な議論は無理かと(笑)
PS3に関して言えば、よく話題になるコストは社内のエレクトロニクス部門に貢いでいるだけという構造になています。
例えば、最新のPS3のコスト評価はこれ。(2009/12/11報道)
http://www.isuppli.com/News/Pages/Sony-Gets-One-Step-Closer-to-Breakeven-Point-with-Latest-PlayStation-3-Design.aspx
  ---------------
  Bluray Drive      $66.00 x 1
  RSX           $45.82 x 1
  120GB HDD      $38.00 x 1
  CELL/B.E.       $37.73 x 1
  Power Supply     $20.35 x 1
  Cooler Assy.      $11.27 x 1
  XDR DRAM      $9.80 x 4
  PCB (6-layer)     $8.47 x 1
  I/O Bridge Chip    $5.59 x 1
  Blutooth/WLAN chip $3.92 x 1
  Other parts       $79.52 x 1
  ----------------
参考までにBD-ROMの市場価格は http://www.google.com/products?q=BD-ROM+drive&scoring=p
内製品のコストじゃないですよね…

皆さんのお好きな四半期業績資料でも、「ゲーム向けシステムLSI」が売上の大きな要因であることが書いてあります。
http://www.sony.co.jp/SonyInfo/IR/financial/fr/09q3_sonypre.pdf (P.6 参照)
BD-ROMドライブやゲーム機向け半導体が大半を占めると思われる CPD事業部のセグメント間取引による売上は
2009Q4で848.3億円。
http://www.sony.co.jp/SonyInfo/IR/financial/fr/09q3_sony.pdf (P.18 参照)
ちゃんと内製品としての原価で入手できれば、もっと表向きの赤字は縮小するでしょうに…
800Socket774:2010/04/08(木) 22:44:47 ID:CLAxXYv0
>>797
逆にSCEは黒字で、ゲーム事業単独で営業収支の推移を見た場合でも
ほぼブレイクイーブンまで持ってきたことがわかるじゃん?

だいたい債務超過や在庫の棚卸資産化とかまるでアンチの受け売りじゃん。

売上と純資産の数字を見ればこの程度の債務超過の規模はハッキリ言って大した事がない。
また頻繁な本体モデルチェンジや北米での市場在庫ひっ迫の報など
昨今の情勢で在庫を資産にどうのこうのだからってのも無理がある。
801Socket774:2010/04/08(木) 22:48:37 ID:CLAxXYv0
>>798
だいたいSCEの決算といった場合に棚卸資産がそちらには反映されないと考える方がおかしい
802MACオタ:2010/04/08(木) 22:54:24 ID:e+L2hcR4
PS3と言えば、Geoge Hotz が "OtherOS" 復活版 firmware の開発に成功したようです。
http://geohotps3.blogspot.com/2010/04/otheros-supported-on-321oo.html
http://www.youtube.com/watch?v=1-9wLWQ4-uA
803Socket774:2010/04/08(木) 23:35:25 ID:h7iiQJAx
Cellの話するならともかくSCEの赤字額がどうCPUアーキテクチャに関わるのか教えて欲しいもんだ
このクソッタレ共、ゲハか最悪板でやれ
804MACオタ>803 さん:2010/04/08(木) 23:48:45 ID:e+L2hcR4
>>803
  -----------------
  どうCPUアーキテクチャに関わるのか
  -----------------
業績関係の資料は、製品計画や研究開発投資、契約関係の情報が入っているので、重要なソースになります。嘘書いたら違法ってレベルの信頼度ですから。
805,,・´∀`・,,)っ-○○○:2010/04/08(木) 23:57:01 ID:urB6a2Po
連結子会社の帳簿なんていくらでも操作出来るぜ。
物理的に移動して無くてもSCE-ソニー本体間で取引したことにできるからな。

重要なのは「ソニーがゲーム事業を存続する価値があるかどうか」であって
赤字がどこの連結子会社あるいは本体が被っていようが連結実績は「大赤字」なのは
4年連続なにも変わってない

倒産しても債務は負いませんという意思すら感じるが
806Socket774:2010/04/09(金) 01:03:24 ID:QqSlppFW
また団子病か
807Socket774:2010/04/09(金) 01:25:06 ID:TUJvRC6/
PSを捨てるなんて絶対無いよ。
そりゃ赤字だけど数千万台売れるプラットフォームなんて他に無いでしょ。
ウォークマンやソニエリ携帯だけでAppleに対抗なんて不可能だよ。
ゲーム機だけなんだよ可能性があるのは。
808Socket774:2010/04/09(金) 01:29:30 ID:FwByYC2h
何で唐突にSCEの話を始めたんだろう。もしかして誤爆?

>>779を見た時はだんごさんが壊れてしまったのかと思ったよ。
809Socket774:2010/04/09(金) 02:04:32 ID:ZnEPoRxN
ゲハでやれ
810Socket774:2010/04/09(金) 11:23:27 ID:h7EW+l/g
盛 り 上 が っ て ま い り ま し た !!
811Socket774:2010/04/09(金) 16:12:10 ID:hzyOo2OY
>>766
ARMアーキでLinuxを積んだ、本格的なネットPCやネットBookが出るのかなあ。

そうだとGoogleOSなGooglePCってのが出ても不思議は無さそうだよなあ。
812Socket774:2010/04/09(金) 17:04:47 ID:exz0YvEV
Googleは全都市規模の無料無線LAN環境の構築が先だろ
813Socket774:2010/04/09(金) 20:02:29 ID:tg3rVoT+
水を得たゲハコテ
814Socket774:2010/04/10(土) 07:55:27 ID:jfFKl7Qv
ああ屁ルミ キミはどうして屁ルミなんだ
815Socket774:2010/04/11(日) 06:42:46 ID:/0ZuXJln
団子はプログラムを語ってればいいのに、「俺は何でも知っている」としゃしゃり出てくるから赤っ恥をかく事になる
816Socket774:2010/04/11(日) 13:10:44 ID:0OFh+S05
たかが掲示板のレス如きで恥を感じるような奴ならとっくの昔にここに来なくなってるだろうよ
817,,・´∀`・,,)っ-○○○:2010/04/11(日) 13:45:26 ID:tO9vU4ZA
どっちが恥ずかしいのかの判別もできないからそんな馬鹿なことも言えるんだな
818Socket774:2010/04/11(日) 13:45:56 ID:ME0BGB06
それより最悪板以外でコテ叩きをすることに恥じ入ってもらいたいものだね
819Socket774:2010/04/11(日) 13:52:18 ID:LnnjZ9Y+
興奮すると連続で書き込んでしまう病
820,,・´∀`・,,)っ-○○○:2010/04/11(日) 13:56:09 ID:tO9vU4ZA
さしずめお前は見えない敵と戦う病だな
821,,・´∀`・,,)っ-○○○:2010/04/11(日) 14:05:49 ID:tO9vU4ZA
PS3 Owner Gets $100 Rebate for Losing Linux
http://www.tomshardware.com/news/PS3-playstation-Linux-Rebate-Amazon,10140.html

EUの消費者法違反だったらしいね。
身勝手な機能削減が結果的に損失うけることを馬鹿共に理解させただけでも小気味いい話だ。
822Socket774:2010/04/11(日) 15:39:10 ID:6LWeFiBr
そろそろ春休みはおしまいだっけ?
823Socket774:2010/04/11(日) 18:29:17 ID:OvBokQYs
団子は年中春だからなぁ…
824MACオタ:2010/04/11(日) 19:43:11 ID:zqDDguT3
3月半ばにスイスのHPC関係のワークショップの講演のIBMのプレゼンです。
http://www.hpcadvisorycouncil.com/events/switzerland_workshop/pdf/Presentations/Day%203/6_IBM.pdf
p.15 に POWER7 の FPU/VSX 周辺の詳細ブロック図があります。
 - VSX レジスタの物理レジスタ数は 344 個
 - 2個のVector Unit は機能が異なる。
   pipeline0 は スカラFPU VSX, Altivec VPU
   pipeline1 は スカラFPU, VSX Altivec VPERM, DFP
 - POWER4-POWER6以来、伝統的に高クロック動作のため
  にパイプラインごとにレジスタが独立していたが、単一の
  VRFを"Bypass"機構経由でアクセスする模様。

見たところ、IBM Journal of R&D の論文用の図と思われますので、近いうちにPOWER7特集号が出るのではないでしょうか?
825MACオタ@補足:2010/04/11(日) 22:00:16 ID:zqDDguT3
ちなみに VRF の構造の話は、>>531 の情報と異なるようで…
POWER7アーキテクチャの論文の公開が待たれます。
826Socket774:2010/04/12(月) 01:21:41 ID:7jl6Z+mU
>>817
「ゲハでやれ」っていうのが理解できないお前も馬鹿なのは理解出来た
827Socket774:2010/04/14(水) 23:58:16 ID:ttJvNLmo
>>773
A4は携帯機器にしては珍しくメモリバスを64bitにして帯域稼いでるそうだから
そこで差が付いてるというのはありそうだ

ただ、画面サイズをあれだけ大きくしておいてiPhone3GSと同じ256MBってのはないわー
828Socket774:2010/04/15(木) 00:24:12 ID:gXSndMka
>>827
> A4は携帯機器にしては珍しくメモリバスを64bitにして帯域稼いでるそうだから
消費電力が厳しそうだな。
829MACオタ:2010/04/16(金) 21:34:52 ID:xAp7NVrJ
色々紹介する内容が溜まっているのですが、XILINXのプレスリリースから。
http://press.xilinx.com/phoenix.zhtml?c=212763&p=irol-newsArticle&ID=1409753&highlight=
  --------------------
  Xilinx, Inc. (Nasdaq: XLNX), the world's leading provider of programmable solutions, is applauded for its role in developing QPACE; a bespoke supercomputer developed to unlock the mysteries of Quantum Chromodynamics.
  --------------------
ここでも>>336, >>631で紹介した PowerXCell 搭載のスーパーコンピュータ QPACE のインタコネクトチップに Virtex-5 が使用されたという発表です。
なぜこのタイミングなのか?という疑問もありますが…
830MACオタ:2010/04/16(金) 21:48:13 ID:xAp7NVrJ
POWER7搭載の Power 780 が 2-socket の TPC-C で新たな記録を打ち立てました。
http://www.tpc.org/tpcc/results/tpcc_advanced_sort.asp?FLTCOL1=tpcc.c_server_procs&FLTCOLOPR1=%3D&FLTCHO1=2&filterRowCount=1&SRTCOL1=tpcc.c_tpmc&SRTDIR1=DESC&sortRowCount=1&DISPRES=100+PERCENT&include_server_cpu=ON
上位に丁度 POWER7, Magny-Cours, Nehalem-EP と 45nm 世代のプロセッサが並んでいます。

 IBM POWER7/4.14GHz, 8-core, 32-thread: 1,200,011 [TpmC], 0.69 [US$/TpmC]
 HP Op6176SE/2.3GHz, 24-core, 24-thread: 705,652 [TpmC], 0.60 [US$/TpmC]
 HP Xeon W5580/3.2GHz, 8-core, 16-thread: 661,475 [TpmC], 1.16 [US$/TpmC]
 HP Xeon X5570/2.93GHz, 8-core, 16-thread: 631,766 [TpmC], 1.08 [US$/TpmC]

Magny-Coursの倍近い性能はともかく、AMD並みのコストパフォーマンスというのは驚異です。
831Socket774:2010/04/16(金) 21:49:55 ID:vemGmEK6
>>829
何故って…
決算発表が4/28に控えてるからじゃね?
832MACオタ:2010/04/16(金) 22:18:24 ID:xAp7NVrJ
>>758-760の続きですが、Nehalem-EXの真打 X7560/2.26GHz の SPEC CPU2006 の結果が追加しています。
CINT2006_rate と CFP2006_rate の結果の比較を更新しておきます。
■各種マルチコア・サーバープロセッサの CINT2006_rate
                                 base     peak
POWER7/3.86GHz/2-socket/16-core/4-SMT    586      652
Nehalem-EX/2.26GHz/2-socket/16-core/2-SMT  362      387
Westmere-EP/3.33GHz/2-socket/12-core/2-SMT 355      378
Shanghai/2.6GHz/4-socket/24-core/noSMT     313      400
Magny-Cours/2.3GHz/2-socket/24-core/noSMT  309      398
Westmere-EP/3.47GHz/2-socket/8-core/2-SMT  286      302

■各種マルチコア・サーバープロセッサの CFP2006_rate
                                 base     peak
POWER7/3.86GHz/2-socket/16-core/4-SMT    531      586
Magny-Cours/2.3GHz/2-socket/24-core/noSMT  290      318
Nehalem-EX/2.26GHz/2-socket/16-core/2-SMT  274      283
Shanghai/2.6GHz/4-socket/24-core/noSMT     251      276
Westmere-EP/3.33GHz/2-socket/12-core/2-SMT 248      257
Westmere-EP/3.47GHz/2-socket/8-core/2-SMT  214      222

参照: Nehalem-EX/2.26GHz/2-socket/16-core/2-SMT
 CINT2006_rate: http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10292.html
 CFP2006_rate: http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10294.html
833MACオタ@続き:2010/04/16(金) 22:26:17 ID:xAp7NVrJ
IBMは Nehalem-EX の CINT/CFP2006 の結果も登録しています。
自動並列化のためにコア性能を直接比較することはできませんが参考まで。
■ CINT2006 (base/peak)
 Xeon X7560/2.26GHz, 4-socket: 29.1 / 32.6
 http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10287.html
 Xeon X7560/2.26Ghz, 2-socket: 28.8 / 32.1
 http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10291.html
■ CFP2006 (base/peak)
 Xeon X7560/2.26GHz, 4-socket: 35.1 / 38.6
 http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10289.html
 Xeon X7560/2.26Ghz, 2-socket: 34.7 / 36.8
 http://www.spec.org/cpu2006/results/res2010q2/cpu2006-20100329-10293.html
834MACオタ:2010/04/16(金) 22:41:03 ID:xAp7NVrJ
最後は POWER7 搭載の BladeCenter 発表の話題。
http://www-06.ibm.com/jp/press/2010/04/1501.html
  -----------------
  [ POWER7搭載ブレード・サーバー ]
  (1) BladeCenter PS700
    ・CPU:動作周波数 3.0 GHz、コア数4コア
    ・メモリー: 8GB〜64GB
    ・最小構成価格(税別): 928,100円
    ・出荷開始日: 6月4日
    ・保守サポート: 3年保証
  (2) BladeCenter PS701
    ・CPU:動作周波数 3.0 GHz、コア数8コア
    ・メモリー: 16GB〜128GB
    ・最小構成価格(税別): 1,264,300円
    ・出荷開始日: 6月4日
    ・保守サポート: 3年保証
  (3) BladeCenter PS702
    ・CPU:動作周波数 3.0 GHz、コア数16コア
    ・メモリー: 32GB〜256GB
    ・最小構成価格(税別): 2,528,800円
    ・出荷開始日: 6月4日
    ・保守サポート: 3年保証
  -----------------
シングルソケット, 4-core モデルは100万切ってます。
公開された性能は、この辺をどうぞ。
http://www-03.ibm.com/systems/bladecenter/hardware/servers/ps700series/perfdata.html
システムの構成は Redbook に詳細があります。
http://www.redbooks.ibm.com/Redbooks.nsf/RedpieceAbstracts/redp4655.html?Open
835Socket774:2010/04/17(土) 03:46:18 ID:JbDK5X7Y
==最速レース===
1.Oracle Database 10G Enterprise Edition 824,164tpmC 8.28 US $(2003/07/30)
2.IBM DB2 UDB 8.1    763,898tpmC 8.31 US $(2003/06/30)
3.Microsoft SQL Server 2000 Enterprise Ed. 64-bit 707,102tpmC 14.96 US $(2003/05/20)

==コストパフォーマンスレース===
1.Microsoft SQL Server 2000 Standard Ed.  20,108tpmC 2.28 US $(2003/07/14)
2.Microsoft SQL Server 2000          19,526tpmC 2.38 US $(2003/05/12)
3.Microsoft SQL Server 2000 Standard Ed. SP3 19,718tpmC 2.44 US $(2003/07/15)
836MACオタ:2010/04/17(土) 13:33:27 ID:mhZut3dm
AppleInsider が Apple が AMD とプロセッサ採用について話し合いを行っているらしいという話を伝えています。
http://www.appleinsider.com/articles/10/04/16/apple_in_advanced_discussions_to_adopt_amd_chips.html
時々出る噂ですが、今回はちょっと面白いタイミングかと思うので、AMD次世代スレッドに感想を書いています。
http://pc11.2ch.net/test/read.cgi/jisaku/1270329471/173
Apple は各社の秘密のロードマップを元に次世代の採用計画を立てられる立場にありますから、Apple の選択には注目かと。Intel 劣勢だった Netburst の時代に PPC -> Intel へのスイッチを発表したことは思い出すべきでしょう。
837Socket774:2010/04/17(土) 13:49:02 ID:2Xgwo4Ii
>>836
>Intel 劣勢だった Netburst の時代に PPC -> Intel へのスイッチを発表したことは思い出すべきでしょう。
いやいやいや、その発表する時は既にCore2の話題があったはずだよ。
x86にしろARMにしろ、CPU界への影響がかなり大きな会社になったのは確かだと思うけどね。
838,,・´∀`・,,)っ-○○○:2010/04/17(土) 14:02:16 ID:w3NivDY2
ノートは「Pentium Mの時代」なんだけどな。
PowerBookのハイエンドが1.5GHzとかじゃとても信者だって騙しきれないよ。

まあPWRficientがもう少し早く出てればまた事情は変わったかもしれん。
839Socket774:2010/04/17(土) 14:36:45 ID:K/+4GiOC
>>836
> Intel 劣勢だった Netburst の時代に PPC -> Intel へのスイッチを発表したことは思い出すべきでしょう。
PPCに関してIBMから三行半を突きつけられたから。
単に金の問題。
840Socket774:2010/04/17(土) 15:06:34 ID:RCxuepwE
>>839
逆だろ。
現状を見てもCoreに勝る電力効率のCPUをIBMが作るとは考えにくい。
841,,・´∀`・,,)っ-○○○:2010/04/17(土) 15:58:32 ID:w3NivDY2
そうそうにPPC970ワークステーション撤退してXeonに移行したからな。
PPC980の計画だってあったのにコストメリットを支えてくれるAppleに逃げられて頓挫。
842Socket774:2010/04/17(土) 23:03:54 ID:h4susa1a
>>836
ローエンドの利幅を増やす為だけに採用するだけじゃねーの?
供給問題ならAMDの方が心配だし、先日に出たノートのローエンドは、わざわざ新チップセットまで作って製造コストを下げようとしている。
843MACオタ:2010/04/17(土) 23:30:14 ID:mhZut3dm
安藤氏の今日の更新はCool Chips XIII レポート。
http://www.geocities.jp/andosprocinfo/wadai10/20100417.htm
  ----------------------
  Trenchキャパシタは直列抵抗も大きいようで,高速バイパス用に通常のゲート絶縁膜を使うキャパシタも配置しているとのことでした。
  ----------------------
将来的にはSOIを生かしたFBCに向かう様で…
844MACオタ:2010/04/18(日) 17:26:36 ID:mmSWz0XC
何度か紹介した QPACE と同様に、欧州のスーパーコンピュータ共同利用プロジェクト PRACE の下でスウェーデンの国立計算機センター(SNIC)と王立工科大学(KTH)の共同で Super● の4Pブレードサーバーと Opteron のシステムを試作しています。
この成果をまとめたプレゼンが、結構面白かったので紹介しておきます。
http://www.prace-project.eu/documents/18_amdprototype_lj.pdf
基本的な目標は『汎用パーツで Blue Gene/P 並みの電力効率』ということで、HPCクラスタでは一般的な2Pマザー + 高速x86の組み合わせの代わりに4-socketブレードに ATP 55W の Istanbul/2.1-2.2GHz の Opteron 84xx を使用しています。
 ・マザーボードは Infiniband QDR 搭載でディスクレス
 ・7U筐体に10枚のブレード、空冷
 ・Infiniband スイッチはブレード筐体内蔵
 ・メモリも Elpida, Hynix, Micron, Samsung で消費電力と性能のベンチマークを収集して Hynix を採用
 ・1,440 core, 〜28kW (HPL実行時), 12.1 TFlops
 ・床面積あたりの消費電力で 43.6 kW/m^2 (BG/P はおよそ 45.6 kW/m^2)
 ・電力効率は 343.91 MFlops/W (BG/P は 357.14 - 371.67 MFlops/W)
 ・MD (Gromacs) では BG/P の3.9倍の電力効率を達成

Magny-Cours でこの手のシステムは値段コア数2倍になる訳で、我国の国家プロジェクトを含む専用プロセッサによる HPC はいったいどうなることやら…
参考までに Super● から出ている広報資料も書いておきます。
http://www.supermicro.com/CaseStudies/SuperBlade_PRACE.pdf
845MACオタ@訂正:2010/04/18(日) 17:29:42 ID:mmSWz0XC
上のカキコミちょっと訂正。
 誤) Magny-Cours でこの手のシステムは値段コア数2倍になる訳で、
 正) Magny-Cours でこの手のシステムは値段半額コア数2倍になる訳で、
846MACオタ:2010/04/18(日) 20:10:45 ID:mmSWz0XC
昨年12/10に開催されたPCクラスタコンソシアムの第九回クラスタシンポジウムの資料が公開されていますが、ところどころ面白いところがあります。
http://www.pccluster.org/ja_event/2009/10/pc-1.html
・Intel http://www.pccluster.org/mt-static/support/uploads/file/%E7%AC%AC%E4%B9%9D%E5%9B%9E%E3%82%B7%E3%83%B3%E3%83%9D%E7%99%BA%E8%A1%A8%E8%B3%87%E6%96%99/07_Ikei.pdf
 (P. 33)
  ----------------------
  - グラフィックまたはスループット計算の評価用プロセッサとしてLRBも登場予定です
  ----------------------
・AMD http://www.pccluster.org/mt-static/support/uploads/file/%E7%AC%AC%E4%B9%9D%E5%9B%9E%E3%82%B7%E3%83%B3%E3%83%9D%E7%99%BA%E8%A1%A8%E8%B3%87%E6%96%99/08_Yamano.pdf
 (P.56-61) プローブフィルタの詳細
 (P.68) HT Assist の効果 4Pシステムの Stream Benchmark で約60%の向上
・日立 http://www.pccluster.org/mt-static/support/uploads/file/%E7%AC%AC%E4%B9%9D%E5%9B%9E%E3%82%B7%E3%83%B3%E3%83%9D%E7%99%BA%E8%A1%A8%E8%B3%87%E6%96%99/10_Shimizu.pdf
 (P.16-24) GPGPU の評価
847MACオタ:2010/04/20(火) 22:12:13 ID:S0nd10av
IBMの2010Q1の業績報告が来ています。
http://www-03.ibm.com/press/us/en/pressrelease/29942.wss
 ・POWER System と z-series (メインフレーム)は仲良く前年同期比17%減
 ・Microelectronics (半導体)部門は前年同期比16%増加
今年に入ってIBMに製造委託している半導体ってなにか目立つ話題がありましたっけ?POWER7の量産もあってか East Fishkill の45nmラインはフル操業とのこと。
848Socket774:2010/04/21(水) 04:16:39 ID:QLScIS4l
価格性能比でも競って欲しいな。
10万円以下で買えるPOWER7マシンがないじゃないか。
849Socket774:2010/04/21(水) 21:58:03 ID:OSeHk7AZ
新型iPhone的な謎物体に関する情報

http://gizmodo.com/5520876/the-next-iphone-dissected
> I couldn't find out if there was an A4 processor?like the iPad's?in this iPhone
850MACオタ:2010/04/21(水) 22:00:06 ID:y4dtCj0M
Google が P.A. Semi の残党が起業した Agnilux を買収したとのこと。
http://www.washingtonpost.com/wp-dyn/content/article/2010/04/20/AR2010042004854.html
  -------------------
  Agnilux was founded by a few ex-Apple employees. More
  specifically, it was founded by Apple employees who
  came over in the PA Semi acquisition.
  -------------------
単なる Apple への嫌がらせということは無いと思われますが、はたして Google まで携帯プロセッサ開発に参入するのでしょうか?いっそ ARM より PowerPC だと面白いかも(笑)
851えるえる:2010/04/21(水) 22:20:19 ID:5qfedtvw
Googleって検索エンジンじゃないのか??
852Socket774:2010/04/21(水) 22:24:01 ID:R//zJj7h
Nexus One
853えるえる:2010/04/21(水) 22:27:09 ID:5qfedtvw
Googleは検索エンジンとして愛用しているのに、
なぜか検索エンジン以外のところで名前を聞きたくない企業の代表格だな。
なぜ嫌なんだろうか。
854Socket774:2010/04/21(水) 22:29:19 ID:Y2Nj2JJH
平仮名4文字のコテの気持ちなんて分かるわけもないでしょ
855えるえる:2010/04/21(水) 22:31:53 ID:5qfedtvw
Goプロセッサ開発か
856Socket774:2010/04/21(水) 23:17:18 ID:gQr4qb02
>>850
どうせARM以外ならIntelのAtomと組むとオモロイよな。
857えるえる:2010/04/21(水) 23:21:49 ID:5qfedtvw
>また、SnapdragonはマルチメディアエンジンのVeNumを内蔵しており、CIFサイズ(352×288ピクセル、30fps)
>程度の動画を録画・再生する場合は、CPUパワーの20%程度しか使用しません。

1GHzのSnapdragonはCIFサイズの動画を再生しただけで20%もパワーをつかうんだね。
Atomどころじゃなくおっせーな。
858Socket774:2010/04/21(水) 23:54:11 ID:hYaOkx9M
QualcommのMSMxxxxやSnapdragon
appleが採用してるSamsung製SoCやA4チップ

そんなもん買ってユダ公や半島を儲けさせたくないので
国産半導体を採用してるdocomoのガラケーを買いましょう!

FとSH → ルネサス製SH MOBILE Gシリーズ
P → パナソニック製UniPhierシリーズ
N → NECエレ製Medityシリーズ
859MACオタ:2010/04/22(木) 00:31:01 ID:M9CK1M+L
書き忘れてましたが、安藤氏が Cool Chips の POWER7 の講演のレポートを mycom に掲載しています。
http://journal.mycom.co.jp/articles/2010/04/21/coolchips13_02/index.html
もう少し写真撮影が上手になっていただけると嬉しいのですが…
860Socket774:2010/04/22(木) 00:40:18 ID:1muce913
> P → パナソニック製UniPhierシリーズ
> N → NECエレ製Medityシリーズ

縦割り&鎖国か…日本のお家芸ですね?
前世代的過ぎて涙出ちゃう

Samsung を採用しろとは言わないが,
製造量とか1/10とか1/100とかなんだろうな.コスト何倍かかってるのやら…

Qualcomm 採用しなくても TI・Broadcom・Marvell・nVIDIA etc でも良いだろ?
861Socket774:2010/04/22(木) 00:52:06 ID:LiMe3VYL
docomoは数年前TI採用機種多かった
やめたのにはそれなりに理由があるんだろ
862MACオタ:2010/04/22(木) 00:54:40 ID:M9CK1M+L
一方、Apple は ARM そのものを買収するという噂が流れています。
http://www.reuters.com/article/idUSTRE63K1KG20100421
  ----------------------
  The Financial Times reported renewed speculation that ARM
  could be a takeover target, mentioning Apple as a possible suitor.
  ----------------------
863Socket774:2010/04/22(木) 00:57:32 ID:zLtEvZYc
買収されたらARM終わるな
864MACオタ>863 さん:2010/04/22(木) 01:04:01 ID:M9CK1M+L
>>863
もともと子会社だったんですが…
http://ja.wikipedia.org/wiki/ARM%E3%83%9B%E3%83%BC%E3%83%AB%E3%83%87%E3%82%A3%E3%83%B3%E3%82%B0%E3%82%B9
  ---------------------
  エイコーン・コンピュータ、アップルコンピュータ、VLSIテクノロジーのジョイントベンチャーとして創業した。
  ---------------------
865,,・´∀`・,,)っ-○○○:2010/04/22(木) 02:03:04 ID:qtOqQ0Fb
>>857
別にSnapdragonをPCの代わりに使おうって言うんじゃないんだからそこは目くじら立てない
CIFサイズの動画再生のニーズなんてせいぜい携帯電話だぜ
Snapdragonは「mW」だがAtomは「W」なんだぜ

EeePCですら本体重量の半分近くがバッテリなわけで。
866Socket774:2010/04/22(木) 02:20:05 ID:bW4K+Yys
>>864
ライセンスビジネス中止→(Apple以外から)新ARMチップ出ない→終わりでは
867MACオタ>866 さん:2010/04/22(木) 02:38:35 ID:M9CK1M+L
>>866
買収されたら契約を破棄してよいということはありません。
P.A.semiの時すら Apple は最低3年間 PwerEfficient チップの供給を続けることを約束させられています。
http://www.eetimes.com/news/latest/showArticle.jhtml?articleID=208808517
  ----------------------
  Apple sent a letter to the DoD saying it will assure production
  of the 1.8 GHz PWRficient processor for three to five years,
  said one source who saw the letter but asked not to be named.
  The letter suggests Apple will explore selling the designs to a
  third party after that time.
  ----------------------
868Socket774:2010/04/22(木) 11:49:51 ID:bW4K+Yys
>>867
既存契約破棄って話じゃなくて、新規ライセンスは結ばない
新IPも外部へは出さないじゃないかなってこと
iPhone OSを他社へライセンスって噂もあるので、それと
からめてIPやチップ供給を考えてる可能性もあるけど

AppleがARMコアIPライセンスビジネスを継続するメリットが
思いつかない。やるんなら(ARMも含めた)iPhoneのライセンス
869Socket774:2010/04/22(木) 13:12:50 ID:8VAvKAZq
俺はグーグルシンパみたいなもんだが、気持ちはわかる。
すべてのサービスについて支持できるものではない。今は手広すぎるし。
870Socket774:2010/04/22(木) 13:13:38 ID:8VAvKAZq
>>869>>853向け。レス番抜けたorz
871Socket774:2010/04/22(木) 18:32:59 ID:wtj79aef
実際買収されたら大混乱だな
利があるのはintelか
872Socket774:2010/04/22(木) 19:52:03 ID:LsuBLsYF
ARMの歴史が良く解からん
XScaleってIntelだよな…
873えるえる:2010/04/22(木) 20:29:21 ID:0qBaplUT
むしろ日本こそ各半導体メーカーが協力して
本気でARM互換つくるべきなんだけどな。
874えるえる:2010/04/22(木) 20:30:06 ID:0qBaplUT
Cortex-A8 Technical Reference Manual

7.1. About the L1 memory system
The L1 memory system consists of separate instruction and data caches in a Harvard arrangement. The L1 memory system provides the core with:
* fixed line length of 64 bytes
* support for 16KB or 32KB caches
* two 32-entry fully associative ARMv7-A MMU
* data array with parity for error detection
* an instruction cache that is virtually indexed, IVIPT
* a data cache that is physically indexed, PIPT
* 4-way set associative cache structure
* random replacement policy
* nonblocking cache behavior for Advanced SIMD code
* blocking for integer code
* MBIST
* support for hardware reset of the L1 data cache valid RAM, see Hardware RAM array reset.

ランダムリプレースメントポリシー!!
875Socket774:2010/04/22(木) 20:32:14 ID:c+2zlgUH
>>868
IPとライセンス価格で他社製品の性能や価格までコントロールできちゃうな。
OSもセット販売するようにすれば、他社は劣化iPhoneを薄利で売る事しか出来なくなる。
876えるえる:2010/04/22(木) 20:32:32 ID:0qBaplUT
Snapdragon 1GHzでDeschutes〜Katmaiあたりの性能かなあ。
ハイエンドスマートフォンのプロセッサコアが11〜12年前くらいのPCプロセッサの性能くらいかな。
877えるえる:2010/04/22(木) 20:59:21 ID:0qBaplUT
マジレスすると、
10〜20年後、アジアメーカーのつくったARMプロセッサを搭載した格安コンピュータが世にあふれている可能性が高い。
日本の半導体メーカーは国内のつまらない競争で自社ISA製品に執着しているあまり、
世界の流れに取り残されて、このままいくと完全にアジアのほかのメーカーに出し抜かれる状況。
正直米国HPCやサーバのハイエンド技術に目を奪われているのやつが多すぎるのか知らないが、
どんどん市場をアジア勢にもっていかれるのに守りが弱すぎるのに気がついているやつが少なすぎる。
20年後になんでこうなってしまったんだ日本の半導体の反省論が例のごとく説かれているだろう。
AppleのARM買収という戦略は露骨そのもので気に食わない。
878えるえる:2010/04/22(木) 21:08:14 ID:0qBaplUT
中国製ARMコア/SoCを大量につかったエクサスケールスパコンに
対抗心を燃やす日本の国産スパコン

とかならないことを祈ってるよ。
本当に世界で通用するスパコンをつくりたいのなら、
スパコンのことなどあとから考えればいい。
879Socket774:2010/04/22(木) 21:19:03 ID:m/topjqY
市場関係者の噂なんて碌すっぽ当たらない代物にマジになってどうする
880Socket774:2010/04/22(木) 21:44:14 ID:6h8g+zT9
今日では命令セットに知的財産権が認められているので、勝手に互換プロセッサを作ったりはできないよ。

ランダムリプレースメントが珍しいかい?
トランスピュータもそうだったし、時々みかけたよ。擬似LRUけっこう大変だし。
881えるえる:2010/04/22(木) 21:47:34 ID:0qBaplUT
i860もランダムのようだね。
882えるえる:2010/04/22(木) 21:50:38 ID:0qBaplUT
x86(Atom)周辺ではIDFであったようにBIOSライセンスの問題にてこ入れしようとしてるのがでかいね。
AtomもMedfield以降も低消費電力化していく計画だし、ARM vs x86の時代に本格的に突入していくな。
883Socket774:2010/04/23(金) 00:13:17 ID:0eZ6voJG
>日本の半導体メーカーは国内のつまらない競争で自社ISA製品に執着しているあまり、
?????

ひらがな4文字の人は相変わらずトンチンカンだな

Snapdragon, iPhone向けSamsung製SoC, UniPhier 4M, SH-MOBILE Gシリーズ, Medity

これら全て「汎用プロセッサ・コアIPにARMを使ったSoC」です
884Socket774:2010/04/23(金) 00:27:19 ID:n5fpk2nt
擬似LRUでは、キャッシュエントリにdirtyビットがついていて、書き込みがあればセット、周期的に全クリアしている。
周期内で書き込みがあったエントリだけdirtyになっているのでリプレースの対象から外すという寸法。
これだけだと簡単そうに見えるが、ハードウェアで実装するとなると
・cleanなエントリから書き込み対象を選ぶ
・dirtyなエントリからリプレース対象を選ぶ
のにプライオリティエンコーダが必要で、しかもプライオリティはランダムに変える必要がある(固定的だと同じエントリばかりが対象になる)ので
実装はかなり面倒くさい。
遅延も許されないし。

ランダムリプレースメントは普通は本当にランダムに選ぶから簡単。
885Socket774:2010/04/23(金) 00:33:39 ID:n5fpk2nt
> ・dirtyなエントリからリプレース対象を選ぶ

全エントリがdirtyだった場合↑

T9000は256ウェイのランダムリプレースだったかな。
ウェイ数はすごいけど、タグを含めたキャッシュはただの連想メモリになるので出来合いのものが使える。
(アドレッシングがRAMではデコーダのところが連想メモリではコンパレータになる)
886Socket774:2010/04/23(金) 01:31:43 ID:H4mGISRw
もしかして、SHにチャンスが・・・
887Socket774:2010/04/23(金) 01:57:22 ID:1QgGm3oC
>>886
1社だけで作ってるのはムリ
ARMが消えたら、代わりはMIPSかPowerPCだろ
888Socket774:2010/04/23(金) 03:58:01 ID:zeOV/abk
Linux Foundation、携帯機器向けOS「MeeGo」のセミナーを開催
http://k-tai.impress.co.jp/docs/news/20100421_362839.html

LiMo Foundation(Linux Mobile Foundation)と混同しやすい名称だ
889Socket774:2010/04/23(金) 09:02:30 ID:dKOq0KOT
×MACオタ
●POWERオタ
890Socket774:2010/04/23(金) 09:27:38 ID:Pz9YR7zt
>>887
いちおう広めようとした時もあったんだが、見事に流行らなかったな。
今後アレをどうするつもりなんだろうか。
マルチコアの製品化では先行してるのでSuperHサーバなんかは……ひいき目にみれば。
891MACオタ:2010/04/23(金) 17:30:27 ID:zpQdtsln
龍芯3号搭載のブレードサーバーCB-50Aが発表されました
http://tech.sina.com.cn/b/2010-04-23/06301327500.shtml
■龍芯3号 L3A02 プロセッサ
 ・4-core, 1GHz, max. 15W
 ・2 x HyperTransport 1.0, 16-bit bus
 ・DDR2/3 対応メモリコントローラ内蔵
■龍芯ブレード CB-50A
 ・2-socket Loongson 3A
 ・8 DDR2 slots, max. 64GB
 ・2x GbE
 ・2x 2.5' SATA Drive
 ・曙光 龍芯BIOS
 ・紅旗Linuxサポート
 ・max. 110W

曙光は龍芯ブレードとx86ブレードを混在可能なブレード筐体 TC2600 も発表しています。
892MACオタ@続き:2010/04/23(金) 17:53:48 ID:zpQdtsln
龍芯3号に関する別の記事です。
http://server.chinabyte.com/69/11229569.shtml
 - L3A02 は 65nm, 425 million transistors
 - 龍芯3A に続き 3B (8-core), 3C (16-core)も開発中
 - 龍芯3B は 3A の8倍の浮動小数点性能 (128GFlops / chip)
 - スーパーコンピュータ 『曙光6000』 には龍芯3Bが使用される予定

龍芯3号の詳細は>>399とか。
MIPSコア(GS464)とSIMDコア(GStera)のヘテロ構成ですので、3Aは MIPS x 4 の構成、3B は MIPS x 4 + SIMD x 4 になると考えられます。
893Socket774:2010/04/23(金) 19:33:43 ID:Pz9YR7zt
地味に手堅い。
894Socket774:2010/04/24(土) 13:21:21 ID:uXQ2BfRl
IBM版Cell GPUとも言うべき,POWER7 GPUは作らないのか。
その性能ならATiやnVIDIAとも余裕で張り合えるだろうに。
895Socket774:2010/04/24(土) 13:29:06 ID:K2Z5mOfB
Cell厨の残党ってまだいたのか
896Socket774:2010/04/24(土) 13:39:30 ID:1BthE1oX
>その性能ならATiやnVIDIAとも余裕で張り合えるだろうに。

897Socket774:2010/04/24(土) 16:00:12 ID:ehcWGzFv
ムリだろうけど
そういえば HP が PA-RISC でグラボ作ってたよな(Visualizeだっけ)…
あとSunもMAJCとか作ってたっけ…

ところで >>894 って,なんで POWER7 で GPU 作ったら Cell GPU になるのか解らん
# 解っちゃいけないトコかも知れないけど
898Socket774:2010/04/24(土) 18:42:59 ID:7+gx2Hsa
>>860
アンドロイド利用で開国じゃ!!
899Socket774:2010/04/24(土) 19:16:58 ID:TNTWGXOU
携帯電話用ベースバンドI統合アプリケーションプロセッサとしては
SH-Mobile G4が性能/電力面でベストな存在。次点UniPhier 4M。
ちなみに>>880は朝鮮人の皮を被ったアングロサクソン工作員。
900Socket774:2010/04/24(土) 19:18:11 ID:TNTWGXOU
3行目間違えた。880じゃなくて>>860ですた。
901Socket774:2010/04/24(土) 20:40:52 ID:Q66RTO5A
>>894
「餅は餅屋」とは良く言ったもんで。
902Socket774:2010/04/24(土) 21:50:23 ID:uXQ2BfRl
Intelと同じ道は歩まず・・・か
おもしろくないな
903Socket774:2010/04/24(土) 22:01:18 ID:A7OIlSgK
VMX/Altivec改を8個並べたやつの市場実験はもう済んでる
904Socket774:2010/04/25(日) 00:38:41 ID:8qLBCaz8
携帯端末、触れずに操作 東大が新技術
http://www.nikkei.com/news/headline/article/g=96958A9C93819696E0E3E290818DE0E3E2E6E0E2E3E2E2E2E2E2E2E2

>高速カメラと画像処理回路を搭載したモバイル機器を試作した。

画像処理回路とCPUとは同じLSIでもどう構造が違うのでしょうか?
画像処理回路というのはCPUよりはGPUに近いものでしょうか?
905Socket774:2010/04/25(日) 00:46:27 ID:mkOFcheg
画像処理とFPGAやASICやアプリケーションプロセッサとかを組み合わせてggrks
906MACオタ:2010/04/26(月) 18:13:54 ID:9FPi2qWi
>>843でちょっと迂闊なことを書きました。
  -------------------
  将来的にはSOIを生かしたFBCに向かう様で…
  -------------------
昨年9月にプレスリリースが出ていたネタですが、32nmのディープ・トレンチ・セルの eDRAM を IEDM 2009 で発表しています。
詳しい紹介記事はこちら。当分IBMの eDRAM はこの路線ということで間違いなかろうかと…
http://www.semiconductor.net/article/354546-IBM_Readies_32_nm_eDRAM_With_Low_Latency.php
  ======================
  The eDRAM is fully compatible with logic transistors,
  with no degradation in logic performance. It incorporates
  a deep trench capacitor structure, with a high-k dielectric
  and metal liner capacitor technology.
  ======================

そう言えば、Z-RAM の Innovative Silicon も Hynix 向けの Bulk Si 向けの実装の方に力が入っているようです。
http://www.edn.com/article/CA6726490.html?nid=2551
  ======================
  Hynix, Innovative Silicon show floating-body DRAM on bulk silicon
  ======================
これはこれで FinFET + FBC という意欲的な組み合わせで、先行きが楽しみではあります。
907Socket774:2010/04/27(火) 15:29:31 ID:35bcycjE
AMDの6コア「Phenom II X6」はターボモードを備えバーゲン価格で登場
http://pc.watch.impress.co.jp/docs/column/kaigai/20100427_364107.html
908Socket774:2010/04/27(火) 21:18:42 ID:I35NkR9P
Turbo Boost と Turbo Coreはどっちが凄いの?
http://pc11.2ch.net/test/read.cgi/jisaku/1272370378/l50
909Socket774:2010/04/28(水) 06:35:08 ID:juWOwpeh
Intrinsity買収をAppleが認めた
ttp://www.nytimes.com/2010/04/28/technology/28apple.html
910Socket774:2010/04/28(水) 09:35:12 ID:JA2f3dky
ARMの行く先が不安になってくるな
911Socket774:2010/04/28(水) 14:07:27 ID:/tD1+iN/
ARM、アップルによる買収のうわさを一蹴
ARM CEO、イースト氏が英国紙の取材で回答
http://www.computerworld.jp/topics/apple/180069.html
912Socket774:2010/04/29(木) 03:18:04 ID:raP2X6ae
Appleは買収する会社を間違ってる
913Socket774:2010/05/01(土) 12:44:15 ID:LRSQJHZc
世界のPC用プロセッサ市場、第1四半期は前年同期比39%増
http://japan.internet.com/finanews/20100501/12.html
914Socket774:2010/05/02(日) 21:47:38 ID:P4uzcZ1e
a
915MACオタ:2010/05/04(火) 19:05:56 ID:PQOz9BHB
先月半ばにリリースされた GCC 4.5 では、ちょうどこのスレッドに登場した様々なPPCの実装がサポートされています。
http://gcc.gnu.org/gcc-4.5/changes.html
  ---------------------------
  ・GCC now supports the Power ISA 2.06, which includes the VSX
   instructions that add vector 64-bit floating point support, new
   population count instructions, and conversions between floating
   point and unsigned types.
  ・Support for the power7 processor is now available through the
   -mcpu=power7 and -mtune=power7.
  ・GCC will now vectorize loops that contain simple math functions
   like copysign when generating code for altivec or VSX targets.
  ・Support for the A2 processor is now available through the
   -mcpu=a2 and -mtune=a2 options.
  ・Support for the 476 processor is now available through the
   -mcpu={476,476fp} and -mtune={476,476fp} options.
  ・Support for the e500mc64 processor is now available through the
   -mcpu=e500mc64 and -mtune=e500mc64 options.
  ・GCC can now be configured with options --with-cpu-32,
   --with-cpu-64, --with-tune-32 and --with-tune-64 to control
   the default optimization separately for 32-bit and 64-bit modes.
  ---------------------------
まだ現物が発表されていないのは Freescale の e500mc64 でしたっけ?今年の FTF で発表されると思われます。
http://www.freescale.com/webapp/sps/site/overview.jsp?nodeId=052577903689DC
916MACオタ:2010/05/04(火) 20:02:28 ID:PQOz9BHB
power.org がロードマップ図を更新しています。
http://www.power.org/resources/devcorner/roadmap/Power_org_PA_Roadmap_2010_.pdf
AppliedMicro Titan, IBM/LSI PPC476, IBM A2, Freescale QorIQ あたりが次世代を構成すると思われます。
注目すべきはPPC系アクレラレータとして、CELL/B.E. と Blue Gene の Double Hammer は終息の方向で、今年の ISSCC で発表された Wire-Speed POWER のアクセラレータ機構が後継になるとされていることです。
Wire-Speed のアクセラレータ ISAについては、IBM Journal R&D の論文を入手しましたので、そのうち詳しく書きます。

ちなみに上のロードマップで Freescale だけは e500 コアの使いまわしで、とても次世代と言えた代物ではありません。e500mc64 なり e700 なりが登場するのか、PPC はすっぱり諦めて ColdFire や ARM で生きていくことに決めたのか、今後の動向が注目されます。
917MACオタ@補足:2010/05/04(火) 20:07:15 ID:PQOz9BHB
CELL/B.E. の終息という話題はあくまでヘテロジニアス ISA を諦めたということのようです。
Wire-Speed アクセラレータは大昔のコプロセッサのように、CPU のプログラムの中にアクセラレータ命令を挿入するという手法を選択しており、アクセラレータとしては SPE も利用できるようになっています。
918Socket774:2010/05/04(火) 21:35:42 ID:AqJ9F/HS
その補足って政治的配慮って事はないの?
SPEの有効性ってどんなもんだ。
919MACオタ>918 さん:2010/05/04(火) 21:52:02 ID:PQOz9BHB
>>918
  -----------------
  その補足って政治的配慮って事はないの?
  -----------------
既存のCBEA対応のツールが全てお釈迦になりますから、政治的にはむしろマイナスかと。
SCE特許にあるヘテロコアの"broadband architecture"構想は廃棄ということですね。

いかにもIBMらしいやりかたですが、奇しくも私がメガビで「PowerPCの話」を書いていた
ころの予測通りの構成になっています(笑)
920Socket774:2010/05/06(木) 14:16:56 ID:4X/9VXx0
CELL/B.E.終わりって事はPS3でおしまいでPS4は出ないって事?
921Socket774:2010/05/06(木) 18:17:42 ID:c93BBQ8o
お友達が待ってるから巣に帰りましょうね。
922Socket774:2010/05/06(木) 21:05:07 ID:J2JSBWYp
やだプーンw
923,,・´∀`・,,)っ-○○○:2010/05/07(金) 13:03:46 ID:ls/Tt+fy
>>917
> 大昔のコプロセッサのように、CPU のプログラムの中にアクセラレータ命令を挿入するという手法を選択しており、
ARMでは現役だぞ?

まあそのままだとOpcode空間は足りないだろうからステート切替でコプロセッサ命令を発行するする手法は有効だろうな
924Socket774:2010/05/07(金) 14:54:51 ID:LzdYSWrW
>>913
2008秋からしばらくは特別な時期だったから、今年前年比だけを見てもなぁ
10年くらいの傾向の上ではどうなの?
925Socket774:2010/05/07(金) 18:58:57 ID:6OyBTNk8
犬板から転載

ARM、サーバで利用した場合のチップアーキテクチャの有効性を検証中
http://japan.cnet.com/news/ent/story/0,2000056022,20413066,00.htm
926Socket774:2010/05/07(金) 23:54:44 ID:h3UlkGRz
a
927Socket774:2010/05/09(日) 01:37:52 ID:8C1rvpMr
つまりPOWER7 GPUの登場も近いってことか。
928Socket774:2010/05/09(日) 02:38:47 ID:fqBBLAmp
>>927
POWER GXTの二の舞に。
929Socket774:2010/05/09(日) 02:41:35 ID:4t6a+v3w

>>925
数年テストやって今発表するって事は上手く行ったって事だな。
930,,・´∀`・,,)っ-○○○:2010/05/09(日) 09:37:47 ID:sNWFFdis
静的運用のWebサイトなら十分だがDB連携とかやりだすときつい気がする
931,,・´∀`・,,)っ-○○○:2010/05/09(日) 10:00:13 ID:sNWFFdis
Wikiのバックエンドと書き換え頻度が知りたいね
932Socket774:2010/05/09(日) 12:09:27 ID:AoBGawRG
「ダメだ! ARMではDB連携の書き換え速度に対応できない!」
「こんなこともあろうかとSupeHコアを混載しておいたのだ!」

役に立ちそうにない。
933Socket774:2010/05/09(日) 16:50:09 ID:QJ2ga7II
3GHzくらいで動作して6MBくらいのキャッシュ搭載なARMならオケだろ。

単にそんなARMプロセッサが現状で存在してないだけで。
934Socket774:2010/05/09(日) 23:20:30 ID:j4MjQvhL
DB連携って多分RDBMSを念頭に置いているんだよね?
ARMみたいにやや非力で実装面積が少ないプロセッサの場合は
スケールアップはx86に対してディスアドバンテージ、スケールアウトに
関してはアドバンテージが多分あるんじゃないかと思う。

なのでRDBMSは恐らく相性悪そうという気がするけど、KVSとか
だったらどうなんだろう。そういう性能評価もやってるんじゃないかな。
IO性能に何か問題があるからDBには向いてないという話では多分ないよね?

今発表されている中では最高性能のMarvellのArmadaとかだと
最大4コアで動作クロック2GHzなんだけど、3GHzならオケで
2GHzだとアウトとかそういうもんなん?
935Socket774:2010/05/09(日) 23:25:28 ID:j4MjQvhL
よく知らないんだけど、いつまでAtomはいつまでインオーダで
クロック2GHz以下なん?すでにARMはアウトオブオーダになっちゃったし、
クロックもそろそろ抜かれそうなんだけど。
936Socket774:2010/05/09(日) 23:34:35 ID:4t6a+v3w
インオーダだろうがアウトオブオーダだろうがクロックがいくつだろうが
そんな違いなどなんの意味も無い。
最終的な性能や消費電力のみが全て。
937Socket774:2010/05/09(日) 23:46:05 ID:oeIPB0oa
そんな違いなどなんの意味も無い。
最終的な性能や消費電力のみが全て。

このスレでそんなつまらいなこというなよ。
製品スレでやってくれ。
938Socket774:2010/05/09(日) 23:59:25 ID:AoBGawRG
>>935
製品ブランディングの問題だからクロック上げるくらいなら消費電力下げる。
Bobcatに期待。
939Socket774:2010/05/10(月) 00:20:01 ID:v15meyhD
(´・ω・)OoOだとデコーダが電気喰って熱いし面積もでかくなるからいっそ捨ててまえというのがAtomなのに…
940Socket774:2010/05/10(月) 00:58:19 ID:+BQmJLc/
ARMだx86だ言ってトロい世界で争っていて下さい^^
その間にCUDAがぶち抜いていきますんで^^
941Socket774:2010/05/10(月) 01:13:41 ID:x5/JCZgo
>>920
PS4には極普通のカスタムPPCが載る

CELLのご機嫌取るような変態コーディングから解放されて
開発者は狂喜乱舞
942:2010/05/10(月) 01:29:36 ID:wE6NmKVC
ゲームグラフィックスソフトの制作から足を洗えばもっと楽になって
それこそ狂喜乱舞まちがいなしです
人間らしい暮らしが出来ます
943Socket774:2010/05/10(月) 02:38:41 ID:oMXXms+r
>>939
それが正しい判断だったのか確かめる意味でもBobcatに期待。
……まあ使いどころのないMPUになりそうだ。
944Socket774:2010/05/10(月) 08:45:38 ID:rgkColwI
Cellの何が駄目かって
投入した労力に対してリターンが割合わないという事に尽きる。
945Socket774:2010/05/10(月) 16:50:46 ID:IofebIdP
>>942
アンドロイドアプリ(ゲーム)とかに転職すると良いでしょうか?
946Socket774:2010/05/10(月) 19:58:44 ID:IH7mkO8H
結局新PPCにもSPEが繋げられるんじゃゲーム開発的には同じことになるだろう
947Socket774:2010/05/10(月) 23:55:15 ID:eSDXixPZ
>>944
Sandyのクワッドの方が
CELLより少ない手間でCELLより高性能だろう
948Socket774:2010/05/11(火) 00:18:57 ID:QBciQPcJ
何年も後に出るものが先に出たものより劣っていたら話にならない。
949Socket774:2010/05/11(火) 08:22:19 ID:cKWzfypF
結局辞書通りのあだ花だったという事 >Cell
950Socket774:2010/05/11(火) 08:56:26 ID:xtf7dsNg
>>944
割に合う合わないはプログラムがどれだけ普及するかとか大規模に使われるかによるけどな。
951Socket774:2010/05/11(火) 10:09:37 ID:VPrGWIm6
>>950
まあでも、個人で使われることはないってことだよな
952Socket774:2010/05/11(火) 15:23:07 ID:+WFvlWFq
>>950
旗振り役のIBMが切るんだからあとは要らない子。

SCEもlinuxサポ止めたから個人がゲリラ的に粘る芽も摘まれたし。
953Socket774:2010/05/11(火) 16:36:55 ID:5925d0Uz
>>947
何を基準にするかにもよるけど電力比辺りの性能なら未だにCellが最強と言えるよ
だからWire-SpeedでもSPEをサポートしてるんじゃないかな
954Socket774:2010/05/11(火) 17:00:32 ID:S3yU93tt
同じ8コアで600MHz、2.8WのRP2が消費電力辺り性能なら勝負になるな。
955Socket774:2010/05/11(火) 18:53:23 ID:CRGkSKls
RP2ってSH4ベースでしょ?
600Mz程度でそんな性能でるもんなの?
956Socket774:2010/05/11(火) 18:56:32 ID:S3yU93tt
消費電力辺り性能だから勝負になる。
957Socket774:2010/05/11(火) 19:18:41 ID:CRGkSKls
よくわからんけど詳しいデータシートある?
SPEってコア消費電力辺りの性能かなりのもんだよ
ちょっと歪な構造だけど
958Socket774:2010/05/11(火) 19:20:30 ID:o1I98pj6
どうでもいい
終ったCellの話題禁止
959Socket774:2010/05/11(火) 23:47:47 ID:7KuhH9nv
Cellの性能ってどうせ理論ピークFLOPSだろwネタにもならんわ
960Socket774:2010/05/12(水) 03:20:19 ID:9nEukp9T
SPE終わってる説に反論する気はないけど
SH4だって ほぼ 終わってると思うけど…

>>934
> ARMみたいにやや非力で実装面積が少ないプロセッサの場合は
> スケールアップはx86に対してディスアドバンテージ、スケールアウトに
> 関してはアドバンテージが多分あるんじゃないかと思う。

ARMがスケールアウトでアドバンテージがあるなんて意見は初耳だな
プラットフォームが無くて強力な足周りが無い状態でどうやってスケールアウトするのやら
消費電力低いからサーバーの数は増やしやすい場合もあるだろうけど、
そのサーバー群って全く連携しない前提ですよね?
961Socket774:2010/05/12(水) 05:56:21 ID:phi+SPs7
> プラットフォームが無くて強力な足周りが無い状態でどうやってスケールアウトするのやら
そのあたりの課題を検証してるっていうのが今回の話ではないでしょうか。
スケールアウトのアドバンテージ云々の話は理屈(もしくは仮定)の上の話であって
(現実の問題は置いておく)、もしそういった理屈の上での何らかのアドバンテージの
見込がなければ検証なんて誰もしないんじゃないの。その理屈が正しいかどうかは
本当に試してみないとわからない。

問題は本当にそれらの問題が解決できるのかどうか、思ったようなパフォーマンス、
消費電力、発熱量になるのか等々ってことじゃないの。将来的にできるものが
今ないことじゃないと思う。

> そのサーバー群って全く連携しない前提ですよね?
検証の結果、そういう答えになることもありうるかと。
962Socket774:2010/05/12(水) 12:54:02 ID:8gJUXW2T
>>952
初代はlinuxインスト可がデフォだったんだから
あれは型番がいくら変わろうが継続するべきだったな
商売的に旨みがなかろうが

あの小物二代目が
PS3はゲーム機以外の何物でもありません!宣言が出た瞬間に
ただの図体がでかいゲーム機と成り下がった
963Socket774:2010/05/12(水) 23:50:47 ID:9nEukp9T
>>961(=>>934?)ですか?
何か妄想ばかりで勝手に判断してませんか?

(1) ARM に intel のチップセットのような周辺チップ群 (プラットフォーム) が無いのは常識
(2) I/O 性能はプラットフォーム (SoC の場合は CPU と同シリコン上にある周辺回路群) の性能に依存する
  (何を勘違いしているのか>>934はCPUコアの話とI/O性能の話を混同していますが…)
(3) 連携が前提だとスケールアウトするには I/O 性能が高くないと話しにならない

(1)〜(3) を考慮するなら「現状では ARM は intel と比べて大幅にスケールアウトしにくい」ことは
実機で検証する前にデータシート見比べれば解る話で,ARM の実機検証は別の目的と考えるのが妥当です.

勿論,ARMも「何らかの見込み」は持っているでしょうが
>>934>>961の言う「スケールアウト」にアドバンテージが無いのは明らかに思えます
>>961 は自分の妄想でARMの検証の目的を勝手に決めてしまっていませんか?


> 「将来的にできるものが今ないことじゃないと思う。」

それは ARM の意見じゃなくて >>961 の「ぼくがかんがえた さいきょう CPU」の話ですよね?
現に Marvell の SoC で検証しているように,ARM が言っているのは製品レベルの話で妄想じゃない.
964Socket774:2010/05/13(木) 01:09:23 ID:ryvac0gX
>963
報道ではARMの目的はわかんないので、「〜じゃないかな」、「だと思う」という
憶測で勝手なこと言っているわけですが、あーだこーだ言うのが楽しいのでは。

I/O性能云々の話は(1)〜(3)まで相違はないです。現状の話はしてなくて、
SoCなんで最終的には充分な性能のI/Oを同一シリコンに入れてしまえば
OKで、入らなくてもPCI-expressとかその他汎用インターフェイスで
チップの外にI/Oを持てばいいんじゃないの。

ARMのI/O性能が劣ってないと思っているのは特にARMアーキテクチャ上の
制約で高速なI/Oを拡張できないなんてことはないって意見なんですが。

> 現に Marvell の SoC で検証しているように,ARM が言っているのは製品レベルの話で妄想じゃない.
検証するのは現状あるもので試すしかないのは当たり前で、もしそれより
先のことを考えているとしてもそれは変わらない。

逆にARMが製品レベルで考えているっていう根拠はどこなんでしょうか?
どっちにしてもソフトウェアもないので製品化はまだ先、そうなると
今あるチップチップセットで製品化しなければならない必然性は
あんまないと思います(その時には別のチップセットが出ている)。

Marvellのチップセットを使っているのは現状では最もコア性能も
高いこともあるけど、ネットワーク機器に向いている設計でI/Oも他と
比較して充実していることもあると思います。とは言ってもギガイーサ
とかPCI-expressとかSATAとかデスクトップPCレベルのものですけど。

ARMの考える「ぼくのかんがえたさいきょうCPU」に対して実証実験する
価値がある程度には近いとは思います。
965Socket774:2010/05/13(木) 01:36:48 ID:ryvac0gX
http://www.marvell.com/products/processors/embedded/armada_300/armada_310.pdf
Marvellの一番新しいネットワーク装置向けのチップセットはこれみたいです。
これ以上の情報はNDA結ばないとわからない。
966Socket774:2010/05/13(木) 13:11:46 ID:uanaS5I1
米軍怒らせるなよwwwwww
トヨタの二の舞どころじゃないぞ

旧モデルPS3本体の「Install Other OS機能」がアップデートで廃止されたのを発端に米国で複数の
集団訴訟が起こっていますが、クラスタ化した大量のPS3をスーパーコンピューターとして利用していた
米空軍の担当者が、この件を問題視しているようです。

“既に手元にあるシステムは今後も使い続けるつもりですが、この件(ファームウェアアップデート)で
故障した本体を交換するのが難しくなりました。ソニーから修理されて戻ってきた本体には新しいファーム
ウェアがインストールされ、他のOSも利用できなくなるのは、問題があるように思えます。本体にもともと
あったこの機能を取り除いたソニーに対し集団訴訟があるのは我々も認識しております。”

「他のOSのインストール機能」の廃止を巡っては、米国のユーザーらによって三件の集団訴訟が
起こされています。

http://gs.inside-games.jp/news/231/23181.html
967Socket774:2010/05/13(木) 13:43:03 ID:OpXSFrGw
ここはもうゲハ住人に占拠されてしまったんだな。
968Socket774:2010/05/13(木) 15:05:05 ID:Vy+tM2AH
Air Force may suffer collateral damage from PS3 firmware update
(空軍はPS3のファームウェアアップデートからの間接被害で苦しむかもしれない)

We checked in with the Air Force Research Laboratory, which noted its disappointment
with the Sonydecision.
(我々は空軍のリサーチラボを調査したが、そこはソニーの決定への失望を示していた)

the lab told Ars, but "this will make it difficult to replace systems
that break or fail.
(しかしラボがArsに語ったところでは「壊れたり、故障したときには復旧するの
が困難だろう。)
We are aware of class-action lawsuits against Sony for taking away this option on
systems that use to have it."
(我々はソニーに対する利用しているシステム上の権利を取り消すためのソニーへの集団訴訟
を承知している」)
969Socket774:2010/05/13(木) 18:55:35 ID:5ArF6SLa
ファームウェア更新しなけりゃいいだろw
970Socket774:2010/05/13(木) 19:31:20 ID:Jc/h703X
>>969
>“既に手元にあるシステムは今後も使い続けるつもりですが、この件(ファームウェアアップデート)で
>故障した本体を交換するのが難しくなりました。ソニーから修理されて戻ってきた本体には新しいファーム
>ウェアがインストールされ、他のOSも利用できなくなるのは、問題があるように思えます。本体にもともと
>あったこの機能を取り除いたソニーに対し集団訴訟があるのは我々も認識しております。”
971Socket774:2010/05/13(木) 22:30:07 ID:DaRgKO6c
米空軍も本当にその辺で売ってるPS3を買ってきてるんだな
もうちょっと違う方法で調達してると思ってた
972Socket774:2010/05/13(木) 22:37:00 ID:fNgU0/1K
そこまでの予算がついてるプロジェクトでは無い、という事だな…
973Socket774:2010/05/13(木) 23:30:20 ID:5ArF6SLa
>>970
保障規定ってどうなってるのかな。LinuxOSをインストールすること事態無保証な気が。
974Socket774:2010/05/14(金) 00:25:16 ID:AFplzVuE
民生品の軍事転用COTS(Commercial-off-the-Shelf)はずいぶん前からやってるから「またか」程度。
軍隊は昔は何でも専用品だったけど、いまはその辺で買ってきたものを使ってるよ。
イニシャルコストは安く付くけど、そのかわり頻繁なモデルチェンジやディスコンによるコスト増は承知の上

PS3のスパコンはPS3だからニュースになっただけで、川崎のバイクや東芝のノートPCが採用されてもニュースにならん。
そのKLR 650はディーゼルに魔改造されてるけどw
975Socket774:2010/05/14(金) 00:55:32 ID:PGzfwuiw
陸自の偵察バイクも川崎だけど普通にオイル漏れてる
もっとも撃たれて穴ぼこだらけになってオイルが抜けても動くから川崎なのかもしれんが
976Socket774:2010/05/14(金) 16:58:04 ID:4AhafszW
Kawasakiのバイクは性格上追うバイクじゃなくて追われるバイクだからな
977Socket774:2010/05/14(金) 19:42:45 ID:x7jINBb8
HDDがゲルに包まれてて液晶に強化ガラスなイメージが。。。
978Socket774:2010/05/15(土) 13:34:18 ID:8dcxax1g
>>972
というより米軍も予算縮小でスパコン関係はどんどん苦しくなってるらしい
979,,・´∀`・,,)っ-○○○:2010/05/17(月) 18:45:02 ID:3wc/5yYP
機能を削ることでの社会的リスクも考えられなかったSCEは無能。
980Socket774:2010/05/17(月) 19:44:01 ID:nf4rnR+f
SCEにだっていろんなやつがいるだろ

若手がPS3をオープンなものにしようとして、上層部の連中がセキュリティを口実にして押さえつけたと予想。
981Socket774:2010/05/17(月) 19:48:47 ID:bIlQe8x7
逆だと思うな。
ゲーム屋だと思われたくない老人が「Linux入れられるようにしろ!」って言って
ゲームのコピーに使われるようになってようやく”Linux機能いらない派”が勝った。
982Socket774:2010/05/17(月) 20:20:35 ID:kOIjAChB
どうしたいのかがさっぱり見えてこないのは・・なあ
PS2の互換捨てたうまみ覚えちゃって、
Cellも一期限りってなりうる

トランジスタ辺りの性能と汎用性、
とあとリアルタイム性能だっけ?
一つはあっても良いプロセッサだとは思うのに
用途はしらん。
983,,・´∀`・,,)っ-○○○:2010/05/17(月) 20:21:26 ID:3wc/5yYP
そもそもLinux云々はクタラギさんのトップダウン構想だったような。
PS2のLinux kitも彼の発言が発端のようだし

まあ、Linuxが使えることを期待して購入した消費者を裏切ったのは事実だから
各国の法に従って賠償には応じてしかるべきだな
984Socket774:2010/05/17(月) 20:25:22 ID:kOIjAChB
そのへんのGPUみたいに9億とか14億とか投じれば
TDPもすごいことになりそうだが目立つくらいは出来るだろうに
こうなると3GHzでは難しくなるんだっけ?

久々に調べてたらこんなん見つけた
http://www.miyazaki-u.ac.jp/~aoyama_t/IPSJ-MGN500206.pdf
985Socket774:2010/05/17(月) 20:26:20 ID:nf4rnR+f
>>981
そんな前衛的な老人がいてほしいわ

Linux削るのなんて独自規格にこだわるソニー体質そのものじゃん
986Socket774:2010/05/17(月) 20:30:19 ID:kOIjAChB
>>983
同意だなあ。そういう触れ込みで売ったんだからなあ。

もともとRSXへはアクセス制限されてたんだから、
Cell+やすいGPUのPC作って交換してやればいいのにw
そんなにRSX動作停止させる事って難しいのかなぁ。
Linuxで起動したときはRSXの電力絞られて、
再起動するまでロックされるとか出来ないのか。
987Socket774:2010/05/17(月) 20:34:24 ID:bIlQe8x7
>>985
そりゃあクタラギ老人だろう。ヤツはかなり前衛的だ。

ソニーはファーム書き換えサービスとかやったらいいんじゃないかね。
有償もしくは実費でファームウェアを旧製品のものに書き換えますよ、と。
ハードウェアの変更があったら終了だけど。
988Socket774:2010/05/17(月) 20:35:13 ID:kOIjAChB
>>985
独自規格にこだわるのは競争したいって体質じゃね
分化して対決してればそれだけ雇用も増えるしいい事じゃね。
いまのゲーム機三つ巴みたいにさwユーザーは困るがw
でも発展止まるのも困る。
989Socket774:2010/05/18(火) 01:53:14 ID:ccc4hPbA
>>981
20万円でなんでもできるコンピュータとしてハードウェアで利益を出して売るか、
4万円でゲームのロイヤリティで回収するゲーム機として売るかというビジネス
モデルの違いあるいは矛盾を詰めないで、間をとってりゃなんとかなるべと適当に
売り出しちゃったしわ寄せがきただけ。
Cellが家電用として融通が利かなかったり、独自汎用OSの開発の失敗も大きかったけど。

機能は多く開発費もかかり、またソニー本体の製品とかぶることも多いのに、
ハードは赤字で利益はゲームのロイヤリティからしか取れないアンバランス。
ゲームユーザーからすればPS3をコンピューティングだけに使う人間は、ある意味で
PS3の赤字分を自分たちの負担でフリーライドされていた、と言えなくもない。

一方でAppleは今のところはうまいことバランスをとって大成功。けどiPadで間違えそうな気がするんだよなあ。
990Socket774:2010/05/18(火) 03:05:49 ID:cVwy03as
今もHWの採算とれてないのかな?
開発費回収終われば採算とれるようになるでしょ。
991Socket774:2010/05/18(火) 11:56:38 ID:AtY0eDnF
PS3は3月で逆ざやは解消したらしいね
992Socket774:2010/05/18(火) 12:07:45 ID:cVwy03as
ようやく今年かよw
まあ5年サイクルも崩れたっぽいしガンがレや。
993Socket774:2010/05/18(火) 12:15:44 ID:uoyeFliF
負担をソニーの別部門に移しただけという話も…
994Socket774:2010/05/18(火) 16:07:21 ID:6HdHi+H0
ところで1チップPS3はまだかね。
995Socket774:2010/05/18(火) 16:44:56 ID:zll1MK+2
とっくにPSPが有るでしょ。
996Socket774:2010/05/18(火) 16:54:35 ID:uoyeFliF
イミフ
997Socket774:2010/05/18(火) 18:36:19 ID:zhbytG7t
>>989
えっiPadは余裕で黒字ですが?原価率50%くらいという話だよ

それよりpsp2が4コアCellという噂は本当か?
998Socket774:2010/05/18(火) 19:13:10 ID:HzUXjp+M
>>997
たぶんiPadの方向性っていう意味だと思う
999,,・´∀`・,,)っ-○○○:2010/05/18(火) 19:15:36 ID:bwPlRpAo
ARM(Cortex-A9系のSoC)って言ってなかったか?
1000Socket774:2010/05/18(火) 20:05:41 ID:zhbytG7t
1000
10011001
1台のマシンが組み上がりました。。。
新しい筐体を用意してくださいです。。。。

         自作PC板@2ch http://pc11.2ch.net/jisaku/