FPGA/CPLD/VHDL/Verilog/論理設計 総合

このエントリーをはてなブックマークに追加
1774ワット発電中さん
ないから立てた。もうスレまとめちゃっていいよね?
2774ワット発電中さん:2010/09/12(日) 04:56:28 ID:g9I++gGn
ASIC・・・
3774ワット発電中さん:2010/09/12(日) 05:19:41 ID:g9I++gGn
■過去スレ
【Verilog】 記述言語で論理設計 Project9 【VHDL】
http://kamome.2ch.net/test/read.cgi/denki/1254717035/

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 14
http://kamome.2ch.net/test/read.cgi/denki/1282519197/
4774ワット発電中さん:2010/09/12(日) 05:21:33 ID:g9I++gGn
5774ワット発電中さん:2010/09/13(月) 03:45:14 ID:KEQ8CWZV
QuartusIIっていつの間にかVer.10(SP1)になってたのね・・・。
ISEもVer.12とか。

すげーインフレwww

あたしゃStratixII/Cyclone3位の世代でギブアップしたんでw
6774ワット発電中さん:2010/09/14(火) 02:04:03 ID:VKOGq/PM
DE0を買ってみたのだが、
NIOSは133MHzだと安定しないのかな?
7774ワット発電中さん:2010/09/14(火) 02:19:36 ID:xu/pTRoq
DE0電源弱いからなあ。
8774ワット発電中さん:2010/09/14(火) 11:43:08 ID:wOB8i+mD
opencoreからいくつかverilogのソース落したんだけど、
1個のalways文ですべてのレジスタに代入してるような
もろソフト屋丸出しの記述が多いな。

フリーでライブラリ共有するって概念がハード屋にないからなのかな
9774ワット発電中さん:2010/09/14(火) 18:36:29 ID:KZhQZWGy
もしかして>>8が作るといいのができるんじゃね?
10774ワット発電中さん:2010/09/15(水) 19:49:10 ID:RQ0xRp1s
古いFPGAの焼きこみの為にMAX+Plus2なんかインストールしたんだが、VHDLが論理合成できない。
エラーはVHDLの論理合成ライセンスが無いとか出る。
(散々情報をあさって、ファイル名の拡張子修正とか、ファイルの場所とか修正したんだが、なかなか上手くいかない。)
アトメルライセンスセンターでライセンスとっても、クオータス2のライセンスなんだが…。もしかして、VHDLの論理合成って他のアプリでやれってこと?
残念ながらクオータス2まではHDDの関係で入れられないんだが…。

もう疲れたよ、パトラッ…アトメルェ…。
11774ワット発電中さん:2010/09/15(水) 20:02:48 ID:9vx0Z6Hc
>>10
カコ悪いの承知でネタにマジレスしてみる
ATMELにライセンス請求してもお門違い
12774ワット発電中さん:2010/09/15(水) 20:11:09 ID:Ft6sj4eI
MAX+Plus2ってVHDL/Verilogで合成できないよ
MAX+Plus2ではLeonard等で合成してたはず
別途合成ソフト用意してそれで合成してedfでMAX+Plus2に取り込みフィットする
アトメル...アトメル...
13774ワット発電中さん:2010/09/15(水) 21:13:35 ID:/EU0LSqI
MAX+Plus2の頃はAHDLで書いてたなぁ
懐かしいっす

・・・あとめるぅ
14774ワット発電中さん:2010/09/15(水) 21:18:59 ID:jfkClbN7
ここの真ん中あたりの Synthesis Support を読むんだ。
https://www.altera.com/download/legacy/maxplus2/dnl-baseline.jsp

MAX+PLUS II Advanced Synthesis softwareってのをダウンロードして入れないといけない。
15774ワット発電中さん:2010/09/15(水) 21:19:47 ID:RQ0xRp1s
>11-13
やっぱりそうか、聞いてよかったわ。……俺はVHDLしかしらねーよぉ…orz
多分ウチの部署ではAHDLで書くかほかのツールで論理合成しているんだな…。
なんてこったい。

もうレオナルドは作ってないとか聞くし、必要だからと切り出されたら期待せずに正直に話してみるわ。
16774ワット発電中さん:2010/09/15(水) 21:26:15 ID:RQ0xRp1s
連続投稿すみません。

>14
ありがとう、後でコレも入れて試してみる。
上手くいったら御の字、だなぁ…。

しかしVHDLもベリログも推奨してないって文言が合って噴いた…。
マジあとめるェ…。
17774ワット発電中さん:2010/09/15(水) 23:57:25 ID:AFE5lrHl
あれ?
オイラMAX+PLUS2ver9.xころに
階層設計=回路図
箱の中=VHDL

とかいう設計で大手通信機器メーカに納品したんだけど
最終バージョンでは駄目なのかな?

まあいいけど
18774ワット発電中さん:2010/09/16(木) 01:12:02 ID:K6vqyx2q
記憶では旧バージョンでは製品版でないと
VHDL扱えなかった気がする。
19774ワット発電中さん:2010/09/16(木) 15:27:12 ID:PGc25xZa
ここって結構初歩的な質問しても大丈夫?コントローラ関係なんだけど
20774ワット発電中さん:2010/09/16(木) 15:40:51 ID:XsDLniCE
×××しても大丈夫?と聞かれても誰にもわからないと思うよ。
だって誰がみてるかわからないのがインターネットでしょ。
21774ワット発電中さん:2010/09/16(木) 15:41:53 ID:RxLDUjRN
総合だから何でもおk
ただし、とんちな質問や情報の後出し、長いコードをぺたぺた貼るのはやめてね。
22774ワット発電中さん:2010/09/16(木) 17:42:38 ID:siI0JrOt
>>8
ちなみに、RFやアナログの技術者は、ハードウェア記述言語のことを
「FPGAのソフトウェア」って意識を持っているみたいだね。
23774ワット発電中さん:2010/09/16(木) 17:59:40 ID:yRd1UqCq
そうだったのかぁ
24774ワット発電中さん:2010/09/16(木) 19:26:11 ID:JxEvLND7
FPGAのソフトウェア屋はRFやアナログの設計、実装って出来るの?
俺に言わせればあいつらってほんと電磁力学ヲタだよな。確かに電磁力学がわかってない
イコール基礎が分ってないのと同じだよな。
で、FPGAのソフトウェア屋は情報系ベースエンジニアでRFやアナログ屋は物理系ベースエンジニア
だから根本が違うよな
25774ワット発電中さん:2010/09/16(木) 19:28:52 ID:XsDLniCE
atomにアルテラのFPGAがひっつくみたいね。
ttp://www.eetimes.jp/news/4253
26774ワット発電中さん:2010/09/16(木) 21:50:36 ID:l3J6bmsZ
>>6
SDRAMつかってるなら、SDRAMのクロックの位相をCPUより-60度くらいずらさないとダメっぽいよ。

ところでDE0のUserManualのRS232のFPGA側のピン間違ってない?
UART_RTSがV21でUART_CTSがV22のような気がするのだが。
27774ワット発電中さん:2010/09/17(金) 03:08:45 ID:fgQcRCkV
電磁力学ってなんだ?
すくなくとも電気系の大学でこの名称で講義を開講してるところは聞いたことがないな。
28774ワット発電中さん:2010/09/17(金) 09:40:17 ID:4DbFlswn
RF やアナログは職人芸なんだよ。
正直、理論なんて関係ね〜

(ちょっと暴論だけど)
29774ワット発電中さん:2010/09/17(金) 13:48:28 ID:xToarRra
active-HDL 7.2SEのマニュアルはどこかに落ちてないですか?
30774ワット発電中さん:2010/09/18(土) 02:14:37 ID:Ht1dVrqN
>>25
組み込み向けじゃなくて、PC向けのCPUに付くと面白いんだけどね
どこかを買収でもしない限り、Intel的には嬉しくないことなんだろうけど。
31774ワット発電中さん:2010/09/18(土) 06:59:35 ID:czVFjeM+
>>28
理論的な理解と裏づけがあった上での職人芸だけどな。
32774ワット発電中さん:2010/09/18(土) 12:30:59 ID:yNikZSlM
>>25
使い道がI/Oポート用とアドレスデコーダーぐらいしか思いつかん。
FPGA部分でDSPが作れたりすんのかな?
33774ワット発電中さん:2010/09/18(土) 16:17:43 ID:Rj3wrS1N
>>31
その通りだよな。>>25はRF,アナログは理論が分ってなくても設計できる、つまり、
中学出ぐらいの低学歴の奴がやるのがRF,アナログって思っているんだろ
34774ワット発電中さん:2010/09/18(土) 23:01:11 ID:9Spz6Doa
>>32
FPGA部分にDSPブロックというか積和演算器が十分な数載っていれば、
画像なんかのデコードやエンコードをハードウエアで高速に実行できるし、
FPGAのコンフィグデータを変更すれば新規格なんかへの対応もやり易くなるね。
35774ワット発電中さん:2010/09/19(日) 11:38:16 ID:IES04PC9
>>34
なんか、DSP部分を更新しようとしたら、アドレスデコーダーがバグってブート不能に陥りそうな希ガス
36774ワット発電中さん:2010/09/20(月) 04:11:56 ID:UqZ19eX+
アナログが職人芸ってことはリストラされにくいってこと?
37774ワット発電中さん:2010/09/20(月) 05:09:14 ID:v4zqLu5U
>>36
周波数の低い領域では既にアナログは死滅してるので不要
(A/D D/Aで処理しちゃえば終了)
高周波は専門の知識とか必要だけど、そんなに出番は多くない。

なかなか難しい世界だと思うよ。
38774ワット発電中さん:2010/09/20(月) 12:40:55 ID:i47g2KeO
○○bit分解能のA/D,D/Aを使えば、○○bit分解能の性能が得られるなどと
考えているところが、いかにもゆとりエンジニアだな。

どうせ、A/D,D/Aといってもマイコン内蔵の10bit程度の分解能で、入力範囲
は、0〜Vccまでと大雑把。 しかもA/D読み値をprintf("%f",VCC*((double)nADval/1024.0));
とでもやると、表示される数値がDMM実測値と同じと本気で思ってやがる。(w

きっと >>37 みたいな連中の脳内では、24bitA/D使えば、Agilent 34401
相当品くらい楽勝と思っているに相違ない。

きょうび、そんな連中でも、mbedのサンプルをコピペしてtweetさせた日
にはまるで組込エンジニアの神のごとき扱い。(w

そして、ゆとりが量産されていく...。
39774ワット発電中さん:2010/09/20(月) 12:43:20 ID:CQgtpT8I
事実、数百MHzまではすべてAD,DAとFPGAやDSPに置き換えられているよ。
RF屋の仕事は確実になくなってきています。
40774ワット発電中さん:2010/09/20(月) 12:50:58 ID:i47g2KeO
>>39
論理設計だけすれば、システムとしての完成品が出来上がるのかね?(w
論理設計しかできないFPGA屋がA/DやD/Aの中身を設計できるのかね?(w

FPGAに実装されたゆとり脳って最強だな。
41774ワット発電中さん:2010/09/20(月) 13:54:43 ID:RssVEz97
アナログ屋の先輩、お疲れ様です
不要は言い過ぎにしても、必要とされる技量や場面は確実に減ってると思いますよ
42774ワット発電中さん:2010/09/20(月) 13:59:16 ID:SisDT55P
FPGA屋なんて、大半はソフト屋。
回路なんてつなげば動くと思っている。
回路屋から見た機構屋みたいなもの。

入社して数年たつのに、回路図書いた、いや部品の発注すらしたことがないorz
そのくせ年寄りは、FPGA屋は回路屋と言う。
時代が違うんだよ!と言ってやりたい。
43774ワット発電中さん:2010/09/20(月) 14:10:10 ID:0q6riR4b
>>36
リストラされ難いので、新人が入り難い。
新陳代謝が進み難い分野だと思う。
44774ワット発電中さん:2010/09/20(月) 14:38:38 ID:7LSmyUGF
でもそれ以前に、回路設計なんか希望する新人が皆無だしなぁ・・・
45774ワット発電中さん:2010/09/20(月) 15:28:43 ID:SisDT55P
>>44
希望したが・・・さんざんごねてソフトは回避したけど、FPGAだった。
ASIC屋ほどしっかり設計してなく、ソフト志向が強い。
まじ腐ってる。
46774ワット発電中さん:2010/09/20(月) 17:00:00 ID:iHLBw3eo
>>45
FPGAってASICと違い何度でもお手軽に変更できるからな
FPGAの場合、たいして検証しないでよしダウソ、あれーー変だ、
お前らもこんな調子じゃね。

47774ワット発電中さん:2010/09/20(月) 17:02:54 ID:q4ph79DR
べつにそれでいんじゃね?
48774ワット発電中さん:2010/09/20(月) 18:04:54 ID:Z84vZPbN
>必要とされる技量や場面は確実に減ってると思いますよ

まぁ,一回痛い目にあってみれば分かるよ。
知らないというのは幸せなことだったと。
49774ワット発電中さん:2010/09/20(月) 18:17:33 ID:CQgtpT8I
>>48
いままでRFやってたやつがFPGAいじったりしているから別にノウハウは死んでいるわけじゃないさ。
50774ワット発電中さん:2010/09/20(月) 18:42:26 ID:0q6riR4b
>>47
それをやってると、スッパゲッティを量産する恐れがある。

FPGAベンダから無償ツールが提供されているのだから、
きっちりテスト環境を作って、RTLシミュレーションでバグが無く、
STAでもタイミングがMETしてから、実機検証に移るべきだと思う。
51774ワット発電中さん:2010/09/20(月) 19:12:07 ID:iHLBw3eo
>>48
高速デジタルシステムなるとアナログ、RFの知識がないと駄目だろうな

XDR2メモリのパターン設計なんかRF知識ないと駄目なんじゃないか
おまえらって、こんなのも楽勝とやってるのか?
52774ワット発電中さん:2010/09/20(月) 19:48:59 ID:0kCHihJr
低周波の領域でって話じゃなかったか
53774ワット発電中さん:2010/09/21(火) 00:15:17 ID:rHUFK6tH
>>45
ずっと趣味でソフトしてて、この度、FPGA用の回路をVHDLで書くようになったんだけど、すごく楽しい!!
ASICほどしっかりしなくていいところは、自分にとってはちょうど助かってるくらいかも・・・w
54774ワット発電中さん:2010/09/21(火) 06:16:12 ID:Ssg75TGF
>>51
けど高速デジタルの設計くらいだとアナログ、RFの知識のほんの一部で大丈夫でしょ。
55774ワット発電中さん:2010/09/21(火) 09:01:43 ID:4nWS8xFk
>>51
>高速デジタルシステムなるとアナログ、RFの知識がないと駄目だろうな

多分そういう分野ってのは、デジタルオシロの設計ぐらいだな。
デジタル変復調も電波伝搬に関しては知識がないと上位設計はできない
56774ワット発電中さん:2010/09/21(火) 12:53:15 ID:+HbgQCZ8
とは言っても、アナログの出番って昔に比べて激減してるよね。
57774ワット発電中さん:2010/09/21(火) 12:54:31 ID:sUDQRPV0
>>50
おれは途中まで作ったらダウンしてテストするけど。
58774ワット発電中さん:2010/09/21(火) 13:41:32 ID:x0jq2z+Q
>>51
パターン設計とロジック設計を一緒にするなよ

といっても、基板やさんもシミュレータで遅延とか放射の設計してるんだぜ
59774ワット発電中さん:2010/09/21(火) 16:58:39 ID:wmAMWWPR
Actel-UMC first to 65nm embedded flash
ttp://www.electronicsweekly.com/Articles/2010/09/16/49451/actel-umc-first-to-65nm-embedded-flash.htm

65nm品は来年か。。。
60774ワット発電中さん:2010/09/21(火) 19:25:45 ID:TDalXAjr
modelsim 6.6c, modelsim.exe自体がノートンに反応するんですけど・・・
最低すぎるわノートン
61774ワット発電中さん:2010/09/21(火) 19:35:45 ID:SXdK1Yoc
脳頓先生なんて窓から投げ捨てろや。
無料でデキのいいセキュリティソフトはたくさんあるだろ。
62774ワット発電中さん:2010/09/21(火) 20:35:24 ID:dua6OVPl
>>58
ゆとりは、CMOS出力ならいくらでもぶら下げられると思っているかも
知れんけど、ロジック設計だって、負荷容量や配線のインダクタ成分に
よる波形の遅延やオーバーアンダーシュートの問題、ファンイン/ファン
アウトはある。

そういうのを基板屋や回路屋に丸投げして、論理だけで騙っているから、
ソフト屋と言われる。 そのくせ、意味も理解せず、等長配線とかインピー
ダンス整合と言ってる香具師大杉。
63774ワット発電中さん:2010/09/21(火) 20:49:24 ID:uR3mt3sy
>>62
CMOS出力?
64774ワット発電中さん:2010/09/21(火) 22:18:04 ID:23LW380J
>>55
>デジタルオシロの設計ぐらいだな
デジタルオシロの技術を知っていていっているのか? それとも妄想で言っているだけ?
65774ワット発電中さん:2010/09/21(火) 22:48:23 ID:+lpYgPqE
>>62
後半に、激しく同意。
HDL書きはソフト屋です。
66774ワット発電中さん:2010/09/21(火) 23:32:26 ID:rHUFK6tH
バカにしないでください!!
67774ワット発電中さん:2010/09/22(水) 01:57:13 ID:zUQH2nFS
さあみなさん、これがこのスレの名物が始まりましたよー。
RTL屋とアナログ屋の不毛な争いで100レスは消化してやんよ−。
68774ワット発電中さん:2010/09/22(水) 08:04:41 ID:SelzaFGH
>けど高速デジタルの設計くらいだとアナログ、RFの知識のほんの一部で大丈夫でしょ。

それでやっていけているなら大丈夫なんじゃない?
いざ何か起きた時に自分の無知っぷりに愕然とするっていうだけのことで。
ベースが理解できた上でブラックボックスとして利用しているのと,
何も分からず本当のブラックボックスとして使っているのとは違うって
その時になって分かるんだよね。
ソフトにしてもそうでそ?普通に動いている分には気にもしなかったところが
いざトラブルになると中まで踏み込んで調べ上げないと駄目だったり,
フィッティングしやすいソースにしてやるかどうかで結果が違ってきたり。

まぁ,そういうことを身をもって教えてくれるようなベテランが続々と
一線を離れてしまって,素人集団みたいになってしまってる職場じゃ
実感できないのも仕方ないか。
69774ワット発電中さん:2010/09/22(水) 08:49:10 ID:AhLWLeDk
>>68
RFの知識って無線とか含めてでしょ。
うちんとこだとそこまでの知識はなくてもやっていけてますよ。
電波は出ないようにはするけどw
70774ワット発電中さん:2010/09/22(水) 10:10:05 ID:JfyPUV4o
零細企業は全部やらんといけないから大変だな
71774ワット発電中さん:2010/09/22(水) 11:09:26 ID:T/9pKvwv
お互い変なベクトルで叩き合う予感にwktk
主張を聞けばそれなりにわかり合えるんじゃね?

あたしゃ元RTL屋。アナログ屋さんと殴り合って和解した(つもり)直後にリタイアw

ファイッ
72774ワット発電中さん:2010/09/22(水) 12:28:06 ID:6XPc064K
>>67
してやんよー とかニコ厨キモイ
73774ワット発電中さん:2010/09/22(水) 14:19:35 ID:+pdgww8u
>>67
煽るならもっとまともな日本語書けよ。
74774ワット発電中さん:2010/09/22(水) 17:21:10 ID:SelzaFGH
>>69
いいんじゃないか?やっていけているならそれで。
75774ワット発電中さん:2010/09/22(水) 18:58:09 ID:2l2mfDjQ
>>71
殴り合いとかするんですか!?
激しいですね・・・w

でも、仕事してると、いいかげんなこと言う相手にほんと腹立つときありますよね(汗)
76774ワット発電中さん:2010/09/22(水) 19:37:04 ID:Vqu9swwY
>>74
自分自身にしろ会社自体にしろRF,アナログ知識不要/分るやついなくても
やっていけるならそれで良いよな。
自分はFPGA論理設計だけ出来ます。ですから私にそれ以外のことを要求しないでください。
自分が唯一できるFPGA論理設計ですらこれをしている連中から馬鹿にされているのですが
自分は全く気にしてません。自分は立派なFPGA論理設計ドカタです。
77774ワット発電中さん:2010/09/22(水) 20:06:37 ID:d0NBwPjx
論理設計ドカタか

まあマイコンだろうがFPGAだろうがDSPだろうがx86だろうが、
コード書いて実装したり
RF回路組んだり

こういうのって技能職だよな
本当の技術職ってSジョブズとかグーグルのオッサンだよ

結局日本人は削ったり磨いたりするのが得意な人種であって
技術者は居ないんだろ? そこが問題なんじゃねーの?

※技能職を否定するのではなく、
 それはそれで大事だけど、決定的に技術職が不足してるのが現状
78774ワット発電中さん:2010/09/22(水) 20:36:35 ID:WHLnaeJm
あめちゃんは、エンジニアとテクニシャンとに別れてるらしいよ。
エンジニアは、設計専門。テクニシャンは、半田付けとかしてる。
おまえはどっちなんだよ!ってよく聞かれるぞ。
まあ、会社にもよるだろういけど。


もぅ、あなたったら、テクニシャンなんだからぁ(はあと)
79774ワット発電中さん:2010/09/22(水) 20:59:23 ID:xll/iIyG
ものづくりではもう食っていけない国の話されてもな
回路いくら作ってもそれを収納する筐体が要る。
金型作れない国は工業技術じゃ食ってけない。
80774ワット発電中さん:2010/09/22(水) 22:03:39 ID:qRfvQ5yc
ものづくりではもう食っていけない国のFPGA上で踊っているFPGA論理設計ドカタ
81774ワット発電中さん:2010/09/22(水) 23:09:37 ID:xll/iIyG
食っていくってのは設計する少数の人間だけじゃなく、どれだけ多くの人間を雇用できるかだ。
工場そのものはほぼ国外に出してしまって、せいぜい数百人の設計が国内に残ったところで、
ものづくり国家なんか今更回帰できるはずもない。
まぁ、アメリカは民政レベルのものづくりができなくなっても軍事と宇宙に税金投入してる限りにおいて、
最先端がなくなることはないけどな。
ほぼ、民政しかない日本のものづくりがアメリカと同じ立場に置かれたらあっという間にものづくりの芽が消えるかもしれんな。
82>>77:2010/09/22(水) 23:54:22 ID:bUtcNs5V
>>78
それ雨さんと仕事したことある元上司から聞いたことあるな

なんかこんな感じらしいな
フェロー
シニアエンジニア
エンジニア
ジュニアエンジニア
テクニシャン
アシスタント

で、
あるとき米系ファンドから金突っ込んでもらおうと思って
社長(日本人、日本企業)が『うちにはエンジニアが50人います』 って言ったら

あめさん : 凄い、それは凄い そんなにエンジニア率高いんですね 凄い

って言われたって。
元上司が『ああ、言わなきゃ良いのに、
       それただの頭数じゃん、日本で言うエンジニアとは違うのに、そんなにエンジニアいねーよウチwww』

とかいうやり取りがあった。
日本てインフレ率凄いみたいね。
誰でもエンジニア、誰でもSE とか恥ずかしげも無く。
83774ワット発電中さん:2010/09/23(木) 02:20:41 ID:peelmPzZ
>>82
それぞれの役割の定義が分からないと何とも言えないなぁ・・・
日本よりもエンジニアの重みが違うのは分かったよ。
84774ワット発電中さん:2010/09/23(木) 09:29:01 ID:FSMmeX2H
>本当の技術職ってSジョブズとか
ウォズニアックさんのことをお忘れなく・・と一言。

85774ワット発電中さん:2010/09/23(木) 09:58:17 ID:BBbOIvej
クソスレ
86774ワット発電中さん:2010/09/23(木) 10:36:54 ID:gEPyjdI/
というか、ジョブズのどこがエンジニアだよw
87774ワット発電中さん:2010/09/23(木) 10:45:39 ID:X4wZHlM0
論理設計と関係ない虫がワラワラ湧いてるなw

88774ワット発電中さん:2010/09/23(木) 14:20:43 ID:lQddbmiX
ジョブズは只の詐欺師
89774ワット発電中さん:2010/09/23(木) 15:31:43 ID:Z0I34Fcg
売れるものを仕立てられるのがエンジニア
そうじゃないのはオナニーエンジニア ただの技術自慰行為
90774ワット発電中さん:2010/09/23(木) 17:02:12 ID:JWeZaVCe
エンジニア=推進屋
テクニシャン=手法屋
91774ワット発電中さん:2010/09/23(木) 18:32:18 ID:ptHzL4OJ
ところでみなさん
JPEGエンコーダーくらいだったら何日で組めます?
modeとかinterfaceとか自由で
92774ワット発電中さん:2010/09/23(木) 18:50:06 ID:SAhJ2yRc
5分
93774ワット発電中さん:2010/09/23(木) 21:08:29 ID:KBxEbesd
>>92
もしかして、IP周りだけ?
94774ワット発電中さん:2010/09/23(木) 21:15:47 ID:UujS3gqg
FPGAでjpeg組むぐらいだったらビット操作ばっかりで行ける偽jpeg見たいのを組んでみたい。
95774ワット発電中さん:2010/09/23(木) 22:35:55 ID:wia8QejY
モザイク消し作れる?
96774ワット発電中さん:2010/09/23(木) 23:18:14 ID:OsxVxH1h
ソフトで作れるモノはハードでも作れる。
逆はダメ。
97774ワット発電中さん:2010/09/23(木) 23:25:40 ID:peelmPzZ
そのとーり!!
98774ワット発電中さん:2010/09/23(木) 23:27:50 ID:X4wZHlM0
同じ値段で作れれば…いいなあw
99774ワット発電中さん:2010/09/24(金) 03:19:13 ID:F/kTS0hY
ActelとかLatticeってdigikeyじゃ買えんのか。面倒な。
100774ワット発電中さん:2010/09/24(金) 04:17:14 ID:Sxc6AnuY
たしかalteraもだめだった
101774ワット発電中さん:2010/09/24(金) 18:22:21 ID:JR+FLEyz
>>97
作れるからといって売れる(利益になる)とは限らん
これが重要。作りました。でも売れないんですよ><
いまの日本のものづくりってこんな調子じゃね
102774ワット発電中さん:2010/09/24(金) 22:46:51 ID:cl3t8RcD
>>100
何年時間が止まってるんだw
103774ワット発電中さん:2010/09/24(金) 23:25:00 ID:Sxc6AnuY
6年くらいかな
104774ワット発電中さん:2010/09/26(日) 02:46:54 ID:yiZicgPC
MITOUJTAG使ってデバッグしてるひといる?
ttp://www.tokudenkairo.co.jp/jtag/
105774ワット発電中さん:2010/09/26(日) 02:50:39 ID:pIacTVNo
なんだ?その、もじょタグとか言う奴は?
106774ワット発電中さん:2010/09/26(日) 03:57:06 ID:OmpMwOJX
なひてっくの宣伝だろ
107774ワット発電中さん:2010/09/26(日) 09:09:54 ID:kiS4d0kl
水戸納豆
108774ワット発電中さん:2010/09/26(日) 09:50:40 ID:/26YjQRI
>>104
会社で買ったけど使ってないなぁ。
配線のデバッグには使えるかも。
実績あるボードならコンフィグしたほうが早い。
109774ワット発電中さん:2010/09/27(月) 19:48:55 ID:pibxBWAl
アナログが怖くないRTL屋って怖い・・・
110774ワット発電中さん:2010/09/27(月) 20:20:19 ID:ZStwJVc8
もういいって
蒸し返すなよ
111774ワット発電中さん:2010/09/28(火) 00:13:06 ID:Ffq/shRY
>>109
トラ戯に出てくるアナログ技術入門特集なんかはアナログ超入門レベルだから、
ハードのソフト屋でもそれぐらいはわかるだろ。
これですら駄目となるとハード系技術者としての基礎的技術がないレベル
いまや文系学科の出の奴でもハード設計に携わることができるよとり時代なのかな
ソフト分野ではとうの昔にそんな時代になっているがな
112774ワット発電中さん:2010/09/28(火) 00:19:28 ID:uZ6Yxqfd
そういう思考は技術者人口の裾野を狭めることになるとはお考えにはならないですか?
113774ワット発電中さん:2010/09/28(火) 00:43:53 ID:JtkCiPEK
>>111
アナログ・デジタル以前に電気回路そのものの入門レベルの話だと思うが?
114774ワット発電中さん:2010/09/28(火) 01:08:53 ID:HjqJyz7U
>>112
技術者人口の裾野を広げた結果、
FPGAの電源・GNDピンにIO信号接続するようなヤツに
基板のアートワーク設計担当されたんだが。

5,6年前の話だけど。
115774ワット発電中さん:2010/09/28(火) 01:09:36 ID:LYd6noSb
文系だとこれくらいのことも解らないみたいですよ

made in ☆ 秋葉原
http://sc-smn.jst.go.jp/4/series.asp?i_series_name=made+in+%81%99+%8FH%97t%8C%B4
116774ワット発電中さん:2010/09/28(火) 01:29:11 ID:uZ6Yxqfd
>>114
そこは優しく指導してあげてくだしゃいw
117774ワット発電中さん:2010/09/28(火) 10:53:00 ID:lpAYCrVW
>>112
技術レベルを下げて数を増やしてもなんの解決にもならんがな
118774ワット発電中さん:2010/09/28(火) 11:53:20 ID:1IwHd2dJ
>>115
知らなくていいと思うが。。
119774ワット発電中さん:2010/09/28(火) 12:17:02 ID:nU12JJ/M
理想は、高周波アナログ設計を数年担当して、どん底を見てから
FPGA屋に業種転換した人、かな。
地獄を経験している人でないと信用できないや・・・
120774ワット発電中さん:2010/09/28(火) 14:10:37 ID:E3Z2q67H
そういうアナログ屋はRAMの実装にもネットワークアナライザ使って、
インピーダンスマッチングやり出すからコストが高くついてしかたがない
121774ワット発電中さん:2010/09/28(火) 14:15:03 ID:+NKlazW4
必要だろ
122774ワット発電中さん:2010/09/28(火) 14:20:26 ID:E3Z2q67H
驚いた。RAM実装にNWAが必要って?どういう経緯でDDRxの仕様が決定されたか知ってんのか
123774ワット発電中さん:2010/09/28(火) 16:18:40 ID:JJaFbuh9
RAMBUSは必要なんだっけ?
124774ワット発電中さん:2010/09/28(火) 18:26:12 ID:52MFU1Ru
いまどきはシミュレーションして設計するんだよ。
アナライザなんか使わないだろ。
125774ワット発電中さん:2010/09/28(火) 18:33:59 ID:nU12JJ/M
てか、アナログの怖さを知らないと、インピーダンス検討が必要かも?
みたいな検討なんか、そもそもしないぢゃん。
そんなの不要な領域でしか設計してないならいいけど。
でもその要・不要判定だってちゃんと出来ないだろ、アナログ知らないと。
#NWAはやりすぎだとは思う。
126774ワット発電中さん:2010/09/28(火) 20:03:26 ID:6u/YaOjo
>>117
裾野をひろげれば、おのずと技術レベルの高い個体が増える。
127774ワット発電中さん:2010/09/28(火) 20:18:07 ID:xGhm7c8Q
CLOCKラインに謎のガードリングとか見るけど
意味あるのかいなと思うことがある。
128774ワット発電中さん:2010/09/28(火) 20:19:07 ID:xGhm7c8Q
こういう事書くと盛り上がりそうな雰囲気^^
129774ワット発電中さん:2010/09/28(火) 20:24:34 ID:uZ6Yxqfd
アナログ屋さんとデジタル屋さんって仲悪いんですか??
130774ワット発電中さん:2010/09/28(火) 23:10:26 ID:++N145c4
ハード屋さんとソフト屋さんほどでもないけどね。
131774ワット発電中さん:2010/09/28(火) 23:36:06 ID:JtkCiPEK
でも、喧嘩すると仕事にならなくなっちゃう。
昔やってたASICの不具合が評価ボードの電源周りに原因があることを発見したものの、
ロジック周りの欠陥と信じて疑わないソフト屋とデジタル屋を説得するのに苦労しました。
132774ワット発電中さん:2010/09/29(水) 12:30:32 ID:CxE3y2bn
DDR設計知らない奴はココ http://zarigani.web.infoseek.co.jp/jkp/jkp22.htm
133774ワット発電中さん:2010/09/29(水) 12:44:05 ID:YgV5k56X
>>127
意味がないと思う理由は何?
134774ワット発電中さん:2010/09/29(水) 17:16:42 ID:ahjJeAs7
>いまどきはシミュレーションして設計するんだよ。
>アナライザなんか使わないだろ。
特に初物の場合は実物がシミュレーションの前提どおりに
なっているのかという検証をしておかないと思わぬところで
足をすくわれたりするけどね。
135774ワット発電中さん:2010/09/29(水) 19:47:32 ID:wWBsdC5Q
お前らって自分でPCB実装時のシミュレーション・実機検証ってやらないだろ
技術者人口の裾野を広げるために、FPGAの電源・GNDピンにIO信号接続するような
ヤツにやらせてるんだろが
136774ワット発電中さん:2010/09/29(水) 20:02:57 ID:7ydOzXTQ
ユニバーサル基板に DIP の IC、スズメッキ線だよ。
別にいいだろ。
137774ワット発電中さん:2010/09/29(水) 20:27:38 ID:GdTypOhX
あほか。

FPGAの電源・GNDピンにIO信号接続するような
ヤツがパターン引いてるんだ。
チェック厳しくしないと心配でしょうがない。
FPGAの中身と違って失敗したら数十万円飛ぶからな。

パターン図はマーカーで消しこみチェック、
実装基板があがってきたら実記検証するのは必須事項だ。
138774ワット発電中さん:2010/09/29(水) 20:30:26 ID:CxE3y2bn
>>135
だって、伝送シミュはパターンエディタに付随した機能だから。
FPGA設計してる奴でAltiumとかCadence SPBとか触ったことも無い奴多いのでは?
FPGA設計ツールとおなじく、こういうツールも複雑で、日々使ってないとなかなか使いこなせない印象だわ。
しかし、CADツールってのは一通り使ってみるとその業務がかなり見通せるよな。
オールインワンのEDAなんか特に
139774ワット発電中さん:2010/09/29(水) 20:32:47 ID:CxE3y2bn
>>137
>FPGAの電源・GNDピンにIO信号接続するような
>ヤツがパターン引いてるんだ。

ハァ?RFじゃあるまいし、それは今も昔も、基設の仕事
140774ワット発電中さん:2010/09/29(水) 20:33:58 ID:GTigqITu
>>137
回路図はアートワークの人が書いてるの?
141774ワット発電中さん:2010/09/29(水) 20:36:31 ID:CxE3y2bn
あっと

>>135
>PCB実装時のシミュレーション・実機検証ってやらないだろ

実機検証は、そりゃFPGA設計した奴の仕事だわさ。
伝送Simは基設の仕事
142774ワット発電中さん:2010/09/29(水) 20:40:27 ID:ByoKecRm
>>135
>FPGAの電源・GNDピンにIO信号接続するようなヤツにやらせてるんだろが
合成ツールの自動ピン配機能を使うとイイのかな??
143774ワット発電中さん:2010/09/29(水) 20:42:07 ID:CxE3y2bn
>>140

いやいやアートワーク屋は回路設計なんかに手を出さないよ。
RF屋はアートワークまで、ロジック屋は回路レベルまで設計するのが普通
modelsim動かして終わりってソフト屋あがりの奴はロジック屋の下請けだな。
144774ワット発電中さん:2010/09/29(水) 20:44:30 ID:GTigqITu
>>143
なら回路図のネットリストでアートワークにチェック入るんじゃない?
配線のミスなんて起きるのかな。
145774ワット発電中さん:2010/09/29(水) 20:55:54 ID:CxE3y2bn
>>144

>なら回路図のネットリストでアートワークにチェック入るんじゃない?
だからなに?

回路設計すればネットリストがオートで出力されんの。
ネットリストと整合性、設定したデザインルールとの整合性は基板設計ツールがオートでチェックしてくれるの
てか学生か?
146774ワット発電中さん:2010/09/29(水) 20:58:21 ID:GTigqITu
>>145
いやあほなアートワークの人じゃない人が回路図入れてれば
あほなアートワークの人でもGND,電源に信号は配線しないんじゃない。
147774ワット発電中さん:2010/09/29(水) 21:06:27 ID:CxE3y2bn
>>ID:GTigqITu

>アートワークにチェック入るんじゃない?

>回路図入れてれば


すまんが、こういう文章表現、実際何を意味してるのかわからんのよ。


>あほなアートワークの人でもGND,電源に信号は配線しないんじゃない。

そんなもんネットリストでわかるだろが。
148774ワット発電中さん:2010/09/29(水) 21:20:56 ID:4lXwwKFY
アナログ屋はスレタイ読め。
ここでボード設計の話すんなよ。
149774ワット発電中さん:2010/09/29(水) 21:24:22 ID:3SNUis0F
>FPGAの電源・GNDピンにIO信号接続するようなヤツにやらせてるんだろが
パターンなんて回路図(ネットリスト)通りにしかひかないぞ。
お前の回路図の方が間違ってるんだろが。
150774ワット発電中さん:2010/09/29(水) 21:34:12 ID:GTigqITu
>>137
>FPGAの電源・GNDピンにIO信号接続するような
>ヤツがパターン引いてるんだ。
↑起こりえるのかなって不思議に思って

普通>>147の言うとおりネットリストでわかるでそ。

だから>>140の質問なんだけど

151774ワット発電中さん:2010/09/29(水) 21:42:04 ID:GdTypOhX
回路図はこっちの設計。ネットリストも出してる。

ただ、そのままだと配線がクロスするので
ピンの入れ替えをお願いしたらこの有様。
データシート渡しただろうが。

いくらFPGAのピンアサイン自由がきくからって、
そこまで自由じゃねぇよ。
152774ワット発電中さん:2010/09/29(水) 21:59:33 ID:ByoKecRm
>>151
まだ新人さんなのでは??
やさしく指導してあげてくださいな。
153774ワット発電中さん:2010/09/29(水) 22:03:20 ID:lL6ST+0f
電源ピンもプログラマブルに入れ替えられたら凄いよね。
154774ワット発電中さん:2010/09/29(水) 22:30:31 ID:PxNA+X9X
クランプダイオード経由で動けば問題ない。
155774ワット発電中さん:2010/09/29(水) 23:18:32 ID:/YAMiOMD
スレタイ嫁
156774ワット発電中さん:2010/09/29(水) 23:24:36 ID:1wNzK/a+
Xilinx/Alteraのツールにおいて、未使用ピンの扱いについて質問です。
なぜ初期設定で未使用ピンは、GND接続になっているのでしょうか?

また「未使用ピン」と言うのは、ドライブしていない全てのピンを指すのでしょうか?
ピン割り当てをしていないピンだけでなく、
ピン割り当てをしているがVerilog/VHDL上で未ドライブのピン も対象になりますか?
157774ワット発電中さん:2010/09/29(水) 23:59:08 ID:MCdZz28G
> なぜ初期設定で未使用ピンは、GND接続になっているのでしょうか?

ALTERAの場合、初期設定は、入力Hi-Z設定になってない?

> 「未使用ピン」と言うのは、ドライブしていない全てのピンを指すのでしょうか?
> ピン割り当てをしているがVerilog/VHDL上で未ドライブのピン も対象になりますか?

その通り。

ザイは知らないけど。
ALTERAならコンパイルレポートのFitter結果を御覧なさい。
158774ワット発電中さん:2010/09/30(木) 00:03:19 ID:XiIRQ6v/
>>153
面白いけど、どうやってコンフィグレーションしたらいいのかな?
159774ワット発電中さん:2010/09/30(木) 00:10:12 ID:P8II1I97
Alteraはデフォルト未使用ピンGND出力だよ。
その方がノイズ的に有利だから。
ttp://www.hdl.co.jp/tp8/
ttp://www.hdl.jp/smf2/index.php?topic=53.0
ttp://d.hatena.ne.jp/natsutan/20080603/1212465113

XilinxはGND出力ではなくプルダウンだったはず。
160774ワット発電中さん:2010/09/30(木) 00:57:17 ID:XiIRQ6v/
>>159
今QuartusII9.0sp1 WEでちょっと確認してみたら入力プルアップだった。
ツールバージョンによって違うのかもね。

ノイズ的有利って話は、やっぱり本当なのかな。
アートワーク上、FPGA IOピンをGNDと太短で接続出来ている基板で、且つ、
数十本の未使用ピンがIC的に均一にGNDに接続されるってなら分かるけど。

実際そんな理想的な基板設計は出来るわけなく、
逆に未使用ピン箇所が集中してたりして、偏って基板に対してGND接地される
ケースとか考えたら、絶対にノイズ的有利とは言い切れないんじゃないのかな。

気休め程度の話かなって内心考えてた。


・・・とはいっても、ALTERAもGNDにすべきって言ってたんで、
わらにもすがりたい気分で未使用ピンはGNDにしてるんだがw

ん〜〜〜・・・。
161774ワット発電中さん:2010/09/30(木) 01:56:48 ID:P8II1I97
ありゃ。今見たらAlteraはWeak Pullupがデフォルトになっとる。
ちなみにQuartus8.1WE。

正直個人的には論理固定さえ出来ていれば、
未使用ピンはGNDである必要ないと思ってるけどね。

GND出力に設定しても基板上のGNDと接続されてないと
ノイズレベル向上しなさそうだし。
162774ワット発電中さん:2010/09/30(木) 04:02:37 ID:jWd8ZWLi
>>151
相手が理解できるように説明しなかったんだね。
相手が理解出来たかどうかチェックしなかったのね。
相手の作業状況をちょくちょくチェックを入れて、被害を最低限に抑えようとしなかったのね。

  要は、151に非があるということです。

  世の中は、そういうものです。
163774ワット発電中さん:2010/09/30(木) 04:45:48 ID:XiIRQ6v/
具体的指示を出さずに、ピンの入れ替えだけの指示なら、
俺もやっぱり変更箇所の確認は必須だと思うなぁ。

最終検図でOKだしちゃってたら、もう言い逃れできないよね。

ウチなら仕事の手抜きのミスって判断されて業務評価が大ピンチw
164774ワット発電中さん:2010/09/30(木) 13:05:26 ID:BY2QUW9C
>>159
教えてください。
>Alteraはデフォルト未使用ピンGND出力だよ。
>その方がノイズ的に有利だから。
なんでノイズ的に有利なの? どんな理由か知りたいです。
ぜひ教えてください。
165774ワット発電中さん:2010/09/30(木) 18:02:57 ID:QjSNh+cy
>>164
内部の構造を考えてみればわかる。
166774ワット発電中さん:2010/09/30(木) 20:00:16 ID:8kva+D6v
RTLで信号処理回路書けたら(もちろん、面積、動作速度条件を満たして)食い逸れることはないでしょうか??
167774ワット発電中さん:2010/09/30(木) 20:17:23 ID:WRgrBYA0
>>166
受託企業へ派遣社員として派遣、
という形態で問題ないとして、
30代までなら食べていける。
168774ワット発電中さん:2010/09/30(木) 20:43:03 ID:KS1ZDrTh
こないだまでそんな感じの42歳の人が来てたよ。
あまり出来はよくなかったけど。
ってか、あまり出来る人はいないね。派遣でも受託でも。
大手が抱えてるのかな?
169166:2010/09/30(木) 21:16:00 ID:8kva+D6v
>>167
>>168
ご意見、ありがとうございます。
当方、メーカー社員、20代後半です。
最近、VHDLで信号処理回路を書いたんですが、すごくおもしろかったです。
レジスタ、組み合わせ回路、クリティカルパス等がどうなるかを考えながら最適と思われる書き方を求める過程が刺激的でした。
モジュール間のハンドシェイクやパイプライン処理を設計するのもやりがいがありました。

こんなおもしろいことをずっとやっていけたらうれしいと思ったのですが、
これで食い続けるためにはどれほどのレベルに達していることが望ましいか気になりまして・・・

>30代までなら食べていける。
それ以上だと、どういったことが求められますか??
ガリガリ最適化して超高速動作、小面積な回路が作れることでしょうか??

>こないだまでそんな感じの42歳の人が来てたよ。
派遣でそういうお仕事があるんですね。
覚えておきます。
170774ワット発電中さん:2010/09/30(木) 22:50:22 ID:DGPF1zMJ
>>169
>これで食い続けるため
HDLを使った設計でといこと?
先ずHDL書けても、対象アプリケーションの十分な知識なければ駄目だろ
ただ、あるアプリケーション分野の信号処理エキスパートとなっても、
そのアプリケーション分野でずっと金を稼げるとは限らないが。
後20年ぐらいしたら(つまりおまえ中年真っ盛り)、HDLじゃなくコンピュータ
言語と変らん言語で設計が普通になっているかもしれないし
20年、30年でどのぐらい開発スタイル・環境の変化あるかは、お前のとこの
40、50代のおっさんに聞け。
171774ワット発電中さん:2010/10/01(金) 00:25:37 ID:x423zpNA
ってか、これまでの20年の変化より、これから先の20年の方が、過去の成果が
集約されて、進歩や変化の速度は早く大きいだろうねぇ。

HDL記述のIPなんてソフトで言うサブルーチンの塊みたいなもんだし、経営
サイドからみたら、成果物のソースコードさえ取り上げたらエンジニア
なんてポイでしょ。(w フリーソフトやオープンソースなんて、経済テロ
活動やってる連中もいるし。

メンテや改修は、それこそ派遣で十分と。

そして、気付かぬうちに技術が失われていくと。

技術や資格があればなんてのは幻想。かといって、HDLが書けたとしても、
年取ってマンションの住込管理人にはなれない。(天下り団体がマンション
管理人にすら資格というプラットフォームビジネスを構築済み)
172774ワット発電中さん:2010/10/01(金) 00:58:50 ID:VxicWDje
俺40代だけど何か?
173166:2010/10/01(金) 01:11:40 ID:0SOEyyZm
>>170
おっしゃるとおり、回路書くだけではなくて、対象となる課題の解決方法も考えられないといけませんね・・・
今回作った回路は、アルゴリズム考案からC言語による検証、HDL化と一通りやりましたが、
アルゴリズム考案は頻繁に取り組めるとは限らないですし、既存のものであっても、その時その時のテクノロジ
(高度になったFPGA、あるいはもっと新しいデバイスなど)に最適に実装できる腕前、センスのほうが
より需要があるのかな?とも思いました。

>HDLじゃなくコンピュータ言語と変らん言語で設計が普通になっているかもしれないし
>20年、30年でどのぐらい開発スタイル・環境の変化あるかは、お前のとこの40、50代のおっさんに聞け。
うちのベテランさん(手書きでゲート図書いてた頃からおられる方)も、C言語で直接設計する所謂
動作合成ツールが主流になっていくだろうとおっしゃっていました。
(ちなみに、VHDLを書くよりも以前に動作合成ツールを試用したことがありました)
ご助言通り、常に新しいものに適応していき、生産性向上等に努めていきたいです。

>成果物のソースコードさえ取り上げたらエンジニアなんてポイでしょ。
今の仕事していても、回路を完成させ、ちゃんとした文書も作っておけば、もう自分は要らないよな、って思ってしまいますw
ずっと雇ってもらうためには、やはりずっと必要とされるモノを身に付けていないといけないなと実感しています・・・
174774ワット発電中さん:2010/10/01(金) 01:19:21 ID:cLnikfDl
>169みたいなソフト屋さんの話を、よく見かけるね
結局、論理設計もソフトウェア産業と同じようなことになるんだと思うよ。PGでなくSEにってやつ
でもまあ、なんでも会社次第だよね。設計請負専門とかなら、それでも食っていけると思うよ

あとASICは大規模に、FPGAはソフトウェアに近くなっていく
そうすると、だんだん面白い仕事でなくなっていく気がする・・・・
うちのFPGAなんて要求の数倍大きいのにして、開発期間最優先だよ
当然、シミュレーションなんて、そこそこで終わらせて、すぐに実機デバッグですよw


関係ないけど、個人的にはオープンソーステロに賛成だよ
開発工数や保守サポートに金を払うべきであって、コピーすれば増える物に金を払うのはナンセンス
ソフトウェアってのは、ハードのおまけ、サービス業なんだよ! って思っていることを口に出したらソフト屋に切れられた
175774ワット発電中さん:2010/10/01(金) 01:57:12 ID:9xNJcnQg
Modelsim や Synopsys もおまけにしてほしいです。
176774ワット発電中さん:2010/10/01(金) 03:15:39 ID:tInKGLs9
>>174
死ね
177774ワット発電中さん:2010/10/01(金) 06:12:59 ID:ceXSCiQU
HDLが書けるだけじゃ、とても食べていけないでしょ。

今後はソフトウェア・FPGA共通に言えるけど、
中国やインドでのオフショア開発が進んでいった結果、
コストを考えると同じ事をやってたら太刀打ちなんて出来ない。

ソフトからハードまでシステムアーキテクトを語れる知識と
技術と説得力が必要とされていき、そういう意味でもまた、
FPGAとソフトウェアの垣根は無くなっていく…と予想してる。
178774ワット発電中さん:2010/10/01(金) 06:26:52 ID:ceXSCiQU
>>164
別にFPGAに限った話じゃないが、GND設定にすれば、
IC(FPGA)とGNDの間のインピーダンスが低くなる(気がする)でしょ。

それでなんとなく、未使用ピンもGND設定の方がいいんじゃないかって話。

ん〜やっぱり都市伝説だと思うけどね。
179774ワット発電中さん:2010/10/01(金) 07:43:17 ID:kPuL3rY1
>中国やインドでのオフショア開発が進んでいった結果、

チャンコロは人件費あがって、コストメリットまったくなくなるし、
今回の一件でさすがに日本企業もチャンコロに見切りつけるから気にスンナ
んで、ベトナムとかミャンマーとか言い出したらエンジニアはもうあきらめろ
180774ワット発電中さん:2010/10/01(金) 07:45:20 ID:5lzCKgFY
>177
実際問題、技術力は中国人でもインド人でも遜色ない。
日本人の良さは細かい仕様変更の要求を聞いてくれるところ。
181774ワット発電中さん:2010/10/01(金) 07:53:33 ID:kPuL3rY1
>中国やインドでのオフショア開発が進んでいった結果、

インドはコストもそうだけど、2桁のかけ算ができるんで、高い計算能力を当てにしてソフト会社作ったり云々があるけど
ソロバンと比べれば単なるゴミでしかないことぐらいいい加減日本人は気づけよ。
インド人学校に自分の子供通わせてるバカ親、というより通わされてる子供めっちゃかわいそう。
英語にしても、属する社会階層によって全然違うしゃべり方するし、
所詮植民地だったインド人の英語教えてもらってどーするつもりだ。
っていうかそんなことも知らんバカ親が通わせてるんだろーな。
182774ワット発電中さん:2010/10/01(金) 07:59:58 ID:kPuL3rY1
>>180

ソフトにしてもHDLにしてもそうだけど、数値化されてEDAが発売されてる分野はどこの国がやっても同じで、
数値化されてない職人技(当然大卒以上があんまり携わる分野じゃない)をどれだけ持ってるかがその国の
ものづくりレベルを決定するんだろ。
183774ワット発電中さん:2010/10/01(金) 08:15:37 ID:xzrCAbLA
>あとASICは大規模に、FPGAはソフトウェアに近くなっていく
>そうすると、だんだん面白い仕事でなくなっていく気がする・・・・

まぁ,C言語が広まりだした時もそんなことは言われていたけどね
184774ワット発電中さん:2010/10/01(金) 08:26:28 ID:ClhDEqo/
C言語でハード設計できるようになるって言われ続けて
10年以上経つけど、未だにできないね。
SystemC なんて、制約多すぎて使えないし。
まあ、音声処理ならソフトだけで出来るようになってきたから、
CPU の性能が上がれば何でもソフトでできるのかも知れんが。
185774ワット発電中さん:2010/10/01(金) 08:30:03 ID:5lzCKgFY
>182
そうじゃなくて、今まで一部の職人しか出来なかったものを誰(もしくは無人)でも出来るようにする装置や道具を創ることや、
誰も出来なかったこと可能にする職人技の発見がその国のものづくりレベルを決定するんじゃないかな?
186774ワット発電中さん:2010/10/01(金) 08:38:07 ID:x423zpNA
>>174
> 関係ないけど、個人的にはオープンソーステロに賛成だよ
> 開発工数や保守サポートに金を払うべきであって、コピーすれば増える物に金を払うのはナンセンス

開発費やサポート費用ってのは、製品価格に広く薄く上乗せされて償却される
構造になってるのに、最終製品の価格が下落して、車みたいに中古が市場に
あふれて売れなくなったら、自分の立ち位置がどういう結果になるか想像でき
ないなんて、奴隷ってホント馬鹿だな。

>>177
> ソフトからハードまでシステムアーキテクトを語れる知識と技術と
> 説得力が必要とされていき

それ、真っ先に必要なくなるだろ。 昔は各社それぞれ独自だったパソコンの
アーキテクチャだが、今やパソコンの新しいアーキテクチャの需要あるか?

完成されたコア資産が蓄積され、より集積度が向上していけば、FPGA屋なんて
必要とされなくなるんだよ。

いかにも、使えなさそうな騙り口の >>177 だけど、もしかしてキャアリア
コンサルか何か?
187774ワット発電中さん:2010/10/01(金) 08:45:36 ID:SpcLW4wd
>>186
なんでそこでパソコンのアーキテクチャの話になるんだろ。
188774ワット発電中さん:2010/10/01(金) 10:32:23 ID:wH6ygwoc
ここはエンジニアの未来を予想するスレ?
189774ワット発電中さん:2010/10/01(金) 10:48:36 ID:hME4h61B
FPGA屋は、この先もこれで食っていけるのか?不安でしょうがないんですよ。
190774ワット発電中さん:2010/10/01(金) 12:29:34 ID:f/o1dBiC
ASIC だってあんまり仕事ないぜよ
191774ワット発電中さん:2010/10/01(金) 14:48:29 ID:x423zpNA
独立自営でメーカー相手にIPコアを売り歩けるようなレベルのエンジニアなら
ともかく、プロジェクトを動かす1個の歯車に過ぎない程度なら、ASIC屋にしろ、
FPGA屋にしろ、設計した成果物を会社に渡した時点で用済みだわなぁ。

だって量産工程に開発エンジニアは不要だし、修理するより、新品交換した
方が安上がりなくらい値くずれしたハードの売り上げじゃ正規のサポート
要員も雇えないよ。

エンジニアって言っても、単に知的労働を担当する奴隷なだけで、企業が所有
する機材や設備がなきゃ何もできないってんじゃ話にならん。只のIT小作農。

そのうち、フリーのIPコアをGUIツール上で並べるだけで、FPGA設計が終わる
ようになっちゃって、契約書に『成果物にはRTL記述した退職願を含む』という
一文が追加される日がくるな。
192774ワット発電中さん:2010/10/01(金) 16:48:18 ID:pY5J8VsV
>只のIT小作農

いえてらあw   orz
193774ワット発電中さん:2010/10/01(金) 18:42:47 ID:nH0XiIT6
買ってきたプラモデルを適当に組み合わせて満足する奴はそれでいいんじゃね。
194774ワット発電中さん:2010/10/01(金) 19:33:45 ID:x423zpNA
ファインモールド製のプラモだってあるよ?

技士様だろうが神様だろうが、園児にあの自己満足に過ぎないロクに検証も
されていないIPコアなんて、まともな神経の持ち主であれば、企業名の入る
ような市販製品への採用の提案なんて怖くてできないよ。

独自IPって言っても、未踏つながりとかで補助金引っ張るネタとか、雑誌に
記事を書くネタくらいにしか使えないんでしょ?
195774ワット発電中さん:2010/10/01(金) 19:36:40 ID:mzR9Hs7X
>>181
たしかに、日本語の数辞体系は世界でもまれに見る整合性が整っている。九九は必要にして十分であるし、そろばんもすばらしい。数学は日本のお家芸。
伝え聞くところではインドの先進性などないと思う。

となると、たんなる経済性の問題か。
196774ワット発電中さん:2010/10/01(金) 21:19:40 ID:XMb+Rjqo
癒着と天下りの宝庫  IT下請け業界
197774ワット発電中さん:2010/10/01(金) 21:20:47 ID:8dOwmTUM
インドはカースト制のおかげで、既存の職業はやれる人が決まっている。
(法的にはカースト制は廃止され職業選択も自由だが、実際には根強く残っている。)
逆に新規の職業は全ての人に解放されているので、特に金になるIT業界がもてはやされている。
このため、能ある人はIT業界を目指し、猛勉強をする。
アメリカンドリームみたいなもんだな。
また、植民地時代が長かった事もあって英語が割と通じやすいので、
英語圏を相手にした商売をやりやすいという下地がある。
このためアメリカの企業が開発室やサポート室などをインドに置いたりすることは珍しくない。
ただ、あくまでもインド人の扱いはIT奴隷なんだよなぁ、金回りがいいだけで。

あとは意欲の問題か。
日本だといろんなしがらみに押さえつけられて意欲が湧かないって人が多そうだが、
向こうは成功すれば巨万の富を得られるとあってかける情熱が半端ない。
198774ワット発電中さん:2010/10/01(金) 23:37:14 ID:tInKGLs9
>>197
日本に来てIT業界で働いているインド人は?
199774ワット発電中さん:2010/10/02(土) 00:25:47 ID:xzEB9zwA
>>184
C言語でハード設計、ってちょっと触ったし、実際に仕事で使っている部署も
あるみたいだけど、まあ、今のままなら主流にはならないかな。
方向性(とそのブレークスルー)として大きく2つあって、どちらか、または
両方達成されないと普及しないとおもう。
1)程よい加減で"仕様"を記述できるスキームの確立
2)ソフトウェア検証との簡単な両立性

1)は右往左往している感じで決定打がないみたい。
2)の方が進歩著しい計算機リソースを使った力業的な解がありそう。

もともとは1)を目指していたハズなのに、副産物的な2)の方でしか芽がでない
かもね。。。
200774ワット発電中さん:2010/10/02(土) 02:22:02 ID:zpCitcRI
C2RTLか、

Mentor=カタパルト
Forte=シンセサイザー
NEC=サイバーワークベンチ

これ以外ってあんの?
そういやシャープのバッハだかどこいった?
今の主流ってどこかな?
201774ワット発電中さん:2010/10/02(土) 02:27:36 ID:u5xhAqSM
System Cで書けばいいよ
202774ワット発電中さん:2010/10/02(土) 03:05:55 ID:UWkJECS5
CからFPGAなら

Celoxica の DK とか
NiosII の C-to-Hardware

なんてのもあったような。
どっちも使ったこと無いけど、実際使い物になるのかな?
203774ワット発電中さん:2010/10/02(土) 03:45:58 ID:JXyvuerO
関数型言語やデータフロー言語のほうがハードと相性いい気がする。
204774ワット発電中さん:2010/10/02(土) 06:01:03 ID:9R3Mgn9u
そうだろうけどデータフロー言語なんかよりverilogの方がずっと使いやすい。
205774ワット発電中さん:2010/10/02(土) 09:06:40 ID:mTnP7EJH
System C だって、ターゲットのコンパイラによって書き方が
全然違うし、そもそも普通に C で書いたのがハードにできる
ってのが売りだったはず。新たに文法を覚えるのなら別に
VHDL や Verilog でもいいだろ。

ソフト屋さんを連れてくればハードも作れるっていうのを
目的としてるわけでもなさそうだし。(偉い人は、そう思って
いるみたいだけど)

何かねぇ、新しいツールを売るためにやってるとしか思えない。
LSI 化の日程が半分ですむとか言うわりに、詳しく聞くとウソ
ばっかりだしな。
206774ワット発電中さん:2010/10/02(土) 09:18:03 ID:kqZyA6iQ
>>202
昔DKは使ったことがある。
C++じゃなくて、Cベースで、ほんの少しだけハードよりの拡張を入れたって
いう程度の感じだから、Cを知っていればほとんど何の抵抗もなくすんなり
入れる。(C=>Verilogよりはるかに敷居は低い)
アルゴリズム的なものを書くならものすごく強力で、確かにソフトウェア
感覚で書いたものがそのままFPGAになってしまうというのには感動した。

確か、DKの入門講習でもVGA表示のゲームとか作るんじゃなかったかな?

Verilogを置換するようなものじゃなくて、Cでアルゴリズム的に追い込んだ
ものを最後にハードウェア化して実用的な速度で動く製品にするっていう
使い方を考えたものじゃないかと思った。
207774ワット発電中さん:2010/10/02(土) 10:30:31 ID:kqZyA6iQ
DKで書いた例があった
http://www.pastelmagic.com/tips/breakcyc/breakcyc.html
だいたいこんなもん。
208774ワット発電中さん:2010/10/02(土) 11:40:05 ID:eS5rFYLh
カタパルトCって、元を辿るとDKがベースって理解であってる?

NiosII の C-to-HardwareはRAM間の「データ復号&データ転送」に
使ってるけど、ソフト(CPU)より断然処理が早い。

ただC2Hモジュールでタイミング違反が出たとき、内部は完全にブラックボックスなので、
パスに制約を追加出来ず、設計変更&全体のパフォーマンス最適化が必要とされるのがツライ。
209774ワット発電中さん:2010/10/02(土) 17:07:55 ID:kqZyA6iQ
製品名は忘れたけど、DKやってたのがスピンアウトして別会社作って
云々・・って話は聞いたことある。
210774ワット発電中さん:2010/10/02(土) 22:42:03 ID:mVDyUpZL
上流工程と下流工程の言語が違うことは、開発期間の短縮を阻害する。
そこで、上から下まで統一言語ですることで開発期間を短縮ってことだろ。
アルゴリズムや機能設計の上流工程はPC系言語でやり、下流をHDLとすると
上流、下流間での言語の親和性ないからアルゴリズムをHDLで一から再実装なるからな。
お前らだって、C/C++、C#、MATLABなんてのを使って上流工程やって、FPGAに落とす時に
それをわざわざHDLにしてなんてことやっているだろ。
HDLでアルゴリズム開発からしているのか? それとも下流だけのHDLコーダー?
211774ワット発電中さん:2010/10/03(日) 00:01:37 ID:Xwr98J6h
>>210
以前、無線LANのPHYレイヤを手がけたときは、
信号処理アルゴリズムの扱いは得意じゃなさそうな、
RF用のモデリングツールを使って、
デスマーチ状態になりながらアルゴリズムの実装と検証をやって、
あとは手作業でコーディング指示書を作った。
212774ワット発電中さん:2010/10/03(日) 10:43:02 ID:Po9MPMgE
>>210
アルゴリズムはCで、実装はVerilogとかになっちゃうよなぁ。
HDLだと全体の見通しが利かないし、Cだとデータの受け渡しとか
ビット精度とか細かく書けないし。
かといって、SystemC なんて、中途半端でどっちにも使えない。
アルゴとハードは別でいいよ。
ただ、ハードを意識しないで書いたアルゴリズムを実装するのは
面倒くさい。
213774ワット発電中さん:2010/10/05(火) 08:56:56 ID:EniU0NTm
http://ameblo.jp/margarita01/image-10188393791-10127361048.html


こういうのはどうやったら作ることができるのかな?
214774ワット発電中さん:2010/10/05(火) 19:27:40 ID:AaOVFXDH
あーあ、アク禁確定w
215774ワット発電中さん:2010/10/05(火) 23:02:40 ID:Tf4s8PZs
213って何?
216774ワット発電中さん:2010/10/06(水) 09:47:08 ID:p7Gx2Gko
猿だろ

ActelがMicrosemiに買収されるらしい
http://techon.nikkeibp.co.jp/article/NEWS/20101005/186148/
217774ワット発電中さん:2010/10/06(水) 18:51:54 ID:VU3+vEDg
テックオンは、登録しないと読めないんじゃなかったっけ?

とりあえずActelは入手性が悪すぎるから、どこぞにでも買収されて
でかくなった方がいい。
218774ワット発電中さん:2010/10/06(水) 22:06:24 ID:O+mR57xS
質問です。ISE12.1でプロジェクトの設定などは、.xiseファイルだけに保存されているのでしょうか?
最悪捨てても良いプロジェクトのバックアップをとるときに、丸ごと圧縮では無駄な気がしたため、
RTL/.ucf/.xiseだけバックアップすればよいかと考えました。
他に必要なファイルがあればお教え頂けると幸いです。
(素直にプロジェクトをコピー/クリーンアップしてからバックアップした方がいいのでしょうかね。)
219774ワット発電中さん:2010/10/06(水) 22:50:22 ID:+ACEEo8/
>>218
>(素直にプロジェクトを・・・・
正解だと思います。
take snapshotもいいかもしれない。
220774ワット発電中さん:2010/10/06(水) 23:53:27 ID:XmWJFJZJ
>>217
入手性が悪くなるどころか、なくなる可能性が大きい。
MicrosemiのCEOがAlteraとXilinxの二強が居る市場はウチではやらんて言ってる。
高信頼性市場向けだけ残すらしい。
Analysis: Microsemi would kill Actel products
http://www.eetimes.com/electronics-news/4209297/Microsemi-CEO-would-kill-some-Actel-products
>"We will not continue to dilute profitability by chasing the two big competitors in the marketplace today,"
>Peterson said. "That's not Microsemi's game."
221774ワット発電中さん:2010/10/07(木) 09:01:08 ID:pRTNPyGS
へー
じゃなぜActelを買収したのかな?
超低消費電力FPGA市場って将来性でかいと思うけどなぁ
222774ワット発電中さん:2010/10/07(木) 09:52:02 ID:01dXRJOm
航空宇宙用・軍需用・高信頼性産業用がターゲットで、
それ以外は興味ないって元ネタに書いてあるよ。

そういうのって、ワンタイムのやつかな。
昔アクテルのやつを使ってたけど、まだあるんだろうね。
223774ワット発電中さん:2010/10/07(木) 13:26:22 ID:4IXWIOeg
ISE 12.3がもうでてるのな。
いい加減落ち着いてくれ
224774ワット発電中さん:2010/10/07(木) 13:29:04 ID:YJpeZ9Qs
>>221
超低消費電力ってさ、RTLの開発費とかIPコアのライセンス買ったりしても、
既に市販されている100MHz動作で周辺てんこ盛りのARM Cortex M3等より、
高集積で低消費電力かつ安価になるのかぃ?

ちなみに、Host/OTG対応のUSB2.0やら、RTC, Ethernetコントローラとかの
I/Oに加えて、SRAM 64KB〜96KB、フラッシュROM256〜512KB付いて、消費
電力250〜500mW, これでロット1000個で600円程度だよ。

所詮FPGA屋なんて、記述言語という耕す畑が違うだけで、大半の連中は
IT小作農という現代版奴隷に過ぎんのだよ。
225774ワット発電中さん:2010/10/07(木) 13:46:32 ID:PE2wiafU
>>224
>大半の連中は IT小作農という現代版奴隷に過ぎんのだよ。
あんたも、その中の1人ね。
226774ワット発電中さん:2010/10/07(木) 13:49:28 ID:YJpeZ9Qs
>>225

いやぁ、低学歴テクニシャン(wでつよ。

ちなみにFPGA関係の仕事はやってませんにょ。
227774ワット発電中さん:2010/10/07(木) 14:06:34 ID:bAQuAjnm
>>221
FPGAで超低消費電力ていらねえだろ。
228774ワット発電中さん:2010/10/07(木) 14:23:10 ID:PE2wiafU
>>227
思いっきり必要だよ。
いつまでも「バカ喰らい」が許されるわけないじゃん。
229774ワット発電中さん:2010/10/07(木) 20:12:12 ID:YJpeZ9Qs
いっそデカいFPGAのパッケージ上に太陽電池でも載せたら?(w

980円のカシオの電卓だもできるんだし、超低消費電力なら可能だろ?
そのうち、ダイソーでFPGAが買える様になるくらい普及するかね?
230774ワット発電中さん:2010/10/07(木) 20:17:35 ID:/sVmgIHU
FPGAで超低消費電力は低学歴者では実現不可能である。
そこでこれを実現してクレクレと激しくおねだり。
つまり、低学歴のため実現不可能が多い。そこでクレクレ君になり誰かに激しくおねだりすることになる。
こうしてIT小作農という現代版奴隷が誕生するのである。
IT小作農は基本的にクレクレ君である
デバイスを作ってクレ、ツールを作ってクレと
高学歴なら斬新なデバイス、ツールを作ってそれを商売にするんだろうがな
高学歴が多いところ(国)はこんなのを商売にするのが多い

>>224
IT小作農じゃなければファブレスIC屋になり、自社ICを売りまくって奴隷から金持ちになっているよ
231774ワット発電中さん:2010/10/07(木) 20:40:13 ID:RcTIs1Ek
今の大半のFPGAって静止時でも数mAくらい食うんだっけ?
Actelは数uAのオーダですよって宣伝してたかもしれないけど
232774ワット発電中さん:2010/10/07(木) 21:37:03 ID:YJpeZ9Qs
>>230

もしかして、香ばしい学歴の技術士の方でつか? それともコーヒー屋?

> IT小作農じゃなければファブレスIC屋になり、自社ICを売りまくって奴隷から金持ちになっているよ

倒産した新潟精密とか、パチンコ屋相手に商売してたアクセルとかでつか?
233774ワット発電中さん:2010/10/07(木) 23:46:42 ID:FgRzAIx0
>>232
ここで新潟精密の名を見るとはおもわなんだw
なんかデバイス買ったことある?
234774ワット発電中さん:2010/10/07(木) 23:52:16 ID:cLUYwqmk
>>222
まだあるどころか、ほぼACTELの独占。
世界中のロケットや衛星のfpgaって、ほとんどACTELらしい。
だから殿様商売でひどい、とか。
microsemiになったらどうなるのかな。
235774ワット発電中さん:2010/10/07(木) 23:53:05 ID:ofKReAMn
Actelがそんなしっかりした市場を支配してたなんて・・・・w
236774ワット発電中さん:2010/10/08(金) 00:45:53 ID:7sS0mO1S
まるぼーとかえーせー関係ではActel一本だったなぁ(遠い目)
237774ワット発電中さん:2010/10/08(金) 00:59:31 ID:sBuafhE9
>倒産した新潟精密とか、パチンコ屋相手に商売してたアクセルとかでつか?
IT小作農が身分不相応のことするとどうなるかってことだな
238774ワット発電中さん:2010/10/08(金) 04:30:30 ID:4BlxKK92
農地改革が必要だな、GHQ呼んで来い
239774ワット発電中さん:2010/10/08(金) 06:57:27 ID:pTLJ7dcA
いつかの日か、3.3Vだけ、100mAくらい、パッケージ小型化(500円玉くらい)、60000〜80000LE、
とかでFPGA動くようにならないかな…。
240774ワット発電中さん:2010/10/08(金) 07:26:13 ID:cCrcZ2XW
動作クロック1kHzとかだったりな
241774ワット発電中さん:2010/10/08(金) 10:18:14 ID:dJFeHhnh
>>220
製品ライン的にはコンシューマー向けの小規模のやつとか矢鱈と小さいパッケージとかを止めるんだろうか。
つか、そうであって欲しい。次の65nmの大規模品止めるとか言われたら泣くw
242774ワット発電中さん:2010/10/08(金) 10:59:49 ID:I8yirssi
高信頼性っていうと、0.18um とかじゃない?
243774ワット発電中さん:2010/10/08(金) 19:14:50 ID:Sp+uUlPO
Actel使っているのいるのか?
Actelの次はlatticeかな
244774ワット発電中さん:2010/10/09(土) 18:18:27 ID:JdqppR0F
今、えっさえっさIP書いてる奴もそのうちウィザードだけしか触らなくなるよかーん。
数値計算ライブラリみたいに IPが安くor 無償提供されるようになって、ココにいるほとんどの奴はせいぜい
グルーロジックしかHDLを書かなくなって、最上位のアーキテクチャをちょいちょいと再びスケマで結線する程度になると予想
信号処理のコアな部分なんて一度作ってしまうとそうそうバリエーションは必要ない支那
245774ワット発電中さん:2010/10/09(土) 18:26:16 ID:xvzUK1LH
一部の才ある者しか稼げないのはいつの時代も同じか・・・
246774ワット発電中さん:2010/10/09(土) 18:43:03 ID:lZpQ1OzL
>>244
早くそうなるといいな。
247774ワット発電中さん:2010/10/09(土) 18:46:25 ID:AceScWTw
>>244
まぁ、Windows上のプログラミングでCでせっせと書いていた時代からずいぶん
変わって楽にはなったものの・・・っていうのと似たようなものだろうね。
最下層の部分は確かにウィザードで楽できるようになったけど、結局それ以上に
デバイス自体の規模が大きくなり、要求されるものも厳しくなり・・ていう
ところで。
漫然と作るならなんとなくできるけど、ある程度性能を要求されはじめると
ウィザードが何をやっているかまで踏み込めるかどうかでずいぶん差がついて
しまうとかね。
なかなか楽はさせてもらえないかもよ。
248774ワット発電中さん:2010/10/09(土) 19:20:50 ID:Au1+xhwt
>>244
PSoCの世界だな
249774ワット発電中さん:2010/10/10(日) 01:26:45 ID:pYLKNy73
>>246

いやいやなるとまずいっしょ
そうなると間違いなく人減らしが始まるよ
かつてスケマ屋が淘汰されたよりもっとひどい、
日本では設計しなくなるとかな。

それでなくとも大手電機メーカのDRAM部門はほぼエルピーダに集められ、
機能性LSIはほぼルネサスにって状況なのに。
実質これでどのくらい技術者減ったんだろ?

250774ワット発電中さん:2010/10/10(日) 02:25:34 ID:+YS7PnTH
軍用品がもっと活発だったら、国内設計も残れるんだろうけど、
バブル脳の企業経営者は何でもかんでもオフショアにもって行こうとするからな。

馬鹿が経済界の中心にいる間は、結構厳しい状況になると思われる。
251774ワット発電中さん:2010/10/10(日) 02:43:37 ID:XyLoNCAn
Quartus2で質問なんだけど
タイミング制約って旧式のと新式(SDC形式)のあるけど
みんなどっちつかってるもんなの?
SDC形式って流行ってるの?

これASIC系技術者が親しみ易い程度にしか理解してないんだけど、
なんか他に良いことあるのかな?
252774ワット発電中さん:2010/10/10(日) 08:59:16 ID:uDNsQpHO
>>250

>軍用品がもっと活発だったら、国内設計も残れるんだろうけど、

そーなんだよ。安全保障の名目で軍事の研究を国策として進めるべき。
ここから新しい発想や技術が必ず生まれるんだから。
アメリカはテレビは作らなくなっても、NASAと軍がある限りものづくり国家から撤退することは絶対無い。
F22なんてアメリカが作らないなら国産戦闘機作って、ヨーロッパあたりに売りさばく格好のチャンスなのに。何が武器輸出三原則だ。

経済視点だけでものづくりを進めると絶対日本は空洞化する。その視点持ってんのかね民主党って?
介護で経済効果?笑わせんな馬鹿たれ
253774ワット発電中さん:2010/10/10(日) 09:59:22 ID:ClFz6Fqp
別にものづくりに限らず、あらゆる分野で外貨を稼げる産業/事業
に育て上げるっていうのが必要なんじゃねぇのかなぁと思う。
1次、2次、3次産業・・インフラとなる電気ガス水道電話・・・etc
・・それ以前の教育とかもな。
どれをとってもまだまだ不足している国は沢山あるんだし。
シナチョンなんて放っておいて他に新たな市場はいくらでも
作り出せるだろうにな。
為替で何兆円も放り込むなら、海外の資源でも企業でも政治家でも(!)
何でも買い取っちまえ。
254774ワット発電中さん:2010/10/10(日) 16:18:59 ID:eZTYAzNO
>>247
Windows上のプログラミングを例えに引き出すなら、リソースエディタや
フォームエディタで、コントロールをペタペタと貼り付けるのと同様、
動画圧縮IPとか、DVD再生IPみたいのを画面上で並べて、プロパティを
いじって、箱同士を線で繋ぐだけになるだろうといった意味合いでは
ないのかと。

Windowsにしろ、Linuxにしろ、CやC++のプログラムが書けるといっても、
今の大半のプログラマは、コモンコントロールと同等のクラスなりを
フルスクラッチで作成はできんだろ。

Web開発で幅をきかせているPHPやRubyみたいなスクリプト書き連中が、PHPや
Ruby自体を永遠に作れないのと同様。 やってることは、岡崎市立図書館シス
テムみたいにネットに転がってるソースの転用とコピペだけ。(w

> 介護で経済効果?笑わせんな馬鹿たれ

最近注目されてる臓器移植コーディネータって、人売り派遣とダブるんだが?(w

原価タダの臓器を無償提供させる一方で、1件の移植手術あたり数千万円
単位の金が動いているのは、むしろオープンソースプロジェクトに近い
のかな?

これから成功するビジネスに共通するのは「偽善」だな。
255774ワット発電中さん:2010/10/10(日) 18:29:48 ID:Y+ym/eZp
>>254
>最近注目されてる臓器移植コーディネータって、人売り派遣とダブるんだが?(w

樹海にいくのなら、いくのなら一花咲かせてからはどうですか?

1億円と1年間の時間を提供いたします。これをどう使うか、あなたのご自由。
最期も苦しませはしません。
法的に問題ないように処理いたします。

ああ、ありそうだ。
256774ワット発電中さん:2010/10/10(日) 19:16:39 ID:Pnu3vlRi
スレタイ嫁
257774ワット発電中さん:2010/10/10(日) 19:19:10 ID:ClFz6Fqp
>>254
ぺたぺた貼って線で結べば良い・・っていうのは結局、”出来そうで出来ない”
とが沢山出てきてしまうんだよね。最終的な製品として見たときに要求される
ものがあまりにもバラバラだし。

あと、この手のやつの一番面倒なのは動かないときやトラブったときに
どうするかってことでねぇ。Windowsだのwebアプリの類ならまだOSという
保護の下で走ってるだけだから可愛いもんだけど、FPGAだのになって
しまうと、もう何が起きたのか手がかりつかむだけでも大変だ。

結局そこを解決していくためにはウィザードが何をやっているのかという
ところまで把握しなくてはならない。

楽にやっていられるところは良いけど、このスレの住人くらいになってくると
「その先はわからいません」で済まされない立場の人間も多いんでない?
そうなると結局楽になってるようで実際はもっと難度があがってしまってる
ということになるんでないのかな?
258774ワット発電中さん:2010/10/10(日) 19:28:37 ID:eZTYAzNO
逝き地獄?
259774ワット発電中さん:2010/10/10(日) 19:43:04 ID:RY93bI7W
モジュールを組み合わせた回路設計でイメージするのは、デジタルオーディオ回路みたいなモノかな。
例えばDACモジュールなんて、ブロック図的にはDAI→D/F→DAC→出力アンプで組める。
今時のだとこれら全部とシステムコントローラまで含めてワンパッケージになってたりするけど、
ちょい前だとそれぞれが独立した石で供給されていた。
で、そういうワンパッケージな石で作れるのはどれも似たり寄ったりになり、
他製品に対して外観やバッテリー容量などでしか差別化出来ない。
はっきり言って使う方も作る方もツマラん。
260774ワット発電中さん:2010/10/10(日) 20:54:42 ID:uDNsQpHO
お前等の会社が支那に入れ込んでるといずれは・・・


済南事件
http://ja.wikipedia.org/wiki/%E6%B8%88%E5%8D%97%E4%BA%8B%E4%BB%B6
邦人400人惨殺、婦女子は陰部にほうきつきたてられたうえで切り刻まれ惨殺

通州事件
http://ja.wikipedia.org/wiki/%E9%80%9A%E5%B7%9E%E4%BA%8B%E4%BB%B6
邦人200人惨殺 カフェの床には女店員の首が転がってた


永井豪のデビルマンで暴徒が藤村一家を惨殺した画を思い出す
やられる前にやるか?
261774ワット発電中さん:2010/10/10(日) 21:00:59 ID:6/3zUHxc
>>259

ワンパターンな処理ならワンチップ化されてFPGAで作らない。
たくさん出る石ならマスク化されちまうでしょ。

262774ワット発電中さん:2010/10/10(日) 21:01:10 ID:uDNsQpHO
>他製品に対して外観やバッテリー容量などでしか差別化出来ない。

今のPCがそうじゃん。
つーかだなエレクトロニクス全体がそんな感じ、ソフトウェアだってそう。
結局、モノづくりに見切りをつけて、サービスに活路を求めるしかなくなったのがIBMに富士通だろ?
富士通のスパコンなぁ。NECも日立もおつきあいは辞めたのに、富士通はあくまでも官とのつなぎなんだろな。
263774ワット発電中さん:2010/10/10(日) 22:34:26 ID:lpDH8FtN
画質やら音質やらで差別化しようとしても、
一般人はそこまで求めてないし、機能てんこ盛りになって
却って使いにくくなっる。
携帯しかり、デジカメしかり、TVしかり。
で、価格競争になると韓国や中国には勝てないんだよ。
どうすりゃいいんだぁ!
264774ワット発電中さん:2010/10/10(日) 22:59:28 ID:r0vrMGHh
ニッチマーケット狙ったら?
265774ワット発電中さん:2010/10/10(日) 23:28:13 ID:6/3zUHxc
芸術にすればいいんでは?
266774ワット発電中さん:2010/10/11(月) 00:06:05 ID:k7PDhW3j
>>265
自称ハイパーFPGAクリエイターですね。わかります。
267774ワット発電中さん:2010/10/11(月) 01:05:21 ID:zcd5zYzR
コンフィグする度に動作が変わり再現性が無いとかするとゲージュツ点高め

誰も真似できない    :ゲージュツ作品
ニッチ過ぎて儲からない:工芸品
中韓台にやられっぱなし:工業製品

これだ
268774ワット発電中さん:2010/10/11(月) 08:54:54 ID:0DNEZR35
>263
そうなんだよなー。
それなりの音さえ出ていれば、音がいいだの悪いだのって、気にしない奴らばっかりだよな。
あとは機能面だけど、これはメニュー構成をどうするかで差別化は可能だけど、
上手く整理しないと面倒なだけだし。
それこそ、よく使う機能に絞り込むか、てんこ盛りにするかに分かれる位か。
価格競争だと、それこそワンパッケージになっているデバイスを使うのが一番安上がりだが、
それこそ「同じもの」しか作れない。

一部の高級オーディオメーカーとかが生き残ってるけど、
それこそ超高級路線というニッチ市場でボッて生きながらえてる程度。
中身はどう見ても20万でお釣りが出そうな機器1台百万円とかw
269774ワット発電中さん:2010/10/11(月) 10:06:52 ID:YrNjzvRe
直材費は見積もったこと無いけど、
どう聞いても糞音しかしないアキュフェーズなんて口が裂けても言ったらあかんぞ。
この板電電専門スレだし、一般人に与えるインパクト大きいからよ。
270774ワット発電中さん:2010/10/11(月) 11:05:53 ID:p26IXNuW
ニッチ市場でそれなりのブランドイメージを固められれば
(自称)ハイアマチュアを相手に食ってはいけるかもね。
100万の製品を年に50台くらい売ればなんとかなりそう。
FPGA使ってイコライザとかDA周りとかやるかなぁ。
271774ワット発電中さん:2010/10/11(月) 15:51:07 ID:YwI7ZPxS
>中身はどう見ても20万でお釣りが出そうな機器1台百万円とかw
電電ってすぐそういうシッタカ調で「ボッタクリ」だのなんだのって
言い出すんだよな。
ブランド物な服だの靴だの、バッグだの・・は言うに及ばず、喫茶店の
コーヒーだって、ラーメン屋のラーメンだって直接費なんてどれも知れ
たもん。「これの原価はこのくらいだからボッタクリだ」なんて聞いたこと
ないわ。

>>270
そんな金あるんだったらもっと別の商材考えたほうが良いと思うぞ。
少なくとも電気・電子系な商品なんてダメダメ。金にならね。
272774ワット発電中さん:2010/10/11(月) 15:58:30 ID:k7PDhW3j
>>271
> 少なくとも電気・電子系な商品なんてダメダメ。金にならね。

自動車整備工だって、バックヤードの経費込みで、作業工賃1時間1万とか
で計算するのに、きょうびの電気・電子系は、ソフトの値段を生CD-Rディ
スク1枚の値段と比較しちゃうような風潮だからなぁ。

そのくせ、欧米の舶来品には相変わらずボッタクられ放題。
273774ワット発電中さん:2010/10/11(月) 17:01:37 ID:0DNEZR35
>271
オーディオ界に於いては、はっきりとボッタクリだと分かる実例もあるしな。
ブランドイメージとちょっとした小細工がある程度の、
明らかに国内家電メーカーが同等品を出したら小売価格10万円もしないものなんてゴロゴロしてる。
原価がどうこう言う以前の問題。

海外メーカーだが、数十万もするDVDプレイヤーの中身が、
電源以外は殆どがパイオニアの数万円のDVDプレイヤーと同じだったとかな。
外装こそオリジナルで部品配置もそれに合わせてあるとはいえ、
心臓部と言える信号処理基板なんかパイオニアのロゴ入りだったかと。
パイオニア製は基板直付けのRCAコネクタを背面に出す構造だったけど、
件の海外製は背面からは慣れた位置に基板を設置して、自らクオリティ劣化の
元となると言い張っているケーブル/コネクタをわざわざ介してな。
274774ワット発電中さん:2010/10/11(月) 18:47:12 ID:okzmaegm
> 電源
> 外装
いくら講釈垂れても、これが最重要ポイントです。
貴方は解ってない。
275774ワット発電中さん:2010/10/11(月) 18:49:21 ID:7TfkL692
最近は電源が結構面倒だね。
276774ワット発電中さん:2010/10/11(月) 18:52:36 ID:YwI7ZPxS
原価がどうこう言う以前の問題。
そんなもの、他の世界だってゴロゴロしてるんだがな。
お前さんの身の回りにある物のほとんどすべてで同じようなものは
いくらでもあるんだがな。
靴なんて中敷変えるだけでゼロの数が変わったりするんだぜ。
277774ワット発電中さん:2010/10/11(月) 18:55:10 ID:e+L7w2Gc
オーディオ評論家と称する詐欺会社の片棒担ぎがそういうの指摘しないどころか、
逆におべんちゃら記事書いたりするからな。筐体の中身見てスカスカなのに、

この空間が音にとって重要

とか死んだ井上なにがしの記事で見たことがある。モウねあきれるばかりだな。
実際、回路とかちょいかじりの評論家がさもわかったような記事を書くから始末に悪い

あと、
試聴中に借りたスピーカ、ダイヤトーン2S-3003を吹っ飛ばしてしまったときなんか、
吹っ飛ばすほど夢中になったとか賛辞記事のオンパレード
あれで、ペア300万スピーカの弁済はチャラにしてもらえたんだろか?
278774ワット発電中さん:2010/10/11(月) 19:03:51 ID:YwI7ZPxS
>>277
>オーディオ評論家と称する詐欺会社の片棒担ぎ
本当に無知だな。オーディオ評論家というのはメーカと二人三脚なんて
厨房でも知ってることだろ。車の評価家もそう、経済評論家なんて
もう・・ね。
だいたい評論家と称するものがまともなことを言ってることがあるのか?

>あれで、ペア300万スピーカの弁済はチャラにしてもらえたんだろか?
別に構わんだろ。最初から売り物じゃないから別に損失ないし。
返されても取っておいたら資産扱いにされちまうから、最後は破棄
するしかない。
279774ワット発電中さん:2010/10/11(月) 20:15:13 ID:0DNEZR35
>276
原価じゃなくて小売価格での話をしてるんだがな。
例えば大手家電メーカーなら10万以下で出す機器が、
ボッタクリメーカーは中身が殆ど同じものを100万以上で出す。
その10倍を超える価格差って何よ、って事。
まぁ、その分評論家に金積んでるんだろうけどw

というか、小売価格に対する原価の話なんかし出したら、
いったい誰が何処で儲けを出すのかという話にまでなってしまう。
例えば原価3万のモノを馬鹿正直に小売価格3万で出す訳がない。
280774ワット発電中さん:2010/10/11(月) 20:20:27 ID:0dpz4w01
>>279
>その10倍を超える価格差って何よ、って事
人件費とか設備費とかじゃね?
281774ワット発電中さん:2010/10/11(月) 20:50:32 ID:p26IXNuW
まあ、それに文句を言うんじゃなくて、
うまく金儲けにつなげようじゃないか。
282774ワット発電中さん:2010/10/11(月) 20:50:44 ID:e+L7w2Gc
>ID:YwI7ZPxS

お前さ。上からレス見てると。まともに仕事で設計したことあんのか?

>>271
>ラーメン屋のラーメンだって直接費なんてどれも知れ たもん。

俺は直材費の話しをしてんだよ。直接費なんてもんは基板見たところで簡単に見積もることなんかできない。
直接費と直材費の違いもわからんアホはすっこんでろ。
つーか、直材費見積もりしたことのないどしろーとってことがすぐわかるわけだがwww

>>278
>本当に無知だな。オーディオ評論家というのはメーカと二人三脚なんて
>厨房でも知ってることだろ

文章読めないのかゆとりバカよ。>オーディオ評論家と称する詐欺会社の片棒担ぎ
と同じこと書いといて"無知"かい。お前のようなアホ世代が入ってくるから利益率どんどん落ちるんだよ。
間違ってもメーカの敷居またぐな馬鹿たれ。


>別に構わんだろ。最初から売り物じゃないから別に損失ないし。

かまわんこたぁねぇんだよ。アホ。こういうのは展示会回したり、試聴会回したり、チャンピオンスペック出すために
特別に手間かけて調整するってことも知らんのかい。量産機とはちがうんだ。
直材費並びに、エンジニアの人件費パーになって別にかまわんって?死ねやアホ

>返されても取っておいたら資産扱いにされちまうから、

壊れたユニットごと返却されるんだから、仮に廃棄するとしてもその後の手間は同じだろが、アホかお前。
283774ワット発電中さん:2010/10/11(月) 21:02:11 ID:e+L7w2Gc
>>ID:YwI7ZPxS

>271
>電電ってすぐそういうシッタカ調で「ボッタクリ」だのなんだのって
>言い出すんだよな

そりゃお前がばかだから見てもわからんのだろうて。
電気製品の場合は、市販されてるパーツを使ってる限りにおいて、おおよその価格がわかる。
アッセンブリメーカであればあるほど直材費なんて手に取るようにわかるからだ。
だいたいお前の言う被服であっても食品であっても直材費を無視するような経営なんてありえねぇんだよ
アホかい。


>そんな金あるんだったらもっと別の商材考えたほうが良いと思うぞ。
>少なくとも電気・電子系な商品なんてダメダメ。金にならね。

だからメーカの敷居またぐなつってんだよアホ学生。一生バイトでもしてな
284774ワット発電中さん:2010/10/11(月) 21:25:45 ID:XswXwquY
キモ
285774ワット発電中さん:2010/10/11(月) 21:46:41 ID:Uxz2wgLf
ぼったくりだろうがなんだろうが、その金額を払ってもよいという
いかにお客さんに思わせられるか、なんだけどな。

原価に見合った価格を必ず設定すべき、なんてなったら
下請けや派遣と変わらん。
286774ワット発電中さん:2010/10/11(月) 21:48:31 ID:0dpz4w01
製品を作るんじゃなくて市場を作れってことになるのかな。
287774ワット発電中さん:2010/10/11(月) 21:54:15 ID:p26IXNuW
何ですぐに下請けや派遣をバカにしたがるかなぁ
それで自分が偉くなった気分かい?
288774ワット発電中さん:2010/10/11(月) 22:06:20 ID:Uxz2wgLf
ん〜。

製品価格を市場に則して、いかにうまく決めるか?という話のなかで
原価に見合った価格、なんて制約条件の一つにすぎない、という
ことを示すために、それが100%要求されるなら、(価格は掛けた原価
から自動的に決まる、とかになったら)、それは下請けや派遣であって
そもそも市場価格を決めるなんてシーンとは無関係ぢゃないの?

と言ったつもりなんだが、バカにしてたかなあ。
だったらごめん、そんなつもりはなかった。
289774ワット発電中さん:2010/10/11(月) 22:54:33 ID:rDVztwqG
原価に見合った価格なんて関係ないよな
大きな利益を出すのを作れだな
290774ワット発電中さん:2010/10/11(月) 22:59:30 ID:ulfFf3To
そだな、価格と原価は関係ないなあ。

291774ワット発電中さん:2010/10/11(月) 23:01:10 ID:ulfFf3To
だがな、原価より価格が安ければ誰も作らなくなるので
長期的には関係あるともいえるなあ。
292774ワット発電中さん:2010/10/11(月) 23:15:38 ID:RmOD6bJY
あのさぁ、ロジック設計との間に何段もbufferかんでる気がするのはオレだけ?
経済板とか、オーディオ系の板にでも逝った方がよくないか?
293774ワット発電中さん:2010/10/11(月) 23:19:35 ID:ulfFf3To
過疎ってるから、グルーロジックが入り込むんだ
そういう場合は自分が率先して真面目ネタを出すんだw
294774ワット発電中さん:2010/10/11(月) 23:55:49 ID:aoESGzex
けっこう勉強になってよかったw
295774ワット発電中さん:2010/10/12(火) 02:25:55 ID:Ju90EDUu
カモネギのオーオタ相手の希望小売価格がペア300万円のスピーカーなんて、
価格の大半は小売店の値引きしろと利益で、製品の製造原価(工場出荷価格)
なんて、せいぜい数十万ってとこだろ。

実際はプレス加工だろうけど、ドーム型ツイーターの振動板を、大田区の
へら絞り職人に加工依頼しても、原材料価格や工賃含めてたかが知れてる。
296774ワット発電中さん:2010/10/12(火) 07:23:49 ID:NcEEyV4Z
なんだいまたでてきたのかい直材費も知らないアホ学生よ。

ID:Ju90EDUu == ID:YwI7ZPxS
297774ワット発電中さん:2010/10/12(火) 08:47:54 ID:Ju90EDUu
誰が学生じゃあ?(w

朝早くから、勝手な妄想でバンザイ突撃するしか脳がない、老い先短い
ゆとりボケじじぃか?

直材費なんて、勘定科目にゃねぇぞ。妄想ついでに勝手に単語作るな。(w
298774ワット発電中さん:2010/10/12(火) 09:27:56 ID:LfgWssXC
しっかし、
>原価じゃなくて小売価格での話をしてるんだがな。
>例えば大手家電メーカーなら10万以下で出す機器が、
>ボッタクリメーカーは中身が殆ど同じものを100万以上で出す。
>その10倍を超える価格差って何よ、って事。
って・・・日本語大丈夫かいな?
>靴なんて中敷変えるだけでゼロの数が変わったりするんだぜ。
って書かれてることがまだ理解できずにいるのかな?
299774ワット発電中さん:2010/10/12(火) 10:02:09 ID:R80vJgAD
お前ら、頼むからスレタイ読んでくれ
300774ワット発電中さん:2010/10/12(火) 10:12:52 ID:EqB50MZr
ピュア板の原価厨がこんなところに出張してたのかw

>>295
お前みたいな金払い悪いくせに口だけ一丁前のビンボウ神避けに高くしてるわけ。
分かった?
301774ワット発電中さん:2010/10/12(火) 11:21:27 ID:LfgWssXC
ってことで、今のピュアオーディオにはFPGAが必要ってことでいいのかな?

と、>299の熱い思いに応えて無理やり話を引き戻す
302774ワット発電中さん:2010/10/12(火) 13:04:21 ID:5yGh7lrb
ASSPで十分じゃない?
ヲタクを納得させるためだけに、
CDのデコーダとかサーボ回路をわざわざFPGAで組んでたら、
金ばかりかかって利益が薄くなるような気がする。
303774ワット発電中さん:2010/10/12(火) 13:13:52 ID:0zM4a4i1
R-2Rラダー型ディスクリートDACをCPLDで組むとか?w
「出力を同一チップで行い各ビットのドライブのばらつきを抑え」とかなんとか
304774ワット発電中さん:2010/10/12(火) 17:29:12 ID:o/PmdkZR
ディスクリートDACをCPLDで組んだらそれはディスクリートなのか?
305774ワット発電中さん:2010/10/12(火) 20:00:02 ID:0yt1b6sl
興味深い問いだ。
306774ワット発電中さん:2010/10/12(火) 21:55:23 ID:CqbAWi+b
何をもってディスクリートDACと言うのか。
まずはそこから定義しないと何とも言えないし、
それをどうCPLDで実装するのかという問題もある。
307774ワット発電中さん:2010/10/13(水) 00:57:55 ID:5hOdrLhX
いっそ、プッシュプル接続のペア真空管を24bit分並べて、Vishay製±0.05%
誤差の金属箔抵抗で組んだR-2Rラダー型DACを駆動してはどうか?

コンデンサは、Blackgateの電解と、Sprague Orange Dropのフィルムで。
ガラエポは音が硬くなるので基板は紙エポ基板を推奨。(出典:金田式アンプ)

たぶん、レジストの色によっても音色が変わる。(w
308774ワット発電中さん:2010/10/13(水) 01:04:49 ID:dO2BmInB
話にぜんぜん付いていけない(大汗)
309774ワット発電中さん:2010/10/13(水) 01:05:17 ID:ihn/P/U1
基板をケースに取り付けるネジと支柱でも音は変わるとか。
Mac8の支柱より、広杉の支柱のほうがスケール感があるらしい。

ハンダ付けに使用したコテでも変わると聞いたことがある。
310774ワット発電中さん:2010/10/13(水) 01:16:06 ID:dO2BmInB
んなアホなw
311774ワット発電中さん:2010/10/13(水) 01:24:49 ID:rCgZxx1c
塗装の色で音が変わるって知ってるか?
赤系は酸化鉄が入ってるので変わるんだと(SONY談)
312774ワット発電中さん:2010/10/13(水) 01:26:45 ID:rF0lNm84
わざわざR-2Rラダーで24bitDA?
313774ワット発電中さん:2010/10/13(水) 01:32:42 ID:rCgZxx1c
ブラックゲートはともかく
ビシェイはオペアンのゲイン決めに普通に使うだろ。

オーディオでも録音業務に使うカード類はパスコンに積セラ使ってるけど
再生に主眼を置いたサウンドカードとかほぼ例外なくオペアンのパスコンにまでフィルム使うのな。
信号ラインの積セラは波形歪みの原因になるから使わないのは当然として、
パスコンにフィルム使う理由誰か説明してくれないかな
314774ワット発電中さん:2010/10/13(水) 01:42:07 ID:rCgZxx1c
315774ワット発電中さん:2010/10/13(水) 01:45:51 ID:dO2BmInB
>>314
感動度200
316774ワット発電中さん:2010/10/13(水) 02:03:14 ID:2fMZwuf6
FPGA使ってΔ舶マ調した信号で31レベルR-2Rを駆動してみたけど、PCのオンボ24bitよりはS/N良かった。
5%抵抗使ったせいで歪率は悪かったが。
317774ワット発電中さん:2010/10/13(水) 02:04:51 ID:rF0lNm84
>>314
確かに部品が良く選ばれているが構成からして、その値段はボリすぎ。
買う気0だから、どうでもいいけどwww
318774ワット発電中さん:2010/10/13(水) 06:45:30 ID:jog8YVXy
>317が同じものを原価で出してくれればそれでいいよ
319774ワット発電中さん:2010/10/13(水) 07:45:54 ID:5BmjaE1E
1000枚も出ないんじゃねぇの?
320774ワット発電中さん:2010/10/13(水) 07:47:01 ID:5BmjaE1E
>>316
そこはネットワーク抵抗使うもんだろJK
321774ワット発電中さん:2010/10/13(水) 10:39:19 ID:Km3mKrAu
PCのサウンドカードの値段みてると\5,000でもいいような。
よく、高級オーディオメーカは試聴に時間かけてるとかいうんだけど、
アキュフェーズの波形はオシロでみるとなかなかだけど、音聴くとがっかりなんだな。
322774ワット発電中さん:2010/10/13(水) 12:08:56 ID:2fMZwuf6
>>320
抵抗の精度が良くても、FPGAのIOの内部抵抗のバラつきとか、非線形性をなんとかしないと限界があるよね。
FPGAはIOが無駄に多いからパラにして改善するっていうのもアリだけど。
323774ワット発電中さん:2010/10/13(水) 13:10:34 ID:5BmjaE1E
ドライバ別にすればいいんじゃねぇの?
324774ワット発電中さん:2010/10/13(水) 14:21:32 ID:QSvRGKod
>>323
いやあ、>>322に言わせれば、
その別ドライバーの出力インピーダンスが....ということになりそうだ。

325774ワット発電中さん:2010/10/13(水) 15:40:33 ID:oNexbXOk
なひたふさんてとってもアレな人だったんですね。
http://twitter.com/nahitafu
326774ワット発電中さん:2010/10/13(水) 15:44:36 ID:FDt9HdFD
>>322
>抵抗の精度が良くても、FPGAのIOの内部抵抗のバラつきとか、非線形性をなんとかしないと限界があるよね。

なるほど。確かに抵抗つないだだけだとI/Oのインピーダンスは無視できないな。
じゃPWMだな。
327774ワット発電中さん:2010/10/13(水) 15:52:55 ID:JyGn46M0
>>307
Blackgateは製造中止
SpragueはVishayに買収された

>>313
セラミックは温度によって特性が変わるからね。
あと、カネを取るためw
328774ワット発電中さん:2010/10/13(水) 18:27:02 ID:dO2BmInB
レベルが高くて付いていけん・・・ orz
329774ワット発電中さん:2010/10/13(水) 20:18:48 ID:BIYnTi8w
>>328
容量によるけど、セラミックコンデンサは圧電効果がある物質を誘電体に使っている。
なので、いろいろと話のネタになる。
330774ワット発電中さん:2010/10/13(水) 21:15:01 ID:z6SObmF6
R-2Rはしご回路をFPGAやCPLDで直接ドライブって
自分で設計するなら絶対やらないなぁ。
たぶん小信号用FETを入れると思う。

FPGAの内部プルアップとかの抵抗値ってかなりいい加減だし
電流制限素子がどう影響するか読めないので。

(GND分離とかの問題上、デジタル回路とアナログ回路の区分を
はっきりさせたいって方が重要かな)
331774ワット発電中さん:2010/10/13(水) 22:42:25 ID:dO2BmInB
ここの人たちはアキュフェーズより音質の良い機器を作れそうだねw
2ch発のブランドで出してほしい。
332774ワット発電中さん:2010/10/13(水) 23:03:11 ID:5hOdrLhX
>>330
> FPGAの内部プルアップとかの抵抗値ってかなりいい加減だし

なんでコンプリメンタリのプッシュプル出力ではなく、わざわざオープン
ドレイン出力とプルアップを選択するの?

> (GND分離とかの問題上、デジタル回路とアナログ回路の区分を
> はっきりさせたいって方が重要かな)

SPIやI2Cみたいなシリアル接続でも、バス直結のパラレル接続でもいい
けど、ADCやDACのGNDピンが1本しかなかったら、どこに繋ぐの?

面倒なコトは基板屋へ丸投げ?
333774ワット発電中さん:2010/10/13(水) 23:33:48 ID:z6SObmF6
プッシュプル(High/Low)出力するんなら余計に
はしご回路に影響すると思うんだけど・・・。
Low/High-Z出力するとしても
FPGAのHigh-Zがはしご回路に影響しないとは思えないし。

考え方変なのか?小信号用FET入れるのってそんなにおかしい?

>ADCやDACのGNDピンが1本しかなかったら

んなデバイス最初から選ばない。
対FPGAで接続するならノイズ覚悟で選択してるとしか思えん。

逆にいい接続法があるなら教えてほしいな。
EMIとかノイズとかアナログ面とかはいつも苦労するので。
334774ワット発電中さん:2010/10/13(水) 23:39:21 ID:VzZG/a0P
そもそも>>303はロジックICでR-2Rラダー型DACを作っている
オーディオマニアな人がいることへのこのスレっぽいネタでしょ。
335774ワット発電中さん:2010/10/14(木) 00:01:15 ID:CX0tu1nZ
R-2RラダーはLow/High-Zじゃドライブできないだろ。
ON/OFFでなくて2値の切替が必要。
336774ワット発電中さん:2010/10/14(木) 00:19:52 ID:aj1Rm+W+
>>333
>プッシュプル(High/Low)出力するんなら余計に
>はしご回路に影響すると思うんだけど・・・。
いやいや。HighとLowのインピーダンスが等しいほど良いんだから、プッシュプルが最善だろうに。

>考え方変なのか?小信号用FET入れるのってそんなにおかしい?
FETでプッシュプル組んで、貫通電流とか考えなくて大丈夫なのかな。
ゲート容量あるからMHzオーダーで駆動するのは難しそうだし。
337774ワット発電中さん:2010/10/14(木) 00:23:53 ID:/Xl+6QWs
ロジック石+R-2Rラダーは自作ディスクリートDACの定番。
だが、その定番をあえて無視して、CPLDでPWM式あたりのDACを作……れるのか?

>333
結局の所、GNDは共通にするしかないし、DAC石の中でも共通になってるのが大半。
基板パターンはデジアナ分離しておいて、DAC石の下の1点で結合が定石。
R-2Rラダーで自作の場合、ドライブ用の石の下で結合。
338774ワット発電中さん:2010/10/14(木) 00:58:25 ID:TWN4CXGK
>>313
真のピュアオーディオ屋ならこういいそう。
「ICの電源安定度は、音質に一番影響するぢゃないか。
パスコンに積層セラミックなんか使えるか。」

>>337
たとえば
・32層基板でほぼ全部電源層とGND層
・さらにぜいたくにパスコン配置
・しかもGNDにガッツリ落としたアルミ削りだしシャーシ
・電源は電池
とかで、電源、GNDインピーダンスを力業で強化した作りに比べたら
どうなんだろう?
339774ワット発電中さん:2010/10/14(木) 01:17:18 ID:Wxa1Gb0c
>>337
>基板パターンはデジアナ分離しておいて、DAC石の下の1点で結合が定石。
その基板に、ADコンバータもあったとすると、
どちらで結合するのが定石でしょうか?
340774ワット発電中さん:2010/10/14(木) 01:23:44 ID:XbnVhs29
>>231

それはどーかな?
実際オーディオメーカ勤務の奴に聞いたんだが、
アキュフェーズだけじゃなくオーディオメーカのやってる回路そのものはどーってことないけど、
音のコントロールが難しいらしい。営業から今の流行はこういう音なので、その方向で音をまとめてもらいたい
とか言われるんだと。これは趣味で無線と実験あたり読みあさってるやつでもないと難しいとおもたな。
音の傾向を言われて、回路と使うデバイスがパッパと思い浮かばないと難しいと思う。会社の図庫に行けば、
過去の図面があるのでそれまねすればいいんだろうけど、あと回路知識もっててもどーしようもないタコ耳ってのもいるんだわ。
コーデックがらみでMOS評価とかやったけど、設計してる人間が一番タコでどーしようもないの。

アキュフェーズの音よりいいというのは単に歪み下げたり帯域広げたりって話しじゃないから。
マッキンなんて物理的に不利になるのわかってていまだにアウトプットトランス使ってるし。
JBL4344でソニーロリンズ聞いたが、
アキュで聞くと帯域狭いし、歪むし、カサカサだったのが、
マッキンは密度が濃くて、エネルギッシュで豊潤で、こんなに違うもんかとオモタ
341774ワット発電中さん:2010/10/14(木) 01:24:18 ID:odfhDRlw
>>339

データシートやアプリケーションノートに従うのが定石。

342340:2010/10/14(木) 01:25:52 ID:XbnVhs29
すまん
アンカまちごた

>>331
343774ワット発電中さん:2010/10/14(木) 01:27:48 ID:odfhDRlw
>>321

アキュフェーズの音自体は悪くないよ。 今の強調音づくりに耳が馴れてるだけでしょ。
アキュフェーズの問題点はC/P比が非常に良くないところだな。
344774ワット発電中さん:2010/10/14(木) 01:36:20 ID:odfhDRlw
>>340

>JBL4344でソニーロリンズ聞いたが
すでにここで微妙…。 アンプちゅうよりスピーカーとの相性が出てるのでは。
アウトプットトランス付きは高域で位相が回るから嫌い。

で肝心のFPGAでD/Aだけど、今の3.3V VCCIOの石にやらせるのは
デメリットばかり目立つから良くて6〜8ビット
それ以上は素直に専用のD/Aコンバータ付ける方が特性も信頼性も上。
345774ワット発電中さん:2010/10/14(木) 01:45:27 ID:XbnVhs29
>>344

ちょっとまった、パワーアンプでスピーカとの相性あるなんてのは当然だろ。
むしろJBLを社内で標準モニタに使ってるのに糞音しかしないアキュフェーズがおかしい。
いったいどのスピーカとマッチするんだ。
346774ワット発電中さん:2010/10/14(木) 01:48:18 ID:XbnVhs29
>>344
>D/Aコンバータ付ける方が特性も信頼性も上。

んなこたぁ皆わかった上のこと。
方やレーザトリミングしてるICとFPGAの出力インピーダンス以前に
抵抗の精度自体違うんだから。
347774ワット発電中さん:2010/10/14(木) 01:50:45 ID:XbnVhs29
>>343
>今の強調音づくりに耳が馴れてるだけでしょ。

"今の"ってどのくらいの期間を言ってる?JBL4344っていつの設計か知ってるか?
348774ワット発電中さん:2010/10/14(木) 01:53:16 ID:dQpLIFCQ
みんな、そろそろ寝ないと。
明日も仕事でしょ。
349774ワット発電中さん:2010/10/14(木) 01:56:33 ID:odfhDRlw
>>34

>パワーアンプでスピーカとの相性あるなんてのは当然だろ。
>むしろJBLを社内で標準モニタに使ってるのに糞音しかしないアキュフェーズがおかしい。

なんかピュアオーディオ加齢臭がするなwww

350774ワット発電中さん:2010/10/14(木) 02:03:34 ID:XbnVhs29
>ID:odfhDRlw

おまえか、最近増えてきた音声回路設計させたら単に音が出るだけのとんでも設計するゆとり世代エンジニアってのは。

>アウトプットトランス付きは高域で位相が回るから嫌い。

アキュの音が言いなんていうタコ耳じゃわからんだろ、位相回転しかも高域で聞き分けられるって?大嘘だな。
351774ワット発電中さん:2010/10/14(木) 02:21:41 ID:odfhDRlw
先輩の金言に
 「オーディオオタに事実は無意味だ。彼らの求めているのは賛辞だけだ。」
というのがある。
352774ワット発電中さん:2010/10/14(木) 06:56:06 ID:pAX9mbsF
で・・なんでFPGA/CPLD/VHDL/Verilog/論理設計?
353774ワット発電中さん:2010/10/14(木) 08:04:29 ID:dQpLIFCQ
>>351
かっこよすぎるw
354774ワット発電中さん:2010/10/14(木) 19:25:14 ID:rAC7MsTC
妙に伸びてると思ったら
オーディオオタとネトウヨが暴れてたのか
ネトウヨの発言はそれなりに面白いけど
オーディオオタはほんとどうでもいいわ
355774ワット発電中さん:2010/10/14(木) 19:43:23 ID:PVJbNy+M
賛辞を求め大惨事かw
356774ワット発電中さん:2010/10/14(木) 20:20:39 ID:uCfIDYsd
> ID:XbnVhs29
氏ね
357774ワット発電中さん:2010/10/14(木) 20:29:14 ID:rjYo7cTU
位相差は耳では聞き分けられない、原理的に。
358774ワット発電中さん:2010/10/14(木) 20:33:22 ID:gGnbbwYU
>>357
FIRフィルタでもIIRフィルタでも、聴覚上は違いが出ない訳ですね。
359774ワット発電中さん:2010/10/14(木) 21:10:16 ID:OJjX+yk2
>>358
もともと結果が同じにならないからw
FPGAで実装する場合、FIRのメモリをどう実装するかが問題。

>>367
単音ならね〜
その他の音だと周波数特性に反映されるので「原理的に」というわれると、う〜ん。
360774ワット発電中さん:2010/10/14(木) 22:36:13 ID:9VRPFhPL
FPGA屋さんも論理合成前後で形式検証ってするの?
361774ワット発電中さん:2010/10/14(木) 22:38:58 ID:gGnbbwYU
>>360
実機がうまく動かないときに一度だけやった事がある。
362774ワット発電中さん:2010/10/14(木) 23:25:08 ID:cGdEqqdx
>FPGAで実装する場合、FIRのメモリをどう実装するかが問題。

FIRのメモリ?実装の仕方はIIRでも同じだ
と思ったら、全角バカか。
技術屋で全角英数使うアホは死ぬしかないな。

363774ワット発電中さん:2010/10/14(木) 23:29:35 ID:cGdEqqdx
>単音ならね〜
>その他の音だと周波数特性に反映されるので「原理的に」というわれると、う〜ん。

コイツ何いってんだ。
人間の耳は位相なんか聞き分けられるかよ。バカか。
もいっぺんいっとこ。

死 ね



364774ワット発電中さん:2010/10/14(木) 23:56:55 ID:OJjX+yk2
またオーオタかよ。 勢いだけで文句つけるのはカッコわるいなあwww

もっと理論的にサクッと批判されたいものだねえ。

365774ワット発電中さん:2010/10/15(金) 00:38:18 ID:3cqBKSEw
また全角厨かよ。技術屋のくせに全角英数平気で書き散らかしてカッコわるいなあwww

常識のない恥の上塗りはもっとカッコわるいよ。いろんなこと もっと^2勉強しようよ。♥
366774ワット発電中さん:2010/10/15(金) 01:34:46 ID:MonZb8wV
やっぱりオーオタは、オーオタでしかないのな。 可哀相にwww
367774ワット発電中さん:2010/10/15(金) 01:47:40 ID:sFGnJrfc
やっぱりゆとりばかは、ばかそのものなのな。 可哀相にwww
368774ワット発電中さん:2010/10/15(金) 14:41:18 ID:o25LOcg7
位相が変化するあたりっていうのはF特上でも影響が出てることが
多々あるから勘違いしやすいんだろうな。

369774ワット発電中さん:2010/10/15(金) 15:13:03 ID:X0J0XoV3
370774ワット発電中さん:2010/10/15(金) 18:41:52 ID:871uD2bl
>>363
>人間の耳は位相なんか聞き分けられるかよ。バカか。

それが聞き分けられるんだな。
ただし、位相差で認識する訳ではなく、音圧と合わせて音像として認識する。
ついでに、その音像からフィルタリングも出来る。

だから、雑踏の中から特定の音だけを聞くことが出来る。
371774ワット発電中さん:2010/10/15(金) 18:59:46 ID:MMb/YBwF
それは位相差を聞き分けていることになるのか?
372774ワット発電中さん:2010/10/15(金) 19:08:06 ID:Zse+8iPf
なるんじゃね?
位相と音圧は表裏一体だし。
373774ワット発電中さん:2010/10/15(金) 19:54:05 ID:o25LOcg7
位相とディレイが一緒になってないかいな?
374774ワット発電中さん:2010/10/15(金) 19:58:48 ID:0Z0MJ+qo
耳から脳へはスペクトルしか行かないんだろ。神経の中を音の振動が
伝わるわけじゃないよね。
375774ワット発電中さん:2010/10/15(金) 20:48:11 ID:Zse+8iPf
スペクトルしか行かないけど、頭を動かしたりして位相情報を補ったりするんじゃね?
376774ワット発電中さん:2010/10/15(金) 21:01:45 ID:3TlTV8ue
実際にWAVファイル作って試してみるとよろし。
377774ワット発電中さん:2010/10/15(金) 21:26:34 ID:MonZb8wV
位相というより群遅延特性じゃないのか?
378774ワット発電中さん:2010/10/15(金) 21:58:38 ID:aw6wdBpR
>ID:871uD2bl
>ID:Zse+8iPf
見苦しい。寝言繰り返すのはいい加減辞めろ。
379774ワット発電中さん:2010/10/15(金) 21:59:44 ID:PcwwsOme
>>335-336
おそらく、電圧加算のR-2Rラダー式D/Aと、電流加算式D/Aの区別が付いて
いないんジャマイカ?(w

> FETでプッシュプル組んで、貫通電流とか考えなくて大丈夫なのかな。

>>333 で、「Low/High-Z出力するとしても」

なんて書いてるので、たぶん外付けのN-ch MOSFETを使い、オープンドレイン
出力にラダーを繋ぐつもりではないかと思われ。

基本スルーで論理設計しかできん「ゆとり」が日々量産されていく現場を
見た気がした...。(ポルナレフのAA略)
380774ワット発電中さん:2010/10/15(金) 22:02:29 ID:2G22T6fj
論理設計が楽しいです。
FPGAの外なんて面倒くさくて考えたくありません。
381774ワット発電中さん:2010/10/15(金) 22:04:05 ID:AEK4uvSw
>>380
ASICならともかく、FPGAだったら外の事も考えないとだめじゃない?
382774ワット発電中さん:2010/10/15(金) 22:50:20 ID:aw6wdBpR
4bitだけど、素人向けにちゃーんと実測してくれてる。
これで、不満な奴は定番ラダーDAC使うな。

http://www.eleki-jack.com/mycom2/2008/12/hc08qy4a_25r2rda6.html
383774ワット発電中さん:2010/10/15(金) 22:53:59 ID:2G22T6fj
>>381
う〜ん、外とのやり取り部は他の人が担当してます・・・
まぁ、論理的なやり取り以外はハイインピにするぐらいしか考えられないっす。
384774ワット発電中さん:2010/10/15(金) 23:01:01 ID:aw6wdBpR
Hi-Zて外部の電圧に任すバスの話しで、
ラダーDACのドライブに関係無いだろ。
385774ワット発電中さん:2010/10/15(金) 23:15:52 ID:uq4VcLlP
HとZにすれば電流加算でDACにできるよね
386774ワット発電中さん:2010/10/15(金) 23:20:26 ID:MonZb8wV
オーディオオタク義はもう止めようw
387774ワット発電中さん:2010/10/16(土) 00:35:50 ID:xSlmNVW6
外付けFETならPch-FET使えばいいし、
プッシュプルにする必要ないような・・・まぁいいか。
論理設計に関係ないし〜(語尾上げ口調で
388774ワット発電中さん:2010/10/16(土) 00:50:25 ID:5PCGt+9R
罪と寺でVerilogの論理合成が自社ツールだけでできるようになったのってどのバージョンからか覚えてる人居る?
寺はmaxplusのときレオナルドの寺バージョンってのがあって、これを使わないとできなかったと思うんだが?
んで、知らないうちにQuartusがリリースされてたんだが、これははじめから自前でVerilog合成できた?
罪はこのころ全くつかって無かったんでわからない。
389774ワット発電中さん:2010/10/16(土) 00:57:29 ID:5PCGt+9R
肝心なこと聞き忘れた。論理合成にSyplifyやらPrecisionやら使ってる人居る?
自前と比べてスピード、回路規模の改善程度はどんなもん?
しのぷはこれから製品展開どーするんだろ?
390774ワット発電中さん:2010/10/16(土) 02:51:28 ID:wBnG7N7h
ISEのオプションに「Unused IOB Pins:Float」と言うオプションがあったのですが、
これは全部のIOにはスイッチが入っていて、物理的にオープン状態になると言うことなのでしょうか?
FPGAは、そんなにすごいデバイスだと思っていなかったので・・・信じられなくて。
391774ワット発電中さん:2010/10/16(土) 02:59:58 ID:/vvW6FsE
どういう意味で物理的といってるかは分からんが、
半導体スイッチによってIOを電気的に浮いている設定に出来るよう作ってある。
392774ワット発電中さん:2010/10/16(土) 03:15:33 ID:Ju04XPn3
>>390
その通り、ほとんど全ピンが別々バラバラに設定できる。

「セレクトI/O」とか言ったりする。
商社の営業マンに「なんでセレクトって言うのか?」と聞いたら答えられなかった。

自分で調べたら、
いろいろな電圧規格やシングル/差動などいろいろな駆動方式、
3段階のSrewRateの選択、シングル終端、テブナン終端、pull-up, pull-down, Hi-Zなど多彩な終端、
それらが それぞれのピンに別々に設定出来るので、
その中から「セレクト」できる、という意味でセレクトと言うようだ。

ついでに「ロケットI/O」について、なぜ「ロケット」と言うのか調べてみた。
ただ単に高速=ロケット、という理由らしい。な〜んだ、アホらし。

Core Genのアイコンが電球のようなアイコンになっていて、
xawのアイコンが花火のようなアイコンになっている。
なぜ電球と花火なんだろうかと、疑問に思ってるので、営業に聞いてみる。
393774ワット発電中さん:2010/10/16(土) 03:20:08 ID:DdbcdIwF
>>392
営業さんに嫌われちゃうよw
394774ワット発電中さん:2010/10/16(土) 11:34:40 ID:iPCoHmM3
>>389
Synplify 使ってたけど、以前より違いがないような気がする。
違いが出るのは、デバイスをぎりぎりまで使いきるような場合くらい。
確かに合成は早いけど、論理合成よりP&Rにかかる時間が大半だから結局ISEに依存。
PCをLinuxにしてメモリーをたっぷり載せた方がいい。
395774ワット発電中さん:2010/10/16(土) 12:51:00 ID:6aYPfPEV
論理合成よりシミュのほうが問題なんとちゃう?
QuartusもISEもWinでも64bitになってLinux版とそんなに違う?てかなんでそんなに違うの?
未だにmodelsim のwin版が32bitしかないのが律速になってない?

396774ワット発電中さん:2010/10/16(土) 13:41:58 ID:NmWxC3qe
>>389 と論理合成の話をしているのだが・・・
397774ワット発電中さん:2010/10/16(土) 14:01:02 ID:6aYPfPEV
>>ID:NmWxC3qe

>>394は速度から見れば、Synplify使うよりLinux 版のISE+多メモリが論理合成に利点ありと言ってるんだろうが、
Linuxのネイティブ実行環境を用意して(じゃないと速くないだろうから)、
Linux版を走らせるよりWin x64が速度的に遅いのか常識的に考えて理由がわからんつってんだよ。
もともと未だにTcl/Tkやら色濃くUnixの面影残してて、さらに32bitしかないmodelsimならwin版が遅いことも理解できると言ってんの。

それとも、単なる想像の作り話か?↓
>PCをLinuxにしてメモリーをたっぷり載せた方がいい。
398774ワット発電中さん:2010/10/16(土) 14:02:51 ID:6aYPfPEV
訂正
もともと未だにTcl/Tkやら… → もともとUnix環境で開発されて、未だにTcl/Tkやら…
399774ワット発電中さん:2010/10/16(土) 16:10:51 ID:xSlmNVW6
Win x64のPCでISEコンパイルかけたら遅かった記憶がある。

確かWinXP32bit/Core2Duo@3GHz/メモリ4GBの環境で1hだったのが
WinXP64bit/Xeon@3GHz/メモリ16GBの環境で1.5h掛かった。

ISE8or9くらいの時の話なのでうろ覚えだけど、訳がわからんかった。
ISEが32bit処理してて64bit環境では32bitエミュ動作でもしてたのかな?
400774ワット発電中さん:2010/10/16(土) 18:23:12 ID:7Xo1hdXD
IntelのCPUは64bit動作時に32bit命令使うとパフォーマンス落ちるらしい。AMDはそんなことないらしい。
401774ワット発電中さん:2010/10/16(土) 18:58:10 ID:WOtqaWWz
そこらで普通に売っているPCに載ってるCPUは、Intel製でもItanium(IA64)系
じゃなくて、AMD64命令をパクった互換CPUじゃないの?
402774ワット発電中さん:2010/10/18(月) 17:56:25 ID:fkUN/lbg
>>378
まぁまぁw
2chステレオで実現する擬似5.1chサラウンドの原理って知ってる?
音響工学の初歩なんだけどね。
403774ワット発電中さん:2010/10/18(月) 19:08:15 ID:BtOJNSx+
>>401
AMD自体x86アーキ朴ってるんだからお互い様だろ。Intelにたいし朴り野郎とは口が裂けても言えないんじゃないの
昔はIA64をデスクトップマシンにも普及させるとか言ってたのに、x64のおかげでIA64はほぼ終了だな。
でも、売ったマシンの互換性のために完全に捨て去るわけにもいかんのかな?
404774ワット発電中さん:2010/10/19(火) 10:47:51 ID:iDPfbQf9
元々は正規のセカンドソースだった。

286だったか386だったか486だったか忘れたが、Intel側が新しいチップは
昔の契約の有効範囲内じゃないとか主張して、それからは互換チップを
作るようになった。
405774ワット発電中さん:2010/10/20(水) 08:37:54 ID:J30d3pZi
>>404
そう。セカンドソースしてたのは286まで。ただ、

>昔の契約の有効範囲内じゃないとか主張して、それからは互換チップを

それはちょっと違う。
最初に386互換チップを作った時に、ライセンスを受けてた286のOPコードを使用。
そこをintelに噛みつかれ販売中止。
設計しなおして出したのがAm386(だったと思う)。
406774ワット発電中さん:2010/10/20(水) 11:04:48 ID:yzGtksy9
>>401
命令が一緒でも実装は違うだろうに
407774ワット発電中さん:2010/10/20(水) 14:29:39 ID:GbCaF+fg
>>406
実装云々の以前に、IA64とAMD64にバイナリ互換性はないよ。バイナリレベル
での互換性があれば、Microsoftだって、わざわざIA64とAMD64(x64)用の
Windowsやアップデートパッケージを用意する必要がない。
408774ワット発電中さん:2010/10/20(水) 19:36:51 ID:fWnCgjL0
> IA64とAMD64にバイナリ互換性はないよ。
誰一人、あるとは書いてない。
409774ワット発電中さん:2010/10/20(水) 19:52:39 ID:4Qyej70d
100ピンくらい信号を取り出したいんだけど、お勧めのFPGAボードはありますでしょうか?
(周波数は1信号あたり20Mbpsくらいまで出ればOK)

410774ワット発電中さん:2010/10/20(水) 20:53:46 ID:AIhwry5r
FPGAなら、小さいヤツでOKじゃね?
411774ワット発電中さん:2010/10/20(水) 21:09:41 ID:GbCaF+fg
>>408
では、ニーモニックに互換性があるとでも?(w

>>405
「286のOPコードを使用」とか意味不明なんだが、決裂の発端はIntelが386を
AMDにライセンスしなかったのが原因かと。というか、たぶんAMDが発売する
直前あたりでIntelが方針転換したんではなかったかと。クロスライセンス
で286も386もIntelの設計資料がAMDに渡っていたはず。

おまいらがFPGAにPico Blazeをフィッティングさせるのとは訳が違うから、
基本設計からやり直していたら、発売時期を逃してしまうよ。
412774ワット発電中さん:2010/10/20(水) 21:17:16 ID:H7wCPqjY
Spartan-3A スタータ キットに100pinコネクタ付いてたな
413774ワット発電中さん:2010/10/20(水) 22:39:13 ID:4Qyej70d
>>412
>Spartan-3A スタータ キットに100pinコネクタ付いてたな

コネクタ端子が全部信号じゃないですよね?

414774ワット発電中さん:2010/10/20(水) 22:58:18 ID:RIgekQV4
教えてください。
XilinxのVirtex4の評価ボードがあるのですが、
そこに付いている2.54mmのコネクタ(ヘッダピン)が、3列なんです。
http://mcnc.hp.infoseek.co.jp/cgi-bin/img-box/img20101020225615.jpg

ヒューマンのボードとか秋月のH8マイコンの2.54mmコネクタは2列ですよね。
2列だと、普通にフラットケーブルを圧接して、I/O取出しもできます。
同じ事がしたいのですが、
3列でもフラットケーブル用の圧接コネクタってあるのでしょうか?
415774ワット発電中さん:2010/10/20(水) 23:08:28 ID:4Qyej70d
>>414
>3列でもフラットケーブル用の圧接コネクタってあるのでしょうか?
ピッチが合わないから無いんじゃないかな
ケーブルが2本になるけど2列ずつ左右に出せば?
416774ワット発電中さん:2010/10/20(水) 23:10:11 ID:GbCaF+fg
>>414
圧着コネクタがあるかどうか知らないが、2.54mm×2列のコネクタから引き
出すフラットケーブルは、1.27mmピッチ(2.54mm÷2)で被覆付き電線が並ん
でいる。ハーフピッチ(1.27mm×2列)のヘッダから引き出すフラットケーブル
は、0.635mmピッチ(1.27mm÷2)で被覆付き電線が並んでいる。

2.54mmピッチ×3列のコネクタから1本のフラットケーブルで引き出すには、
2.54mm÷3の間隔でワイヤが並んだフラットケーブルが必要になるわけだが、
そんなものがあるとは聞いたことはないな。

探せばあるかもしれんが。(w

スタック基板間の接続用の3列ヘッダと3列ソケットなら製品は存在はする。
417774ワット発電中さん:2010/10/20(水) 23:12:04 ID:4Qyej70d
>>415
すまん、2列と1列ずつ左右ね。
418774ワット発電中さん:2010/10/21(木) 01:47:34 ID:b3np3OH2
>>399
こういう情報はもっと欲しいねぇ。

Core2が64bit環境で遅くなる理由は以下の2点
1. 命令キャッシュからプリデコーダへのデータパスの幅が16バイトしかないのに、
 x64で拡張されたレジスタ(R8〜R15)を使用するとプリフィックスで命令長が1バイト
 伸びてしまい、1クロックでデコードできる命令数が減る可能性がある
2. 64bit環境ではMacro-Fusionが無効になる

さらに、ISEが32bitだったりするとwow64のオーバーヘッドも追加されるし。

これらの内、2のMacro-Fusion問題はCoreiシリーズになって解消されているので、
Corei搭載PCに換えれば高速化を望むことが可能だけど、1のREX(プリフィックス)
問題が支配的なのだとしたら、Coreiでは拡張されずにそのままだから、現状の
Intel CPUを使う限り逃れられないということになるね。

参照:ttp://pc.watch.impress.co.jp/docs/2006/0718/kaigai288.htm

会社でも自宅でもCore2環境なんで試せないんだが、Coreiだと64bit化+メモリ増量
でISEを高速に実行できるんだろうか。
419774ワット発電中さん:2010/10/21(木) 03:23:15 ID:Q4yo/g4k
>>414
しかしその3列のピン、Xilinxは どうやって使えと言うんだろう。

2列と1列を持ってきて、同時に差し込めるかと思ったが、
誤挿入防止のキーがあるから、そのままは刺さらない。
420774ワット発電中さん:2010/10/21(木) 04:00:01 ID:ERsWFrAp
|||  |||
差 G 色  2 差 G
動 N 々   .5 動 N
   D    V   D

こうなってるようだ。
ttp://japan.xilinx.com/products/devkits/HW-V4-ML401-UNI-G-image.htm
ttp://japan.xilinx.com/support/documentation/boards_and_kits/ml401_2_3_schematics.pdf  (P.11)

差動で引き出すのが主目的なんじゃない。
色々のところは基板上のLEDやSWとかをついでに引き出した感じ。
421774ワット発電中さん:2010/10/21(木) 08:46:11 ID:aKEswvsb
>>411
> 基本設計からやり直していたら、発売時期を逃してしまうよ。

事実、逃したよ。i386は1985年、Am386は1991年。
6年も遅れ、この頃にはi486が出てた。

ただ、設計やり直しは俺の記憶違い。
Am386以前にi386互換チップを販売しておらず、
Am386は一時的に出荷停止をくらった。

> 「286のOPコードを使用」とか意味不明なんだが、
CISCの勉強して、K6のデータシート見てみな。
OPコードが記載されてるから。
422774ワット発電中さん:2010/10/21(木) 12:37:19 ID:8UlV5lGB
414です。
みなさん、ありがとうございました。
>>415 >>416 >>419
ありがとうございます。
そうなんですよ。2.54/2=1.27ですよねぇ。よくわからんです。
>>420
わざわざありがとうございます。お手数かけました。
回路図を見ると、何かわかったような気がします。
・1列と2列のコネクタを設置する必要があった。
・そこに「たまたま」2列の2.54mm横に1列のコネクタを置いただけ。

ISEやドキュメントのわかりにくさからして、
そう考えるのがXilinx風だと思いました。

はははは、午後1番で窓から投げ捨ててやります。

ありがとうございました。
423774ワット発電中さん:2010/10/21(木) 15:06:35 ID:d07dB0C/
>>421
> > 「286のOPコードを使用」とか意味不明なんだが、
> CISCの勉強して、K6のデータシート見てみな。
> OPコードが記載されてるから。

バカが偉そうに何だろうね、この上から目線は?(w

OPコードにCISCもRISCも関係ないし、8bitだろうが、16bitだろうが、32bit
だろうがさえも関係ないのだが? マイコンのデータシートなら、どれでも
記載されておるわ。(w

個々の内部実装はともかく、x86アーキテクチャを継承している限り、8086〜
80286〜80386〜現在に至るまで、バイナリ互換なので、当然ながらリアル
モードのOPコードは下位互換性がある。

80386で追加された32bitレジスタ関連の命令と仮想86モード以外、80286と
互換なのは当たり前。それとも、32bitレジスタや仮想86モードを持たない
似非386をAMDが開発していたと珍説を主張するのか?(w

おまいが、OPコードの意味をどう理解して文中で使っているのかが、甚だ
疑問だと言っているのだよ。(w
424421:2010/10/21(木) 15:35:47 ID:jWTIAiDd
>>423
わるかったなばかでよ。おまえよりえらいもんで上から目線はしゃーないんだよ。くそったれが


    ,r´⌒ヽ,⌒ヽ,ヽ
   (⌒)、   .人  λ\、 ._____
    \. \    、 ヽ./ ー  ー\
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーもすみませんでした
     |.   \   |       ` ⌒´   |
  .   |.   |.\_ノ\            /
  .   |.   |   |   \______/
  .   |   )  .|     . . ̄ ̄
  .   |   |  .|
     |   |.|  .|
  .   |  | .| .|
     /  / / ヽ,
    (__ノ  ヽ、__つ

425774ワット発電中さん:2010/10/21(木) 15:40:53 ID:nEZgAycC
スレタイ読めねーのか?
続けるなら他所でやれ馬鹿共。
426774ワット発電中さん:2010/10/21(木) 16:20:56 ID:gj/fp40R
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーもすみませんでした
427774ワット発電中さん:2010/10/21(木) 17:03:30 ID:f1OJ+fkG
>>422
2.54mmピッチの32ピン×3列は、VMEバスなんかで使われてる
ごく標準的なDINコネクタと同じだね。
ケーブル出しならこんな奴かな?
ttp://www.omron.co.jp/ecb/products/cn/5/xc6h_t.html
428774ワット発電中さん:2010/10/21(木) 17:05:24 ID:f1OJ+fkG
>x86アーキテクチャを継承している限り、8086〜
>80286〜80386〜現在に至るまで、バイナリ互換な
そういえば、ちょっとだけ違うところがあったりして、
それをCPUの種別判定に使ったりしていたっけね。

ふと思い出したあの日、あの時、あの娘・・
429774ワット発電中さん:2010/10/21(木) 23:40:17 ID:RqQHlJIo
>>424
AAワロタw
430774ワット発電中さん:2010/10/22(金) 01:10:04 ID:41BmL4Qy
>>423
おまえ、CISCのニーモニックとOPコードの区別がついてないな。
それでプロセッサを語るとはw

しょ〜がねぇ〜なぁ。探してやったよ。

 AMD-K6 プロセッサ データシート
  ttp://www17.tok2.com/home/taro/j20695h.pdf

このデータシートを「オペコード」で検索しながら読んでみな。
ニーモニックとOPコードは違うことが判るだろう。
67ページには、IA-32インストラクションをどの様なOPコードに命令デコードするか詳細が書いてある。

>>425
流れで話を外した。申し訳ない。
じゃぁ、このスレっぽい話題を一つ。

このデータシートにはx86アーキにRISCを突っ込むという大胆なことをやらかし、
AMDはK5→K10へと続き、intelはPentiumPro→Coreまで今のx86系アーキの祖となった、
NexGen社の上流設計の素晴らしさが26ページ辺りから書かれている。

このアーキテクチャ、美しいと思わないか?

FPGAを設計するときは、こうありたいと思ったよ。

>>424
まさかオレの偽者が出てくるとは。ワロタw
431425:2010/10/22(金) 01:45:45 ID:5efOIUnw
>>430
スレタイ読めねーのか?
続けるなら他所でやれ馬鹿。
何度も言わせんな。
432774ワット発電中さん:2010/10/22(金) 01:48:38 ID:l3kyQNHp
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーもすみませんでした
433423:2010/10/22(金) 09:38:16 ID:llm276JJ
>>430
> おまえ、CISCのニーモニックとOPコードの区別がついてないな。

それは、オマエ自身だろう。(w まさか、RISCにはニーモニックが存在しないとか
思っていないだろうな。 ニーモニックってのは、人間がアセンブラ言語のソースを
記述する際に、判りやすいよう命令に付ける名前(予約語)であって、たとえCISCでも、
ゆとり脳のBASICインタプリタみたいに、ニーモニックを逐一解釈しながら実行して
いるわけではない。

>  AMD-K6 プロセッサ データシート
>   ttp://www17.tok2.com/home/taro/j20695h.pdf
>
> このデータシートを「オペコード」で検索しながら読んでみな。
> ニーモニックとOPコードは違うことが判るだろう。
> 67ページには、IA-32インストラクションをどの様なOPコードに命令デコードするか詳細が書いてある。

いくらゆとり脳とひえ、まさか「RISC86® Opcodes」が、AMD-K6のOPコードだと
本気で思ってはいないよな? 頼むから、全力で否定してくれ。(w

「RISC86® Opcodes」欄が空白になっているIA32命令の、AMD-K6内部動作は?

67ページ以降の表の「First Byte」「Second Byte」「ModR/M Byte」がx86のOP
コードだよ? 命令を修飾する「ModR/M Byte」はオペランドとも呼ばれる。実際の
命令は、これに更にイミディエイト値や、アドレスオフセットなどが付く。

> このアーキテクチャ、美しいと思わないか?
> FPGAを設計するときは、こうありたいと思ったよ。

おまえ、FPGAをかじった学生か、まだケツに蒙古斑のある新卒だな。

実際にはRISC/CISCの明確な規定はないし、どちらも一長一短がある。

本来のRISCとは、命令数を減らす一方で、汎用レジスタの本数とアドレッシング
モードを増やすことでCPUコアを小さくし、単純な命令をレイテンシの少ないコアで
高速に実行して処理能力向上を図るという考え方。

そういう意味で、MIPSのRシリーズ等を含めて、最近のCPUはRISCではない。

ことさら「RISC」を謳うのは、アナログやCISCが「時代遅れ」や「古い」といった
ネガティブな印象を顧客に植え付けられた結果、デジタルと同様に、単にコマー
シャルトークでウケがいいからに過ぎない。
434774ワット発電中さん:2010/10/22(金) 11:35:58 ID:pHGrcSb5
自作板で団子さんがお呼びです。
皆様お戻りください。
435425:2010/10/22(金) 11:42:43 ID:5efOIUnw
>>433
スレタイ読めねーのか?
続けるなら他所でやれ馬鹿。
何度も言わせんな。
436774ワット発電中さん:2010/10/22(金) 12:01:09 ID:pHGrcSb5
437774ワット発電中さん:2010/10/22(金) 18:22:12 ID:/8bi7IfQ
おい、誰か鶏なID:llm276JJを黙らせろや。
こいつ鵜挫杉!
438774ワット発電中さん:2010/10/22(金) 19:34:55 ID:llm276JJ


    ,r´⌒ヽ,⌒ヽ,ヽ
   (⌒)、   .人  λ\、 ._____
    \. \    、 ヽ./ ー  ー\
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーもすみませんでした
     |.   \   |       ` ⌒´   |
  .   |.   |.\_ノ\            /
  .   |.   |   |   \______/
  .   |   )  .|     . . ̄ ̄
  .   |   |  .|
     |   |.|  .|
  .   |  | .| .|
     /  / / ヽ,
    (__ノ  ヽ、__つ


439774ワット発電中さん:2010/10/22(金) 19:55:24 ID:Yn+fQ2vx
おれ自分でCPU作ってFPGAに実装したんだが
これってRISCなの?CISCなの?
440774ワット発電中さん:2010/10/22(金) 20:12:34 ID:l3kyQNHp
CPU作ったの!?
すげぇ・・・
441774ワット発電中さん:2010/10/22(金) 20:18:40 ID:jdkCjyTY
すごくはないだろw
442774ワット発電中さん:2010/10/22(金) 21:12:31 ID:lgB3BXsZ
>>439
自己リスクでおながいすます
443774ワット発電中さん:2010/10/22(金) 21:23:42 ID:OZmLRfOm
>>439
TD4ですか、え、TD8?
444421:2010/10/22(金) 22:49:11 ID:5/9xExvk
>>ID:llm276JJ

おまえ俺のAA何勝手につかってやがるんだ。ぶっころっそ
だいたい延々>>433で戯言書いて単に、>>438でAA貼りたかっただけだろ。
ながーい前振りしやがって。許せん
445774ワット発電中さん:2010/10/22(金) 23:42:26 ID:cqjQOzaN
>>439
マイクロプログラムは採用しているの?
無ければRISCでいいんじゃない? たとえ性能がひどくても
446774ワット発電中さん:2010/10/23(土) 00:14:30 ID:odIpYLoz
>>433
あ〜判った。アセンブラのOPコードと混同してるのか。
しょ〜がねぇ〜なぁ。つう事で、続きはここ↓「雑談所」
http://kamome.2ch.net/test/read.cgi/denki/1285508249/25

>>444
成り済ましされるのって、変な気分だなw
447774ワット発電中さん:2010/10/23(土) 00:21:14 ID:mPnpLAI6
>>421の人気に嫉妬w
448774ワット発電中さん:2010/10/23(土) 00:38:28 ID:BaKOdhBO
オーディオで脱線したり、x86で脱線したり、堪え性が無い奴しか居ないのか。
449774ワット発電中さん:2010/10/23(土) 01:02:11 ID:dwjHxVkR
ここは雑談スレらしい。。。。。。。

ソース: http://kamome.2ch.net/test/read.cgi/denki/1284652343/5
450774ワット発電中さん:2010/10/23(土) 01:56:37 ID:OwAs4ZsX
>449
その皮肉、今なら分かるw
451774ワット発電中さん:2010/10/23(土) 02:41:24 ID:4s1TPiIQ
>>449
えっ???? ちがうの?
>>2の時点で雑談スレだと思ってた。
452774ワット発電中さん:2010/10/23(土) 14:01:20 ID:na3wqXEv
では、明確にしますね
このスレはFPGA/CPLD/VHDL/Verilog/論理設計を扱う人の雑談スレですよ
同時に基地外隔離スレでもあります
雑談以外は各専用スレでね
453774ワット発電中さん:2010/10/23(土) 17:05:34 ID:8Ifg2UvK
>>452
ワロタw
まぁ、このスレは>>1が、

> ないから立てた。もうスレまとめちゃっていいよね?
と勝手にまとめて建てたスレ。正規なスレは

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 14
 http://kamome.2ch.net/test/read.cgi/denki/1284649590/

【Verilog】 記述言語で論理設計Project10 【VHDL】
 http://kamome.2ch.net/test/read.cgi/denki/1284233269/

と、ちゃんとある。なら、ここが雑談スレになるのは当然か。
454774ワット発電中さん:2010/10/23(土) 17:10:21 ID:Khl6mDvN
雑談スレでもかまわんけど

後藤弘茂のWeekly海外ニュース
http://pc.watch.impress.co.jp/docs/column/kaigai/

に掲載されているような話を延々されても迷惑だな。
455774ワット発電中さん:2010/10/23(土) 19:05:15 ID:/0JlAIsc
URL違う

【Verilog】 記述言語で論理設計Project10 【VHDL】
http://kamome.2ch.net/test/read.cgi/denki/1284652343/

だろ?
456774ワット発電中さん:2010/10/24(日) 11:16:17 ID:PHE+hnfg
違う違う
こっちのほうがわかりやすい VTNKT
http://zarigani.web.infoseek.co.jp/jkp/jkp22.htm
457774ワット発電中さん:2010/10/24(日) 21:18:23 ID:oHIlQ+Ow
>>1は、どんなつもりでこのスを建てたのか
雑談スレなら大性交だね
458774ワット発電中さん:2010/10/25(月) 13:41:55 ID:6OyeZG+R
統合するのは悪いことではないと思うけど、
今回の統合はちょっと無理があったような気がするね。

サーバが飛んで、スグにできたこのスレは、意外なほどの発言数になり、
質問スレ、工作スレにつぐ勢いだった。しかし内容は雑談っぽいものばかりだった。
つまり、FPGA関係の技術者も雑談がしたかったということじゃないかな。
すなわち、従来通り「FPGAのスレ」 「Verilog, VHDL等の言語のスレ」 そしてこの
「雑談スレ」があればOKという感じがするね。
>>1立てた人、どうよ?
459774ワット発電中さん:2010/10/25(月) 18:36:39 ID:1lPjqjRp
RTL記述とかって、ソフトと違って人口少ないじゃん。
そうすると、こういう掲示板で仲間と話せるってすごくうれしいんよ。
結果的にみんなが自分の仕事にモチベーション持てるなら雑談も全然OKと思う!
460774ワット発電中さん:2010/10/26(火) 00:23:56 ID:tKYPj0JY
>>459
そうそう、全く同感ね。
ここは雑談スレとして、
技術的な相談や質問を従来の2つのスレで受け持つという。
次スレのタイトルには「総合」をやめて「雑談スレ」にする予定。

461774ワット発電中さん:2010/10/26(火) 00:55:32 ID:xLebMvxS
折角、1スレ見るだけですんでたのにな・・・・
雑談スレでもいい気もしないでもないけど、板的にはそう言うのいいんだっけ?
お気に入り増えるの嫌だから、このスレ消化したら消してしまいたい。
462774ワット発電中さん:2010/10/26(火) 05:23:24 ID:Eij4lmt5
1だけど、複数スレ見るのが面倒&両方過疎スレだったからいい機会だと思って統合したけど
その結果、見るスレが増えるのならこのスレはいらないね。くそすれたててすみません。
463774ワット発電中さん:2010/10/26(火) 07:58:51 ID:prJi0Mnu
誰が許すか!死ね。
464774ワット発電中さん:2010/10/26(火) 10:21:10 ID:nygHorpD
>>463
はぁ?
465774ワット発電中さん:2010/10/26(火) 11:08:31 ID:tKYPj0JY
>>462 (=1)
旧スレ2つの復活本人です。

>その結果、見るスレが増えるのならこのスレはいらないね。
そんなこと無いと思いますよ。
このスレの最初の頃、どんなスレになるか見ていましたが、
内容は雑談っぽいものばかりとは言え、
意外なほどの発言数になり、質問スレ、工作スレにつぐ勢いでした。
ほんとに>>459の言うとおり、
「FPGA関係の技術者も雑談がしたかったということ」だと思いました。
なので、平行で行けばいいと思います。
スレを2つ見るのも、3つ見るのも、時間はかからないと思いますよ。
466774ワット発電中さん:2010/10/26(火) 16:18:03 ID:lwiFoqN/
ハードウェアらしく、コンカレントにいこうぜ!
467774ワット発電中さん:2010/10/26(火) 19:41:57 ID:wblqgUKp
    ,r´⌒ヽ,⌒ヽ,ヽ
   (⌒)、   .人  λ\、 ._____
    \. \    、 ヽ./ ー  ー\
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーも雑談スレですみませんでした
     |.   \   |       ` ⌒´   |
  .   |.   |.\_ノ\            /
  .   |.   |   |   \______/
  .   |   )  .|     . . ̄ ̄
  .   |   |  .|
     |   |.|  .|
  .   |  | .| .|
     /  / / ヽ,
    (__ノ  ヽ、__つ
468774ワット発電中さん:2010/10/26(火) 20:46:32 ID:1UegIcaB
>>467
もうこのスレのマスコットだなw
469774ワット発電中さん:2010/10/26(火) 21:20:17 ID:kY3oGytn
ということにしたいのですね。
470774ワット発電中さん:2010/10/26(火) 21:35:31 ID:uWzwJfES
>>466

   ,r´⌒ヽ,⌒ヽ,ヽ                    ,r´⌒ヽ,⌒ヽ,ヽ
  (⌒)、   .人  λ\、 ._____          (⌒)、   .人  λ\、 ._____
   \. \    、 ヽ./ ー  ー\         \. \    、 ヽ./ ー  ー\
    |\ \    ヽ./ ( ●) ( ●)         |\ \    ヽ./ ( ●) ( ●)
    |  \  \ /     (__人__) \       |  \  \ /     (__人__) \
    |.   \   |       ` ⌒´   |      . |.   \   |       ` ⌒´   |
 .   |.   |.\_ノ\            /        |.   |.\_ノ\            /
 .   |.   |   |   \______/         |.   |   |   \______/
 .   |   )  .|     . . ̄ ̄             |   )  .|     . . ̄ ̄
 .   |   |  .|                      |   |  .|
    |   |.|  .|.                      |   |.|  .|
 .   |  | .| .|                      |  | .| .|
    /  / / ヽ,                    /  / / ヽ,
   (__ノ  ヽ、__つ                  (__ノ  ヽ、__つ

はいはい、どーも雑談スレですみませんでした
はいはい、どーも雑談スレですみませんでした
471774ワット発電中さん:2010/10/26(火) 22:08:21 ID:1UegIcaB
ちょwww

でもロジック面積(スレ容量)2倍喰っちゃうぞw
472774ワット発電中さん:2010/10/26(火) 22:10:30 ID:tKYPj0JY
>>470
それはコンカレントではない。パラレルだよ。
473774ワット発電中さん:2010/10/27(水) 01:52:10 ID:3rURZz9J
>>471
倍クロックでそのブロックで動かして、時分割で使えばおk
474774ワット発電中さん:2010/10/27(水) 06:13:08 ID:St/JqNf4
         し!     _  -── ‐-   、  , -─-、 -‐─_ノ
  小 童    // ̄> ´  ̄    ̄  `ヽ  Y  ,  ´     )   童 え
  学 貞    L_ /                /        ヽ  貞  |
  生 が    / '                '           i  !? マ
  ま 許    /                 /           く    ジ
  で さ    l           ,ィ/!    /    /l/!,l     /厶,
  だ れ   i   ,.lrH‐|'|     /‐!-Lハ_  l    /-!'|/l   /`'メ、_iヽ
  よ る   l  | |_|_|_|/|    / /__!__ |/!トi   i/-- 、 レ!/   / ,-- レ、⌒Y⌒ヽ
  ね の   _ゝ|/'/⌒ヽ ヽト、|/ '/ ̄`ヾ 、ヽト、N'/⌒ヾ      ,イ ̄`ヾ,ノ!
   l は  「  l ′ 「1       /てヽ′| | |  「L!     ' i'ひ}   リ
        ヽ  | ヽ__U,      、ヽ シノ ノ! ! |ヽ_、ソ,      ヾシ _ノ _ノ
-┐    ,√   !            ̄   リ l   !  ̄        ̄   7/
  レ'⌒ヽ/ !    |   〈       _人__人ノ_  i  く            //!
人_,、ノL_,iノ!  /! ヽ   r─‐- 、   「      L_ヽ   r─‐- 、   u  ノ/
      /  / lト、 \ ヽ, -‐┤  ノ  キ    了\  ヽ, -‐┤     //
ハ キ  {  /   ヽ,ト、ヽ/!`hノ  )  モ    |/! 「ヽ, `ー /)   _ ‐'
ハ ャ   ヽ/   r-、‐' // / |-‐ く    |     > / / `'//-‐、    /
ハ ハ    > /\\// / /ヽ_  !   イ    (  / / //  / `ァ-‐ '
ハ ハ   / /!   ヽ    レ'/ ノ        >  ' ∠  -‐  ̄ノヽ   /
       {  i l    !    /  フ       /     -‐ / ̄/〉 〈 \ /!こっちもよろしくな
475774ワット発電中さん:2010/10/27(水) 12:01:53 ID:VZ5A3uP2
ここのどー読んでもover五十路くさいおっさん連中が童貞?
チンカスたまってんのか?しろーと童貞は居るのか?
476774ワット発電中さん:2010/10/27(水) 17:01:14 ID:X30m1VUj
21さいどうてい居ます
477774ワット発電中さん:2010/10/27(水) 20:25:35 ID:cLE5Vx2v
28歳真性童貞です。
478774ワット発電中さん:2010/10/27(水) 22:59:49 ID:QDMvGtLe
いるのかよ。
479774ワット発電中さん:2010/10/28(木) 23:14:39 ID:yhZ37M+3
ニュートンさんに謝れ、全力で謝れ
480774ワット発電中さん:2010/10/28(木) 23:38:53 ID:qCIW747Z
やっぱあれほどの偉人ともなると違うな・・・
481774ワット発電中さん:2010/10/29(金) 16:26:27 ID:yDk89mo4
33歳まで童貞でしたよ。
482774ワット発電中さん:2010/10/30(土) 10:12:54 ID:ldjSwsQV
宗教上の理由ということにしておけば
483774ワット発電中さん:2010/10/31(日) 09:23:50 ID:zaNJ0z47
魔法使いになるための第一歩ですだ
484774ワット発電中さん:2010/10/31(日) 10:35:23 ID:N2lisdN1
たしかにエロへの執着がなければもっと遠いところへ逝けたと思う
485774ワット発電中さん:2010/11/08(月) 02:17:39 ID:4WH88VA6
数学者は結婚すると駄目になるそうな。
486774ワット発電中さん:2010/11/09(火) 20:09:44 ID:7M05wAL+
verilog書き程度なら変化無いだろう
487774ワット発電中さん:2010/11/10(水) 09:46:10 ID:MhQM8hbP
名前忘れたけど、どこかintelと組んで22nmプロセスで超高速FPGA出すらしいな。
488774ワット発電中さん:2010/11/10(水) 10:17:38 ID:lxl14xbo
アクロニクスな
489774ワット発電中さん:2010/11/10(水) 21:32:20 ID:9hTTltIH
別に組むっていうほどじゃないだろ。
490774ワット発電中さん:2010/11/10(水) 23:57:40 ID:N2X8ev2i
おお、intelがFPGAに手出すのか。
491774ワット発電中さん:2010/11/11(木) 00:28:43 ID:0AVa4Jru
手始めにFPGAのダイ買って
デュアルダイ構成にするみたい。

んで会社買収してウマウマ。
492774ワット発電中さん:2010/11/11(木) 09:28:02 ID:ZIcbPf95
サーバやハイエンドPC向けCPUに演算用の大規模なヤツを
組み込んでくれたりすると、AMDから乗り換えるかもだ。
493774ワット発電中さん:2010/11/11(木) 10:03:34 ID:zXldOAt6
インテルがFPGAに手を出したんじゃなくて
他社からの受託製造ビジネスをインテルも始めたってこと
494774ワット発電中さん:2010/11/11(木) 19:36:20 ID:YYT6LYlu
自家用CPUだけじゃ、工場回せなくなったのか?
495774ワット発電中さん:2010/11/12(金) 08:29:00 ID:d9MviTlm
インストラクションをカスタマイズできるなんて胸熱だな
496774ワット発電中さん:2010/11/12(金) 09:25:48 ID:hMNTxD24
いや、それはねぇからw
497774ワット発電中さん:2010/11/13(土) 01:33:40 ID:yseMBek3
マイクロコードを書き換えるんだ
498774ワット発電中さん:2010/11/13(土) 01:53:40 ID:AkGN60wq
コンパイラは作り直しですか?
499774ワット発電中さん:2010/11/13(土) 09:41:27 ID:BvCfemPP
そもそもFPGAなんかじゃ全然周波数稼げないからなぁ
数万の超並列って強引な暗号解読くらいしか用途なさそー
500774ワット発電中さん:2010/11/13(土) 11:37:28 ID:elaNS9z+
3GHzで動くZ80とか68000とか嬉しくね?
CP/Mとか動くんだぜ。
501774ワット発電中さん:2010/11/13(土) 11:52:36 ID:xOuNXKuK
速過ぎて問題起きそうだな
502774ワット発電中さん:2010/11/13(土) 12:58:31 ID:+lwOoEla
バス幅32bitに拡張してキャッシュ積んで
パイプライン式にしてってやってけば
ちゃんと高速で動くものができるんだろな。
(3GHzは無理だけど)

ただ、もはやそれはZ80、68000とは呼べなさそう。
互換コードを実行できるナニカだな。
503774ワット発電中さん:2010/11/13(土) 13:21:59 ID:VbODHlvW
下手すればエミュで充分な速度が出せる気がする。
68000コードって結構クロック食うから遅いし、
CPU単体のエミュなら2GHzのC2Dでもそこそこの速度で動くんじゃね?

68000動かして嬉しいっつったら、個人的にはX68k位かなぁ。
まぁ、AthlonXPで100MHz相当を楽に出せるとはいえ、
今更X68kですることって別にないしなぁ。
STed2が動くのは嬉しいけど、キーが足りなくて操作できないし。
504774ワット発電中さん:2010/11/13(土) 19:54:07 ID:atIxtaBA
3GHzのZ80で思ったんだけど、いまどきのメモリって1nsとかで動くの?
505774ワット発電中さん:2010/11/13(土) 21:45:25 ID:O06x1t1L
>>504
メモリは無理だがレジスタなら大丈夫
506774ワット発電中さん:2010/11/13(土) 21:52:41 ID:GD+w3h+/
レジスタだってメモリだよ。
507774ワット発電中さん:2010/11/13(土) 22:15:15 ID:O06x1t1L
>>506
半導体用語なら。
マイコン用語では区別される。組込み屋に言ったら殴られるwww
508774ワット発電中さん:2010/11/13(土) 22:32:53 ID:BvCfemPP
>>506
レジスタだとアドレスデコードの遅延があまり絡まないから速いんだよ
509774ワット発電中さん:2010/11/13(土) 22:33:00 ID:apfLEHIB
今Cpuで行っている32bitの乗算をFPGAで行えないかと考えています。
FPGAで処理速度とか実用的に使えるものでしょうか?
510774ワット発電中さん:2010/11/13(土) 22:34:28 ID:08STvUkI
Microsemi Unveils 65-Nm Embedded Flash Platform
http://www.actel.com/company/press/2010/11/8/
511774ワット発電中さん:2010/11/14(日) 00:10:41 ID:WkfKV8Ou
>>509
今CPUが何かによるが
パイプライン式に実行ならOK、レイテンシ重視ならNG
512774ワット発電中さん:2010/11/14(日) 02:19:29 ID:aMRmPZFA
>>511
SHです。CPUの負荷を減らせないかと考えてます。
513774ワット発電中さん:2010/11/14(日) 09:53:20 ID:vjm8PJuO
乗算なんて外部とやりとりするロスで相殺されるだろw
514774ワット発電中さん:2010/11/14(日) 10:25:45 ID:Tq30wkkS
2chのtripの解析をFPGAで行えないかと考えています
FPGAで処理速度とか実用的に使えるものでしょうか?
515774ワット発電中さん:2010/11/14(日) 10:59:17 ID:PJsEv9Si
>>509
今デスマ中なんだが、ISEのP&R待ちでヒマだったから、
Synplifyで試してみたよ。
乗算器の前後にFFを置いて、32bit*32bit=>64bitで合成

xc5vlx30ff324-1
DSP48E 4 uses で 670.3 MHz

xc3s200aft256-4
MULT18X18SIO 4 uses で 182.4 MHz
516774ワット発電中さん:2010/11/14(日) 12:58:25 ID:vjm8PJuO
>>514
今のトリップ解析ソフトでも特殊命令駆使してるから十分速そうだからなぁ。
単純に置き換えだけじゃFPGAのが負けるんじゃない?
解析回路を一個のFPGAに100回路作り込めればいいけどね、
労力を考えたらPC並べた方が楽だわな。
517774ワット発電中さん:2010/11/14(日) 13:17:31 ID:pOLooUH9
>>514
DMAでFPGAにデータ置いて、乗算した結果をまたDMAで読み出せば?
DMAの転送時間ならデータ量が分かれば速度比較できるでしょ。
データ転送している間に計算終わるくらいの能力はあると思うので。

FPGA内部に格納しきれないデータ量であれば外部メモリつなぐしかないから
レテンシ気にしたりデータの並びを考えたり面倒くさそう。
518774ワット発電中さん:2010/11/14(日) 13:21:18 ID:cOGYRJ0A
乗算だけをやらせるとして、何並列でシステム設計できるか次第だろう。
10や20ぐらいじゃインターフェイスだけで無駄に終わりそうだが。
519774ワット発電中さん:2010/11/14(日) 13:27:24 ID:vjm8PJuO
乗算がネックってどんなシステムなんだろう?
3Dなら専用チップ使おうよ。
520774ワット発電中さん:2010/11/14(日) 14:01:41 ID:vjm8PJuO
>>514
http://jchblue.blogspot.com/2010/04/results-for-sha1-md5-on-hd5870-and-new.html
tripの解析はそろそろグラボに対応するんじゃない?
521774ワット発電中さん:2010/11/14(日) 17:32:58 ID:ZSEN93as
VGAの演算能力は、単純処理向きだけど馬鹿速いからなぁ
522774ワット発電中さん:2010/11/14(日) 21:40:59 ID:3p9+2Bkp
SuperHってDSPブロック持ってるやつもあるよね。それ使えないの?
523774ワット発電中さん:2010/11/14(日) 23:22:05 ID:sfvFscDE
>>514
昔、鳥屋基板とかあったよな。
http://www.neko.ne.jp/~freewing/fpga/des_tripcalc/
ここでもやってるみたいだけど、結局C2DあたりでFPGAと逆転したみたいだしな
524774ワット発電中さん:2010/11/15(月) 14:58:39 ID:VhpV4KY0
>>523
データ同時照合数(100)で稼いでるのかw
計算自体は遅くてビックリ
525774ワット発電中さん:2010/11/15(月) 16:49:43 ID:npPB/1E1
http://www.itmedia.co.jp/news/articles/1010/28/news069.html

ハード屋すくなくとも多くの回路屋とはまったく違ったところで競争されるスパコン競争
ノードつなぐだけ。回路敵にはまったく何の新規性もなし(ソフトも同じだと思うけど)。こんなん競い合う意味あるのか?
実際こんなもん使って製品開発ヤル分野なんて核兵器開発ぐらいしかないしな。
526774ワット発電中さん:2010/11/15(月) 18:25:23 ID:6WC/oeVo
蓮舫乙
527774ワット発電中さん:2010/11/15(月) 19:00:36 ID:YS/Udhps
>実際こんなもん使って製品開発ヤル分野なんて核兵器開発ぐらいしかないしな。
理系にあるまじき発言だな
528774ワット発電中さん:2010/11/15(月) 19:04:40 ID:Jeqx93LN
2番じゃだめなんですか?と馬鹿な質問したren4は死ねばいいけど
実際問題としては競い合う意味はないと思う
529774ワット発電中さん:2010/11/15(月) 19:17:34 ID:Qdbs2lLg
実際、スパコン開発なんてどこも嫌気がさして、国につきあってるの富士通だけじゃん。
日本でスパコン開発できる能力あるのはNEC,日立、富士通しかないのに、2社撤退、富士通頼みで箱物に金つぎ込むだけか?
http://sankei.jp.msn.com/science/science/100929/scn1009291151000-n1.htm
結局、最後は土建屋に金が回ると。
同じ技術に投資するなら軍事と宇宙開発のほうがよっぽどいいと思うわ。こっちのほうがよっぽど裾野も広い。
コンシューマ部品並べるだけのスパコン開発なんて今や意味なし。
このさき、富士通は、まだ国とのおつきあいし続けるんだろか?NECはおつきあいが重荷と判断した。サービス業にシフトしてる富士通は、ヒット商品もないし、
ハード屋の余剰人員をどうしようもなくて国家事業にすがりつくのか?

チャンコロなんか単に並列処理増やしただけの糞スパコンこさえて、石炭火力発電所の電力で動かすんだろうから、偏西風流れてくる日本としては迷惑千万だ
530774ワット発電中さん:2010/11/15(月) 19:30:46 ID:Qdbs2lLg
>>527

開発業務で計算機シミュレートに日々携わってるなら普通は>>525の言うとおりってことが普通にわかるはずだが、
今のスパコンの使い道。こんなもんは理学研究あたりにしか使えない。独自プロセッサ使ったやつなんて独自言語とか。んなもん誰が使うかよ。
だいたい工学分野のシミュレートってのはおおよその方向性を手間暇かけずに確認することこそ意味がある。
んでスパコンの能力使い切るようなシミュレーションしようとすると、逆に実機つくるより手間がかかったりする。
まともに意味があるのは気象予測の精度上げるとか、実際に爆発させるわけにいかない核開発。
ノーベル受賞者がスパコンの予算削減で文句言ってたが、スパコンが製品開発に直結する分野なんて今や無い。
役に立つのは学問分野だが、それも、最高速のスパコンなければ何もできないなんてのは幻想だ。

日本が核持つ決意固めるなら話しは別だけどな
531774ワット発電中さん:2010/11/15(月) 19:53:39 ID:d0CYrf3W
そもそもコンピュータの発明は
弾道計算という戦争目的で成された歴史があり
532774ワット発電中さん:2010/11/15(月) 20:47:15 ID:Jeqx93LN
>同じ技術に投資するなら軍事と宇宙開発のほうがよっぽどいいと思うわ。こっちのほうがよっぽど裾野も広い。

はげどう
533774ワット発電中さん:2010/11/15(月) 21:16:32 ID:Qdbs2lLg
核持つなら絶対必要だよな。
チャンコロは日本標的にした核ミサイル開発してると思うと、単にスパコンの計算能力だけの話しじゃない。
日本も核武装してその開発課程でのスパコンの開発競争もやるべきだと思う。
そうじゃなきゃホント意味ないから。
534774ワット発電中さん:2010/11/15(月) 22:01:36 ID:VhpV4KY0
スパコンは研究室のおもちゃでしかないからなぁ、金使うだけ無駄だ。
クラウドでも間借りしておけ。
535774ワット発電中さん:2010/11/15(月) 22:44:02 ID:AkpWytBq
核を実際に持つかどうかは別にして、潜在能力は培っておきたいもの。
でもそろそろ天気予報方面以外の応用が著名化しないものか。
536774ワット発電中さん:2010/11/16(火) 16:50:45 ID:2DIz9+rT
>>530
そんな調子だからいつまでたっても良いように使われるだけの理系馬鹿で終わる。
もっとシタタカにならなきゃだめよ。
口が裂けても「この程度しか用途がございません」なんて言うもんじゃない。
こじつけでも無理やりでも、文系を丸め込めるような用途を列挙してやるんだよ。
537774ワット発電中さん:2010/11/17(水) 00:55:04 ID:hlEglMNv
>>536
お前か
国の予算食いつぶしてるのは
538774ワット発電中さん:2010/11/17(水) 01:00:56 ID:AG28VE3t
「ロジックが見えないと気持ち悪いんですけど」
539774ワット発電中さん:2010/11/17(水) 06:52:19 ID:NpWjsMQM
>>537
食いつぶし?国からの金なんて現段階でも主要国中で最低ランクですがな。
ttp://www8.cao.go.jp/cstp/project/kenkyu/haihu7/siryo1-3-4.pdf

そんな調子だから、いつまでたってもそんな生活水準に甘んじる結果になってんじゃね?
540774ワット発電中さん:2010/11/17(水) 09:04:19 ID:hdxVnKdn
だからと言って、トップ500のトップグループでも頭一つ飛び出たC/Pの悪いマシンを作ることを
正当化する理由にはならないな。TSUBAME2のC/Pと比べてみろ。
541774ワット発電中さん:2010/11/17(水) 11:26:52 ID:vJcWjwqT
いまさら辞めても違約金が発生するだけだがな
542774ワット発電中さん:2010/11/17(水) 11:33:08 ID:qWYOvg+X
富士通・三菱・日立・東芝・NECそろそろ居なくなっても誰も困らないから解散してくれ。
代わりにグーグルやマイクロソフトのようなソフトメーカーが日本にも欲しいとこだ。
543774ワット発電中さん:2010/11/17(水) 17:05:15 ID:NpWjsMQM
>>540
別にC/Pなんてどーでもいいさ。
そんなにカリカリしなさんな。どれだけの税金をドブに捨てていることか
お前さんが知ったらぶっ飛ぶようなことが他の分野で堂々と行われてる。
いくらC/Pが悪かろうと、この世界じゃちゃんと「ブツ」が出来るんだし、
使ってる金も微々たるもんだ。

>グーグルやマイクロソフトのようなソフトメーカー
それはアメリカ様のOKが出ないから駄目よ。
544774ワット発電中さん:2010/11/17(水) 18:05:01 ID:5nWbn5rp
やっぱり敗戦国ニッポンは、USAに頭が上がらないでしょうか?
545774ワット発電中さん:2010/11/17(水) 18:48:54 ID:UD/envnZ
TRON騒ぎみてりゃ分かるでしょ。
別にBTRONが特別良いものとは思わないけど、別に政治が介入して叩き潰すが
ごとき行動を取るほどの物でもなかったはずなんだけどね。
あのおかげでITRONさえ「使っています」というのを言うのをはばかられるような
日陰OSになってしまった。
結局各社の内部で脈々と使われ続けて、組み込みOSでは実はかなりのシェアを
占めているけど、ほとんどどこも紹介も報道もしないしね。

はやぶさ君もSH-3にμITRONだっけ、そういえば。
546774ワット発電中さん:2010/11/17(水) 19:46:58 ID:5nWbn5rp
へー、そうなんですか。
そのTRONというのは、マイクロソフト様のウインドウズ様と比べて安定なのでしょうか?
ウインドウズ様は、停止されるのは1日に1回程度と優秀です。起動も5分くらいと高速ですし、
メモリだって普通に使う分には、4GBもあれば十分という、コンパクトOSなんですが。
ほぼ毎週のようにバージョンアップがあるので、ネットに接続してウィルスが来ても、大丈夫ですよね。
もともと遅いので、ウイルスから守るソフトを入れても、動作速度は殆ど変わりません。
547774ワット発電中さん:2010/11/17(水) 23:22:22 ID:JjFkboUs
ウインドウズもCEとかEmbeddedとか色々あってな
548774ワット発電中さん:2010/11/18(木) 00:42:29 ID:LsUuBGme
スレチ
549774ワット発電中さん:2010/11/18(木) 12:20:02 ID:IT/jwUBM
>>546
ネタでいってんの?
ラウンドロビンタイプのホントの計算処理用OSと、組み込みのRTOSを同じ土俵で比較してどーするよ
いっかいOSなしでH8あたりでプログラム組んでみ。その上でHOSでもやってみるとか。HOSがどれだけ労力避けるかすぐにわかるから。
割込みを一括管理してくれるだけでもどれだけ便利か。全くOSなしでやるのは車輪の再発明そのものだよ
。モニタ以上のことは必要なくても組込みOSを使う意味はある
550774ワット発電中さん:2010/11/18(木) 12:46:00 ID:nERTuXxM
>>549
>HOSがどれだけ労力避けるかすぐにわかるから。
ん?
551774ワット発電中さん:2010/11/18(木) 13:03:06 ID:IT/jwUBM
何を疑問モッテンの?OS使うのは一にも二にも余計な労力省くこと。
552774ワット発電中さん:2010/11/18(木) 13:35:30 ID:7i5Ii01R
スレチ
553774ワット発電中さん:2010/11/18(木) 21:41:42 ID:3l5nqqUE
>>549
Windows をくそみそにけなしていることに気づけ。
554774ワット発電中さん:2010/11/19(金) 20:52:13 ID:cwND8Y+U
>>544
USAだけじゃないだろ。
英、仏、露、中、韓も戦勝国だ。

TRONに関しては、そもそもOSと呼ぶのが憚れるシロモノだ。
割込みテーブルに毛が生えたモニタに過ぎない。
SH/166MHzでも動くけど、基本的な発想は8bit/20MHz時代のまま。
555774ワット発電中さん:2010/11/19(金) 22:40:20 ID:uereeCEv
韓はちがうだろw
556774ワット発電中さん:2010/11/20(土) 07:50:30 ID:VXpNNS5n
>TRONに関しては、そもそもOSと呼ぶのが憚れるシロモノだ。
>割込みテーブルに毛が生えたモニタに過ぎない。
いくらシナチョンかぶれとはいえ、そこまで無知だとは思わなかった
557774ワット発電中さん:2010/11/20(土) 08:45:27 ID:VXpNNS5n
>>555
ついでに中も違うけどね。
558774ワット発電中さん:2010/11/20(土) 08:56:12 ID:2PwxKd5N
>>554
μITRONのことかな?
559774ワット発電中さん:2010/11/20(土) 09:58:23 ID:VK7ZfIme
いつから韓が常任理事国になったんだ?
560774ワット発電中さん:2010/11/20(土) 11:33:03 ID:FFAkp5t3
正直、「侵略者」である政府を持つ中国が常任理事国の資格を持っている事が不思議。
中華人民共和国って戦前から中華民国と国共内戦を繰り返していた解放軍が
戦後に(対外戦争で疲弊していた)中華民国を倒して成立した国だし、
当然ながら戦勝国ではない。
というか、第二次世界大戦時には勢力こそあれど、存在すらしていなかった国。
561774ワット発電中さん:2010/11/20(土) 13:46:45 ID:VK7ZfIme
現ロシアも第二次世界大戦時には存在してなかったよ
562774ワット発電中さん:2010/11/20(土) 19:33:33 ID:XoZ95Gmn
無知って怖いな
563774ワット発電中さん:2010/11/21(日) 11:18:45 ID:iJWx+bKO
おれはむしろムチムチのほうが(ry
564774ワット発電中さん:2010/11/21(日) 21:00:13 ID:79yjYdFN
回路が大きくなり過ぎてFPGAの中がムチムチになってしまった
565774ワット発電中さん:2010/11/22(月) 00:37:18 ID:l6p7lO7l
ぽちょむきん
566774ワット発電中さん:2010/11/23(火) 23:14:25 ID:g4NWu7Aq
>>564
とてもデジタル屋とは思えない表現だw
567774ワット発電中さん:2010/11/24(水) 01:51:25 ID:g22jxxpp
回路記述が下手すぎてムチムチの場合もあるから注意www
568774ワット発電中さん:2010/11/24(水) 21:54:30 ID:xRild0Ba
無知無知よりガチムチの方がウホッ
569774ワット発電中さん:2010/11/28(日) 05:53:09 ID:YKAHy4G8
無知との遭遇・・・・採点者
570774ワット発電中さん:2010/11/28(日) 06:55:50 ID:TKTxOL5x
I know 無知.
571774ワット発電中さん:2010/11/28(日) 11:40:38 ID:2wgbXNAY
哲学だねえ
572774ワット発電中さん:2010/11/28(日) 16:00:37 ID:MH/ggHnE
アメと無知
573774ワット発電中さん:2010/11/28(日) 17:51:29 ID:9kcm7IbP
回路屋とソフト屋、ハード屋を一人でやってる人はおらんの?
574774ワット発電中さん:2010/11/28(日) 18:20:59 ID:MH/ggHnE
アルゴリズム屋 兼 ハード屋 です。
575774ワット発電中さん:2010/11/28(日) 19:23:12 ID:YKAHy4G8
白金カイロでぽっかぽか
Zippoバージョンもあるでよ
576774ワット発電中さん:2010/11/28(日) 19:27:36 ID:pGwIYkAB
>>573
回路とハードの違いがよくわからんが、
規模小さい開発だとたくさんいるだろう。

で、大規模開発になると、全部できる人でも、
システム設計の立場でしきる業務がメインで、
やったとしてもエンジニアリング業務はほんの一部だろうな。
577774ワット発電中さん:2010/11/29(月) 06:03:04 ID:rbtME908
回路図屋と、実装やるハード屋かなぁ?エスパーすると。
全部自分でやってる。
ハード/ソフトで分けるのではなく、機能モジュールごとに担当を分ける
べきだといつも思う。駄菓子歌詞、日本の大手電機メーカは、部門どころか
子会社がハード/ソフトで分かれてしまってるからなぁ。
578774ワット発電中さん:2010/11/29(月) 07:31:32 ID:Fx5Uj/Uw
大手でも弱小部門だと人がいないから一人であれもこれもやってたりもする。
回路図作るし、プログラムも作るし、筐体の設計もやるし、
取説も書くし、社内認定のための試験なんかも。
579774ワット発電中さん:2010/11/29(月) 09:36:39 ID:vKFa5fKg
アルテラは別としてザイリンクスチップの半田とかコテが無鉛未対応で
ROHS対応になって苦労している。

やはり大手ソフト屋が大半でハード関係は中小子会社に丸投げって事か
基板は全て外注なんだが、データ渡してって所は少ないのかな
実装は試作を兼ねてやってる所もあるみたいですね。
580774ワット発電中さん:2010/11/30(火) 12:40:52 ID:DbhtUIFl
ノ○ノみたいな書きようだな
581774ワット発電中さん:2010/11/30(火) 21:04:40 ID:jADv6ru8
582774ワット発電中さん:2010/11/30(火) 21:33:01 ID:yRFhuNdV
だははw
福島千里のサインはユニークだね。
583774ワット発電中さん:2010/12/03(金) 11:39:35 ID:UxiP47Wu
このこ、知的レベルは健常者の範疇なのか?
584774ワット発電中さん:2010/12/03(金) 12:59:34 ID:Rzd+o1XA
アスリートは筋肉に酸素が逝くから脳は低酸素状態になる
100mや200m全力疾走した後にインタビューされてみろ
おまいら運動不足だったら声も出せないぞ
585774ワット発電中さん:2010/12/03(金) 13:18:36 ID:JfHHsqQg
今の子は、
漢字の「つくり」と「へん」をスペースあけて、等間隔で書くんだよね。
読めんわ!!
586774ワット発電中さん:2010/12/03(金) 18:39:21 ID:gIoVsjPu
昔の子は、目の前の一人二人をもって全体を見たことにせよ、と習ったのかな?
587774ワット発電中さん:2010/12/03(金) 22:02:04 ID:gm84//VJ
分野は違えど、何かを究めるとはスバラシイことだ。
我々もがんばろう!
588774ワット発電中さん:2010/12/04(土) 09:24:51 ID:VcU5hilY
>>585
「言侖王里言殳言十」

いや、つくりとへにスペースを空けるのか・・・こうか?

「言 侖王 里言 殳言 十」
589774ワット発電中さん:2010/12/04(土) 09:59:13 ID:DzdwlviL
>588
ぎゃぁあああw
590774ワット発電中さん:2010/12/04(土) 12:03:59 ID:HDZwpTLA
これは読めないw
591774ワット発電中さん:2010/12/04(土) 16:35:54 ID:s9OxQ4CX
そんなことより、ウチの妹のコトなんだけどさぁ
妹がバカでさぁ・・・
592774ワット発電中さん:2010/12/04(土) 16:57:15 ID:DzdwlviL
かまわん、続けろ
593774ワット発電中さん:2010/12/04(土) 17:27:12 ID:IAmqhEh+
こんなに可愛いはずがない
594774ワット発電中さん:2010/12/04(土) 18:11:51 ID:nIa3Tqh4
そろそろクリスマスだな
595774ワット発電中さん:2010/12/04(土) 21:23:57 ID:vs9Rhoca
脳内妹とか脳内クリスマスとか
596774ワット発電中さん:2010/12/04(土) 21:47:11 ID:elo507A1
クリスマス中止のお知らせ
597774ワット発電中さん:2010/12/04(土) 21:57:37 ID:viHpStzF
日本のFPGA界をしょって建つ人達が、こんなことで良いのでしょうか?
クリスマスもデスマーチなのはわかるけどさ。
598774ワット発電中さん:2010/12/04(土) 23:01:42 ID:wPTYdfAh
仕事があるだけまし
599774ワット発電中さん:2010/12/04(土) 23:59:02 ID:elo507A1
そうですね。
600774ワット発電中さん:2010/12/05(日) 01:30:25 ID:9+L7zArl
ttp://player.vimeo.com/video/16697402
ttp://player.vimeo.com/video/16553832
ttp://www.nicovideo.jp/watch/sm11876236

こういうのってFPGA制御だよね?どのくらいの規模の石で作ってるんだろう?
この板の人達ならきっと家族サービスで日曜大工で作ったりするのかな?
きっと>>597って点燈シーケンスをゴリゴリと愛娘の為に作ってデスマなんだろうなぁ。
ほほえましいなぁ。 父ちゃんカッコイイ!♪
601774ワット発電中さん:2010/12/05(日) 02:06:31 ID:TPPvJPJS
この程度なら8bitマイコン+IOエキスパンダで十分
602774ワット発電中さん:2010/12/05(日) 07:47:57 ID:zR/8VYcn
多分、PCのソフトで拡張I/Oを繋いで制御してる。
603774ワット発電中さん:2010/12/05(日) 10:13:22 ID:UPiYnDf5
拡張I/Oつかパラレルあたりでも充分では?
PCからはパターン情報を出して、それをデコードする回路があれば出来るだろ。
604774ワット発電中さん:2010/12/05(日) 13:59:05 ID:zR/8VYcn
拡張I/Oって誤解があったかも知れんけど、
LAN対応の制御ユニットが市販されてるからそういうの使ってるでしょ。
605774ワット発電中さん:2010/12/09(木) 02:16:11 ID:yvrzs/hW
>LAN対応の制御ユニットが市販されてる
その中にFPGAが入っている。
606774ワット発電中さん:2010/12/09(木) 09:20:21 ID:EULUs5WL
そうとは限らない
607774ワット発電中さん:2010/12/09(木) 17:48:33 ID:4po2xgWy
最近じゃ専用の石を起こすってのはまず無いから、
汎用のマイコンかFPGAのどっちかだろうけど。
608774ワット発電中さん:2010/12/09(木) 22:09:30 ID:o7iS9L8/
教えてください。

Symmetric Rounding to Zero と Symmetric Rounding to Infinity です。

Symmetric Rounding to Zeroは、ゼロに向かって四捨五入することだと思います。
数直線に描くと、->->->->-0-<-<-<-<-という感じです。

Symmetric Rounding to Infinityがわかりません。
数直線に描くと、->->->->-0->->->->->-というイメージでしょうか?


609774ワット発電中さん:2010/12/09(木) 22:34:56 ID:h9sb+cjE
>>608
2の補数表現で最下位bitを0にして見ましょう。
10進数に直すと・・・
610774ワット発電中さん:2010/12/09(木) 22:46:16 ID:fhaqSa/o
浮動小数点数の丸めモードの話?
無限大は+-の2つがある
611774ワット発電中さん:2010/12/10(金) 02:49:46 ID:6fh8voRr
あ、なるほど、無限大って、「大」だから大きいのばかり考えていました。
納得。ありがとう。

truncate
fractional
decimation
interpolation
interpolated   こんな単語を調べていました。
612774ワット発電中さん:2010/12/10(金) 19:27:31 ID:HgFoo1pW
>>611
それってDSPがらみの話?
613774ワット発電中さん:2010/12/10(金) 20:09:13 ID:a9w4T+hW
>>612
スルドイですね。デジタルヒルターの関係です
614774ワット発電中さん:2010/12/11(土) 00:26:15 ID:tzTIJbDL
D・S・P!!D・S・P!!
615774ワット発電中さん:2010/12/20(月) 01:23:50 ID:1KBiBeYn
chipscope proで教えてください。
ILAコアを、CoreGenで生成してHDLにインスタンシェートする方法を試しています。
無事に波形まで出せるのですが、bus信号名などがChipscopeに引き継がれないため
DataPort[32]〜DataPort[0]とかの無味乾燥な信号名になってしまい、
波形解析ができないでいます。
インサーターでやるときは、cdcファイルを読み込ませることで
信号名は付いてくるので問題ないのですが、インスタンシェートする方法だと
どのようにして信号名をchipscope上で表示させるのでしょうか?
616774ワット発電中さん:2010/12/31(金) 17:36:21 ID:D6ljn/kN
チップスコープの件、越年になるのか。
まあ、俺は使ったこと無いから分からないけどな。
617774ワット発電中さん:2010/12/31(金) 20:56:16 ID:EnRqkWXS
>81
土木先進国!! ... ?
618774ワット発電中さん:2010/12/31(金) 21:59:29 ID:QsaYfge6
三か月以上前のレスに返信ってw
619 【末吉】 【23円】 :2011/01/01(土) 09:58:30 ID:zOzviMtP
あけおめ!
620774ワット発電中さん:2011/01/03(月) 17:38:45 ID:rpk6EwTq
メリーさんがにち!
621774ワット発電中さん:2011/01/04(火) 23:52:22 ID:8yTv9sU7
ねぇ、しよっか(はぁと
622774ワット発電中さん:2011/01/05(水) 00:21:29 ID:mMN/1/5Q
いつか きっと
623774ワット発電中さん:2011/01/05(水) 12:16:36 ID:2Z5xhU8y
軽石は復活する。
624774ワット発電中さん:2011/01/07(金) 22:59:02 ID:Y+zxjaYb
きゃー
625774ワット発電中さん:2011/01/15(土) 03:55:16 ID:EwBa+ZXS
       / ⌒  ⌒\     
      / (⌒)  (⌒)\   
    /   ///(__人__)///\ 2万円のおせち料理が半額だったお
     |   u.   `Y⌒y'´    |  これでお正月はばっちりだおww
      \       ゙ー ′  ,/  
     /       __|___  
    |   l..   /l グルーポン`l 
    ヽ  丶-.,/  |__ おせち _| 
    /`ー、_ノ /  ̄ ̄ ̄/ 
 ________________
 |\            ‖       /|
 |  ( ̄肉)_/ ̄V ̄ヽ_.‖_____∠  |
 |  ( ̄肉) | 手| 手 | ‖  i\チーズ|  |
 |  |`ー´  | 羽| 羽|.‖-ー、\.\ /l  |
 |  |( ̄肉) | 先| 先|‖ ハム )  \l/l  .|
 |  | `ー´  ヾ」^ヽノ‖ヽ_ノ    |__|
 |\ ̄ ̄ ̄ ̄ ̄ ̄ ̄‖ ̄ ̄ ̄ ̄ ̄ ./|
 | ┌/⌒⌒⌒⌒ヽ.‖ ̄ ̄ ̄ ̄ ̄ ̄|  |       
 |  (   (   ヾ )‖       l⌒l|  |
 |  |\  残飯   /‖     / ̄| .||  |
 |  |  ヽ    ) ノ‖  く`ヽ、゙i.肉ヽ ) | 
 |  |   ヘ   ノ |‖    .\ \ゝ  | | |    ┼ヽ  -|r‐、. レ |
 |  |    `ー^ー'‖     `ヽノ  ̄Y  |  . d⌒) ./| _ノ  __ノ
  ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
実際に届いた残飯
http://image.tabelog.com/restaurant/images/Rvw/6265/6265796.jpg
これが来るはずだったのに…どうよ?
http://image.tabelog.com/restaurant/images/Rvw/6266/6266038.jpg

626774ワット発電中さん:2011/01/20(木) 01:48:17 ID:2UUTFpsA
大きいデータをエディタで細かい修正する時ってどうしてる?
1GBぐらいあるネットリストだと、vim等で開くだけでも時間がかかる。
627774ワット発電中さん:2011/01/20(木) 02:19:19 ID:PYVFBRLZ
vimなんか捨てて、vi使え!
628774ワット発電中さん:2011/01/20(木) 08:11:04 ID:Np9oYOTs
diff
sed
awk
629774ワット発電中さん:2011/01/20(木) 09:51:11 ID:bkKRdi6k
>>626
お前完全に遅れてるわ。
今は動画データを直接バイナリエディタでいじくったり出来る時代。

Dump4w
630774ワット発電中さん:2011/01/20(木) 19:57:44 ID:X/iYpVal
631774ワット発電中さん:2011/01/20(木) 22:48:46 ID:Vi1bK2nS
>>627
viなんて使うな、ed使え。
632774ワット発電中さん:2011/01/21(金) 00:06:16 ID:xsMjL5Oo
EDだから使いものにならないノダ
633774ワット発電中さん:2011/01/21(金) 00:31:23 ID:fx7VadTb
VHDLおもしれぇ、マジで。
ずっとソフトやってたんだけど、今は合成される回路意識しながらVHDL書くのが超楽しい。
いかに効率よい回路(クロックや回路規模等)にするかであれこれ考えるのが至福すぐる。
同じような処理でも、書き方によって違う合成結果を出してくる。
年頃で扱いに手を焼くかわいこちゃんみたいだぜ>XST
最近、どう書いてあげたら喜ぶのか、ツボがちょっと分かってきたぜ。
634774ワット発電中さん:2011/01/21(金) 01:49:45 ID:u71Fw7gd
打鍵数の多い言語がスキなんですね。

>ずっとソフトやってたんだけど
VHDLも実質はソフトだから。
635774ワット発電中さん:2011/01/21(金) 02:37:55 ID:KKzYcX9b
>合成される回路意識しながら

っていうのは良い心がけです
636774ワット発電中さん:2011/01/21(金) 11:28:48 ID:6ZSmEXwH
>>635
はぁ?そんなこと気にして設計してんの?
637774ワット発電中さん:2011/01/21(金) 12:53:13 ID:l7yfNPqh
と、ソフト屋さんくずれが言っております。
638774ワット発電中さん:2011/01/21(金) 18:57:01 ID:JiCb0IiS
気にしなくていい程度の設計なら気にしなくていいし、
気にしてスキルアップを図ろうとか考えなければ、気にしても仕方ないし。
639774ワット発電中さん:2011/01/21(金) 19:34:41 ID:y3XGDLhj
出来上がりを意識してる方が、
変な挙動に悩まされたりする事が少なくなるし、
トラブルが起こっても対処しやすい。

と純然たるソフト屋も思ってる訳で。
640774ワット発電中さん:2011/01/21(金) 19:56:07 ID:/eOEDPTr
それもそうだけど、

  今日で「祝!! 禁煙1年達成」の俺が通ります。
  ソフトやHDL書きながら、パカパカ吸っていたのだが・・・・。
  自分で自分を褒めたいです。
endmodule
641774ワット発電中さん:2011/01/21(金) 20:24:24 ID:MQd1hoht
記念に喫煙しようぜ
642774ワット発電中さん:2011/01/21(金) 20:26:30 ID:ZA6UWwfx
>>639
気にしてない人の回路は、正直ソフト書いてるとしか思えない。
30段くらいのステートマシン書いてたり、非同期の回路規模が大きすぎたりで
こんな周波数で動くか!って設計してくれる。

特にVHDLではなくVerilogで書いてる人にその傾向が有るような気がする。
思い過ごしかもしれないけど。

>>640
うむ。
吸った事がないんで、どのくらい凄いかわからん。。。
643774ワット発電中さん:2011/01/21(金) 21:01:08 ID:fx7VadTb
とりあえずステートマシンだけ覚えて、最初はソフトとまったく同じように書いた、マジで。
そしたら7MHzくらいでしか動かないとかぬかしやがった。
そもそもRTLってナンなんだよ!ってキレて調べたら分かった。
ちょっと感動。
レジスタとレジスタの間は、長くなればなるほど崩壊し易くなる吊橋のような脆く危ういもの。
そう、俺らは不安定な足場で一歩一歩確かめながら歩みを進める登山家のようなものだ。
一歩踏み違えば、滑落・・・!!
一箇所でも動作周波数を満たさなければ、他での成功はすべて無に帰すんだ!

なぜおれらはそんな危険を冒してまでHDLを書くのか?


無論、そこに仕様があるから。
644774ワット発電中さん:2011/01/21(金) 21:30:29 ID:/eOEDPTr

 次の方、どうぞ。
645774ワット発電中さん:2011/01/21(金) 21:39:43 ID:AaQAzc2I
>>642
Verilogの謳い文句が、「Cプログラマーでも回路設計ができる!」とかじゃなかったけ?
646774ワット発電中さん:2011/01/21(金) 21:47:40 ID:ZA6UWwfx
>>643
目指すはFPGAの内部構造を理解した設計だな。
LUTの入力数は○○本だから入力数△△本だとLUTは☆☆段になる…
とか考えるようになったら立派なFPGAヲタク。
(実際には論理合成で大分変わるから、そんなカッチリ決まらないんだ。。。)

あと、I/O周りは各社色々と罠がある。
ピン配置決める時は神経を尖らせてデータシートを熟読すべし。
647774ワット発電中さん:2011/01/21(金) 22:03:16 ID:ZA6UWwfx
>>645
ソフトが得意な人にとっては記述しやすいんだろうなぁVerilog。
でも、こっちの仕事増やすのはやめて…
648774ワット発電中さん:2011/01/21(金) 22:49:05 ID:y3XGDLhj
>642>645
組むだけなら組める。
ただ、それが高速で動くかどうかは別。
それはVerilogでもCでも同じ。

>647
確かにVerilogは「変数」を「代入」や「加減算」したり、
「関数」を「定義」するような感覚で書ける。
だからこそCプログラマには取っ付きやすいけど、それが落とし穴なんだろうなぁ。
649774ワット発電中さん:2011/01/22(土) 01:08:47 ID:9q0Tu+Bl
>>634
おまいは回路図をCADで書くとソフトだという気か?
650774ワット発電中さん:2011/01/22(土) 01:52:10 ID:oibR7bp7
HDLはソフトだけど、回路図はソフトじゃないでしょう。
651774ワット発電中さん:2011/01/22(土) 02:03:22 ID:9q0Tu+Bl
HDL=ハードウエアを設計する言語。
つまりハードをHDLという言語で表現しているにすぎない。
回路図はJISやMIL規格の記号で表現している。
つまり、記号で表してるか、文字で表現しているかの差でしか無い。
つまり、HDLで表現された、ハードウエアの設計。
652774ワット発電中さん:2011/01/22(土) 02:10:05 ID:wTcYwgWT
ソフトかハードか聞かれたら、ファームと答えればいいじゃないか(キリッ
653774ワット発電中さん:2011/01/22(土) 02:14:46 ID:M1OgkhYs
HDLを書いてても、頭の中じゃMIL記号とかを
イメージしてしまっているのですが、
そういう方はいませんか?
654774ワット発電中さん:2011/01/22(土) 04:09:26 ID:fZIX8sJ4
MIL記号もトランジスタが並んでる回路図と比べればより”ソフト”な表現だよね。
HDLはMIL記号の羅列よりはきっと”ソフト”な表現だと思う。

いわゆるソフトウエアだって、物理的に存在するプログラム領域の接続形態を
記述しているだけだと思えば”ハード”かもしれない。
655774ワット発電中さん:2011/01/22(土) 06:51:37 ID:L4wsQc7b
>>653
656774ワット発電中さん:2011/01/22(土) 08:21:51 ID:uRnKz28+
音楽ソフトという言い方があるくらいで、人によってソフトの概念が違うんだな。
FPGAの部品がハードで、中身のコンフィグデータはソフトと考える人が居ても
別段不思議はない。
657774ワット発電中さん:2011/01/22(土) 12:27:13 ID:pk+1uxtn
ソフトウェアは、
テキストエディタで動作内容を文字で書いて、コンパイルし、フラッシュに書き込む
 → マイコンソフト作成も、FPGAのHDL書きも、作業は同じ → どちらもソフトウェア

参考
ソフトウェアやってる人の特徴(一部の人を除く)
・半田ごて使ったり、工作するのが苦手
・オシロなどの計測器が使えない、もしくは使いたがらない(ハードウェア知識に自信がないから)
・LEDとスイッチでできるデバッグを、chipscopeやICEでいと無理と言う。
・全部PC画面上で済まそうとする。
・同じ1MHzなら、矩形波も正弦波も同じだと思っている。
・部品の選定をしない、できない。
658774ワット発電中さん:2011/01/22(土) 12:39:20 ID:ml6n3WoZ
HDLをソフトウェアって言ってるやつは
まともなソフト書いたことないやつだから気にするな
659774ワット発電中さん:2011/01/22(土) 13:05:12 ID:25lqf98c
ここ数十年のソフトは、コードをハードみたいに扱う方法を模索しているよ。
660774ワット発電中さん:2011/01/22(土) 14:33:41 ID:pk+1uxtn
状態遷移が20とか30とかあると、ダメなの?
661774ワット発電中さん:2011/01/22(土) 14:49:18 ID:s5GSg6sp
>>660
場合による。
ステートマシンで記述すると、デフォルト設定では大抵OneHotで生成される。
ということは状態遷移の数だけ信号が生成されるわけだ。
んで、そのステート信号がステートマシン内の出力信号に使われる。

FPGAは1セルのLUT入力数が決まってる。
それ以上になると、隣のセルのLUTをカスケードに接続して回路を実現する。
入力数が増えるとLUTの段数が増えて遅くなる。

ステート本体と出力信号を別プロセスで記述していれば
状態遷移の数が増えてもあまり影響はないけど、
そんなステートマシン組む人はステートマシンの中に
出力信号やカウンタも記載してる。

これだと周波数遅くでしか動かない。

説明ヘタだけど、こんなんで分かるかな?
662774ワット発電中さん:2011/01/22(土) 15:04:38 ID:pk+1uxtn
>>661
ありがとう。こういうことかな。

動作が速くできない例
  if( s==1 )begin       // 中身1
    if( zzz==aaa ) begin
      LED <= { bbb, 4'b000 };
    end else begin
      LED <= { LED[6;0], SW_in };
    end
    s=2;
  end else if( s==2 ) begin
     中身2
    s=3;
  end else if( s==3 ) begin
     中身3
    s=4;
  end else if( s==4 ) begin
     中身4
    s=1;
  end

速くしやすい例
  if( s==1 )begin       // 中身1
    s=2;
  end else if( s==2 ) begin
    s=3;
  end else if( s==3 ) begin
    s=4;
  end else if( s==4 ) begin
    s=1;
  end

  if( s==1 )begin       // 中身1
    if( zzz==aaa ) begin
      LED <= { bbb, 4'b000 };
    end else begin
      LED <= { LED[6;0], SW_in };
    end

  if( s==2 ) begin
     中身2
  end

  if( s==3 ) begin
     中身3
  end

  if( s==4 ) begin
     中身4
  end
663774ワット発電中さん:2011/01/22(土) 15:58:14 ID:s5GSg6sp
>>662
そうそう。
イメージ的にはそん感じ。
664774ワット発電中さん:2011/01/22(土) 16:03:19 ID:25lqf98c
たいていのコンパイラは最適化するからどんな記述でも同じ動作をするコードであれば結果は同じになるんじゃね?
状態数は4ビットレジスターで最大16だし、8ビットレジスターで最大256まで実現できるけど、
ロジック的にまとめられるところは最適化でまとまるからコンパイル結果はビット数はすくなくなるよ。
665774ワット発電中さん:2011/01/22(土) 16:05:23 ID:s5GSg6sp
もうちっと高速化するにはこんな感じかな。

//シフトレジスタ式のステートマシン(OneHot)
  if(s[0]=='b1)
    s[1]='b1;
  else
    s[1]='b0;
  
  if(s[1]=='b1)
    s[2]='b1;
  else
    s[2]='b0;
  
  if(s[2]=='b1)
    s[3]='b1;
  else
    s[3]='b0;
  
  if(s[3]=='b1)
    s[0]='b1;
  else
    s[0]='b0;

//出力信号
  if( s[0]=='b1 )begin       // 中身1
    if( zzz==aaa ) begin
      LED <= { bbb, 4'b000 };
    end else begin
      LED <= { LED[6;0], SW_in };
    end

  if( s[1]=='b1 ) begin
     中身2
  end

  if( s[2]=='b1 ) begin
     中身3
  end

  if( s[3]=='b1 ) begin
     中身4
  end

各信号にはステートの1ビットしか与えられないので
ステートが思いっきり増えても影響なし。
666774ワット発電中さん:2011/01/22(土) 16:07:44 ID:s5GSg6sp
>>664
それが違うから記述方法を気にしてるんだけどね・・・。
667774ワット発電中さん:2011/01/22(土) 18:28:43 ID:DmWc3cbE
アンチヒューズ型は?
668774ワット発電中さん:2011/01/22(土) 19:43:35 ID:9q0Tu+Bl
>>664
>たいていのコンパイラは最適化するからどんな記述でも同じ動作をするコードであれば結果は同じになるんじゃね?
そんなわけない。
669774ワット発電中さん:2011/01/22(土) 19:48:23 ID:5cwrfJAn
>たいていのコンパイラは最適化するから
最適化の能力にもよるしな。
最初から最適化しやすいように書いてやるというのも大事なことよ
670774ワット発電中さん:2011/01/22(土) 19:49:30 ID:b6hclcIQ
うお、ステートマシンを別processに分離してやるとイイのか!
今度やってみる。
671774ワット発電中さん:2011/01/22(土) 20:39:54 ID:s5GSg6sp
>>670
ただし、メンテ性は格段に落ちるので
コメントを残すなり、ドキュメント書くなりして
後で見て分かるようにしておいてね。

あと>>665でも書いたけど
ステートマシンのエンコード方式を
カウンタ式ではなくシフトレジスタ式にするのも
動作周波数をあげるのに非常に効果的。
(ワンホット・エンコーディングって言うんだけど)

シフトレジスタでステートマシンのカウンタを作るので
当然のことながら容量的には増える。
672774ワット発電中さん:2011/01/22(土) 20:40:38 ID:ueEBTnG3
もしかして、みんなテストパターン無しで書いてんの?
673774ワット発電中さん:2011/01/22(土) 20:53:58 ID:b6hclcIQ
>>671
>(ワンホット・エンコーディングって言うんだけど)
知ってる、知ってる。
いくつか方式があるんだよね。
さすがにこのあたりは合成ツールに気を利かせてもらいたいなと思ったりw
674774ワット発電中さん:2011/01/22(土) 21:03:39 ID:BLvkiz4X
で、シフトさせて作ってたらステート2と3の間にもう1個ステートが欲しいとか・・・言われない?
675774ワット発電中さん:2011/01/22(土) 21:21:58 ID:s5GSg6sp
>>674
これは説明が悪かった。

実際にはちゃんとステートマシンとして記述する。
(Verilogは詳しくないのでVHDLで書く)

type state is (idle,s0,s1,s2);
signal s : state;
・・・
case(s)is
when idle=>
when s0=>
・・・
when others=>
end case

OneHotにするのは、合成ツールにステートマシンとして認識されれば、
合成ツール側の設定でOneHotにしてくれる。

ISEとかQuartusのレポートファイル見れば
ステートマシンのリストとか、どうエンコードされたか書いてるんだけどな。
出てこなければ合成ツールにステートマシンとして認識されてないというだけの話。
676774ワット発電中さん:2011/01/22(土) 23:05:38 ID:pk+1uxtn
ワンホットって、どういう意味なのでしょうか?

カウンタ式ではなくシフトレジスタ式にすること = ワンホット・エンコーディング?
ワンホット = one hot う〜ん。
677774ワット発電中さん:2011/01/23(日) 01:09:51 ID:nLWdj+o5
ブロック図的なイメージで言うと、
組み合わせ回路の出力段にレジスタ(フリップフロップ)が
接続された構成の回路を、ワンホット型と呼びます。

ワンホット型:入力→(組み合わせ回路)→[F/F]→出力

この方式だと、入力信号がクロック1サイクル以内のばらばらなタイミングで、
組み合わせ回路に入力されても、出力信号が確定するタイミングが、
フリップフロップに入力されるクロックのエッジのタイミングになりますので、
ステートマシンのように、F/Fの出力が組み合わせ回路の入力に
戻ってくるような構成の回路を組むときに、組み合わせ回路の遅延時間が、
ほぼクロック1サイクル分の時間まで許容されるので、
組み合わせ回路の段数が多少増えても、
高速クロックでの動作が可能になります。
678774ワット発電中さん:2011/01/23(日) 02:10:43 ID:heVQTxoT
>>677
ありがとうございます。すみません、今ひとつわかりません。

ワンホット型でない回路というのは、どういう回路になるのでしょうか。

示していただいた回路、
>ワンホット型:入力→(組み合わせ回路)→[F/F]→出力
についてですが、
通常のクロック同期回路は、みんな その形になると思うのです。
例えば、
入力---(組合せ回路)---[F/F]---(組合せ回路)---[F/F]---(組合せ回路)---[F/F]・・・・・
という感じです。

すみません、Wikipediaで調べてみました。
   通常counter   ワンホット型
状態0-----000----------00000001
状態1-----001----------00000010
状態2-----010----------00000100
状態3-----011----------00001000
状態4-----100----------00010000
状態5-----101----------00100000
状態6-----110----------01000000
状態7-----111----------10000000
こういうことですね。
全体の中で1つだけがアクティブなので「ワンホット」というイメージです(想像)。
ワンホット型は、状態の一択が出来ているので、スグに動けるけど、
通常カウンタ型では、上の3bit値を74HC138のようにデコードする手間がかかる。
しかし、"1"を移動させるのに、結構な回路が必要かも・・・・という感じですね。
74HC138デコードの処理時間 と 1bitだけonさせる処理時間 の釣り合いで
スピードが決まるという事でしょうか?
679774ワット発電中さん:2011/01/23(日) 02:23:41 ID:injzUyR/
ワンホットが速度的に有利なのは、ステートを表すFFの出力を直に取るだけで住むからだったっけ?
他だと複数FFからの出力+組み合わせ回路が必要になるっぽいしね。
あと、動くFFの数が少ないことで、消費電力的にも有利だったような・・・

非同期系の場合はメタステーブルの問題にも大きく関わってたと思う・・・
1bit変わるだけならシンクロナイザが要らないとかあったような・・・

とりあえず、今のところ、ステートマシンと中身をいっしょに書いてばかりいるけど、
合成レポートはいつもワンホットにしてきてる。
容量は特に問題にならないことが多い現代だけにそれがデフォルトなんだろうね。
設定で変えれるかもしれないけど。
680774ワット発電中さん:2011/01/23(日) 06:56:56 ID:03jBLRts
http://www.yomiuri.co.jp/atmoney/news/20110122-OYT1T00931.htm?from=main2
新卒採用、TOEICは730点以上…武田薬品

じゃぁ外大あたりの英語屋とってろ
681774ワット発電中さん:2011/01/23(日) 08:59:40 ID:JhmMCnQV
Quartusなら次のように書けばone-hotになる。one-hot以外にもsequential, gray, johnson等がある。

type state is (idle,s0,s1,s2);
attribute enum_encoding : string;
attribute enum_encoding of state : type is "one-hot";
682774ワット発電中さん:2011/01/23(日) 09:04:17 ID:9tvCdLQd
ステートマシンと中身分けて書くのってソースの見た目以外に意味あるの?
解釈としては同じだよね。
それとも合成ツールに何か指示をしてることになるのかな?
ステートマシンと中身を別モジュールにして階層構造の保存を有効にすれば、ステート信号のパターンを固定できそうだけど。
683774ワット発電中さん:2011/01/23(日) 13:15:07 ID:Umddz1Qv
>>682
分けて書くことによって
最適化した書き方を出来るメリットのほうが大きい。

stateの中だとelse ifで終わるようなラッチ動作でしか書けないけど、
分けて書くとelseで終わる記述で書ける…ことがある。
684774ワット発電中さん:2011/01/23(日) 13:38:30 ID:H+G32jrS
それって最適化レベルを最弱にしているんじゃね?
685774ワット発電中さん:2011/01/23(日) 15:38:12 ID:sN4alHFR
書き方もいろいろあるけど、回路規模がどうなるかは
ツールによって違うと思うし、よかれと思ってやっても
ダメなときもある。
ってか、数百ゲート削るのにむきになるなよ。
それより読みやすいコードを書いてくれ。頼むよ。
686774ワット発電中さん:2011/01/23(日) 20:41:39 ID:3+2rrdud
if文のbeginを書いたり書かなかったりするんだな。
俺はデバッグの時に混乱するから面倒でも必ずbegin-endは書くようにしてる。
687774ワット発電中さん:2011/01/24(月) 02:00:26 ID:S/WhELAI
ぼくもそう。でないと、1行の時は書かないけど2行以上のときはbegin〜end
って
考えてやってられないから。いつも書くようにすれば、それで問題なし。

同じように、wireもいつも書くようにしている。
販売店の無料講習で、wireはデフォルトですのて書かなくてもいいです、
と言っていたけど、regだけ書いてwireを書かないと、文字の見た目のバランスが悪い。
本当は1本のregでも[0:0]と書きたいくらい。
module(
  input wire [0:0] clock,
  input wire [0:0] reset,
  input wire [0:0] SW,
  output reg [7:0] LED
);

  if( aaa==bbb ) begin
    LED <= { LED[6:0], SW };
  end else egin
    LED <= 0;
  end
endmodule
688774ワット発電中さん:2011/01/24(月) 14:53:55 ID:Nx6qLASu
>>687
SWは、直接取り込まずに、同期化してからの方が良くないか?
689774ワット発電中さん:2011/01/25(火) 13:31:34 ID:cHdjpw7o
[0:0]って、どういう意味? 書かなくてもいいと思うけど。

あと、A = 15'b00_000_000000_000_0; とかありますけど、
4つずつでなくてもいいんですか?
690774ワット発電中さん:2011/01/25(火) 13:55:05 ID:GWPN0RsX
>あと、A = 15'b00_000_000000_000_0; とかありますけど、
>4つずつでなくてもいいんですか?

この表記法は、単に見易くするだけだったと思うので。
信号の配列がそうなっていればそれでよいのではないだろうか?
691774ワット発電中さん:2011/01/25(火) 15:02:51 ID:cHdjpw7o
そうなんですか、ありがとうございます。
なんと便利な。
ありがとう
692774ワット発電中さん:2011/01/25(火) 15:45:29 ID:5JufmI5D
clockとresetは1モジュールに1つづつしか存在しないものなんで、
[0:0]とかつけるのは違和感があるな。
クロック乗り換え回路だとclock_src,clock_distとかしたいし。
693774ワット発電中さん:2011/01/25(火) 19:21:25 ID:cHdjpw7o
>クロック乗り換え回路だとclock_src,clock_distとかしたいし。
クロック乗り換えって、難しいですね。
難易度高いと思います。RS232CのUARTとのやりとりには、いつも苦労します。
694774ワット発電中さん:2011/01/27(木) 15:38:28 ID:bvSdViVT
「RS232CのUARTとのやりとり」にクロック乗換が必要っていうのがよく分からないけど、
もしかしてUARTのクロックにビットレートx16とか使ってやってるの?
695774ワット発電中さん:2011/01/27(木) 18:15:07 ID:QLI7tAzJ
>>694
×16してもしなくても、RS232のビットレートとクロック周波数は非同期じゃん
696774ワット発電中さん:2011/01/27(木) 18:40:32 ID:X4teCa1U
ん?UARTに何故クロックが出てくるんだ?
697774ワット発電中さん:2011/01/27(木) 19:42:24 ID:DlUdMGQP
100MHz近くの超高速RS232Cなんじゃね?
698774ワット発電中さん:2011/01/27(木) 20:24:22 ID:X4teCa1U
100MHzのUART規格は無いんだけど、歩調同期転送の仕組みで100MHzに上げた場合受け側のクロックは最低400MHz必要だね。
大変だ。
699774ワット発電中さん:2011/01/27(木) 21:02:45 ID:U5PnMgII
さすがにその位の速度になると同期転送にするか、
何らかの方法で変調かけた方がいいよなぁ。
700774ワット発電中さん:2011/01/27(木) 21:26:40 ID:X4teCa1U
そうだね、配線帳にもよるけど、パラで速度落とした方が楽そうだ。
701774ワット発電中さん:2011/01/27(木) 21:30:24 ID:AoXHCpuN
数GbpsのSATAとかPCIeも調歩同期のようなもの。
奴らはデータレートの半分のクロックで動作する。
立ち上がりエッジと立ち下がりエッジを両方利用すると共に、
クロックの位相を調整して適切な位置でサンプリングできるようにしてる。
ただ、ロックするのに時間掛かるから、
RS-232のように1バイトずつじゃなくて送りっぱなしにしないと駄目だけど。
702774ワット発電中さん:2011/01/27(木) 22:43:13 ID:QLI7tAzJ
>ん?UARTに何故クロックが出てくるんだ?
えっ? 何か変なのでしょうか? UARTモジュールにclock不要ですか?
勘違いしているようでしたら、ぜひ教えてください。
ひどい言葉で私をいじめてください。
703774ワット発電中さん:2011/01/27(木) 23:01:39 ID:X4teCa1U
>>702
UARTモジュールに供給するクロックの話なら
>×16してもしなくても、RS232のビットレートとクロック周波数は非同期じゃん
という文がおかしくなるだろ?
UARTの通信速度はUARTモジュールへ供給するクロックの分周関係にある事は君でも分かるかな?
分周関係って事は同期間系であって非同期では無いんだよ。
まぁ、歩調同期だから通信速度によってはきっちり割り切れない速度である程度はエラーレートで捨てるんだけどね。
その質問を真面目に書いてるならもう少し勉強した方がいいね。
704774ワット発電中さん:2011/01/27(木) 23:16:35 ID:sSN//AYp
>>702
CPUクロックからボーレート作らずに、真面目に9600の倍数のクロックを
UARTモジュールに与えてたらCPUクロックとは非同期関係になる。
(まぁ同期取るの簡単だけど)

そうじゃなくて>>703の言ってるようにシリアル信号とUARTモジュールの
動作クロックが同期してないって意味で言ってるんだとしたら、ちょっと違う。
705774ワット発電中さん:2011/01/27(木) 23:16:48 ID:DlUdMGQP
>>702
m9ぷぎゃー
普通の速度の232cだと入力部分で一回FPGAグローバルなクロックでたたけば
後はクロックを明示する必要はないってことじゃね?
706774ワット発電中さん:2011/01/28(金) 02:20:10 ID:oiiG+FLu
702です。みなさん、ありがとうございます。
みなさんのお話は、なんとなくわかりますが、腑に落ちません。
例えば、市販のボード上に50MHzの発振器が付いていました。
なので、FPGAのsystem clockとして、50MHzを使っています。
ここでUARTモジュールにclockを供給します。RS232の通信レートは、38400bpsです。
すると、38400の「2のn乗」倍のclockを供給する必要があります。
そうしないと誤差が出てしまいます。そんな時、くだんの50MHz clockから、
50MHzと0.038400MHzの最小公倍数になるように、DCMでM/D倍する、ということですよね?

マイコンなどで良く行われている「誤差は目をつぶる(認める)」という方法もありますし、知っています。
3%くらいなら良しとするようです。もともと調歩同期式なので、10bit分のあいだ脱調しなければ、
次のスタートbitでズレが消える、ということもわかります。

>>703
>まぁ、歩調同期だから通信速度によってはきっちり割り切れない速度である程度はエラーレートで捨てるんだけどね。
答えは、これのような気がします。私は、誤差ゼロのつもりでいました。
というか、今でもそのようにして動かしています。ボーレートから計算した水晶を使って回路を作っています。
18.432MHzは調子が良く、中途半端ですがsystem clockも18.432MHzを使っています。

>>705
>普通の速度の232cだと入力部分で一回FPGAグローバルなクロックでたたけば
230kbpsとか460kbpsとかでも、その方法は使えますでしょうか?
同期化するタイミングによっては、bit欠落になりそうな気もします。
そのように同期化回路を入れると言うことは、そもそもUARTは非同期だということを
言っているのではないでょうか?
707694:2011/01/28(金) 07:20:05 ID:yYwxf4s+
>ここでUARTモジュールにclockを供給します。RS232の通信レートは、38400bpsです。
>すると、38400の「2のn乗」倍のclockを供給する必要があります。

「2のn乗」倍である必要がある、というのは間違いで、例えば10倍でも9倍でもできると思うけど、
他の人の頭にあるのは多分、UARTに供給するシステムクロック自体に通信レートのN倍を供給するんじゃなくて、
UARTモジュール部のシステムクロックには50MHzを供給し、信号をサンプルするパルス(D-FFのEnable)を
(通信レートに合わせて)システムクロックから作り出す方法だと思うよ。

サンプルするパルスを作るのに単純に÷N(同期)カウンタのキャリー(ボロー)を使う方法だと
「230kbpsとか460kbps」あたりは無理そうだけど、DDS(?)で作れば、システムクロックの1/10くらいは
問題なくとれるはず。(システムクロックはDCMで50x2=100MHzにして使うといい)
708694:2011/01/28(金) 07:44:05 ID:yYwxf4s+
あと、調歩同期の信号サンプルを xN倍の周波数(パルス)を使って行う、という
既成ICのやり方から来る固定観念は捨てちゃったほうがいいかも

結局は、スタートビットの立下りから推定できる各ビットの中心(付近)で
信号をサンプルする、ということに過ぎないわけだから、通信レートごとに
各ビットのサンプルカウント値のテーブル持たせて・・・というような方法も可能なはず
709774ワット発電中さん:2011/01/28(金) 08:07:05 ID:ya3fJE3w
>>708
たかだか十数ビットの期間同期
出来れば良いだけだもんね
710774ワット発電中さん:2011/01/28(金) 09:25:26 ID:yRiHXR6o
>>701
それは調歩同期のようなものではないと思うけど。
711774ワット発電中さん:2011/01/28(金) 10:12:05 ID:vrQ8AQtK
データレートの2倍超(以上ではない)のクロックがあれば整数倍でなくても受信できる。
RS232ではない調歩同期で、16MHzのクロックの立ち上がりエッジだけで6.144Mbpsの受信をしたことあるよ。
DDSみたいに誤差が蓄積しない方法でサンプリングするのがポイント。

>>710
データに同期したクロックが供給されず、エッジ検出してサンプリング点決めるという意味では原理的には同じじゃね?
高速すぎて実際の受信方法は全然ちがうけど。
712774ワット発電中さん:2011/01/28(金) 11:44:41 ID:75o+e2Yb
もしかして、FPGAでRS232を受信するときも、みなさん誤差ありのclockでやっている、
それで問題無しということでしょうか? だったら、僕も厳密にやるのはやめようかな。

今は、
・通信レートの16倍clockを供給して、
・それに合う水晶をシステムclockに使って、誤差ゼロで
・startbit↑起算で8clock後をサンプル
・その後16clockごとにサンプル
でやっているんですが。
まじめにやるのが、だんだん無駄に感じるようになってきました。

48MHzとかのclockしか得られないヒュ○マンデータのボードなどでは、
内部でDCMで120MHzとか200MHzとかに上げて、さらにそれを割って、UART用のclockを作り
なるべく誤差ゼロになるようにして、さらに受信完了フラグの上位への連絡は、
FIFOを使って同期化しています(厳密には同期しているんだけど)。
水晶を18.432に取り替えるのがベストなんですけど。
713774ワット発電中さん:2011/01/28(金) 11:45:54 ID:yRiHXR6o
>>711
違うと思うけどな。キャラクタごとに同期を取るのが調歩同期だ。キャラクタ
間はボーレートと関係のない任意の時間でよい。
714774ワット発電中さん:2011/01/28(金) 11:49:31 ID:yRiHXR6o
>>712
>・startbit↑起算で8clock後をサンプル
まじめにやるなら7.5クロック後の↓だけどね。
715774ワット発電中さん:2011/01/28(金) 12:11:48 ID:75o+e2Yb
そうなんですか。
了解しました。もっと勉強に励みます。
716774ワット発電中さん:2011/01/28(金) 12:15:14 ID:ya3fJE3w
>>712
115200とか元々狂ってるじゃん
2%まではOKにしてる
717774ワット発電中さん:2011/01/28(金) 12:20:58 ID:nfaykpFf
>>712
ボーレートの逓倍周波数を外部から入力できないのなら
いずれにせよ誤差は出るので、少々無駄に思える。
PLL(DCM)フルに使うような用途では採用できないし。

モノによっては誤差を含んだ状態で通信してくる
機器があるから、通信不良をなくすために誤差0に
近づけたいのは分かるけど。。。
718774ワット発電中さん:2011/01/28(金) 12:55:01 ID:75o+e2Yb
>>717
>PLL(DCM)フルに使うような用途では採用できないし。
そうなんです。DCMがもったいないですよね。
「なんでUARTごときに.....」と思ってしまう。

あと厳密なことを言うと、通信線やMAX232のようなI/F ICも含めて、
回線の信号が鈍り無く遅滞なくFPGAに届くかのと、いうのも気になっています。
それがボロボロだったら、自分が今やっていることも無駄だよなぁって。でも邪道な道は行きたくない

ということで、460kbpsは平気で使っています。USB--RS232のケーブルに
920kbps対応のものが少ないので、460kにしているだけで、FPGAの能力ではもっと行けます。
PC側が音を上げそう。
719774ワット発電中さん:2011/01/28(金) 13:18:57 ID:kdlYtzoT
俺なら入力で一回ラッチしといてその後はロジックでPLLをくんで
ロジック上でクロックを作りそれに同期して動作させるな。
また、データーHLの検査はデータービット間の平均値で判断させるよ。
720774ワット発電中さん:2011/01/28(金) 13:34:31 ID:vrQ8AQtK
>>719
>ロジックでPLLをくんでロジック上でクロックを作り
詳しくおせーて。
PLLにはVCOとかアナログ部が要ると思うけど。
721774ワット発電中さん:2011/01/28(金) 14:08:36 ID:kdlYtzoT
>>720
普通のアナログ式PLLをそのままデジタル値で置き換えればいいんだけどね。
それに加えてデジタル式の利点は、
位相や周波数が大きくずれた時なんかに線形補間なんかで大まかな適正値を計算して
それに一気にジャンプできることがあるよ。
722774ワット発電中さん:2011/01/28(金) 14:14:38 ID:kdlYtzoT
>>721
uartに関して言えば位相は関係なかった。
723774ワット発電中さん:2011/01/28(金) 14:18:43 ID:gT2SE98F
っていうかさぁ、そこまでして ANSI/TIA/EIA-232-F-1997 を使う必要ないと思うけどな
724774ワット発電中さん:2011/01/28(金) 14:19:09 ID:hlUh4C4w
All Digital PLLってのがあってだな
725774ワット発電中さん:2011/01/28(金) 15:19:10 ID:75o+e2Yb
>>723
だとすると、調歩同期で、高速な通信方法は何になりますか?
FPGAで実装できて、PC側にも特殊な装置無しで、1Mbpsとかができれば
それを使ってみたいです。
802.11bは、再送とかのやりとりを考えると、さほど速くないみたいだし。
http://kamome.2ch.net/test/read.cgi/denki/1292822966/247-256
726774ワット発電中さん:2011/01/28(金) 15:35:23 ID:CbA6uk+W
差動出力とか、ハード的な解決法の視野は無いのか
727774ワット発電中さん:2011/01/28(金) 15:43:54 ID:kdlYtzoT
気楽なところだとUSBだろうな
728774ワット発電中さん:2011/01/28(金) 15:46:14 ID:yYwxf4s+
>>712
・通信レートの16倍clock
っていうのと
・それに合うシステムclock
というのがどういう関係なのか分からんけど、結局のところ
「スタートビットの検出精度=システムclockの周期」にしかならないし
その後のビットのサンプル点(時間)の精度もよくてシステムclockの周期の
精度にしかならないはず。

もし、スタートビットの検出にも、通信レートの16倍clockを使ってるとしたら
(もちろん動作はするんだけど)スタート点の検出時点ですでに
通信レート(周期)の1/16の誤差があるのわけだから、仮にその後のサンプル周期だけ
正確でも中央からずれることに変わりはない。
そのずれは、(例えば)1Mbps のとき 約60ns だから、システムclockが50MHzだとしたら
その周期の3倍にもなるわけで、一体何のために「通信レートの16倍clock」もってきてるのか
考えてみたほうがいいのでは?
729774ワット発電中さん:2011/01/28(金) 16:42:21 ID:yYwxf4s+
>>725
調歩同期でもインターフェース(ハード)をRS485とかにしてやれば 1Mbpsで100mくらいは伸ばせるはず。
トランスで絶縁できない所以外は 10Base-T と似たようなもんだから 10Mbps でも行けるかも
(Maxim とか LT あたりのApplication manual に載ってたと思う)
もちろん、波形は乱れるから送受信のビットレート誤差を±4%許容するとかはけないけれど
普通に水晶発振使ってれば全く問題ないと思う。
(もちろん、エラー検出とかは上位でやる)
730774ワット発電中さん:2011/01/28(金) 16:57:42 ID:gT2SE98F
>>725
>だとすると、調歩同期で、高速な通信方法は何になりますか?
なぜ調歩同期にこだわる必要がある?

>FPGAで実装できて、PC側にも特殊な装置無しで、1Mbpsとかができれば
>それを使ってみたいです。

“特殊な装置”の定義が分からないけど、232 なんて既にレガシーだから
特殊じゃないのか?
USB からの変換ケーブル使ってるみたいな話があったけど、
だったら FT245 とか FT2232 とか使えばいいんじゃね?

SATAでもいいと思うよ。
731774ワット発電中さん:2011/01/28(金) 17:19:38 ID:yYwxf4s+
1Mbps ができたらいいという話にSATAは牛刀では?
5mくらいの近場の話でいいなら、LVDSに50MくらいでSPI乗せるほうが簡単で
安いFPGA使ってレガシーなオラにもできそう
732774ワット発電中さん:2011/01/28(金) 17:29:59 ID:gT2SE98F
じゃあ、その LVDS は、PC のどこから取るの?
733774ワット発電中さん:2011/01/28(金) 17:35:19 ID:75o+e2Yb
みなさん、ありがとうございます。
何か、わかったような気がします。

>そのずれは、(例えば)1Mbps のとき 約60ns だから、システムclockが50MHzだとしたら
>その周期の3倍にもなるわけで、一体何のために「通信レートの16倍clock」もってきてるのか
この説明を読んで、目が醒めたという感じです。
230.4kbpsの16倍clock→270ns。
FPGAの50MHz clock→20ns。
    結論) アホですね、私。
大変よくわかりました。ありがとうございました。
bitの中央でサンプルしたとき、1文字10bitなので5%ズレたら、もうダメですよね。

差動ラインは、やったことありますが、イマイチの印象がありました。
FPGA LVDS(+)---------ツイスト-----+---別基板のFPGA_p入力に接続
                 R=100 終端
FPGA LVDS(-)---------ツイスト-----+---別基板のFPGA_n入力に接続
でしたが、時々受信ができなかったです。
それに引き替え、230kbpsの調歩同期の調子の良いことと言ったら・・・・
今に至ります。
734728:2011/01/28(金) 19:00:21 ID:yYwxf4s+
そんな感謝されたら、legacy-jiisan としては、もう何も思い残すことなく三途の川を渡れますw

>結論) アホですね、私。
・・・っていうことはないと言いたいです。(それを認めてしまうと、過去の私もアホっていうことになってしまうw)

調歩同期シリアルなんっていうのは、やっぱり legacy なもんで、あっちこっちにあるサンプル見ても、
(さすがに、システムclock =通信レートの16倍clockというのはないとしても)
サンプル点を決める信号として通信レートの16倍とかのフリーランのclock enable を使ってるのがあったりします。
(まあ、それで動作するんですけど)

本質に立ち戻って考えると、>>708 に書いたとおりのことでしかないんだけど
legacy ICの構造にとらわれると、どうしても通信レートの16倍とか8倍の周波数(クロック?)を
(正確に)作り出すことに頭がいってしまって、それを DCM, PLL とかを使って生成しようとか考えてしまう。

作り出すべきものは「周波数」ではなくて、「(サンプリングする)時刻」だって気付けば
それは、スタートビットの立下り(の時刻)から計算できるものだから、連続して発振してるようなクロックは
必要ないし、有効じゃないって分かるはずなんですけどね。
735774ワット発電中さん:2011/01/28(金) 20:26:24 ID:2p3YP0p+
なんか急に盛り上がってるからどうしたのかと思ったら昨日の晩からの続きで盛り上がってるのね。
ある程度答えが出てるみたいだけど、結構みんなシリアル通信規格についてあまり知らないんだね。
色々なシリアル規格をごっちゃに語ってるから分からない人が出てきそうなので、ちょいとだけ補足。

歩調同期式(1Wire)
トランスミッター(送り手)とレシーバー(受け手)は事前に決まった通信速度と通信方法でやり取りする。
スタートビットをトリガーに決まったボーレートでしか通信しないためデータを取り込む為の同期クロックは必要ない。
配線数が1本で済む代わりに通信速度はあまり上げられない。
また、1回の転送量が8bitな為PtoPでしか接続が出来ない。

I2C(2Wire)
データとクロックを別に転送する事により、歩調同期の様に事前に転送速度を決める必要は無く、1バス上に複数のデバイスを接続することが可能。
複数のデバイスを接続するため、バス上には必ずマスターが存在するが、マルチマスター方式も取れるので、マスターが一つである必要は無い。
歩調同期に比べ通信速度は速いが、他のシリアル通信規格と比較すると比較的低速。
フィリップスが提唱した規格。

SPI(3Wire)
データとクロックとEnableの3本と使うことにより、シリアルデータ転送を実現。
I2Cと違い、Enable信号を別に持つことにより、通信方法をシンプルに実現し、ネゴシエーションが必要無い為、高速な転送を実現。
1バス上に複数のデバイスを繋ぐことが出来るが、Enable信号は1デバイスに1本必要となる。
モトローラが提唱した規格

とここまで書いて書き始めたらきりがない事に気づいたw
LVDSやUSB、S-ATA等高速転送規格もあるが、物理層とリンク層がかなり複雑になるので、取りあえずこの辺で。
間違ってたり足らない事があれば補足よろしく。
736774ワット発電中さん:2011/01/28(金) 20:39:08 ID:BYBXKJP2
もと質問者の要望だとPCで汎用に使えてしかも速いし簡単ってことみたいなんで
RS232C USB SPDIF
の3種類ぐらいなんじゃないかな?
SATAとかPCI expressなんかはブロックデバイス的な動作をするから
データー垂れ流しをするには専用のデバイスドライバーを書かないといけなくなるよ
737774ワット発電中さん:2011/01/28(金) 20:45:56 ID:2p3YP0p+
PCとFPGA限定なら微妙だね。
UARTにしても232cレベル変換ドライバー必須だし、USBはPHYを抱いたFPGAデバイスならいいけどそうじゃなければFT等のデバイスが必須だしね。
速度優先でやりやすい方法としてはUSBに限定されるかな?
738774ワット発電中さん:2011/01/28(金) 20:53:02 ID:BYBXKJP2
オーディオのシリアルデーターでFPGAから出してSPDIFコンバーター+ドライバのICに入れるっていうてもあるよ。
232CのレベルコンバーターICの代わりにSPDIFコンバーターってかんじ
739774ワット発電中さん:2011/01/28(金) 21:08:46 ID:2p3YP0p+
>>738
たしかにSPDIFだと光ーTTL変換カプラがあれば比較的簡単に出来るけど、速度はUSBに比べると格段に遅いからね。
現状組み込みでPCと繋ぐならUSBがメジャーだね、手軽だし。
そういえば年末にUSBtoUARTのモジュール買ってほったらかしにしてたのを思い出したw
740774ワット発電中さん:2011/01/28(金) 21:18:08 ID:x/xECGVy
SPDIFは極性無しのバイフェーズ変調(だっけ?)で、光だけじゃなく同軸による接続もアリ。
同軸の場合はパルストランスを使うのが推奨されるが、必須ではない。
そしてハイサンプリングオーディオ用途だと、光より同軸の方がデバイス的に有利。
ファイバーチャネル用とかの光デバイスは転送レートがGbpsオーダーなのに、
よくあるSPDIF用は数Mbpsオーダーでしかないからね。
741774ワット発電中さん:2011/01/29(土) 02:16:21 ID:Y1F0goJz
USBのPHYは、Full-Speed (12Mbps)なら、FPGAでできるはず。
Hi-Speed(480Mbps)のほうは、なぜか準拠をうたってる製品はないような。
10BASE-Tとか100BASE-Tも、トランスとか抵抗を外付けすければできるはず。
どちらも、インターフェースの特集記事にあったはずだけど、UARTみたいに
ちょこっとFPGAのすみにのせるのは荷が重い。

こっそり自分用に使う分には、FT245コンパチなコア作っとけば、便利だと思うんだけど・・・
742774ワット発電中さん:2011/01/29(土) 03:36:28 ID:ZzIluXXJ
はず、はず、はず、恥ず。(w
743774ワット発電中さん:2011/01/29(土) 07:44:18 ID:acQav+TB
He has a pen.
744774ワット発電中さん:2011/01/29(土) 10:31:15 ID:sy/ZzEsU
どうせレベコンとかUSBシリアル使うなら、FT245とかFT2232H使えばいい。
FPGAから8bit値出してやるだけでPCからはシリアルポートに値が届いたように見える。
USB1.1で何も考えずに3Mbpsくらいは出るよ。

ちなみにUSB1.1ならFPGAに実装可能だけど、くそめんどいから、それが目的ならいいけどデバッグの手段にすべきじゃない。
745774ワット発電中さん:2011/01/29(土) 15:42:59 ID:F4zKJf5E
>> 741, 744

MicroBlaze や NI0S2につながるIPをつくってくだせぇ。
746774ワット発電中さん:2011/01/29(土) 22:37:28 ID:rfitn6H0
>>741
ドライバーはどうするんだよw
PHYはアナログ回路だぞ?
知ったかぶりは恥ずかしいからちょっとだまれw
747774ワット発電中さん:2011/01/29(土) 23:44:23 ID:RTcjptrA
昔、USBのPHYを組み込んだASICをやった事があるが、
特性がうまく出なくて苦労したことを思い出してしまった。
748774ワット発電中さん:2011/01/29(土) 23:47:31 ID:BP/omhPH
すげー、ASICやったことあるのか。
FPGAばっかな自分としては、あんなおそろしいものはできれば関わりたくないと思ってるw
749774ワット発電中さん:2011/01/30(日) 01:14:35 ID:THD1aKme
失敗すると、億の金がパーなんでしょ?
すごいわ
750774ワット発電中さん:2011/01/30(日) 01:22:42 ID:WvrY9V+D
んな事はない。
せいぜい数千万円だよ。

致命的な失敗じゃなければ普通はCPLDとかでリカバリーできる。
最近はFPGAで確認したあと、製造に取り掛かるパターンが多いからほとんど失敗はない。
751774ワット発電中さん:2011/01/30(日) 04:07:15 ID:Tz1JgBD8
アナログのASICでも、事前に実験で確認できるの?
752774ワット発電中さん:2011/01/30(日) 04:41:48 ID:m3IwM4mX
FPGAは論理をある程度のブロックまで詰めるだけ。
その後の、タイミングパスやら温度解析etcは別で。
753774ワット発電中さん:2011/01/30(日) 04:55:29 ID:mPbZuLjJ
ASICやる人間を心から尊敬する。
754774ワット発電中さん:2011/01/30(日) 09:44:01 ID:mqGkvHKP
最近は高いんだな。500万だったゲートアレイ。まぁ、当時でも安いほうだったけど。
755774ワット発電中さん:2011/01/30(日) 10:22:05 ID:9bvbbHGu
規模が違うだけでしょw
756774ワット発電中さん:2011/01/30(日) 12:06:01 ID:dK9gWloH
マスクが高いんだよ。規模はあんまり関係ない。
757774ワット発電中さん:2011/01/30(日) 12:36:17 ID:9bvbbHGu
マスクだけの発注なんて考えられないからなぁ
3DのVDPは数億になるでしょ?
758774ワット発電中さん:2011/01/30(日) 12:47:28 ID:mqGkvHKP
ID:9bvbbHGu

こいつがど素人なことは分かった。
759774ワット発電中さん:2011/01/30(日) 12:52:30 ID:9bvbbHGu
>>758
どういう判断でそうなるのかkwsk
760774ワット発電中さん:2011/01/30(日) 13:02:15 ID:9bvbbHGu
なぁ、なんだ失敗した時のマスク代の話だったのか
ASICを起こす費用かと思ってたたぜ、スマソ
761774ワット発電中さん:2011/01/30(日) 13:07:49 ID:mqGkvHKP
恥の上塗りしてやんの
762774ワット発電中さん:2011/01/30(日) 13:10:42 ID:9bvbbHGu
>>761
ん? だから何?
763774ワット発電中さん:2011/01/30(日) 13:21:50 ID:9xvnLWo9
2chで恥かいたって全然痛くないもんね。
764774ワット発電中さん:2011/01/30(日) 13:25:15 ID:9bvbbHGu
煽ってくれてありがと^^
765774ワット発電中さん:2011/01/30(日) 13:39:20 ID:THD1aKme
何千万の失敗を出したとき、始末書?とか出すの?
でないと、何度もやられては会社もたまらない。と思う。
766774ワット発電中さん:2011/01/30(日) 14:09:02 ID:Y0OuwwPC
一人で設計検証する規模じゃねえから。
767774ワット発電中さん:2011/01/30(日) 15:01:14 ID:WvrY9V+D
>>751
作る前にシミュレーションとかで普通の動きについては確認できる。
ESが出来上がってから実機で確認だな。
ここで見逃された不具合が量産時に見つかると大騒ぎになる。

>>765
下っ端はあまり関係ないけど、リーダーや部長とかはなにかあるかもな?
基本的に1回は誤射じゃないけど、大目に見てくれる雰囲気はあった。
同じミスを何度も繰り返すバカはさすがに見たことがないし、2度目は起きないように周りでチェック体制ができる。

失敗した時の損失の計算はどこまで見るかで違うぞ。
マスクの修正だけだった数百万円ですむが、発売が伸びたり、試作機を増やしたり対策費用などで金額はいくらでも増えるよ。

ASICの失敗は何度か見てきたけど、アナログ回路のS/Nが予定より悪かったり、ノイズの回り込みとか作らないとわからないのはあったな。

一番痛かったのは最初の試作評価では問題なかったのだが、量産直前になって不具合が発生。
原因究明に1週間かかったのがあった。
外部にTTL2個取り付けて対策できたけど、この時の被害でも億は行っていないと思う。
768774ワット発電中さん:2011/01/30(日) 20:09:25 ID:ExAjOlMw
LSIは修正するマスクレイヤーとプロセスによって修正する金額が大きく変わってくるね、先端プロセスになれば1セット億単位、まぁ大概は目タス2,3枚の修正だからそこまで高くは無いんだけど。
枯れたプロセスならマスク代は安くて一枚数十万だったりもする。
先端プロセスで作るような物は規模と開発期間が無茶だからスタティックシミュレーションとSTA+ある程度ぼBAで見切り発車が多いね。
最初から数回分の修正は開発見積もりに入ってるから始末書なんかは無いね。
余程しょうもないミスならDRで無茶無茶絞られるぐらいかな。
最近は検証ツールが賢いから同期回路に関してはほとんどミスは無いかな。
非同期回路を検証するのが結局時間なく見切り発車になることが多い。
アナログもシミュレーションをきっちり見てればいうほど特性はズレないけど、ノイズが問題になる事は多いかな。
あと、ブロックはOKだけど、1chipとの繋ぎのシミュレーション出来ない部分で問題が出たりね。
769774ワット発電中さん:2011/01/30(日) 21:01:05 ID:k1n02Oqm
FPGA 土方にとっては雲の上の話を聞く貴重な機会なんで、バカが露見すること承知で
お聞きしたいんですが、
1.ASIC の開発現場では当然、設計と検証のチームは別なんですよね?
2.製造時テスト用のフルスキャンテストベクター(?)は、誰がどうやって作るんでしょうか?

※ FPGAの開発現場で、よく分かってない顧客がどっかから聞きかじってきて
 フルスキャンテストベクター(?)みたいなのを作れとか要求されたりします。
 こっちも半分以上(9割くらいかもw)素人なもんで、ちゃんと説明とか反論できないんだけど、
 例えば32bitの Binary Counter 作ったら、それに関係してるゲートが全部正常に(設計どおり)
 動作してるかどうか検証できる入力系列作れっていうことですよね?

 これは、恐らく設計(機能)検証のフルカバレッジっていうのとは違う概念なんだろうけど
 違うもんだよ、ってはっきり書いてあるのも見たことがなく、自信がないんで
 ご教授願えれば幸いです。
770774ワット発電中さん:2011/01/30(日) 21:04:25 ID:Y0OuwwPC
>>767
>>768
とりあえずお前らは長い。簡潔に。
771774ワット発電中さん:2011/01/30(日) 21:05:58 ID:mPbZuLjJ
いや、かなり貴重な書き込みなので、長くてOK。
772774ワット発電中さん:2011/01/30(日) 21:10:53 ID:Y0OuwwPC
>>769
1,2は、会社によるし、プロジェクトによる。

で、顧客がテストベクターの詳細を要求してくるなら、
その工数を見積もって請求すればよいだろ。

品質を上げるにはお金がかかるってことを、もし顧客が理解してないなら、
それを伝えなければならない。
773774ワット発電中さん:2011/01/30(日) 21:50:42 ID:ExAjOlMw
>>769
>1.ASIC の開発現場では当然、設計と検証のチームは別なんですよね?
この検証が論理検証という意味ならチームは同じことが多いと思うよ。
LSIテストという意味なら別な事が多いだろうね。
イメージ的には
LSIが出来るまでの動作検証(シミュレーション、HWエミュレータ、FPGA等を使った先行動作確認)は設計中心で行う。
LSIが出来てからのF検、P検、スキャンテスト等のLSIの出来栄えを判別するテストや工場引き継ぎに関してはLSIテストチームってのが多い。

>2.製造時テスト用のフルスキャンテストベクター(?)は、誰がどうやって作るんでしょうか?
フルスキャンテストベクタってのはスキャンテストの話なら上に書いてる様にテストチーム。
基本テスト回路(スキャンシフト回路等)はテストチームで設計するから。

>※ FPGAの開発現場で、よく分かってない顧客がどっかから聞きかじってきて
> フルスキャンテストベクター(?)みたいなのを作れとか要求されたりします。

一般的にスキャン回路はFFからFFまでゆっくりデータを渡して値がちゃんと渡せてるかテストする物で(一般的に1000段程度のFFチェーン)
FFと論理回路がちゃんと製造できてるかをテストするものであって、製造ととも合わないFPGAでスキャンテストが必要なのかちと疑問。
俺はFPGAの製品を仕事で使ったことが無いので何とも言えんが。
バウンダリスキャンに関しては最近のFPGAに組み込まれてるから、IOの状態は普通に見えるんだけど。

>これは、恐らく設計(機能)検証のフルカバレッジっていうのとは違う概念なんだろうけど
> 違うもんだよ、ってはっきり書いてあるのも見たことがなく、自信がないんで
> ご教授願えれば幸いです。

検証のカバレッジとは違うね。
スキャンのカバレッジはあくまでLSI自体の故障を検出する物で大体そのLSIが壊れてるかどうかを判断するもの(大体95%〜98%のカバレッジ)
設計不良を検出する検証のカバレッジでは無い。
774774ワット発電中さん:2011/01/30(日) 22:01:02 ID:8KpRTo5Q
顧客はFPGA自体の製造不良を見つけたいんじゃね
775774ワット発電中さん:2011/01/30(日) 22:33:58 ID:k1n02Oqm
丁寧かつ迅速なレスありがとうございます。

>この検証が論理検証という意味ならチームは同じことが多いと思うよ。
これは、予想外っていうか予想どおりっていうか(何言ってるんだかw)
ともかく、現実は理想どおりには行かないっていうことでしょうね。

「内部構造に関する予断・知識を持たない者のほうが、よいテストベンチを書ける」と
いうようなことはあっちこっちで見かけるんだけど、設計に全く関わらない検証専門の
人間を配置する余裕はない、っていうことなんですかね。


>製造をともなわないFPGAでスキャンテストが必要なのかちと疑問。

これは、全くそうなんですよね。
ASIC では、例えば ANDゲートを入れたとき、それが製造時に壊れてる可能性が
あるからそのパスをテストしないといけない。
FPGA では、ANDゲートを作って configuration したら、動作することが保証されてる。
(正確には知らないけど、各ロジックセルをメーカーで製造時にテストしてるから)

まあ、要求してるほうもよく分かって言ってるわけではないから、
「ちゃんとシミュレーションしろよ」程度のことでしかないんですけどね。
776774ワット発電中さん:2011/01/30(日) 22:41:41 ID:k1n02Oqm
あと、意外だったのは、
>スキャンのカバレッジはあくまでLSI自体の故障を検出する物で大体そのLSIが壊れてるかどうかを判断するもの(大体95%〜98%のカバレッジ)
っていうことです。
(大体95%〜98%のカバレッジ)だと、テストしてない所が 2〜5%あるわけですけど、
それで大丈夫なものでしょうか?
777774ワット発電中さん:2011/01/30(日) 22:57:24 ID:ExAjOlMw
>「内部構造に関する予断・知識を持たない者のほうが、よいテストベンチを書ける」と
>いうようなことはあっちこっちで見かけるんだけど、設計に全く関わらない検証専門の
>人間を配置する余裕はない、っていうことなんですかね。

当然チームが同じでも人は違うね。
ただ、初期検証時にそこまでキッチリテスト仕様が書けるわけじゃないしね。

>(大体95%〜98%のカバレッジ)だと、テストしてない所が 2〜5%あるわけですけど、
>それで大丈夫なものでしょうか?

スキャンテスト以外のテストで見てるから問題ないね。


まぁLSIテストに関しては俺は本職じゃないので、あまり詳しいことまでは分からないんだけどね。
778774ワット発電中さん:2011/01/30(日) 23:57:16 ID:AJ/UvHxR
最近は縮退故障も99.Xぐらいをターゲットにする。
遅延故障は90%ぐらいだったりするけど。
レイアウターの感覚としては、ターゲット高いと配線できなくなったりするから、
設計後半で故障率変更は勘弁して!って思う。
779774ワット発電中さん:2011/01/31(月) 00:27:18 ID:YCArMZi5
ん?スキャンチェーンは敗戦時再接続しないの?
780774ワット発電中さん:2011/01/31(月) 01:23:27 ID:TLFkdi5Z
聞けば聞くほど、ウチの会社ではASIC無理だわ。
781774ワット発電中さん:2011/01/31(月) 01:30:54 ID:5eKAT5sQ
諦めたらそこで(ry
782774ワット発電中さん:2011/01/31(月) 02:02:49 ID:Bbb7VfdD
うちもFPGAで精一杯だわ、ははは・・・
783774ワット発電中さん:2011/01/31(月) 09:47:36 ID:EawK8whC
0.35uくらいのG/Aならそんなに
びびらなくても作れるって
開発費も数百万ってところだ
まぁハイエンドのFPGAより優るのは
単価と電力、フットプリント程度だが
G/Aだと自由度低いからな
784774ワット発電中さん:2011/01/31(月) 10:15:35 ID:5eqbCPLV
そうすると、自分だけの型番のICが作れるということでしょうか?
条件
・IC表面に「T○SHIBA ○○○A ←自分の好きな型番」がレーザーマーキングされていて
・足はなくてもいい。その替わり、樹脂モールドに小穴が空いていて欲しい。
・内部の配線は超簡単。FPGAでササッと作ったものが動けばいい。何なら回路無しでもいい。
要はキーホルダーにしたいだけなんだけどね。
お金を出せばやってくれるんだろうか。
785774ワット発電中さん:2011/01/31(月) 12:00:04 ID:8voeZoFx
だったら、そこらで買ってきたのを加工してもらったほうがいいんじゃね?
レーザー刻印部分を削って掘りなおすだけでしょ?
786774ワット発電中さん:2011/01/31(月) 12:07:35 ID:1ne62sjB
ユーミンのレコードのジャケットにワンオフで作ったGAの写真が使われていたことがあったような
MB何とかだったような覚えがある
787774ワット発電中さん:2011/01/31(月) 12:11:37 ID:WIxn3hgM
CPLD なんかの書き込みを商社に頼むとマーキングしてくれるんじゃない?
XC95のPLCC84 あたりだと見栄えもするし脚がまがる心配もない
1個では無理だと思うけど10万くらい用意すれば100個はいけそう
788774ワット発電中さん:2011/01/31(月) 12:30:11 ID:qMyr19z/
一気にくだらん話題になったな。2chらしいよ!!
789774ワット発電中さん:2011/01/31(月) 20:03:04 ID:EawK8whC
>>784
マーキングは可能な範囲で対応する
別料金ね
ただねぇ、ESのみで終るような所用
だと受託しないかなw
790774ワット発電中さん:2011/01/31(月) 20:12:45 ID:YCArMZi5
GAなんてまだやってるところあるんだー。
数百万ってどの段階からなんロットの話?
791774ワット発電中さん:2011/02/01(火) 00:48:06 ID:BFPXDo6z
ICのエポキシ樹脂の筐体に穴を空けるのは、
とても固くて苦労した。
新品のドリル刃使ってみたけど、あきらめた。
792774ワット発電中さん:2011/02/01(火) 07:40:49 ID:87DR1MKm
>>790
RTL渡しのNREかな
ロットはあまり関係ない
793774ワット発電中さん:2011/02/01(火) 10:29:31 ID:GXRmr/UV
GDS で出せば、シャトルで 100万円くらいじゃね?
794774ワット発電中さん:2011/02/01(火) 12:01:00 ID:87DR1MKm
>>793
直描なら安く上がるね
って主はキーホルダー作りたいだけなんだろw
町工場にLCCのUV-EPROM持ち込んで
加工して貰うのがいいんじゃね
窓からチップ見えるし
795774ワット発電中さん:2011/02/01(火) 22:40:19 ID:9MN8fhrc
>>792
そうなんだ、大昔だけどCMOSやってるときに設計が遅れて納期が遅延するという打ち合わせをしてたら客先担当者
が下地だけ先に工場で作っといてよって言った時に、この人GAとCMOSLSIのくべるがついてねぇと思った記憶があ
るなぁw
796774ワット発電中さん:2011/02/02(水) 01:02:34 ID:EBskpSq/
CMOSLSIってなに?セルベース(スタンダードセル)のこと?
797774ワット発電中さん:2011/02/02(水) 08:04:47 ID:47Phkv87
>>796
たぶんスタセルのイメージだと思う
CMOSじゃ無いASICをやった経験は
まぁ無いでしょう
今はバルク先行せずメタルと一括
でOKじゃないかな
798774ワット発電中さん:2011/02/11(金) 00:20:50 ID:nZt+C6Rl
>>790

GaAsだけどな
799774ワット発電中さん:2011/02/11(金) 19:26:28 ID:nSE9wfzh
ぎゃーす、って読むってほんとけ?
800774ワット発電中さん:2011/02/11(金) 20:09:22 ID:opeL4DCX
ガリ砒素、とは略すけど
801774ワット発電中さん:2011/02/12(土) 00:08:25 ID:mDlqUq6X
「ぎゃーす」なんて読めるわけないだろ





「がーす」だよ。たぶん。
802774ワット発電中さん:2011/02/12(土) 00:34:42 ID:0ObMhVZp
ガイジンはナンテ発音で言うのかな?
803774ワット発電中さん:2011/02/12(土) 19:32:21 ID:4Wu8yaAU
なんか言いやすいな。なんでだろ。

ぎゃっぷ
ありんぎゃっぷ
しっく
804774ワット発電中さん:2011/02/15(火) 00:37:53 ID:95PRhDeq
ここしばらくはVHDLに打ち込んでて、数クロックを削る世界で戦ってたが、
久々にC++を触った折、オブジェクトの生成や解放に数百クロックかかると知ってひっくり返りそうになったw
805774ワット発電中さん:2011/02/15(火) 00:50:34 ID:GdRGX0EE
仕様さえ、満たせば何でもアリ
806774ワット発電中さん:2011/02/15(火) 00:53:29 ID:95PRhDeq
まぁ、そうなんだけどなw
しかしここ数日のギャップだけに、精神衛生的に・・・w
807774ワット発電中さん:2011/02/15(火) 10:17:54 ID:MpRzKy3B
わかるw
クロック周期未満の遅延時間と戦った後でマイコン触るとショックだw
808774ワット発電中さん:2011/02/15(火) 16:45:18 ID:akBK2vwW
昔、仕事で組込みシステムの周辺モジュール検証プログラムを組んだときには、
ハードウェアロジックとの違いが衝撃的だった。
809774ワット発電中さん:2011/02/15(火) 18:28:21 ID:6taGB8Xw
オブジェrクト指向なんてリソースに余裕のある時に選択するプログラミングだろ。
デバドラのようなギリギリ、もしくは性能を限界まで引き上げたいときはせいぜいPure Cまでだろ。
あらかじめ固定領域のメモリプールを用意するならメモリフラグメントは発生しないが、
そもそもこういうのはオブジェクトの生成、消滅とか動的に繰り返すC++で実現できるの?
810774ワット発電中さん:2011/02/15(火) 19:38:36 ID:eh161d1E
>>809
じゃあ、全部staticで。

てか、棲み分ければいいじゃない。
811774ワット発電中さん:2011/02/15(火) 20:06:40 ID:FxPfSIg4
>>809
> あらかじめ固定領域のメモリプールを用意するならメモリフラグメントは発生しないが、

文字列のような可変長データだと、あらかじめ固定長ってわけにはいかない。

> オブジェクトの生成、消滅とか動的に繰り返すC++で実現できるの?

オブジェクトの生成、消滅とか動的に繰り返すような作りは、ループ内で
オブジェクトを定義しているとか、プログラムの書き方に問題がある。

プログラムの書き方次第で、一度生成したオブジェクトを使いまわす
ことは普通に可能。

あと、オブジェクトの単純な配列だと、配列が成長(要素の追加)するたびに、
裏でヒープの拡張と配列に格納された既存オブジェクトのコピーが行われる
ので、配列要素が増えると、等比級数的に遅くなる。

こうした場合、単純配列ではなくオブジェクトのポインタ配列を扱うクラス
をテンプレートで定義することで、かなり軽減できる。ソートや入れ替えも、
ポインタの並べ替えや、ポインタ値の交換だけで済むので、圧倒的に速い。

むろん、あらかじめ必要な配列サイズが判っていれば、事前にサイズ確保
して、配列要素に代入していけば、フラグメントや要素を1つづつ追加する
場合に起こる、ヒープ確保と不要な配列要素のコピーも防げる。
812774ワット発電中さん:2011/02/15(火) 21:42:28 ID:6taGB8Xw
>>911

>オブジェクトの生成、消滅とか動的に繰り返すような作りは、ループ内で
>オブジェクトを定義しているとか、プログラムの書き方に問題がある。

違う。オブジェクトの動的な生成ができないC++なんて意味がない。むしろ、オブジェクト指向言語を選択してること自体に問題がある。


>プログラムの書き方次第で、一度生成したオブジェクトを使いまわす
>ことは普通に可能。

そんな本来の使い方とは違う使い方はできたとしても、やる意味がナイ。
mathematicaで数値計算させたり、FORTRANで人工知能やってもだれもほめてくれない
↓こういうのは、そもそもC++を選択してはいけない場面でC++を選択したことの報い。まったくもって無駄な作業でしかない。

>こうした場合、単純配列ではなくオブジェクトのポインタ配列を扱うクラス
>をテンプレートで定義することで、かなり軽減できる。ソートや入れ替えも、
>ポインタの並べ替えや、ポインタ値の交換だけで済むので、圧倒的に速い。

>むろん、あらかじめ必要な配列サイズが判っていれば、事前にサイズ確保
>して、配列要素に代入していけば、フラグメントや要素を1つづつ追加する
>場合に起こる、ヒープ確保と不要な配列要素のコピーも防げる。
813774ワット発電中さん:2011/02/15(火) 22:00:06 ID:gU9pF/2B
C++って結局Cで使う変数名をクラスをつかって短くかけるだけのメリットしかないんじゃね?
//それとコメントアウトが楽なぐらい
814774ワット発電中さん:2011/02/15(火) 22:19:47 ID:ce0z03qV
まぁ組み込みでオブジェクト思考言語を使うメリットがよくわからんが、C++を使いたいなら使えばいいのでは?
処理速度遅くても、RAMの使用量減らしたければ有りかも知れんが。
815774ワット発電中さん:2011/02/15(火) 22:32:50 ID:95PRhDeq
とすると、わざわざC++を使う理由は、オブジェクト指向による開発効率の向上?
もちろんそれが許されるリソース、環境での話だけど。
デザインパターンとか知って使ってると感動する。
816774ワット発電中さん:2011/02/15(火) 23:06:14 ID:xUQqBsrx
>814
そもそも組み込みでC++が必要な場面なんて殆ど無いけどな。
あれはリソース食いまくる前提だから、リソースが限られる組み込みで使って嬉しいものじゃない。
>811のやり方はそれこそCで構造体使ってやるのが正道。
そして、特に時間にクリティカルな処理はアセンブラ併用。

>815
YES.
かなりの大規模プロジェクトでも、正しくオブジェクト指向で組まれていれば
ソースの見通しがいいのでメンテするにも楽。
自分の過去の実績では、ソースファイル860超、ソース容量12MB超、
exeファイルサイズ2.6MB超のWinアプリでも余裕でメンテつか機能追加できた。
817774ワット発電中さん:2011/02/15(火) 23:08:57 ID:95PRhDeq
>>816
>自分の過去の実績では、ソースファイル860超、ソース容量12MB超、
>exeファイルサイズ2.6MB超のWinアプリでも余裕でメンテつか機能追加できた。
すげぇ・・・
オブジェクト指向プログラミングはまだぺーぺーだけど、
ゲーム作りたいしがんばって勉強するわ。
818774ワット発電中さん:2011/02/15(火) 23:37:34 ID:ce0z03qV
>>816
リソースは組み方次第だろ。
まぁC++は組み込みで使う理由は見つからないけど。
最近の人はC++しか知らない人とか居そうだけど。
そろそろスレ違いなので終わるね。
819814:2011/02/16(水) 07:15:45 ID:3ySx2BrA
> そもそも組み込みでC++が必要な場面なんて殆ど無いけどな。

おおむね同意だけど、まぁ状況によるだろうね。

> あれはリソース食いまくる前提だから

クラス定義と、組み方による

> >811のやり方はそれこそCで構造体使ってやるのが正道。

仮想関数を使わなければ、メンバ関数は、名前空間によるスコープ
と変わらず、C++のclassは、基本的にCのstructと同じなんだが?

ちなみに、C++でのstructとclassの扱いの違いは、メンバのデフォ
ルトの属性がpublicかどうかだけ。structで宣言してもメンバ関数
を追加できるし、メンバに、public,protected,privateで属性指定
することもできる。

Cの構造体として全てのメンバをpublicとして、publicなスコープ
関数で書くのに比べたら、C++でクラスとメンバ関数を使うほうが、
はるかに効率的でメンテもし易い。

> そして、特に時間にクリティカルな処理はアセンブラ併用。

コード生成に関して、CとC++の違いはほとんどないし、必要なら
部分的にコンパイラで雛型のアセンブラソースを吐かせて、それを
手作業で最適化することもできる。(元ソースに反映はできんが)

> 自分の過去の実績では、ソースファイル860超、ソース容量12MB超、
> exeファイルサイズ2.6MB超のWinアプリでも余裕でメンテつか機能追加できた。

ソースコードの実行数がわからんとなんとも。1ファイル=1クラスで、ヘッダ
とソース合わせて860なら、総クラス数430種類だけど、無駄に多くないか?

あと、バイナリのリンク形式や、MFC/ATL等の使用の有無、ファイルに含ま
れるリソースデータ量が判らんと、規模についてはなんとも言えん。

MFC使ってスタティックリンクなら、小さいソースでも2MB以上になる。

というか、クラスの使いまわしとか派生、テンプレートを使用していたら、
その規模でそのクラス数は、無駄に多い印象しかないのだが? そもそも、
それだけのコード量でライブラリ(DLL)化とかしないのか?
820774ワット発電中さん:2011/02/16(水) 09:50:24 ID:5V/JsVCG
おまえらの仕事がせいぜいH8だってことが良くわかった。
だが、スレチだから消えうせろ!
821774ワット発電中さん:2011/02/16(水) 13:12:03 ID:Iif+O8UI
C++を
betterCとして使う派 と 正しくOOP言語として使う派 が
互いに理解し和解する日は永遠に来ないのだよ
822774ワット発電中さん:2011/02/16(水) 13:41:20 ID:Tm1yyUqZ
betterCって何?
823774ワット発電中さん:2011/02/16(水) 16:17:36 ID:Iif+O8UI
検索したらこんなの見つけた
http://www.kijineko.co.jp/tech/betterc
824774ワット発電中さん:2011/02/16(水) 18:30:13 ID:3ySx2BrA
>>820
CにしろC++にしろ、プログラムする上でレジスタモデルが隠蔽された言語
レベルで見たら、ターゲットがH8だろうがARMだろうが、変わらんだろ。
H8と言いたいだけの厨房か?

>>821
「正しい」とか、絶対的な基準などありもしないのにな。(w
いかにも自分原理主義者らしい発言だな。

>>822
平たく言えば、バズワードと思ってほぼ間違いない。
825774ワット発電中さん:2011/02/16(水) 22:31:21 ID:KtUj3Q5T
>824
平たく言っても、バスワード はないでしょう。ちゃんと意味あるし。

"better C" 言葉のとおりCより良い物。

C++でオブジェクト指向の機能を使わずに、C言語よりも便利な機能を
もった言語としてみることでいいんではない。

1例として、C言語では、関数内での変数宣言は最初に行わなければなら
ないが、C++では、変数を使用する前ならどこでも宣言できる。

C++で追加になっているオブジェクト指向の考え方は、C言語とは
まったく違う考え方なので、C言語しか使えないプログラマには、
理解しづらい。

それで、面倒だから、C言語としてプログラムすりゃいいや。
でも、ちょっと便利な機能だけは使っちゃおうって感じかな。
826774ワット発電中さん:2011/02/16(水) 22:34:07 ID:pAUqvjSD
ちゃちゃですw
じゃあ、SystemC は SystemC++ と書くべきなのか?
827774ワット発電中さん:2011/02/16(水) 22:58:42 ID:7WWBIboG
>825
あと、namespace なんかも、Cでこそ使いたいのに・・
828774ワット発電中さん:2011/02/16(水) 23:16:09 ID:c9iUVh05
ここまでEC++の言及なし
829774ワット発電中さん:2011/02/17(木) 00:42:45 ID:1GTTimYY
スレチ。ソフトウェアの話は違う板でやってくれ。
830774ワット発電中さん:2011/02/17(木) 01:06:37 ID:TNocs8U0
流石は雑談スレ。横道逸れまくり。
831774ワット発電中さん:2011/02/17(木) 02:35:17 ID:ytGaRXhi
verilogもVHDLもソフトだから、スレ違いには該当しないと思われ
832774ワット発電中さん:2011/02/17(木) 02:51:46 ID:1GTTimYY
>>831

はぁ?スレタイ読めよ。
833774ワット発電中さん:2011/02/17(木) 05:23:27 ID:aH0+VG2T
1だけど830は正しい。829と831は正しくないwwwwwwwwwwつまり
    ,r´⌒ヽ,⌒ヽ,ヽ
   (⌒)、   .人  λ\、 ._____
    \. \    、 ヽ./ ー  ー\
     |\ \    ヽ./ ( ●) ( ●)
     |  \  \ /     (__人__) \  はいはい、どーも雑談スレですみませんでした
     |.   \   |       ` ⌒´   |
  .   |.   |.\_ノ\            /
  .   |.   |   |   \______/
  .   |   )  .|     . . ̄ ̄
  .   |   |  .|
     |   |.|  .|
  .   |  | .| .|
     /  / / ヽ,
    (__ノ  ヽ、__つ
834774ワット発電中さん:2011/02/17(木) 07:56:09 ID:5xGr8JGs
久々にキタw
835774ワット発電中さん:2011/02/17(木) 11:11:25 ID:S8hHvPBr
H8もスパルタンも、
PC上で動作を文字で記述して、PCでコンパイルして、ケーブルでフラッシュに書き込む。
全てPCで話が終わり、半田ごてや測定器は使わない。どちらも全く同じ作業。
すなわち、HDLはソフトウェア。
836774ワット発電中さん:2011/02/17(木) 11:57:23 ID:1GTTimYY
HDLがソフトウェア?
HDLが何の略か知らないのかこいつら
837774ワット発電中さん:2011/02/17(木) 12:48:35 ID:KgNdXMeB
ややこしいのは今C++をBetter Cとして使おうにも
CはCで勝手にスペック決めて進化しだしたってことだわな。
Better Cをいうなら最新のCを常にサブセットとするような仕様にしないといけないんだが、
仕様策定委員会の連携がどこまでとれてるんだろ。

PS
Cを知らずC++から入った奴のプログラムコードは吐き気がする。大体一目見ればわかる。
つーかアセンブラを知らないといったほうがいいのか?
838774ワット発電中さん:2011/02/17(木) 12:51:07 ID:KgNdXMeB
>>836

HDLそのものはS/Wといってもいいだろな。結局コンピュータ上で処理するしかない言語なんだし。
その精神はH/Wであっても。
839774ワット発電中さん:2011/02/17(木) 13:00:57 ID:rnLN7Am3
HDLanguage
840774ワット発電中さん:2011/02/17(木) 14:01:36 ID:S8hHvPBr
ハードウェアデスクリブションランゲージ
= ハードウェアを記述するソフトウェア、つまりソフト = C = C++
841774ワット発電中さん:2011/02/17(木) 14:06:11 ID:KgNdXMeB
High density lipoprotein
== 善玉コレステロール == 親父健康
842774ワット発電中さん:2011/02/17(木) 14:28:27 ID:S8hHvPBr
module(                
input wire SW,             unsigned char *SW = (volatile unsigned char *)0x123456;
output reg c              unsigned char *c = (volatile unsigned char *)0x876543;
);
reg [7:0] a, b, ;           unsigned char a, b;

always @ ( clock ) begin        void main(){
  if( SW!=0 )begin            if( SW!=0 ){
    c <= a + b;               c = a + b;
  end else begin             } else {
    c <= a - b;               c = a - b;
  end                   }
end                   }

ほら、同じでしょ?
843774ワット発電中さん:2011/02/17(木) 15:21:52 ID:gXQ05Qn+
何を持ってソフトウェアというかだよな。それをなしに
議論しているから不毛なんだよね。

同じように見えるところを抜き出して比べても、同じという
証拠にはならないよ。両者の機能、意味合いは異なるからね。
844774ワット発電中さん:2011/02/17(木) 15:37:28 ID:qR8hi1mw
FPGAなんかを使わないHDLのメリットってクラス化できるところなんじゃね?
845774ワット発電中さん:2011/02/17(木) 15:52:19 ID:pVNkp+g5
FPGA の中は、実は超高速な CPU が入っていて、
ソフトウェアで処理していたとしても、別にいいんじゃね?

ってか、“ソフト”って呼ばれて火病るやつは、
ソフト屋さんを見下してるの?
オレはハード屋だぜ!ってプライドがあるんかね。
846774ワット発電中さん:2011/02/17(木) 16:24:50 ID:+twciROy
FPGAはGAというよりプロセッサの扱いなんだよな
847774ワット発電中さん:2011/02/17(木) 16:29:45 ID:yCuyW8kQ
>>846
なんで?
848774ワット発電中さん:2011/02/17(木) 17:16:16 ID:qR8hi1mw
>>845
実はハードができないんだけど、ハード屋だといいたいんじゃね?
849774ワット発電中さん:2011/02/17(木) 18:19:09 ID:C8O2OHPm
またソフト厨が沸いてるのか。
システムLSIもソフトだと思ってるんだから、なに言っても無駄w
850774ワット発電中さん:2011/02/17(木) 18:19:16 ID:Madml72i
>>845の言う様な構成であれば、
FPGAにとってのHDLはソフトウェアで正しい。

ただ、現実にはFPGAのコンフィグデータは、ロジック回路の設定情報なので、
HDLをソフトウェアと呼ぶのは、コンピュータ屋から見てもおかしいのではないか?
851774ワット発電中さん:2011/02/17(木) 18:52:14 ID:D1ZgPxTb
はいはい、そうですね。
852774ワット発電中さん:2011/02/17(木) 19:00:18 ID:Sw4nffzX
最初のコンピュータはハード固定でデータ食わせるだけだが
当時はソフトウェアの概念無かったハズだしな
先祖帰りしてると考えるか…
でも時間の扱いはハードとソフトの開きが有るかな
853774ワット発電中さん:2011/02/17(木) 19:03:25 ID:gyXQStFf
プライドより勘違いしたソフト屋に参入してほしくないからハードっていいたい
前にソフト屋に「verilogできれば俺でもハード屋になれるよね」って言われて試してみたが…
全くハード知らないのにハード屋になろうとしたよかよって記述されたことがある
854774ワット発電中さん:2011/02/17(木) 19:45:46 ID:odZxbET5
あるねぇ、それ。1ずつインクリメントしてきているレジスタ相手に
if (a<10) begin
とかやられると青筋が浮き出るね。
855774ワット発電中さん:2011/02/17(木) 20:36:25 ID:AQZps72t
何で〜?







まさか回路規模が小さくなるとか思ってる?
856774ワット発電中さん:2011/02/17(木) 20:38:34 ID:JoIXTboq
if (a==0||a==1||a==2 ......a==8 || a==9 ) begin
に展開されると思ってんじゃね?
857774ワット発電中さん:2011/02/17(木) 21:24:50 ID:C8O2OHPm
ソフト屋はクロックという概念が無いからでしょ。
alwaysなんてwhileと同じだと思ってるし、一つのセンシティビリティで同じレジスタに2回書き込んだり平気でやるし。
858774ワット発電中さん:2011/02/17(木) 22:33:33 ID:CamYRPw9
一つに2回は可愛らしい。
複数のalwaysブロックで同じレジスタに代入したりする。
俺もSimだと平気でやるが。
859774ワット発電中さん:2011/02/17(木) 22:37:55 ID:S8hHvPBr
>>857
>一つのセンシティビリティ
センシティビリティって何ですか?
860774ワット発電中さん:2011/02/17(木) 22:46:15 ID:U6DPPbNA
千の都市を建設(ビルト)
861774ワット発電中さん:2011/02/17(木) 23:05:42 ID:5xGr8JGs
>>859
process( ここに入る信号のこと )

同期設計の概念すら知らんかった入社当初は、
平気でフツーの信号ぶっ込んでたな・・・
862861:2011/02/17(木) 23:06:40 ID:5xGr8JGs
あ、センシティビティリストじゃないのか。
ごめん。
863774ワット発電中さん:2011/02/17(木) 23:38:28 ID:Ol4JQXKq
>>857
組み込みやってるソフト屋なら、大丈夫かと。
OS走ってないと何もできない人だと、流石に厳しいかな。

俺はHDLはソフトだと思っている。ハードウェア記述言語のままの認識で、
ハードを記述できるソフトウェア。ネットリストに落ちて、はじめてハードという認識。
SystemVerilogを見て、ハードだと言える人は居ないと思う。
インスタンスもあるし、普通にオブジェクト指向。普通にソフトウェア言語。

もちろん、ハードを正しく記述する為、ソフトなんだけどハードに落ちる事を意識して書く。
時には、論理合成ツールの気持ちになって考える。

シミュレーションする時のモデルを使う時や、テストベンチを書くときの方がむしろ気を遣う。
シミュレータの中のイベントキューに振り回されるので、
C言語のソフトウェアを書くより太刀が悪いと思う時がある。クロック同期の方が楽。
864774ワット発電中さん:2011/02/18(金) 00:19:01 ID:F/avoOJe
>組み込みやってるソフト屋なら、大丈夫かと。
組み込みで時間を意識するのはタイマーであって、タイマーのペリフェラル設定時程度しかクロックは意識しない。
まぁ、組み込み系の大部分がシーケンス制御で、同期回路の概念を持ってる人はあまり多くないから仕方ないが・・・。
同期回路のクロックとマイコンのクロックでは基本考え方のアプローチが違うんだよね。
アセンブラでガッツリ書いて速度を追及してる人なら別だが。

>ystemVerilogを見て、ハードだと言える人は居ないと思う。
SyatemVerilogはアサーションがメインで、回路設計に使ってるという話を聞いたことは無いなぁ、どこかでやってるのかも知れんが。
どのみちSystemCに取って代わられるだろうが。

>もちろん、ハードを正しく記述する為、ソフトなんだけどハードに落ちる事を意識して書く。
>時には、論理合成ツールの気持ちになって考える。

ソフトをどう定義するかによるし、一切否定する気は無いが、君の理論だと、FPGAやMentorで回路図を入力してもソフトということになる。

一般的にはハード設計かソフト設計かは最終出来上がるものによって区別される。
合成語デジタル回路になるものは一般的にハード設計、コンパイル後もソフトならソフトだ。
この前提が崩れるならCADで機械を設計しても、それはソフト設計だという事になるが、君はそれでいいのか?
865863:2011/02/18(金) 00:51:48 ID:wT64F4dF
>SyatemVerilogはアサーションがメインで、回路設計に使ってるという話を聞いたことは無いなぁ、どこかでやってるのかも知れんが。
HDLがソフトかハードかという話をしているので、SyatemVerilogがアサーションや回路設計に向かないとかいう話しとは別だと思っていて
この例を出した。俺が言いたかったのは、HDLは回路記述ができる言語というそれだけ。
道具をうまく使えば質の高い回路を合成できるだろうし、ハードウェアに落ちる事を想定しないで書けば
極めてソフトウェア的な思想の順序処理的な回路になるだろう。

>一般的にはハード設計かソフト設計かは最終出来上がるものによって区別される。
>合成語デジタル回路になるものは一般的にハード設計、コンパイル後もソフトならソフトだ。
それはその通り。だから、ネットリストに落ち、配線が行われた段階で少なくともハードだという認識だと書いたつもりだった。

>君の理論だと、FPGAやMentorで回路図を入力してもソフトということになる。
それは違う。回路図入力では、例えばパラメータやプリプロセッサを使ったソフトウェア記述言語的な思想が持ち込めない。
HDLとは別物。モジュールやファンクションのような概念も回路図エントリーでは使えない。
HDLはハードウェア記述言語。ハードを記述する為に設計されたソフトウェアだという認識。
866774ワット発電中さん:2011/02/18(金) 00:59:53 ID:F/avoOJe
>それは違う。回路図入力では、例えばパラメータやプリプロセッサを使ったソフトウェア記述言語的な思想が持ち込めない。
ん?出来ると思うけど。
867863:2011/02/18(金) 01:01:34 ID:wT64F4dF
すまん。
1. HDLがソフトかハードかいう話しなのか
2. HDLを記述する行為がソフトウェア設計なのかハードウェア設計なのか
を、ごっちゃにしていた。前者は、私はハードを記述する為のソフトウェアだという認識。後者は対象による。
868774ワット発電中さん:2011/02/18(金) 02:16:59 ID:ecZuAKVV
ファームはソフトかハードか?
869774ワット発電中さん:2011/02/18(金) 04:36:03 ID:F7kIy6zs
言語は、ソフトウェアでもハードウェアでもないよ。言語は言語。
870774ワット発電中さん:2011/02/18(金) 05:36:10 ID:ZwxsNBEM
ちよっと斜め45度から物を言うと、
HDLを書いてね人で「俺はハード設計やってんだぜぃ」って 自慢げに言う人が大嫌いだ。
俺に言わせれば、HDL「だけ」できたって、半田付けの1つも満足にできないやつ。
ノイズなんかの対策が出来るくらいでないと本当のハード屋とは言わない。
というか、おこがましくて「俺はハード設計やってんだぜぃ」なんて絶対言えない。
そんな台詞を横で聞いてる こっちが恥ずかしくなって、穴があったら入れたくなる。
871774ワット発電中さん:2011/02/18(金) 08:14:26 ID:Ai9olQIB
>>870
それにのっかると大手ASICベンダーの設計者は
ハード屋じゃないな
チップ屋のカテゴリーが必要か?
872774ワット発電中さん:2011/02/18(金) 08:42:18 ID:dcukaS8h
ハード設計屋は、設計屋であって、半田付けできなくてもいいだろ。
ビルの設計屋がコンクリ混ぜなくてもいい。
壁塗りが好きならやってればいいじゃない。
873774ワット発電中さん:2011/02/18(金) 11:53:46 ID:bUBgwZQq
設計(w
FPGAの設計って、幼稚園児がレゴブロック組み立てているようなモンだよね。

繋げば動くことが判っている部品をただ繋いでいるだけ。個々のセルの性能や
信頼性はベンダ任せ。ゆえに、分類はソフトウェア。
874774ワット発電中さん:2011/02/18(金) 12:22:25 ID:b2KoZVEc
繋げば動く、か・・・
875774ワット発電中さん:2011/02/18(金) 14:04:20 ID:ZwxsNBEM
>>873
>個々のセルの性能や信頼性はベンダ任せ。ゆえに、分類はソフトウェア。
同意
876774ワット発電中さん:2011/02/18(金) 14:38:26 ID:F/avoOJe
じゃあ抵抗やコンデンサやトランジスタの性能はメーカー任せだから回路設計もソフトだね。
877774ワット発電中さん:2011/02/18(金) 14:39:17 ID:GFWYav68
アイスもソフト
878774ワット発電中さん:2011/02/18(金) 16:47:57 ID:W3UgH2ol
「FPGAの設計」っていうのは、XさんやAさんがやってるやつだろ
879774ワット発電中さん:2011/02/18(金) 17:02:45 ID:BlxlWDPV
>>876
繋げば動くし、その通りだ
880774ワット発電中さん:2011/02/18(金) 18:08:07 ID:ZwxsNBEM
それが違うんだな。俺は部品から作ってる。
抵抗は、紙の上に2Bの鉛筆を塗りたくる。
コンデンサは、アルミホイルとサランラップな。
トランジスタは、方鉛鉱に針を2本立ててる。
Lは手巻き。今年の方角は南南西な。
881774ワット発電中さん:2011/02/18(金) 18:39:41 ID:Ai9olQIB
繋げば動くが…
期待した動作かは別だね
882774ワット発電中さん:2011/02/18(金) 23:11:15 ID:/O6S9hfa
コンデンサや抵抗は流石に自作しない。
せいぜいプリント板焼いたりトランスを巻くぐらい。
883774ワット発電中さん:2011/02/18(金) 23:17:47 ID:F/avoOJe
>>882
それをソフト厨が必死にソフトと言い張ってるのだよ。
884774ワット発電中さん:2011/02/18(金) 23:33:02 ID:/O6S9hfa
とはいえ、verilogコード書いてる時はソフトやってる気分にはなるな。
生粋のソフト屋からしたら失笑されるかも知らんが
885774ワット発電中さん:2011/02/19(土) 00:11:28 ID:0RWLcdJt
CADでの回路設計やPCB設計もソフトって言いそうだな。
まぁそんな分類はどうでもいいけど。
886774ワット発電中さん:2011/02/19(土) 00:34:23 ID:x/GkJ0lR
ここまでの流れを見ると、ソフト屋がHDLをソフトと言ってるわけじゃなく、HDL を書けない電気屋がソフトと言ってるように見えるな。
プライドだけ高くて実力のない馬鹿がうちにも居るがこんな感じだよ。
本人だったらウケるけどまさかなぁw
887774ワット発電中さん:2011/02/19(土) 01:23:03 ID:ZSG4cGSA
自分じゃFPGAの回路はハードだと思って設計してるけど、上司や客先はソフトって認識だな。

冷静に考えてみるとプログラミング可能だし概念的にはソフトなんだよな。
おまけに開発フローまでソフトと良く似てるんだもの。
(ソフトといってもアプリではなくファームね)

>>863の「ネットリストに落ちて、はじめてハード」というのは納得。

HDLは単なる言語でソフトでもハードでもないので議論の対象になること自体が良く分からん。
888774ワット発電中さん:2011/02/19(土) 02:11:17 ID:la+DVM+7
HDL=ソフトウェア、HDLばっかりでハードのできない技術者は
ソフトウェア土方であり、ハード設計者と名乗るな、
ということで、もういい加減に、この話題やめようよ。
889774ワット発電中さん:2011/02/19(土) 02:20:04 ID:x/GkJ0lR
HDLばっかりでハードのできない技術者なんて居ないだろ。
HDLが何か分かってないのバレバレw
890774ワット発電中さん:2011/02/19(土) 07:14:51 ID:sHseVXmH
886 :774ワット発電中さん:2011/02/19(土) 00:34:23 ID:x/GkJ0lR
ここまでの流れを見ると、ソフト屋がHDLをソフトと言ってるわけじゃなく、HDL を書けない電気屋がソフトと言ってるように見えるな。
プライドだけ高くて実力のない馬鹿がうちにも居るがこんな感じだよ。
本人だったらウケるけどまさかなぁw

889 :774ワット発電中さん:2011/02/19(土) 02:20:04 ID:x/GkJ0lR
HDLばっかりでハードのできない技術者なんて居ないだろ。
HDLが何か分かってないのバレバレw

本人、自己紹介乙!
891774ワット発電中さん:2011/02/19(土) 08:59:26 ID:uw4bBeaE
既出と思うけど、これ凄いなぁ。
http://www.nicovideo.jp/watch/sm8975509
892774ワット発電中さん:2011/02/19(土) 11:34:00 ID:dchsfFpk
Niosのファーム書いてるだけだろ?これこそ本当にソフトだ。
893774ワット発電中さん:2011/02/19(土) 11:54:37 ID:qAiyPstU
Simまでならソフトだね
物理に落とし込むとハードになる
894774ワット発電中さん:2011/02/19(土) 12:14:00 ID:xryraCWf
VHDLでステートマシンを記述したいので、自己流ではなく美しいソースを見本に勉強したいと考えています。
おすすめは無いでしょうか。
895774ワット発電中さん:2011/02/19(土) 12:39:00 ID:uw4bBeaE
>>892
お馬鹿?
896774ワット発電中さん:2011/02/19(土) 12:40:49 ID:oagVFqpM
>>895
俺も>>892と同じに思うけど、違うの?
897774ワット発電中さん:2011/02/19(土) 15:07:34 ID:I9kBADsE
>>896
> >>895
> 俺も>>892と同じに思うけど、違うの?
GPU 設計してるじゃん。これがソフトならすべての論理回路はソフトだね。
俺は 論理回路=ソフト だと思っているからそれで異論はないが。
898774ワット発電中さん:2011/02/19(土) 17:25:49 ID:C7rp9gVq
>>894

HDLDesigner使って、状態遷移図ちゃんとかいて、それがはき出したHDLと比較するといいよ。
899774ワット発電中さん:2011/02/20(日) 00:27:32.63 ID:j1doINLx
ソフト厨の粘着さは異常だな。
900774ワット発電中さん:2011/02/20(日) 03:15:50.41 ID:a7L/8KD2
>>897
>GPU 設計してるじゃん。
って言ったって、PCでソフト書いて、コンパイルして、
フラッシュに焼き込むという作業は、変わらないですよ。
901774ワット発電中さん:2011/02/20(日) 03:26:44.87 ID:6ZZHMiCd
論理設計はハードの設計だろ。
GPUを設計ってのは論理設計をしてるんだよ。
PCで書いてるのはソフトでは無いし、PCで書くのはすべてソフトだと思ってる様な低能はもうレスしない方が良いよ。
902774ワット発電中さん:2011/02/20(日) 03:53:25.02 ID:zM4taJDX
レジスタからレジスタまでの間に加算器や乗算器を数十個も繋ぐようなことはしないだろ?
配線遅延を意識する時点で、それはソフトではない。
903774ワット発電中さん:2011/02/20(日) 18:06:15.53 ID:CE6tz9E4
>>902
ASICのプロトで困るんだよね
STAで調整とか無理だし
904774ワット発電中さん:2011/02/20(日) 21:37:45.46 ID:/k4FPxwj
そこをレイアウトで何とかなりませんか?
905774ワット発電中さん:2011/02/20(日) 22:01:56.34 ID:z4EUDP+M
>>904
フロントは遅れても平気でそんなこと言うからなー

CTS前のタイミング結果を確認したとき、
加算器や乗算器使ったパスが段数を多かったらげんなりする。
906774ワット発電中さん:2011/02/20(日) 22:28:19.92 ID:6ZZHMiCd
>>905
CTS前って事はプロパだね。
クロック速度とマージン次第じゃね?
グローバル配線もあまりあてにならんけど。
論理合成時にスピードマージンをどれだけ取るかちゃんと話した方がいいかもね。
907774ワット発電中さん:2011/02/21(月) 00:15:38.44 ID:6ct78jrE
>905
falseパスにすればSTA通りますよ。
と言われたことがある。

そんなザルで大丈夫か?
908774ワット発電中さん:2011/02/21(月) 00:30:31.16 ID:v2rTkyDg
>>907
非同期のパスだったのでは?
909774ワット発電中さん:2011/02/26(土) 09:52:20.26 ID:TN1BJjK1
ものすごく基本的なことで悩んでいます。

ISE 12.2にてVerilog-HDLで以下のようにsubモジュールのインスタンスを呼び出しているのですが、
ポートリストのin1 を、定義もされていないでたらめの信号名(たとえばxxx)にしても文法エラーがでないのです。

これは言語仕様として、そういうものなのでしょうか。

//-----------------
// mainモジュール
//-----------------
module main(in1, out1);

input in1;
output out1;

sub sub001 (.sub_in1(in1), .sub_out1(out1));
↑ この"in1"を定義のされていない適当な名前に変えても論理合成で文法エラーがでない。
endmodule

//-----------------
// subモジュール
//-----------------
module sub(sub_in1, sub_out1);

input sub_in1;
output sub_out1;

endmodule
910774ワット発電中さん:2011/02/26(土) 10:37:02.35 ID:EPl/a4jk
>>909
回路的にはそこ浮いちゃうんじゃないかな。
synopsys系の合成ツールならワーニングは出そうだけど。
それ以前にsubモジュールの中身が空だから最適化で消えて、何を繋いでも無視されるのかも?
911774ワット発電中さん:2011/02/26(土) 11:10:38.27 ID:TN1BJjK1
>>910
コメントありがとうございます。

> それ以前にsubモジュールの中身が空だから最適化で消えて、何を繋いでも無視されるのかも?

このソースは、見本としてシンプルなもの書きました。
中身が空ではないソースで同じことが発生しています。

どこにもつながっていない(inputやoutput, wire等で定義されていない)ネット名をサブモジュールのポートに
接続していたのが原因で全く動作せず、それに気づかず長時間悩んでしまいました・・・。
912774ワット発電中さん:2011/02/26(土) 12:15:53.17 ID:Xokgwoh6
>>909
Quartus IIだとエラーになるね。
ISEの仕様かね。
Error: Port "sub_in2" does not exist in macrofunction "sub001"
913774ワット発電中さん:2011/02/26(土) 14:10:22.68 ID:ALMi9ZcJ
>>909
警告レベル厳しくすればでるんじゃねえか?
914774ワット発電中さん:2011/02/26(土) 14:17:18.98 ID:bK37MuXt
>911
使われていない名前=使わない信号に繋がっている→使わない回路という解釈の仕方もある。
915774ワット発電中さん:2011/02/26(土) 16:02:08.28 ID:yI7BLecQ
このあたりの議論は興味深いね。
自分も、参照されない信号が最適化で取っ払われたことに気付かずに悩んだことがあるw
916774ワット発電中さん:2011/02/26(土) 16:58:01.23 ID:4i96igLW
verilog の仕様として、vector じゃない信号は未定義で使用しても
エラーにならなかったんじゃ?
verilog 2001 からは、`default_nettype none と書いとくと
エラーにしてくれるはずなんだけど。
(少なくともModelSimはちゃんとやるみたいだ)
917774ワット発電中さん:2011/02/26(土) 22:12:23.98 ID:rT0Ni3Pc
>>912
悪いとは言ってない
馬鹿にしてるだけ
918774ワット発電中さん:2011/02/27(日) 10:18:25.81 ID:/bV2QuW8
文法的に正しいかと、ツールが正しく動くかと、
エラーが出るかと、それぞれ違うから困るな。
919774ワット発電中さん:2011/03/02(水) 21:03:54.66 ID:V3VDODim
逆に、意図的に未使用な信号を実装したままXSTに投入したら、
勝手に最適化しやがるのは困ったものだ。

set_dont_touch_network的なコマンドが無いみたいだから、
dummy FFで叩いてからMUX経由でIOB出力させておいたけど。

その信号を制約で指定していたから、そんな信号は無いとか怒られたんで
騙し騙しの処置だけど、何だかナンセンスだよなぁ('A`)
920774ワット発電中さん:2011/03/03(木) 12:10:25.06 ID:ySEHVitH
ISE13.1の案内が来ました。まだ12.3使ってる。
どうせ新規デバイスに対応したんでしょ?
921774ワット発電中さん:2011/03/03(木) 12:41:35.87 ID:bHaicWZj
12.4をスルーは正解かな
13.1はAXIを直ぐに使いたいなら…
13.2も遠からずリリースされるけど
922774ワット発電中さん:2011/03/03(木) 13:03:31.21 ID:xFox8Xqd
modelsim 10.0aからwin64が追加になったのに誰も話題にしないね
923774ワット発電中さん:2011/03/03(木) 13:36:05.72 ID:ySEHVitH
Foundationと呼んでいた頃が、懐かしいよ。
924774ワット発電中さん:2011/03/03(木) 23:15:21.10 ID:aQdPw3S9
win64 だか win7 だか知らんが、オレは win2000 でガンバる
925774ワット発電中さん:2011/03/03(木) 23:39:24.47 ID:ySEHVitH
俺のWindows Meは、調子いいよ。
926774ワット発電中さん:2011/03/04(金) 00:56:59.71 ID:ZbYJqkDb
おいw
927774ワット発電中さん:2011/03/04(金) 01:50:04.18 ID:leFE6QpQ
歴代のPCたち
MS-DOS NEC PC9801
Win3.1   東芝 DynaBook
PC DOS   IBM Thinkpad 200
Windows95  IBM Thinkpad 570LD
Windows98  IBM Thinkpad 600
Windows2000 IBM Thinkpad A21e
Windows XP IBM Thinkpad T42p, s30, T60
Windows 7  IBM Thinkpad W510

IBMにはたくさん投資したけど、何の連絡もない。困ったヤツだ。

928774ワット発電中さん:2011/03/04(金) 22:36:36.05 ID:I57fO6ya
1986 MSX2(殆どゲーム機)
1995 MS-DOS NEC PC9801RX
1997 Windows95 DELL XPS M166S
2000 Windows98 自作 K6-2 266MHz
2004 Windows2000 自作 Pentium4 1.6GHz
2007 WindowsXP 自作 Core2duo E6400 ← 今ここ

改めて確認すると保守的な自分の性格がにじみ出てる・・・。
・OS、CPU共に枯れたのしか使ってない。
・一旦組み立てると中々買い換えない。
・自作にしては全然増設とかしてない。
929774ワット発電中さん:2011/03/05(土) 03:09:28.42 ID:TuXXmY1j
こんなスレに来るような猛者ほど意外と保守的なんだよなぁw
930774ワット発電中さん:2011/03/05(土) 09:42:59.82 ID:E9UnCrVf
>928
PC9801RXが95年とか、保守的にも程があるだろ。
その頃は既にDA/DS/DX通り越してFAとか出てたんじゃ……
931774ワット発電中さん:2011/03/05(土) 10:00:41.86 ID:9au232x8
Verilogを勉強しているのですが、ステートマシンを
case文ではなく、if 文で記述するのは良くないでしょうか。

あまり見かけないので・・・。
932774ワット発電中さん:2011/03/05(土) 10:10:31.74 ID:QrAFIlpk
>>931
ステートマシン事態をもう一度勉強しなおした方がいいね。
条件分岐は条件が多い場会caseを使う方が可読性がいい。
933928:2011/03/05(土) 12:38:51.58 ID:bMMm6J7a
>>930
勉強用にジャンク屋で買ったんだ。
買ったときはSASIの20MBが付いてたぞw

思えば一番最初に買ったPCだった。
捨てられずに今も目の前にある。
ここ数年電源すら入れてないけど。
934774ワット発電中さん:2011/03/05(土) 13:11:09.38 ID:uvGLtxtF
>>928
MSX はもう FPGA化されてるから、
次は 98 をFPGAに実装を考えるよね、
このスレの住人なら。
935774ワット発電中さん:2011/03/05(土) 19:19:55.65 ID:TSI6I+wM
9801ユーザに、そんな熱い思いを持った人がいるんだろうか。
936774ワット発電中さん:2011/03/05(土) 19:33:35.52 ID:qr9s3zSD
VM/UV あたりでたのむ
937774ワット発電中さん:2011/03/05(土) 20:46:46.24 ID:0YcsKOrU
98DOなら、88もいけるぞ。
938774ワット発電中さん:2011/03/07(月) 21:27:55.48 ID:D+lVZAOs
X68000 は既出だっけ?
939774ワット発電中さん:2011/03/12(土) 15:22:58.60 ID:Gwo+uW+j
ISE12.3が遅いので、PCを買い換えようと思います。
Core i7にしようと思うのですが、
マルチスレッドが可能なi7でも、ISEに対しては効果無し(意味が無い)でしょうか?

もし意味が無いのなら、
ISEの処理が速くなるなら、お金がかかっても良いですので、
激速にする方法はないでしょうか?
(SSD、メモリ16GBは実施する予定です)
940774ワット発電中さん:2011/03/12(土) 18:36:52.20 ID:jqIkH79W
>>939
ISEはほぼシングルスレッドなのでマルチコアの恩恵受けられない。
少なくともハイパースレッドは切っておかないと。

メモリ容量はデバイス何使うかで変わりそう。
http://www.xilinx.com/ise/products/memory.htm

あまり過度な期待はしない方がいいと思うけど、試すなら比較結果教えてほしい。
Core i7環境、64bit環境どっちも持ってないので。




・・・ところで今の環境は何使ってるのだ?
効果も何もこの内容じゃ誰もまともな回答できないよね。
941774ワット発電中さん:2011/03/12(土) 19:41:22.47 ID:U+uhZbMv
Alteraのほうは早くからマルチコアに対応してたと思うけど、
ライバルのISEはのんびりなんだね。
942774ワット発電中さん:2011/03/12(土) 20:32:26.91 ID:M1QBAxU8
>>939
Synplify 使え
943774ワット発電中さん:2011/03/12(土) 20:43:21.65 ID:uUDRaDHY
>>942
synprifyのライセンス高くない?
944774ワット発電中さん:2011/03/12(土) 20:56:43.01 ID:M1QBAxU8
お金がかかってもいいって書いてあったろ。
800万円/年くらいのはず。
945774ワット発電中さん:2011/03/12(土) 21:02:02.73 ID:uUDRaDHY
>>944
いや、お金かかってもいいって限度があるだろ。
てか、素直にアルテラかラティス使えばいいのに。
946774ワット発電中さん:2011/03/12(土) 21:52:35.49 ID:+xDc2dYL
800万?300万じゃなかった?
947774ワット発電中さん:2011/03/13(日) 01:08:14.82 ID:wPTcLZJc
ASIC屋は、金の感覚が違いすぎる。
Modelsimだって買えないのに・・・・Modelsim XE無くなったらISimだよ・・・・
948774ワット発電中さん:2011/03/13(日) 01:17:58.99 ID:36y6jQ9X
>>946
いろいろライセンス形態があるからなぁ。
300万は、永久ライセンスの保守費かな?
うちは年間ライセンスしか経理が認めてくんないんだ。
949774ワット発電中さん:2011/03/13(日) 02:07:49.73 ID:a9De1jye
Synplicityの頃はプライスリスト取ったことあったけど、今も同じような値段なのかね?
950774ワット発電中さん:2011/03/13(日) 02:21:26.23 ID:dALVwC8z
synopsysに買収されたから値段はずいぶんかわっただろうね。
951774ワット発電中さん:2011/03/13(日) 03:38:57.66 ID:9Lvrf6HM
夜は人がいなくて空いてるなと俺一人で同時に5本走らせたときがあったが
そんなにするものなのか。
952774ワット発電中さん:2011/03/13(日) 04:28:35.56 ID:eSlaeo3F
どうせmgls.dllにパッチあててるくせに。
953774ワット発電中さん:2011/03/13(日) 13:08:26.25 ID:ydUKIPPQ
>>947
ModelSim は使いずらすぎるだろ
仕事でやってんなら vcs+verdi 使えよ。
そこに投資しないで何に金使ってんだ?
954774ワット発電中さん:2011/03/13(日) 13:49:56.63 ID:FHkS3EVD
vcsとnc-verilogってどっちが使いやすい?
955774ワット発電中さん:2011/03/13(日) 13:55:22.84 ID:dALVwC8z
>>954
どちらも慣れ。
個人的にはvcs
956774ワット発電中さん:2011/03/13(日) 14:44:04.21 ID:wPTcLZJc
>>953
そんな高いツール買うような金なんて全く無い
無料か、10万円以内のソフトを紹介してくれよ
無料のは、どこまで信用できるのかアレだけど・・・・
957774ワット発電中さん:2011/03/13(日) 14:52:51.54 ID:dALVwC8z
>>956
veritakは?
まぁまぁ使いやすかったけど。
958774ワット発電中さん:2011/03/13(日) 16:00:04.96 ID:36y6jQ9X
>>954
バッチで流すんだから、使いやすいとか関係なくね?
959774ワット発電中さん:2011/03/15(火) 14:38:13.72 ID:tvubjQFS
>>853
そんなにmodelsimは使いづらいの?
どこらへんがvcs+verdiが使いやすいわけ?
960774ワット発電中さん:2011/03/15(火) 14:42:28.19 ID:tvubjQFS
vcsてverilog2001限定で
プラットフォームも
Sun Sparc Solaris、HP-UX、
Redhat Enterprise Linux、
SUSE Enterprise Linux
なのか、俺最近FreeBSDから足洗って全面的にwni7x64に突入したのに。
961774ワット発電中さん:2011/03/15(火) 18:02:11.60 ID:DxJccYnI
windowsでメモリ足りる?
チップでのシミュだと40〜50Gぐらいくわない?
962774ワット発電中さん:2011/03/15(火) 18:50:40.13 ID:0jbh9r6Q
winでシミュレーションはキツイだろ。まぁ趣味レベルなら問題ないだろうけど。
963774ワット発電中さん:2011/03/15(火) 22:02:03.23 ID:EwKcCH7B
シュミレーションならおk
964774ワット発電中さん:2011/03/15(火) 22:59:56.31 ID:MI5ov7sm
>>961
全信号をダンプとかすんなよ
965774ワット発電中さん:2011/03/15(火) 23:26:32.28 ID:vKCCmjj7
あれ、最初知らないときすごい容量食ってビックリするよねw
長時間かけるときは全信号ダンプはしないようにしてる。
怪しい信号の目処付けるのに短い時間だけ使うって感じにしてる。
966774ワット発電中さん:2011/03/16(水) 00:31:17.28 ID:O0P5qbXh
ハードディスク等の容量じゃなくて、使用する物理メモリの話じゃないの?
967774ワット発電中さん:2011/03/16(水) 18:35:29.26 ID:TyAzbNof
>>961

winであろうがLinuxであろうが物理条件なんて変わらんえあけだが、
それともスパコンでも使ってるのか?
968774ワット発電中さん:2011/03/16(水) 19:03:23.48 ID:5BimX5vP
>>967
普通に考えてUNIXサーバーだろw
969774ワット発電中さん:2011/03/16(水) 20:41:13.83 ID:9UVjKszS
チップのシミュレーションならハードウェアアクセラレータ使うといいよ
970774ワット発電中さん:2011/03/16(水) 22:46:40.54 ID:5BimX5vP
ハードウェアアクセラレータ?
ハードウェア・エミュレータでは無く?
ハードウェア・エミュレータなんてべらぼうに高いから大手じゃないと無いけどな。
971774ワット発電中さん:2011/03/16(水) 23:05:51.48 ID:Bu2Wz2Tn
ModelSimって波形データはHDD直出力だから
メモリ関係ないんじゃない?
972774ワット発電中さん:2011/03/26(土) 02:48:35.36 ID:kDMZ9pUo
なんかいきなりVeritakが起動しなくなった@Win7 64bit
OS入れ替えてみたけど、まっさらな状態だと起動して、WinUpdateすると起動しなくなる。
最近のWindowsUpdateが原因か?
おまいらのVeritakの調子はどうですか?
973972:2011/03/26(土) 09:42:27.15 ID:kDMZ9pUo
COMODOのせいだった アンインスコしたらなおた
974774ワット発電中さん:2011/03/27(日) 10:14:47.54 ID:xmukBF5s
多分放射能によるCPU動作不良だよ
975774ワット発電中さん:2011/03/27(日) 13:33:03.75 ID:cMFHunXT
福島ではFPGAのビットコケが多発するかもね・・・
976774ワット発電中さん:2011/03/28(月) 17:42:21.64 ID:zzbjtEQ0
DRAMも危ないな
977774ワット発電中さん:2011/03/28(月) 18:26:15.14 ID:Tudhvauo
シリコンウエハ工場が被災して供給出来ないからしばらく品薄&価格上昇になるかも?
978774ワット発電中さん:2011/03/28(月) 20:02:29.65 ID:KpLfT1KT
とりあえず、エルピーダは夏までは確保したそうだ。
979774ワット発電中さん:2011/03/28(月) 20:38:55.31 ID:vtT+SDAk
円高が全てを覆い隠してくれるさ。
980774ワット発電中さん:2011/03/29(火) 21:29:44.94 ID:kGoEFh53
地震の影響でXILINXのFPGAの納期がとんでもないことに・・・
981774ワット発電中さん:2011/03/31(木) 12:17:18.93 ID:wx4+D1wJ
XIlinxのFPGAって、東北で作っているの?
982774ワット発電中さん:2011/03/31(木) 12:47:45.84 ID:OV3RZpZE
物流リスクを見込んだ数字なんだろう。
983980:2011/03/31(木) 20:20:46.79 ID:Y28m8ede
>>981
シリコンウェハが山形で充填剤(BTレジン)が福島らしい。
984774ワット発電中さん:2011/03/31(木) 22:42:01.02 ID:wx4+D1wJ
了解です。
情報ありがとう。
ため息しか出ないよ。
985774ワット発電中さん:2011/04/01(金) 13:08:36.03 ID:jOa9RSyu
そういう事か
FPGAなんて全く関係ないと思ってたけど
986774ワット発電中さん:2011/04/01(金) 13:36:43.79 ID:jyIfzUB/
ウェハがSUMCOでレジンが三菱瓦斯か
987東日本大震災の被災地に募金するのはお金の無駄だからやめよう:2011/04/02(土) 11:44:59.76 ID:7Tsw0gbW

988774ワット発電中さん
なんで無駄なの?