【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 13

このエントリーをはてなブックマークに追加
1XC6SLX150T-2FGG676I

  今をときめく半導体、FPGA、CPLDのスレです。

  雑誌の付録にも付くようになり、その名を良く知られるようになりました。
  20年も前からやっている超ベテランも、こないだから始めたビギナーも、
  仲良く情報交換しましょう。

  FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
  ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

  その他、FPGA関係の話題なら、何〜んでもどうぞ。
  雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

  さあ君も レッツ F・P・G・A !!

   Xilinx http://japan.xilinx.com/
   ALTERA http://www.altera.co.jp/
   Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
   Actel  http://www.actel.com/intl/japan/

  前スレ
  12 http://science6.2ch.net/test/read.cgi/denki/1253127442/ 2009/09〜
2XC6SLX150T-2FGG676I:2010/01/05(火) 01:53:42 ID:ZJy+w/ZI

  前スレ
  12 http://science6.2ch.net/test/read.cgi/denki/1253127442/ 2009/09〜
  11 http://science6.2ch.net/test/read.cgi/denki/1246360585/ 2009/06〜
  10 http://science6.2ch.net/test/read.cgi/denki/1234640921/ 2009/02〜
  09 http://science6.2ch.net/test/read.cgi/denki/1221925121/ 2008/09〜
  08 http://science6.2ch.net/test/read.cgi/denki/1206114803/ 2008/03〜
  07 http://science6.2ch.net/test/read.cgi/denki/1187612023/ 2007/08〜
  06 http://science6.2ch.net/test/read.cgi/denki/1154900133/ 2006/08〜
  05 http://science4.2ch.net/test/read.cgi/denki/1139847266/ 2006/02〜
  04 http://science4.2ch.net/test/read.cgi/denki/1129771211/ 2005/10〜
  03 http://science4.2ch.net/test/read.cgi/denki/1119984464/ 2005/06〜
  02 http://science3.2ch.net/test/read.cgi/denki/1104330395/ 2004/12〜
  01 http://science3.2ch.net/test/read.cgi/denki/1081231226/ 2004/04〜

◆関連リンク

ALTERAデータシート
ttp://www.altera.co.jp/literature/lit-ds.jsp
ALTERAナレッジ・データベース
ttp://www.altera.co.jp/support/kdb/kdb-index.jsp

Xilinx資料
ttp://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp
Xilinxアンサー データベース
ttp://www.xilinx.co.jp/support/searchtd.htm
LE数比較
ttp://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm

Lattice
ttp://www.latticesemi.co.jp/products/
Actel
ttp://www.actel.com/intl/japan/products/devices.html
QuickLogic
ttp://www.quicklogic.co.jp/cssp
ttp://www.quicklogic.com/home.asp?PageID=740&sMenuID=94&p1=94&p2=481

OpenSources
ttp://www.opencores.org/

某社の宣伝
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html
ttp://www.infohobby.jp/
ttp://www.natrium42.com/projects/jtag.php
ttp://fpgapark.com/sp3/sp3stkit.htm
ttp://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
ttp://www.altera.co.jp/products/devkits/kit-dev_platforms_partner.jsp

SDCC / Nios
ttp://sdcc.sourceforge.net/
ttp://japanese.sugawara-systems.com/opencores.htm

DigitalDesignTechnology
ttp://digital-design.cqpub.co.jp/
3774ワット発電中さん:2010/01/10(日) 22:52:34 ID:OEGX+mZA
保全age
4774ワット発電中さん:2010/01/11(月) 23:44:49 ID:2f1ahovP
1000なら、ISEのバグが「次期バージョンで修正予定です」
5774ワット発電中さん:2010/01/11(月) 23:59:33 ID:bx5fVG7b
1乙だが、バグは残りますた。
6774ワット発電中さん:2010/01/12(火) 03:54:10 ID:h1ysW3DY
「明日から禁煙する」と言うオヤジみたいに、
ISEのバグは、毎期毎期「次期バージョンで・・・・」
7774ワット発電中さん:2010/01/12(火) 20:42:54 ID:P2kbX4Im
次期バージョンのためのマイナーバージョンなっぷが繰り返されていると考えよう。
8774ワット発電中さん:2010/01/12(火) 21:39:32 ID:3UwgmYQO
     /  ./::::::::        ̄        ::::::\  ヽ
      l  ./::::::::: -‐-                ::::::ヽ  l
     |  .l::::::::      ` ー 、__, -一'´ ̄      ::::::l  .|
.     │ |:::: __                   ___ :::::| .|
.      |. |::::/_  ̄``‐-、__       _,.-‐'´ ̄..__\:::::| . |
    │..|::::: ̄   ̄``‐-、_|      |_,-‐'´ ̄    ̄::::::|..│
     | |:::::::    ___         ___     :::::| |
     | \:::::    `‐-゚-‐´        `‐-゚-‐´     :::/ |
   /⌒ヽ. |:::::        |::::     |        :::::| ./⌒ヽ
   .l /⌒| |:::::::       |::::       |         ::::::| |/⌒l |
   | |/⌒|...|:::::      l  |:::::        |  l      :::::| |/⌒l .|
..  | | _(_|...|::::::     /  |:::::       |  |      ::::|...| l__:) |
    | l__,ノ| .|::::: _./    \____/   .\._ ::::::| .| l__ノ./
   ヽ.__人.|:::: iー‐-----──'⌒⌒──-----‐一i  ::::|,人__ノ
      .|::::::  l 「l二l二l二l二l二l二l二l二l二l二lフ ノ  ::::::|
     /|::::::  ヽ\ __________.//  ::::::|\
   ./  |:::::::..  \` ‐┴┴┴┴┴┴┴┴‐'´/   ::::::|   \

その考えがまるでダメ・・・・・・・
「明日からがんばろう」という発想からは・・・どんな芽も吹きはしない・・・・・・・・・・・!
そのことに20歳を超えてまだ・・・わからんのか・・・・!?
明日からがんばるんじゃない・・・・・・・・
今日・・・・・・今日「だけ」がんばるんだっ・・・・・・・・・・・・!
今日をがんばった者・・・・・・・・・・・
今日をがんばり始めた者にのみ・・・・・
明日が来るんだよ・・・・・・・・・・・!

9774ワット発電中さん:2010/01/13(水) 17:49:02 ID:azTlCRAO










たのしい?
10774ワット発電中さん:2010/01/13(水) 22:10:21 ID:7DyqO6Kt
同期化アスペルガー君は社会復帰したかな?
11774ワット発電中さん:2010/01/13(水) 22:43:45 ID:RaPbOfHo
しなくていいよ。職場混乱の元。
12774ワット発電中さん:2010/01/13(水) 23:48:18 ID:xum8JxYs
打てば同期化、そんなのがFPGA中作ってんだぁとおもいましたね. .
ASIC屋みたいに、話のわかるインプリ屋と分業にしてほしいなぁ.
13774ワット発電中さん:2010/01/14(木) 02:00:27 ID:H9o575Zk
教えてください。
FPGAでADコンバータを駆動して、データを取り込む場合です。

高速のAD ICでは、clock入力の他に「clock出力」が出ていますので、
このclock信号(以下CLK-ADとします)をFPGAに取り込んで、
CLK-ADで変換データを刻めば、良いと思っています。
しかしそうすると、そもそものシステムclock(CLK-SYS)と、CLK-ADの
どちらを使ってFPGA内部を設計すれば良いのでしょう。

a) CLK-SYSはもうお役ご免となり、CLK-ADをシステム全体のclockに
  するのでしょうか?
b) それとも、システムはあくまでCLK-SYSで、FPGA内部で同期化するのでしょうか?
もし同期化すべし、という話だと、CLK-ADは使わないこと(解放)になります。
それもまた変な感じです。
こういう場合はどのように対処すべきでしょうか?
宜しくお願いします。
14774ワット発電中さん:2010/01/14(木) 06:53:34 ID:N85MMkV+
データーシートに従えよ・・
15774ワット発電中さん:2010/01/14(木) 07:34:38 ID:6itFIhAl
ここで「こうしろ」と書かれたらそれを信用して設計しちゃうの?
シナ製品じゃあるまいに。
16774ワット発電中さん:2010/01/14(木) 10:25:26 ID:1WgIRjjx
>>13
好きにしろとしか言いようがない…

非同期FIFOに放り込むまではADコンバータのクロック。
そこから先はFPGA内で処理する用のクロックか出力先のシステムのクロックかのどっちか。
ってのが良くある形?
17774ワット発電中さん:2010/01/14(木) 15:27:13 ID:acC3VVio
>>13
ADといっても内部のクロックの使われ方はいろいろある。
汎用敵に考えるなら、
ADのデータ出力に同期したクロックをFPGAでも使うのが無難。
18774ワット発電中さん:2010/01/15(金) 05:28:11 ID:L4PCN+T5
>>13
一番良いのは、ADから貰ったCLKをCLK−SYSへ出力してCLKを一本に統一する。
波形が歪むようなら0Ω抵抗でVCCとGNDへそれぞれターミネーションすれば完璧!・・・w
19774ワット発電中さん:2010/01/15(金) 18:07:23 ID:7+Jflr88
>0Ω抵抗でVCCとGNDへそれぞれターミネーション
日本語でおk
20774ワット発電中さん:2010/01/15(金) 19:04:00 ID:evSDDtjn
たぶん、笑うところでは。
21774ワット発電中さん:2010/01/15(金) 21:04:50 ID:1NsVZy+c
して、ADを外部クロックで使わないのは何故か?
22774ワット発電中さん:2010/01/15(金) 21:50:25 ID:W61858sW
外部クロックで使ってんじゃないの?
23774ワット発電中さん:2010/01/16(土) 00:24:13 ID:BxP6unHy
>>22
FPGAから出力したクロックをADコンバータの外部クロックとして使うという意味では?
24774ワット発電中さん:2010/01/16(土) 01:04:32 ID:Jpz0gZ//
ADのクロックでFPGA全体を動かすような設計をしたら、ADが複数あったら
どうするんだよ
25774ワット発電中さん:2010/01/16(土) 01:45:49 ID:ozsBa9Zg
ADが独立したモジュールで、データとクロックが外部信号として
システム外からやってくる。しかも複数入力とか?
26774ワット発電中さん:2010/01/16(土) 16:52:17 ID:9W3qXygL
XilinxのEDKっていくらで買えるの?
27774ワット発電中さん:2010/01/16(土) 18:24:21 ID:bU7H0AHY
100万以下だったとおもう。
28774ワット発電中さん:2010/01/16(土) 23:20:31 ID:g8mHvb3G
SiliconBlueって、どうなの?
iCE65L01が出たみたいだけど、200円くらいで買えれば、CPLDより良いかも。
日本で買えるんかな。
↓ソース
http://us.aving.net/news/view.php?articleId=142870

29774ワット発電中さん:2010/01/16(土) 23:31:01 ID:+pJJdV5F
いちおうトーメンが代理店になってて、評価基板とかもあるみたいだから、
売ってはくれるんでない?
http://www.tomen-ele.co.jp/products/sbt.htm

1バッチ何個かは知らんけどw
30774ワット発電中さん:2010/01/17(日) 01:58:06 ID:gJ5ZWQZQ
          ____   
       / \  /\ キリッ
.     / (ー)  (ー)\      
    /   ⌒(__人__)⌒ \    <電気と光熱費同じじゃないかというツッコミは無しで
    |      |r┬-|    |   
     \     `ー'´   /
    ノ            \
  /´               ヽ              
 |    l              \
 ヽ    -一''''''"~~``'ー--、   -一'''''''ー-、.    
  ヽ ____(⌒)(⌒)⌒) )  (⌒_(⌒)⌒)⌒))



          ____
        /_ノ  ヽ、_\
 ミ ミ ミ  o゚((●)) ((●))゚o      ミ ミ ミ
/⌒)⌒)⌒. ::::::⌒(__人__)⌒:::\   /⌒)⌒)⌒)
| / / /     |r┬-|    | (⌒)/ / / //  だっておwwwwwwwwwwwwwwwwww
| :::::::::::(⌒)    | |  |   /  ゝ  :::::::::::/
|     ノ     | |  |   \  /  )  /
ヽ    /     `ー'´      ヽ /    /     バ
 |    |   l||l 从人 l||l      l||l 从人 l||l  バ   ン
 ヽ    -一''''''"~~``'ー--、   -一'''''''ー-、    ン
  ヽ ____(⌒)(⌒)⌒) )  (⌒_(⌒)⌒)⌒))
31774ワット発電中さん:2010/01/17(日) 10:49:22 ID:4cKjOzY9
>>29

設計開発期間 “Silicon Blue > ASIC”

ASIC より設計に時間がかかるのか・・・orz
32774ワット発電中さん:2010/01/17(日) 11:05:40 ID:J4ptD/RJ
>>31
確かにそう見えるw
実際は、あれは優位性を比較したものなんだろうな。
ASICに比べて5ヶ月短縮だって。
33774ワット発電中さん:2010/01/17(日) 20:15:36 ID:BAK4/ZK+
Quartusだとモジュールごとのリソース使用数が左上に表示されてるけど、
ispLEVERではどうやれば確認できるか知ってる人いる?
しかし使いづらい開発環境だな。
量産でLatticeのFPGAを使うとしても開発・デバッグは他社のFPGAでやりたくなるね。
34774ワット発電中さん:2010/01/17(日) 21:27:27 ID:sNZyj/BF
アルテラと世界初のパソコンのアルテアは何か関係あるの?
35774ワット発電中さん:2010/01/17(日) 21:52:01 ID:CFRxrhTn
>>34 関係ない

アルテア/Altairは、ワシ座の一等星(七夕伝説の彦星)のこと
(アラビア語由来)日本ではアルタイルという読みの方が有名

アルテラ/Altera は ラテン語 alterareからの造語
可変/別のモノを作るって意味
36774ワット発電中さん:2010/01/17(日) 21:57:03 ID:sNZyj/BF
ふーん
37774ワット発電中さん:2010/01/18(月) 15:08:33 ID:FzMj8eKB
どっちにしろ、Xilinxは優秀ってこった。
38774ワット発電中さん:2010/01/18(月) 19:31:49 ID:/+0HAJ4v
財は、敢えて回文化を避けたのかな・・・
○○○ックスってのも、アリだと思うんだけど。
39774ワット発電中さん:2010/01/18(月) 23:05:58 ID:ttrihxsV
アメリカには、最初の文字と最後の文字が同じ企業名はいい名前だとする風習がある
KodaK とか XeroX とか
40774ワット発電中さん:2010/01/18(月) 23:53:49 ID:1VQLkQgB
XeroX
大人向け企業な感じがするのは何故だろう・・
41774ワット発電中さん:2010/01/19(火) 00:20:09 ID:ViEk5wWP
和国の場合は最後に堂って付く会社は伝統ある良い企業って知ってたか
42774ワット発電中さん:2010/01/19(火) 00:34:54 ID:82Knsdix
ex任天堂
43774ワット発電中さん:2010/01/19(火) 14:59:53 ID:oTMlr7vm
ex資生堂
44774ワット発電中さん:2010/01/19(火) 16:30:30 ID:ZpIaL9+L
exいっこく堂
45774ワット発電中さん:2010/01/19(火) 19:26:04 ID:EA8tEtyX
exヒサヤ大黒堂
46774ワット発電中さん:2010/01/20(水) 00:11:53 ID:cVy5CBur
>>29
取り扱い製品一覧には載っていません。
以前は掲載されていたけど、代理店契約を解消したみたい。
47774ワット発電中さん:2010/01/20(水) 03:41:07 ID:SNaZD8HK
exウエディング美宝堂
48774ワット発電中さん:2010/01/20(水) 09:59:29 ID:Vq5MS7Gb
ex順天堂
49774ワット発電中さん:2010/01/20(水) 19:28:39 ID:O6WO+Djq
浦上天主堂
ここ今何を作っているか知っているか
50774ワット発電中さん:2010/01/20(水) 21:33:50 ID:T8eHexEh
海洋堂
51774ワット発電中さん:2010/01/21(木) 03:27:15 ID:4g/KpsXZ
ex八ちゃん堂
52774ワット発電中さん:2010/01/22(金) 22:08:47 ID:MU7kQhVn
ex 天賞堂

宝石は盗まれたが鉄道模型は無事か?
53774ワット発電中さん:2010/01/23(土) 18:44:20 ID:AUSKCvJ0
食堂
54774ワット発電中さん:2010/01/23(土) 19:21:24 ID:Ji/BWZeo
>>53
たしかに、伝統はあるよな。
55774ワット発電中さん:2010/01/23(土) 20:48:21 ID:AUSKCvJ0
国会議事堂
56774ワット発電中さん:2010/01/23(土) 23:25:28 ID:sXiWhvN1
>>55
伝統はあるけど実績が無いな(w
57774ワット発電中さん:2010/01/23(土) 23:44:35 ID:E6HmGtN5
納骨堂
58774ワット発電中さん:2010/01/24(日) 02:47:50 ID:YCBT4E9e
藤堂
59774ワット発電中さん:2010/01/24(日) 05:26:15 ID:weICwuM2
文明堂
60774ワット発電中さん:2010/01/24(日) 11:21:57 ID:yIiadYjq
つまらんからもういいよ。
ところで、QuartusIIでVerilogでRAMを推測させるとき、

if(条件1) begin
if(条件2) ram[writeadr] <= data;
end
これだとLE上にRAMが作られて

if(条件1&&条件2) ram[writeadr] <= data;
これだとブロックRAM上にRAMが作られるんだな。

意味的には一緒だから他の行に合わせて見やすいほうで書きたいけどそうはいかないようだ。
61774ワット発電中さん:2010/01/24(日) 14:32:35 ID:0vqYglSl
 ブロックラムを使うとモデルシムのデバッグが難しくなるってホント?
62774ワット発電中さん:2010/01/24(日) 20:49:31 ID:N56htrh0
モデルシムのデバッグは頼んだぞ
63774ワット発電中さん:2010/01/24(日) 23:58:41 ID:vM3eL5XW
>>60
以前、大企業の外注として作業したときその記述方法なら
XILINX、ALTERAに依存することなく共通なコードが書けると薦められたが
俺的にはXILINXへ実装するならXILINXのCOREGENを使って記述したいし
ALTERAならMF使って記述したい。

と言ったら嫌な顔されて次から仕事無くなったよw
64774ワット発電中さん:2010/01/25(月) 00:10:14 ID:Zq0GWgSf
モデルシムのデバッグできるとかすごいなw
65774ワット発電中さん:2010/01/25(月) 13:45:46 ID:Lpi/4TLY
>>63
確かに移植性は高くなるけど、ReadAdrとWriteAdrがバッティングしないように記述してもバイパスロジックが出来たり、
レジスタ出力にしたくてもUnregisteredでLEのレジスタ使ってくれたりして効率悪いね。
他の環境のことを一切考えなくていいなら素直にMegaFunction使ったほうがいいと思う。
66774ワット発電中さん:2010/01/25(月) 23:11:24 ID:jLVGGHPZ
生成される回路をコンパイラに“推測させる”という記述スタイルになじめません。
記述に対する合成結果は明瞭に規定されるべきではないかと。

むしろ各々のチップ仕様に束縛される“ブロックRAM”なんかは
ライブラリを使うのがいいのでは?
67774ワット発電中さん:2010/01/26(火) 01:56:37 ID:1Pvl1NRW
>>66
なるべく共通化するために、ブロックラムの外側に
もう一つ単なる結線変換の「箱」を作って使う

が良いだろうなぁと思う。
68774ワット発電中さん:2010/01/26(火) 01:59:11 ID:5Y0vKfNW
そもそもHDL自体が推測させる記述スタイルだけどな
69774ワット発電中さん:2010/01/26(火) 04:08:24 ID:j0f4sRoT
質問があります。教えていただけないでしょうか?

FPGAのリセット信号は、どこから取れば良いのでしょうか?
FPGAには、INIT_B、nCF、Doneなど、いろいろな信号がありますが、
always @ ( negedge RESET_B or .....)のリセット信号には、
どれをつなげば良いのでしょうか?
ちょっと考えると、変なことに気づきます。
doneがHになるまではFPGAのRESET信号は有効でないから、
done↑後、少ししてからRESET信号が来るべきだと思います。
すると、やはりRESET ICの登場でしょうか?
70774ワット発電中さん:2010/01/26(火) 09:54:48 ID:qzPwF7Cb
>>66
じゃあLUTの値とFFのモードを自分で設定して設計しなきゃ

>>69
リセットはユーザが必要な時に使う物でパワーオン時には不要
一般的にはパワーオンしたら全レジスタが0になるからそれ前提でコーディングすればいい
71774ワット発電中さん:2010/01/26(火) 10:34:56 ID:zdAkFTB3
内蔵PLLモジュールを使って、その出力をシステムクロックにしている場合
クロック出力が安定したことを示す出力信号をリセットにする
72774ワット発電中さん:2010/01/26(火) 11:46:54 ID:YPuSjNhY
>>70, >>71
ありがとうございます。

なるほど、了解しました。
・一般的にはパワーオンしたら全レジスタが0になるからそれ前提でコーディング
・クロック出力が安定したことを示す出力信号をリセットにする
どちらも、なるほどです。ありがとうございました。

動作中に何かの理由で、再configが発生することがあるのでしょうか?
もしマイコンとの協調動作の場合は、マイコンでその再configを見張るべきでしょうか。
73774ワット発電中さん:2010/01/27(水) 00:29:58 ID:DpWaVgYZ
>>46
川商セミコンダクターをぐぐって、キャッシュ表示させたら、代理店だったw
74774ワット発電中さん:2010/01/28(木) 02:03:40 ID:xwF2mbwl
>>66
それは逆に、デバイスに依存しないようなHDLを
書きたい人には逆効果だろう。

どっちがいいかっていうのは一概には言えないと思う。
75774ワット発電中さん:2010/01/28(木) 13:18:44 ID:LNki5OeG
そもそもHDL自体の出自が電気回路を文字で記述するための物で、
回路を記述するための言語ではないからな。
76774ワット発電中さん:2010/01/28(木) 15:24:54 ID:ug52mhDZ
いや
もともとは回路シミュレーションのための言語だ
回路記述/合成のためではなかった
77774ワット発電中さん:2010/01/28(木) 19:51:15 ID:LNki5OeG
書き方が悪かった。
既存の回路を記述するためにHDLが作られたのであって、
HDLから回路を合成する目的で作られた言語ではない、
と言いたかった。
だから>66の様な不満は筋違いでは?と。
78774ワット発電中さん:2010/01/28(木) 21:28:05 ID:7LqKlLFN
もともとの目的なんか関係ないだろ
79774ワット発電中さん:2010/01/28(木) 21:28:34 ID:ug52mhDZ
つまりビヘイビア記述言語ってことだな
80774ワット発電中さん:2010/01/29(金) 00:06:22 ID:3W1bXtXJ
>>79、そうそう、ハードのビヘイビア(振る舞い)を記述だな
で、振る舞いがどんなので(たとえば電気回路)実現されるかはHDLの範疇外だろ
81774ワット発電中さん:2010/01/29(金) 12:47:18 ID:5R9JY+Nt
Xfest 来てる人いる?

なんか今一、、、
82774ワット発電中さん:2010/01/29(金) 13:21:12 ID:D58Rvl2d
>>66
ABELを使えばイイんじゃない?
83774ワット発電中さん:2010/01/30(土) 14:10:36 ID:sz4gI1Jh
72です。
教えていただいたように、Power on時のゼロを期待して、
resetなしでHDLを記述したのですが、合成すると、常時Hになります。
どのようにしたら良いでしょうか?

  always @ ( posedge clock ) begin
    counter <= counter + 1;
  end

  always @ ( posedge clock ) begin
    if( counter == { WIDTH{1'b1} } ) begin
      outputto <= 1'b1;
    end
  end
84774ワット発電中さん:2010/01/30(土) 15:55:48 ID:8eg2pUx6
>>83
  always @ ( posedge clock ) begin
    if( counter == { WIDTH{1'b1} } ) begin
      outputto <= 1'b1;
    end
  end
は何をしているの? どんな振る舞いをさせたいの?
85774ワット発電中さん:2010/01/30(土) 16:26:59 ID:QXhJWEFz
どうやらcounterのビットがオール1のときのみ
outputto(笑)を1にしたいみたいだけど
この書き方だと一度outputtoが1になったらもう永遠に1のままだね。

counterがオール1でないときにはoutputtoが0になるような
記述を追加しないとダメ。
86774ワット発電中さん:2010/01/30(土) 16:43:54 ID:8eg2pUx6
>>85
本人に答えて貰いたかったのに....
>counterがオール1でないときにはoutputtoが0になるような
それが期待振る舞いなのかね
87774ワット発電中さん:2010/01/30(土) 16:46:36 ID:sz4gI1Jh
>>84
ありがとうございます。

>どんな振る舞いをさせたいの?
外部(例えばマイコン)からFPGAに対して、初期reset(各レジスタをreset)を指示する前に、
FPGAが生きている(=configrationの完了している)かどうかを知りたいのです。
FPGAのconfigrationがdoneすると、counterがfullになるまでの時間をおいてから、
「outputto」という出力がHになり、これを外部が監視すれば良いと思います。
この出力は、その後のreset信号にも影響されずに、電源が切れるまでHを保持し続ける
というものです。
(config doneのピンを外部で監視すれば良いのですが、事情があって それができない)

その後考えました。通常通りresetを使用したHDLを書いて、そのresetは他のresetとは別ものにして、
I/Oから取り出し、プルアップしておけばよいと考えています。
絶対来ないreset信号でresetするようにHDLを書けば、reset無しの回路ができると思うのです。

すみません、1つ教えてください。
ISE10.1を使っていますが、さきほどまで順調に操作出来ていたのに、
さっきから急に、ソースWindowの「デバイス直下の位置」にあったtopソースファイルが、
「プロジェクト直下の位置」に移動してしまいました。
元通りにデバイス直下まで移動したいのですが、どうしたら良いのでしょうか?
たぶん私の操作が原因だと思うのですが、以前からこんなことが多発して、困っています。
そうなる理由がconsoleにでも表示されればいいのですが、勝手に行ってしまいます。
宜しく教えてください。
88774ワット発電中さん:2010/01/30(土) 16:57:56 ID:sz4gI1Jh
87です。

2つ目の件、直りました。
topソースの先頭に、私が間違えて文字を置いてしまったようです。
その先頭の1文字を消してセーブしたら、デバイス直下に移動してくれました。
とても焦りました。

勝手に異常を見つけておいて、黙って勝手に移動するのは止めて欲しい。
「sourceに変な文字があったから・・・・」とconsoleで教えて欲しい。

焦りました。  ありがとうございました。
89774ワット発電中さん:2010/01/31(日) 00:44:04 ID:K19vMmqM
>>87
初期値ないのは危険だと思うけどな、
っていうか自分ならやらない。

powon後のFFがゼロであるということについて、
ハード的には信用できない。
それを保障しているデバイスならいいけど。
90774ワット発電中さん:2010/01/31(日) 01:56:04 ID:rPZPppwK
レジスタにプリセット機能がないFPGAで1を初期値設定にすると
レジスタの入力と出力にインバータを挿入する実装があるくらいなのに。
91774ワット発電中さん:2010/01/31(日) 02:49:15 ID:x/mhEq8m
軽石のリセット記述すごかったな。日シ相テクニカの製品大丈夫か?
92774ワット発電中さん:2010/01/31(日) 11:40:48 ID:WqBua//I
>>81
Actelの65nm品についてなんか情報あったかい?
93774ワット発電中さん:2010/01/31(日) 12:24:10 ID:BliZD3lI
>>89

>初期値ないのは危険だと思うけどな、
>>70の話は、違うの?

XilinxのSpartan3のデータシートの、
「図 23:シリアルおよびパラレル モードのコンフィギュレーション フロー」の図の中に、
「Clear configuration memory」というphaseがあるので、
config後は全FF=Lowになると思うけど、どう?

94774ワット発電中さん:2010/01/31(日) 15:38:44 ID:k4UOh37F
みなさんはFPGAにリセット端子付けてコンフィグのあと必ずリセットしてますよね
でも、僕は超ゆとりでそんな端子付けてないからリセットはしてません><
僕ならコンフィグ時にリセットするぐらいの、ゆとり製品作りなさいというんですけどね。
ゆとり教育は生み出せてもゆとり製品、生活はできないの、おじちゃん達
95774ワット発電中さん:2010/01/31(日) 17:24:38 ID:wV2mIyC3
リセットしないと、ModelSimとかのシミュレーションで困らないか?
96774ワット発電中さん:2010/01/31(日) 17:39:04 ID:ojle7yMh
>>95
もしかしたら、>>94は回路全体にリセットをかけるのではなく、
テストベンチで個々のレジスタに初期値を代入しているのかも。

あるいは、シミュレーションしていないのかもしれない。
97774ワット発電中さん:2010/01/31(日) 18:08:31 ID:k4UOh37F
>>95
シュミレーションではリセット端子にリセットを加える記述しているの?
98774ワット発電中さん:2010/01/31(日) 19:37:57 ID:g0N0/DSu
>>70>>93の言うとおりFPGAならリセット必須ってわけじゃないが、
シミュレーションで困るのでinitialで0にする手はある。

でもASICのことも考えるとリセットが必要だし、
altera非同期、xilinx同期推奨なので、
結局のところ全通り書いてifdefで切り替えてる。

プリプロセッサ使えばすっきりするけど。
99774ワット発電中さん:2010/01/31(日) 23:04:41 ID:G3//x+KU
>>94
リセットは最重要だよ
ファミコンにだってリセットは付いてるんだから
子どもだって知ってる 馬鹿にされるぞ
100774ワット発電中さん:2010/01/31(日) 23:08:55 ID:D8YdxGLe
>>99
>リセットは最重要だよ

そうでもない。
101774ワット発電中さん:2010/01/31(日) 23:41:32 ID:x/mhEq8m
>100

軽石乙。
102774ワット発電中さん:2010/02/01(月) 01:12:41 ID:FiFTsf72
人生を
103774ワット発電中さん:2010/02/01(月) 08:34:16 ID:QOa/q5ij
>>99
最近のハード持ってないが、PS3とかリセット付いてないんじゃね?
最近の子供は「リセット?そんなもん付けんの時代遅れだろww」って感じだろ
「電源?繋げば動くんだろww」
104774ワット発電中さん:2010/02/01(月) 09:06:03 ID:XfzlU+hX
PS2 はクリックでリセット、長押しで電源だったな。
105774ワット発電中さん:2010/02/02(火) 16:00:53 ID:TQpnYWmL
ASICって外部リセット端子(ピン)付けて、それでリセットするのが普通?
俺的にはリセット回路ぐらい内蔵しろよ、リセット用に外部端子用意するって
端子がもったいないって感じなんだが

>>104
そのリセットでPS2は何をリセット(再設定)しているんだ?
106774ワット発電中さん:2010/02/02(火) 16:36:03 ID:KhW7jnFZ
Cyclone IVっていつ発売ですか?
107774ワット発電中さん:2010/02/02(火) 22:35:29 ID:pobO9vtY
>105
アナログコンパレータ入れるのはめんどいし、スレッショルドも可変にしないとめんどいし、単独でリセットかかってもなぁと。
FPGAはConfig後の初期値決まってるから、自分でリセット掛けられるね。
108774ワット発電中さん:2010/02/02(火) 22:56:01 ID:vg9MBW9b
>>105

システムの中の1個だけハングしたチップにリセットかけるために
装置の電源落とすなんてできないシステムもあるんだよ。
109108:2010/02/02(火) 23:01:58 ID:vg9MBW9b
あ、ちょっと説明不足かな。
電源落とすことができないってのは
物理的にできないわけじゃなくて、
「その装置を止めると大変なことになる」って意味ね。
人の命にかかわったりとか、どこかの地域の通信が途絶したりとか。
110774ワット発電中さん:2010/02/02(火) 23:18:07 ID:5stpDfHy
んまあ、リセットしない軽石こと近藤は漢だな。
111774ワット発電中さん:2010/02/03(水) 01:36:07 ID:G+hFvBFV
システム内にリセット要求元が複数あってマルチドロップになってる時もあるじゃん?
112774ワット発電中さん:2010/02/03(水) 10:51:01 ID:xt1/8vGM
君がそういう設計する事は絶対無いから安心して
113774ワット発電中さん:2010/02/06(土) 13:02:45 ID:VPozXrsg
リセットネタはいつも盛り上がりますなー
114774ワット発電中さん:2010/02/06(土) 19:43:35 ID:KF7hUPif
>>109
30年近く前にZ80PIOを使ったことがあるが、外部リセット端子が無いために、
一度電源を切って、再度電源を入れないとリセットが掛からないという代物だった。

Z80シリーズはCPUは売れても、ペリフェラルが売れなかったのもうなずける。
115774ワット発電中さん:2010/02/06(土) 19:54:45 ID:XiUv/KbS
>>114
CPUで初期化すればよかった?
116774ワット発電中さん:2010/02/06(土) 20:08:29 ID:Ew5O3raw
8255はハードリセットで全ポート入力、出力設定でポート出力L、
を経ないとHが出力できないんでアクティブLで使おうとすると
一瞬Lがもれちゃうとか。
 (68系のやつは入出力設定の前に出力ラッチに値を設定できた)

68000なんてRESET端子が双方向で
受けでCPUリセット、RESET命令で周辺リセット出力、
なんて変態もいましたな。
117774ワット発電中さん:2010/02/07(日) 16:34:18 ID:aXcQlsqc
Z80PIOでリセットが必要な理由がわからん。
ポート経由のコントロールが効かなくなるようなことでもあったの?
118774ワット発電中さん:2010/02/07(日) 16:50:41 ID:QGeLQPDh
>>114
リセットの問題じゃ無くて、ポートが2個しか無いし、高いから不便ってのが大きかったのでは?
119774ワット発電中さん:2010/02/07(日) 19:42:49 ID:bTfOG0qP
>>116
> 8255は
> アクティブLで使おうとすると 一瞬Lがもれちゃうとか。
漏れても大丈夫なように設計するのが普通の人。

>>118
だいぶ後発だったとか、なんとかチェーンにこだわって
つぶしが利かなかったとか、そんなこともあったらしい。
後者は、価格やポート数に影響するわけだけど。
120774ワット発電中さん:2010/02/09(火) 11:53:03 ID:AiWqaTPO
>漏れても大丈夫なように設計するのが普通の人。

スマン、どうすると良いのか教えて
おながいします
121774ワット発電中さん:2010/02/09(火) 13:24:20 ID:xglCm9E7
LをデフォルトにしとけばおK
122774ワット発電中さん:2010/02/09(火) 14:50:39 ID:zMZeSKE+
当時考えたのは

アクティブHでしか使わない
 →当時LS-TTL全盛だったのでPULL-DOWN抵抗値が微妙だった気がする。
  がやってやれないことはなかったかな。

XORで受けて使う。
 →プルアップH+HもドライブL+Lもノンアクティブとする、ソフトウェアで
  明示的にHとLを作らないとアクティブとしない、という考え方。

他には?
123774ワット発電中さん:2010/02/09(火) 19:30:10 ID:sEXm2B+H
イネーブル付きのバッファかますとかかな。贅沢すぎるか。
124774ワット発電中さん:2010/02/09(火) 20:07:35 ID:fHkL6Ekb
>>122
HHからLLに変化するときにスキューによってごく短いパルスが出そうだな
125774ワット発電中さん:2010/02/09(火) 20:39:28 ID:uxh8kNKl
> XORで受けて使う。
普通にバッファ(インバータ)咬ますでしょう。
126774ワット発電中さん:2010/02/10(水) 00:13:22 ID:gs8lg06G
lowアクティブだと電源突入時に一瞬アクティブになる周辺回路が必ず発生するんジャマイカ?
アナログ混載だと必ずアナログがアクティブになるんじゃね?
アナログにはリセットないから
127774ワット発電中さん:2010/02/10(水) 19:02:42 ID:jHMPqplw
唐突に何を言い出すの、この子は。
128774ワット発電中さん:2010/02/16(火) 03:38:17 ID:ho0uA+qz
CPLDとFPGAの違いを教えてください。
CPLDは
・内蔵のフラッシュに書かれている。
・電源on後、スグに起動する
・容量が少ない
・FPGAに比べて高速動作
などがよく出てきますが、結局やることは、デジタルI/Oですよね。
だったらFPGAさえあれば良いと思うのですが。

会社の人にCPLDってFPGAと何が違うの?と聞かれて、うまく答えられませんでした。
129774ワット発電中さん:2010/02/16(火) 04:42:18 ID:uAorMdCc
>>128

単純に言うと
CPLDはROM構造で、FPGAはRAM構造

大昔はCPLDしか無かった。構造はFUSEだった。再書き込みが出来ず一度書き込んだら固定。
そのうち再書き込みができるROM構造になった。

ROM構造ではロジック規模に限界があるため、大容量が実現できるRAM構造のFPGAが出現。

当時のFPGAの難は、
・コンフィグが必要で電源投入から時間もかかる
(RAMなので電源消すとロジックが空。そのため外付けのROMにロジックを乗せ電源ONでロジックを転送)
・コンパイルの配置配線が非常に遅く、ちょっとしたロジックでも10時間とかざらだった。
・動作スピードもPLDに比べて遅かった。

CPLDとFPGAが平行したが、今のFPGAは知っての通り内部は数百MHzで超高速に動作するまでになり
CPLDを超えたが、価格は安いし、コンパイル時間もかからず、コンフィグも不要。
ちょっとしたロジックではCPLDのほうが使い易かったりもする。
130774ワット発電中さん:2010/02/16(火) 10:03:16 ID:p1fc6W45
メーカーが何と言ってるかの違いじゃないかな?
ROM(FLASH)内臓のFPGAだってあるし、
antifuse は、FPGA だったはず。
FUSE の CPLD って、PLD のことじゃない?
CPLD の FUSE って知らないなぁ。

個人的には、FF が周辺に配置されていて、
結線がマトリクスになってるやつが PLD 系だと思ってる。

まあ、呼び方の違いに意味はないと思うよ。
131774ワット発電中さん:2010/02/16(火) 10:29:03 ID:kfXOkkqB
SRAM型可変ルックアップテーブル(LUT)を大量に並べたのがFPGAかなぁ
132774ワット発電中さん:2010/02/16(火) 13:36:05 ID:e1jClnnS
Flash搭載のFPGAやLUTベースのCPLDがあるから線引きは難しいね。
個人的にはCPLDは最低限組み合わせ回路と順序回路が組めて、FPGAはそれに加えてPLL、DLL、ブロックRAM、乗算器、差動IOなどの機能が内蔵されてるイメージ。
あとは回路規模だな。CPLDのFF数はエントリークラスで数百個、多くても2k個。FPGAはエントリークラスでも15k個とか。
133774ワット発電中さん:2010/02/16(火) 18:37:05 ID:F8sfRcKx
> 結線がマトリクスになってるやつが PLD 系だと思ってる。
PLDと言ったら、FPGAもCPLDも含みそうだが。
134774ワット発電中さん:2010/02/16(火) 19:38:15 ID:p1fc6W45
>PLDと言ったら、FPGAもCPLDも含みそうだが。
確かにそうだ

Complex じゃない PLD (GAL とか PAL とか)を含めてって意味のつもりだったけど、
Programmable Logic Device だもんね。
135774ワット発電中さん:2010/02/16(火) 21:13:15 ID:LljPnZMx
可書き換え型論理回路素子・・・無理に日本語にすると帰って解りづらいな。>PLD
136774ワット発電中さん:2010/02/17(水) 01:40:35 ID:jb5e3Y3r
歴史的理由により、
PLD:ANDアレイやORアレイの書き換えで回路を実現するもの。
CPLD:PLDを複数集積したもの。
FPGA:PLDと違い、もっと粒度の細かい素子、配線リソースを使い柔軟性を持たせたもの。
137774ワット発電中さん:2010/02/17(水) 18:46:41 ID:EyrRT/dQ
PLDは広義と狭義がある。GALなんかいまどきマイナーなんだから、広義で使うのが無難。
歴史的理由なんてのも無意味。おおむね賛同できるが、「MAX2はCPLDです」と言われれば
「はいそうですか」と答えるしかない。
大株主になって「これはいただけないね」と圧力をかけるつもりなら止めないが。


それはそうと、FPGA BBSまで死んじゃったみたいだな。なひたふBBSはずっと閉まってるし。
138774ワット発電中さん:2010/02/18(木) 02:40:25 ID:gwdBNGnr
軽石がまたなんかしたのか?
139774ワット発電中さん:2010/02/18(木) 19:25:26 ID:6RC37Tjj
FPGAは息を吹き返したみたい
140774ワット発電中さん:2010/02/18(木) 22:21:06 ID:s7MD3B4a
教えてください。
XilinxのVirtex4を使っています。
Clock入力ピンとしては、Clock用に設けられたピン(GCやCC)がありますので、
そのピンにClockを入力しています。
ところが、FPGA内部のDLLで艇はい゛したclockを外部に取り出す時は、
どのピンを使えば良いのでしょうか?

データシートを見ると、CC、GCともに Input/Outputと書かれているのですが、
このOutputという意味が、汎用Outputもできるよ、という意味なのか、
Clock出力用の特別なOutputなのかが、判別できないでいます。

ご存じの方、宜しくお願いします。
141774ワット発電中さん:2010/02/18(木) 23:07:19 ID:7PjbBXSG
「い゛」
142774ワット発電中さん:2010/02/18(木) 23:17:47 ID:a/5DsPul
う”っ
143774ワット発電中さん:2010/02/18(木) 23:56:31 ID:+PsV1GA3
ま゛っ
144774ワット発電中さん:2010/02/19(金) 00:03:09 ID:p78wx5qr
誤記訂正
×DLLで艇はい゛したclock
○DLLで逓倍したclock

「反省してま〜〜す」
145774ワット発電中さん:2010/02/19(金) 03:01:40 ID:zYxiN3oO
>>140
ここで聞いても、その質問に答えられる人は、いないんじゃないかな。
146774ワット発電中さん:2010/02/19(金) 03:23:02 ID:m1IbSiNV
>>140
Alteraとは違って、どこからでも出せますよ!
てアヴの人は言ってた気がする。

その代わり、ジッター多いらしい。
147774ワット発電中さん:2010/02/19(金) 03:43:58 ID:SljuBo4T
>どのピンを使えば良いのでしょうか?
どれでも

>汎用Outputもできるよ
yes

>Clock出力用の特別なOutput
No
148774ワット発電中さん:2010/02/19(金) 04:11:15 ID:zYxiN3oO
あら、さっそくお答えになっている人が。
さすがですね。
失礼しました。 >>145は撤回です。すみません。
149774ワット発電中さん:2010/02/19(金) 07:51:24 ID:XIxEKgff
出力クロックと内部クロックの位相差を気にせず
指定の周波数クロックがほしいだけならどのピンからでも出力OK

ただし出力クロックと同期したI/O信号も一緒に出すなら
いったん出力したクロック信号をフィードバックする必要があるので
クロック専用ピンを使うと良い

SOURCE SYNCHRONOUSというキーワードで検索してみると良い
ついでにSYSTEM SYNCHRONOUSも検索して違いを調べるのも良し
150774ワット発電中さん:2010/02/19(金) 13:25:13 ID:p78wx5qr
>>146->>149
皆さん、ありがとうございます。

考えました。こんな感じでどうでしょうか↓
http://mcnc.hp.infoseek.co.jp/cgi-bin/img-box/img20100219131244.jpg
こうすれば、Clock出力ピンに通常ピンを割り付けても、
「出力信号と出力Clockは、入力CLKの位相に合致する」と思っています。

>>149
>SOURCE SYNCHRONOUSというキーワードで検索してみると良い

ありがとうございます。調べてみました。ALTERAの話が多かったですが、
送信側(Source側)がデータと一緒にClockも出力することを言い、
データ受信側は、このClockを使用して動くのですね。
このSource SynchronousのSourceとは、Clock源が送信側(Source側)ということから、この名が付いたんですね。

さらに一般的に良く見られる、Clock発振器の出力をみんなが使用するやり方を、
Common Synchronousというらしいです。言葉の意味がわかると、嬉しいです。

ただ、I2Cのように、データ受信者が発行するClockでやりとりする方式を
Sink Synchronousとは書いてなかったのが、予想と外れて残念でした。

ありがとうございました。
151774ワット発電中さん:2010/02/19(金) 19:38:19 ID:KRD6X0vc
I2Cはデータ受信者じゃなくて、送信時も受信時もマスターがクロックを発行する
スレーブがいっぱいあるからCommon Synchronousに近い
152774ワット発電中さん:2010/02/19(金) 20:29:01 ID:p78wx5qr
ありがとうございます。
そうですね。IC2をバスで使ったことがないので、
気がつきませんでした。
ありがとうございます。
153774ワット発電中さん:2010/02/20(土) 17:04:32 ID:9K0L3IcM
ザイリンクスのISE11を使い始めたばかりなんだが、よく分からん。
使い方を説明してるサイトでここがオススメ!って感じのトコロってない?
できれば回路図エディタ画面中心で。

最初はツールの使い方の練習と割り切ってXC9536+回路図でプロジェクト起こして
ロジックのパーツをとりあえず並べてみたところで詰まったw
154774ワット発電中さん:2010/02/20(土) 17:35:35 ID:e9JEZvGE
>>140
DDR I/Oマクロを使うというのもあるかと。
155774ワット発電中さん:2010/02/21(日) 10:37:24 ID:vSk0cyyz
>153
ザイリンクスは回路図入力はバグだらけの印象がある。あくまで印象だが、、、。
ディフォールトの使い方をしないと、だめのような。あくまで印象だが、、、
手っ取り早い波形シュミレーションなども使い物にならんかった、、、

みんな使っているので、ディフォールト的使い方で使うなら問題ないのだろうと思う。
そこで、何がディフォールトで何が誇大広告(使い物にならない)なのかを見極める
必要がある。
156774ワット発電中さん:2010/02/21(日) 13:14:58 ID:zE6NEjs7
ニポンゴでおk
157774ワット発電中さん:2010/02/21(日) 21:14:36 ID:hQggERB0
>>155
デフォルト
シミュレーション
158774ワット発電中さん:2010/02/21(日) 22:43:36 ID:cu19aCDr
ディフォールトは間違ってない。
シュミレーションは間違ってるが。
159774ワット発電中さん:2010/02/21(日) 22:59:10 ID:pFTmH9MD
そもそも、いまどき回路図入力でFPGA/CPLDを設計している人っているの?

いま私が扱っている案件は32ビットレジスタが数百あって、
とても回路図では書いていられない。HDLなら数行で書けることなのに。

回路図入力で何とかなるレベルを扱っている人は、もうFPGA/CPLD開発の
メインストリームに加わることができない日陰者になるしかないんじゃない?
別にそんなもの目指してなくって、年寄りの盆栽いじりと同レベルの
個人的趣味でFPGAいじりするならそれでもいいかもしれないけどさ。
160774ワット発電中さん:2010/02/22(月) 06:56:16 ID:eaT5r63E
うーん、どうだろうね
おいらも>>159的規模案件に携わってる方だけど、
世の中同じ案件ばっかりじゃないからね。

分野によってはPLD(200LE以下とか)レベルの仕事もあるし、
一概に言えないだろうよ。

求められる仕事として、回路図で済むんならばそれもよしだろう。
161774ワット発電中さん:2010/02/22(月) 17:01:24 ID:b9nbaDcO
>>159
個々の部品をHDLで作っておいて、
それらをまとめるのに回路図を使うのをトラ技で見たような・・・
162774ワット発電中さん:2010/02/22(月) 19:31:49 ID:fs1+6/B4
クライアントの要求どおりにできりゃええねん
163774ワット発電中さん:2010/02/22(月) 19:35:07 ID:ZzvOYjI5
>>161
トラ技みてないからよくわからんが、チップのブロック図がわりってこと!?
164774ワット発電中さん:2010/02/22(月) 19:45:29 ID:+yVIWpe0
>>159
そりゃいるだろう。

> いま私が扱っている案件は32ビットレジスタが数百あって、
> とても回路図では書いていられない。
レジスタ多いだけならHDLで書く必要もないぞ…。
165774ワット発電中さん:2010/02/22(月) 20:08:57 ID:b9nbaDcO
>>163
そんな感じ。
HDLで書いた部品が、回路図エディタ上では、入力ピンと出力ピンが生えた箱にみえる。
最終的な結線のミスが起こりにくいのかな、と思いながら記事を読んだ思い出がある。
166774ワット発電中さん:2010/02/22(月) 20:39:30 ID:g+GusO3c
所謂電子ブロックみたいなものか。
167774ワット発電中さん:2010/02/22(月) 21:08:39 ID:ZzvOYjI5
トップ階層くらいなら回路図でもいいと多少思ったけど…
HDLのようにどこでも使えるような回路図→合成の流れってあるんだっけ?
168159:2010/02/22(月) 23:12:06 ID:+aRyciPa
回路図入力の問題点

・1シートにすでにギチギチにパーツを埋め込んでいて、
そこにさらにパーツを追加せざるを得なくなった場合
シート分割をする必要がある。実現すべきロジックとは
無関係のコピペ作業。ケアレスミスなど発生しがち。

・いままで8ビットバスで組んでいた演算回路を16ビットに
して精度向上を目指すことになった。HDLなら
signal Hoge(7 downto 0) を signal Hoge(15 downto 0)に
直して若干の修正をするだけなのに、回路図入力だと
ものすごい量の回路図変更になる。
169774ワット発電中さん:2010/02/22(月) 23:48:15 ID:wNKM3n3+
>>168
だからRTLに落ちるほどの下階層で使用するんじゃねぇってばよ(w
170774ワット発電中さん:2010/02/23(火) 03:48:40 ID:4OIFYkQC
RTLは、タイプする人に次ごうが良いだけで、回路図に比べて
回路イメージが湧かない。回路図のほうが 3.141592倍見やすい
171774ワット発電中さん:2010/02/23(火) 06:24:04 ID:ChFfa+Zb
Altera MAX+PLUS IIで回路図入力でやってたときはMegafunction/LPM
を部品として使うとそれらはHDLで書かれてるからトップレベルだけ
回路図という状態に自然になってたな。
172774ワット発電中さん:2010/02/23(火) 06:29:13 ID:Rtn/p8ku
案外階層設計の上位側はスケマっていいよね
おいらも12年前に始めてHDL(VHDL)入門したときそうした。
173774ワット発電中さん:2010/02/23(火) 19:06:55 ID:Ew08w86n
Verdi使えば
174774ワット発電中さん:2010/02/23(火) 20:05:41 ID:ccgVp2kn
回路図入力で保存したファイルって他社の開発環境で開けるの?
互換性無かったら真っ先に却下だな。
175774ワット発電中さん:2010/02/23(火) 20:54:22 ID:JR6LPPtS
>>174
ネットリストでインポートするとか?
176159:2010/02/23(火) 22:33:46 ID:Qm0hp6Iz
意外と回路図擁護派多いのね。
ちょっとびっくり。
177774ワット発電中さん:2010/02/24(水) 08:14:03 ID:stRG0ESI
ジジイが多いだけじゃよ
178774ワット発電中さん:2010/02/24(水) 09:41:27 ID:l1A4ZKHX
>>176
否定派とか擁護派なんてレベルの低い話じゃなくて、

適材適所って話。
179774ワット発電中さん:2010/02/24(水) 19:17:17 ID:pgMYzDdP
現行製造品で5Vで動作(とOUTPUTから5V出力)できるCPLDってもうないかねえ・・・
180774ワット発電中さん:2010/02/24(水) 20:03:59 ID:VH2Ag5sW
初見
FPGA/CPLDについて少しかじったレベルでしか知らない俺に教えてくれ
C言語でソースをかくことはできるのか?
181774ワット発電中さん:2010/02/24(水) 20:13:13 ID:FrKqQC/g
C言語みたいな直列用言語じゃパラレルな電子回路を
記述できるわけは無い
182774ワット発電中さん:2010/02/24(水) 20:26:04 ID:dVyCVnZv
>>179
XC9500ではだめ?
183774ワット発電中さん:2010/02/24(水) 20:31:32 ID:pZH4wAL6
>>180
http://www.soliton.co.jp/products/c_base/excite/index.html
みたいなのやSourceforgeでもプロジェクトがあるけど
Cで書いたソースが簡単にFPGAに落とせてHDL並の性能が
引き出せると思わない方が良い。

FPGA入門はHDLから始めるのが楽
184774ワット発電中さん:2010/02/24(水) 20:40:46 ID:VH2Ag5sW
>>181
つまり回路を描く以外に方法がないいということなの?
185774ワット発電中さん:2010/02/24(水) 20:45:05 ID:VH2Ag5sW
>>181
ちょっとHDLについて勉強してくるわ
一応アルテラのほうから評価版落としてみた
186774ワット発電中さん:2010/02/24(水) 22:50:18 ID:vOxIXET0
>>180
Sharpのbachとか、NECのCyberとかを使うとできる。いわゆる高位合成。
まだ、一般的じゃない。
あと言語仕様に制限がある。
187774ワット発電中さん:2010/02/25(木) 01:26:52 ID:mqCT0w6W
>>182
2年前に製造終了してまして・・・
188774ワット発電中さん:2010/02/25(木) 01:45:44 ID:mqCT0w6W
>>182
あ〜すみません、Digi-Keyでまだ入手可能っぽいのを見落としてしまいました
回答ありがとうございました
189774ワット発電中さん:2010/02/25(木) 01:47:18 ID:G96iVT+X
>187

ラティスとかもありそうだけど。
TTL相手なら5Vトレラント,3.3V出力でも問題ないと思うけど。
190774ワット発電中さん:2010/02/25(木) 03:05:47 ID:6oNNVfGL
>>189
今時、純TTLは少なかろう。ほとんどC-MOSで、1/2VddがVth。

>>179
もしかしてLCDとFPGAのI/Fかな。
SN74VHC3224?だったか、電源ピンが2つあるバストランシーバーが調子いい。
191774ワット発電中さん:2010/02/25(木) 05:56:35 ID:/bHPhEld
設計はワードとエクセルでやってます。
192774ワット発電中さん:2010/02/25(木) 08:46:49 ID:A4SOuDZZ
>>191
あそう。で実装は?
193774ワット発電中さん:2010/02/25(木) 09:10:16 ID:93PVRzNC
>>182, 187
 ザイリンクスのHPには未だに製品として載っているが。
他のデバイスと間違ってないか?

 生産中止されたと思われる物は従来製品として別にグループ分けされている。
ttp://japan.xilinx.com/support/#catlink-cmenu2-21
194774ワット発電中さん:2010/02/25(木) 09:45:11 ID:jR8MNbEy
>>190
PICなんかはCMOSプロセスだけどTTL入力だね。ロジックならHCT相当か。
195774ワット発電中さん:2010/02/25(木) 12:10:17 ID:gZmINfks
> あそう。で実装は

もちろんパワーポイントです。
196774ワット発電中さん:2010/02/25(木) 13:31:49 ID:/bHPhEld
>>192
キャッシュです。
197774ワット発電中さん:2010/02/25(木) 19:23:21 ID:h3zZB7dt
>>194
CMOSレベルのほうが少ないと思う。
198774ワット発電中さん:2010/02/25(木) 22:22:52 ID:cck/mW0f
>196
ネコの手を使うの?
199774ワット発電中さん:2010/02/25(木) 22:28:05 ID:cck/mW0f
LV-TTLとかだよね。すれっしゅ、TTTLとおんなじだし。
200774ワット発電中さん:2010/02/25(木) 22:39:02 ID:pLBJDBck
>198

それはキャッツ?
201774ワット発電中さん:2010/02/25(木) 23:27:40 ID:VQJbK0Dq
>>198
ちがう、ちがう。現金のことだよ。
202774ワット発電中さん:2010/02/26(金) 00:19:44 ID:V28Jbe05
お呼びでない?
203774ワット発電中さん:2010/02/26(金) 12:19:04 ID:bERbRUJj
それはクレイジーキャッツw
204774ワット発電中さん:2010/02/26(金) 13:06:41 ID:pzkdiRjy
現金、キャッツときたらこれだろ ttp://www.toto-dream.com/big/
205774ワット発電中さん:2010/02/26(金) 16:13:31 ID:Nv7ueyBt

みなさん、こんな時間から書き込んでいて、
仕事しなくて大丈夫なんですか?
206774ワット発電中さん:2010/02/26(金) 21:59:55 ID:TcPgK8It
http://www1.axfc.net/uploader/Img/so/74168.png
Altera Quartus II だとこんな感じのモジュールごとのリソース使用率が左上に表示されるけど、
Xlinx ISE や Lattice ispLEVER ではどうやって表示すればいい?
207153:2010/02/27(土) 09:11:20 ID:pujz4auS
遅くなりますた。
回路図でみっちり作り込むのはバグの心配大っすか(´・ω・`)

>159
趣味でやってる週末エンジニアなんで、回路規模は小さいっす。
普段はアナログと、デジタルもロジック石でちまちまやってる程度。
今作りたい物だとロジック石を並べたら基板サイズがとんでもなくなるのと、
クロック入れたときの同期性に不安があったのでCPLD化しようと。
そうすれば、ハードは小さくなるし、I/Oと電源さえ繋げばいいし、
回路修正も新しいデータを注入で済むから便利かな、と。
208774ワット発電中さん:2010/02/27(土) 20:48:28 ID:szigsPSI
LatticeのCPLDの書き込み機を自作したいと考えています。
できればLatticeの純正ソフトから書き込みができ、USBで接続するとよいのですが、
そのようなものはあるでしょうか。
209774ワット発電中さん:2010/02/27(土) 22:12:47 ID:zrHqrOfb
自作するんだから有るんじゃね?
210206:2010/02/27(土) 22:34:42 ID:eZhujkR8
誰もわからないのか、そもそもないのだろうか。
こういうの見たいっていう需要はないんだろうか。
どのくらいリソース使うのか知りたいときはQuartusでコンパイルさせるしかないかな。
3社の中で一番コンパイル速いからそんなに負担にはならないけど。
211774ワット発電中さん:2010/02/28(日) 05:04:50 ID:oue/jAqZ
>210
 最近リソースなんて考えたこと無いな。使い切れないほど無限にある感じだ。
でも、シビアな用途ならリソースを見る必要があるから、あると思うよ。
一寸調べたら出てくると思う。分かったらおしえてくれ。
リポートに出てなかった?
俺はXlinksはスパルタンしか使ったこと無いのでよく分からん。マイクロブレーズ
をのせて、VHDLで2000行くらい書いても、全然平気だったな。
 でも、もしリポートの出し方が分からなくて、手がかりを掴みたいなら方法は
いくらでもある。
 たとえば8ビットのカウンターとかアダとかを適当に作って、それを
1000個とか登録して、入るかどうか調べてみるとかしたらいい。
当然入らないのでコンパイルエラーがでる。 もしギリギリ100個
はいるなら60個程度で収めるようにするのが普通。 
 この場合リソースは100と定義するんだよ。

212774ワット発電中さん:2010/02/28(日) 15:04:22 ID:nj3PZXA7
>>206
Xilinx(ISE 10.1)の場合、
FPGA Design Summary -> Design Overview -> Module Level Utilization
ではどう? 

Latticeは使ったことないからわからんけど、あるんじゃないかな?
213206:2010/02/28(日) 15:37:41 ID:r1N1gu6E
>>212
ありがとう。
214774ワット発電中さん:2010/03/02(火) 23:42:51 ID:a/B5XcJ7
TQFP44かTQFP64くらいで5kLUTクラスのFPGAってなんでないんだろ
FPGAってなんであんなにピン数多いのばかりなんだろ
I/O数重視の需要が多いのかな
215774ワット発電中さん:2010/03/03(水) 00:01:10 ID:0u1ibarX
     周辺
      |
周辺−FPGA−周辺
      |
     周辺

こういうケースが多いからだろうね
216774ワット発電中さん:2010/03/03(水) 00:03:02 ID:xc6H1NXD
いわゆる gluechip だね。
217774ワット発電中さん:2010/03/03(水) 00:07:54 ID:oddwgaCJ
2層までの基板で使いたいけど場所取るのが嫌だったらBGAパッケージをひっくり返してワイヤで必要本数だけ配線するしかないかねw
218774ワット発電中さん:2010/03/03(水) 00:15:02 ID:xc6H1NXD
>>217
何と言う「裏ワザ」w

隣のハンダボールにショートさせずに配線するの、難しそうだな。
219774ワット発電中さん:2010/03/03(水) 00:19:44 ID:ipeT6PBm
電源やコンフィグ関連の最小限をのせたユニバーサルを作って使いまわししてる。
220774ワット発電中さん:2010/03/03(水) 01:40:31 ID:zHAI9Us5
コンフィグって、カタカナで書くのはなんかやだな。なんかだけど。
221774ワット発電中さん:2010/03/03(水) 02:41:00 ID:vIiZooCV
毎回BGAをひっくり返してという馬鹿が湧くなぁ。。。
222774ワット発電中さん:2010/03/03(水) 03:31:17 ID:S3qPzYik
>>220
キミは正常だよ。
テクトロのオシロに操作面の差し替えプレートに、日本語のものがある。
操作つまみなどの英語表記を日本語に変えたい人用のものなんだけど、
それを付けるユーザーが結構いるのには驚いた。
triggerがドリガ、utility→ユーティリティなど、単にカタカナ読みにしただけなのに。
そんなことで嬉しいのか?→プレート愛好者。

水平よりhorizontalのほうが何倍も分かり易い。
configだって同じだよね。カタカナでコンフィグって言われてもピンと来ない。
223774ワット発電中さん:2010/03/03(水) 04:30:21 ID:AGg8A4WV
>>222
>カタカナでコンフィグって言われてもピンと来ない。

それは日本人じゃ無いからでは?
少なくとも俺の身近にいる人間で

>triggerがドリガ

なんて言う奴は居ない。
224774ワット発電中さん:2010/03/03(水) 04:32:54 ID:AGg8A4WV
ゴンフィグって言えばピンと来るのかw
225774ワット発電中さん:2010/03/03(水) 10:13:01 ID:xSErRzPo
コンフィギュだとちよっと萌える
226774ワット発電中さん:2010/03/03(水) 11:11:28 ID:fWkimiWp
アクテルがARMコア入りのFusionを出したな。
ttp://www.actel.com/products/smartfusion/

評価ボードも安いし弄って面白そうなんで、どこか扱ってくれ。
ttp://www.actel.com/products/hardware/devkits_boards/smartfusion_eval.aspx
227774ワット発電中さん:2010/03/03(水) 18:11:10 ID:+QgBKZvL
>>226
これで$99って安いな
俺も弄っててみたい
マイコン+FPGA+プログラマブルアナログってずばりSoFPGA(System on an FPGA)
だな。俺、Xi、Alの関係者にAD,DAぐらい入れろって言ってたんだがな。
次期?(いまや?)世界標準32bitマイコンコアのCortex-M3ってのもいいな。
228774ワット発電中さん:2010/03/03(水) 19:00:37 ID:1nMOn58M
http://pc.watch.impress.co.jp/docs/news/20100303_352289.html
>現在のFPGAはあくまでデジタル回路が自由に構成できるだけで、アナログ回路は別途外付けで用意する必要がある。
>例外は高速入出力で、例えばPCI ExpressとかXAUI(10GbpsのEthernet用I/F)、あるいはその他の高速ネットワークやバスなどに接続するニーズや、外部にDDR /DDR2/DDR3 SDRAMを接続したいといったニーズは、特にハイエンドFPGAでは非常に強い。さ
>すがにこれらを接続するためのI/Fまで別に用意するのは大変なので、これのみは統合されているのが普通だが、逆に言えばアナログらしいアナログはここだけで、後は汎用入出力のみである。

PCI-eってアナログなの?
229774ワット発電中さん:2010/03/03(水) 19:57:00 ID:3Y4WNE2J
気にするところでもねーな
230774ワット発電中さん:2010/03/03(水) 20:28:46 ID:TLr4qNeE
>>228
ギガビットはアナログ
231774ワット発電中さん:2010/03/03(水) 21:31:09 ID:ViVZnyAa
>>228
3.3Vとか1.8Vとかそういうロジックの電圧と信号レベルが全然違うという意味でアナログって言ってるんじゃね?
232774ワット発電中さん:2010/03/03(水) 21:54:35 ID:hBuJqrMJ
おまえらはデジタル、アナログわかっているのか?
解っているなら言ってみ
233774ワット発電中さん:2010/03/03(水) 22:05:48 ID:s4Vp1+5B
ギガビットイーサネットはPAM5だからアナログだと言ってるんだろうけれど
アナログって連続量だからちょっと違うよね。
例えば0Vから9Vまで連続的な値をとりますって言うのならアナログだけれど
PAM5は-1Vから1Vまでの5種類の電位を取るんだから離散量なんだからデジタルだよね。
ただ単に世間一般で思われている2値のデジタルでないから、FPGA単体で処理するのが
難しいだけ。ただの揚げ足取りなんだけどね。
234774ワット発電中さん:2010/03/03(水) 22:09:42 ID:BslVvDn1
多値デジタルはアナログか?
みたいな話かね?

伝送系の話はよくわからん。
235774ワット発電中さん:2010/03/03(水) 22:12:50 ID:oddwgaCJ
2値とか離散値とかそういう意味じゃなくて、
高速ディジタル信号はアナログ的な性能が要求されるって意味かと。
どのくらいアナログかって言うとSATAやUSB3.0ではケーブルの高周波減衰をイコライザで補正するくらいアナログなんだよ。
差動レシーバだってアナログコンパレータだし。
236774ワット発電中さん:2010/03/03(水) 22:19:19 ID:xc6H1NXD
なるほど、最近の高速シリアル信号はアナログちっくな周辺回路がたくさん要ると言う事か。
237774ワット発電中さん:2010/03/03(水) 22:21:21 ID:ViVZnyAa
そっか
一つえらくなったわ俺
238774ワット発電中さん:2010/03/04(木) 01:56:40 ID:d9wYwC7A
アナログ要素が強いだけで、
ユーザがADC,DAC的なアナログ回路を
利用できるわけじゃない。
ってことだろう。
239774ワット発電中さん:2010/03/04(木) 08:34:42 ID:nB+JgWcl
4069UB という IC があってな
240774ワット発電中さん:2010/03/04(木) 10:13:37 ID:7BIus+p9
入力保護ダイオードが無くて、下げる側へのレベルシフタとして重宝なヤツじゃね?
遅いけど、速度に関係ない所には好んで使った覚えが・・・
241774ワット発電中さん:2010/03/04(木) 12:12:28 ID:gB9bG1GC
アナログ・・・どちらかというと高周波回路だなぁ。
242774ワット発電中さん:2010/03/04(木) 22:30:27 ID:h32M0PjC
反射とか整合とかいう、
伝送線路特性が影響する世界ですね。
243774ワット発電中さん:2010/03/05(金) 00:28:25 ID:XVcYtDQ7
>>239
04HCと04HCUという(ry
さらに1ゲートタイプのTC7Sxxでは挙動が変わって(ry
244774ワット発電中さん:2010/03/06(土) 02:43:31 ID:yjn2BjLU
誰も訂正しないけど、HC04、HCU04の間違いですよね。
245774ワット発電中さん:2010/03/06(土) 15:50:30 ID:iByIHmYK
そーいえばそーね
246774ワット発電中さん:2010/03/08(月) 12:16:08 ID:iJhPvRaJ
74HC/54HC(U)シリーズの事かと思った
247774ワット発電中さん:2010/03/08(月) 18:20:12 ID:YuVNTBLC
誰が見てもそのシリーズのことですが、なにか疑問でも?
248774ワット発電中さん:2010/03/08(月) 19:55:08 ID:v81l9a60
特にございません
249774ワット発電中さん:2010/03/09(火) 22:34:15 ID:JPyM0Gbe
Altera
Xilinx
Lattice
Actel
Quick Logic
Atmel
Achronix
SiliconBlue
Abound logic
Tabula
Tierlogic
NuPGA
250774ワット発電中さん:2010/03/10(水) 12:09:39 ID:vd8qIOGx
なんでALTERAがAlteraなんだ。
なんでXilinxがALTERAの下なんだ。
なんで?
251774ワット発電中さん:2010/03/10(水) 12:26:17 ID:rknqpEVG
Xilinxは糞だからだろう
252774ワット発電中さん:2010/03/12(金) 02:42:59 ID:pc7n4i08
XC9536XLでも端子を入力に設定した場合は
74HCシリーズ等と同様に使わない端子はプルアップなどをする必要があるんですか?
それか使わない入力端子が無いようにUCFを書き換えたほうがいいんですか?
253774ワット発電中さん:2010/03/12(金) 08:01:51 ID:Cm2lzXiw
使わないIO端子は出力モードでL固定にしておくものだろう
254774ワット発電中さん:2010/03/12(金) 08:48:50 ID:pc7n4i08
>>253
そうします ありがとうございました
255774ワット発電中さん:2010/03/12(金) 10:06:29 ID:qSpoPLxR
>XC9536XLでも端子を入力に設定した場合は74HCシリーズ等と同様に使わない端子はプルアップなどをする必要があるんですか?

内部プルアップや内部プルダウン、KEEPER回路を使え。
出力L固定するのは愚か者のやること。
256774ワット発電中さん:2010/03/12(金) 11:10:16 ID:L+eADPBR
未使用端子は仮想GNDにしてさらに基板パターンもベタGNDへ.
EMC対策優先ってことでこんなことに.
257774ワット発電中さん:2010/03/12(金) 11:24:38 ID:qSpoPLxR
未使用端子をGNDにすればシグナルインテグリティはよくなるかも試練。EMC対策になるかどうかは分からないが、あまり効果はないだろうね。

でもね、質問者は「CMOSの入力が不定になっちゃいけないの?」とか、そういう意図で質問したんじゃないの?

だから、その回答をするぞ。

CPLDも74HCと同じように入力がスレッショルド付近でバタバタするのはよくない。だから、必要だと思ったら何かしろ。
ただし、出力モードでL固定にするのはやめとけ。
258774ワット発電中さん:2010/03/12(金) 11:31:08 ID:FM2eDrfu
メーカ推奨は出力モードでL固定なんだよ。
259774ワット発電中さん:2010/03/12(金) 15:17:29 ID:0NE2KdYq
> ID:qSpoPLxR
軽石さん、知らないことは無理に答えないほうがいいですよ。
出力L固定、ベタGNDはXにしろAにしろメーカ推奨で、EMCにも効果あり。

あと、いまどき変な誤変換は流行りません。蛙SRAMとか。
260774ワット発電中さん:2010/03/12(金) 19:20:54 ID:hAfdZ+xg
カエル?
261774ワット発電中さん:2010/03/12(金) 22:59:33 ID:XMkBOrfO
軽石って単語すげー久しぶりに見たわい
本人も野次馬もみんな絶滅したのかと思ってた
262774ワット発電中さん:2010/03/12(金) 23:11:18 ID:yO5t/zdb
軽石は滅びぬさ(AA略
隔離スレがあるんでそっちでぼちぼちやってるみたい。

>出力L固定するのは愚か者のやること。

賢い人はどうすんの?

>だから、必要だと思ったら何かしろ。

何すんのよ?

>ただし、出力モードでL固定にするのはやめとけ。

何でさ?

まったくの軽石問答だなぁ。
懐かしい。

未使用ピンがオープンならLドライブが無難、なんかつながってるならWeakPullup(つながってる人がローアクティブのとき。逆なら逆)だけどCPLDって付いてる?
263774ワット発電中さん:2010/03/13(土) 00:40:37 ID:IECxPd9K
入力に設定してプルダウン(アップ)すると電気がもったいないと言われました。
本当ですか?
264774ワット発電中さん:2010/03/13(土) 01:02:18 ID:SrJeoWDz
出力にして電源かGNDってのはインピーダンスを下げるのに意味はあるよね。
出力にすることによって、デバイスの中とPADの両方で低インピーダンスに抑えられるから。
高周波的に本来は電源とGNDは等価じゃなきゃいけないけど(実際そうもいかない;;)、
どっちかっつーとGNDのほうが電圧にとらわれなくて良いしやっぱGNDかな。

逆に低い電流値のプルアップとか浮いているに近いハイインピーダンスの回路は
基板PAD〜ワイヤーボンディングとかいわゆるアンテナ状態みたいなもんで
隣のピンとかに影響を及ぼしかねないよね。
たとえPADをGNDに接続していたとしてもFPGAの中身で入力設定していたなら
一般的にはインピーダンス高いわけだから開放短になって出力設定よりは今一歩
といったところか?

この辺はフラットケーブルに例えて考えて、1pinごとに信号・GND・信号・GND・・・と
考えたとき、このGNDが両端でGNDに落ちているか、片方だけGND、もう片方は開放、
両方とも開放という場合において、信号線間のクロストークを考えたらイメージ
しやすいかなと思う。

・・・・・とオイラは勝手に解釈しているww
265774ワット発電中さん:2010/03/13(土) 01:09:08 ID:QpeDjvOX
本当に高周波を扱うんであれば不必要な部分にベタグランドしてはいけないと思う
266774ワット発電中さん:2010/03/13(土) 01:14:46 ID:j4dm/PFS
>263
駆動している信号なら本当。空きピン処理ならうそ(C-MOSの場合)。

軽石さんは、なんで出力でGNDは絶対やるな、愚者の選択って言うんだろう。
↓もよくわからん。


#3646 1/20/2005, 10:28 JST
Subject: 知っても意味は無い。
Name: 軽石 [nbf02247 at nfity ne jp]
Text:
>パソコン基板上のチップセラコン(パスコン)の容量知りません?

知ってどうしたいのか検討がつかないですね。
私の経験からするとパスコンでVCCIを通す事はできません。
しかもパスコンはクロックや電流などによって最適な容量が変わるので聞いても参考になりません。

ま、しいて言えばパスコンは0.1uFが一般的で、クロックが速いと0.01uFなどが使われますが、
総量については数えたという事を聞きません。(聞かれて即答できる人はいないでしょう)

なんとなく一般論をきちんと理解していないような気がするのは私だけかな?
267774ワット発電中さん:2010/03/13(土) 01:19:22 ID:j4dm/PFS
>265

高周波だろうが、低周波だろうが、不必要なとこにしてはいけないな。軽石さん。
どこが必要で、不必要かが大事でしょ。どこ?
268774ワット発電中さん:2010/03/13(土) 01:25:04 ID:QpeDjvOX
低周波なら別にええよそこら中に電源とグランドがあれば配線がらくだし
269774ワット発電中さん:2010/03/13(土) 01:38:28 ID:DGvNU523
そうでもない
270774ワット発電中さん:2010/03/13(土) 01:47:14 ID:j4dm/PFS
>268
で、どこが不要でどこが必要?とりあえず、高周波の話をしよう。軽石さん。

ちなみに、高周波ってクロックレートの話してる?
271774ワット発電中さん:2010/03/13(土) 09:41:22 ID:QpeDjvOX
よーわからんけど
信号の立ち上がりの角度が鋭いと高周波なんちゃうん
上の方で話題になってたのはクロックが云々の話じゃないような気がする
272774ワット発電中さん:2010/03/13(土) 12:14:26 ID:Zs6oW/zM
高周波ならインピーダンスをマッチングするため
層間や配線間からベタグランドをどう配置するかって話になるよね。

高周波っていうと周波数が高いものって解釈だけど、ちがうのかな。
273774ワット発電中さん:2010/03/13(土) 17:22:09 ID:2XLUsG5r
昔,耳で聞える波長までを低周波,それより上を高周波と呼ぶ.
と習ったような.
274774ワット発電中さん:2010/03/13(土) 18:30:18 ID:jOLvtL92
> 蛙SRAMとか。
そろそろ答教えれ
275774ワット発電中さん:2010/03/13(土) 19:19:36 ID:ha87KtjY
矩形波の場合は、周波数じゃなくて周波数成分が云々だね。

>蛙SRAM

トラ技の付録基板に張るSRAMをオムロン24(故人)で見つけた軽石が、蛙SRAM(買えるSRAM)という表題でメジャーどころの掲示板に書きまくった話だな。
276774ワット発電中さん:2010/03/13(土) 19:33:29 ID:yYvcn7Ot
frog? flip-flop? とか読んでたが違ったかw
277774ワット発電中さん:2010/03/14(日) 01:54:13 ID:jMEL9+q/
>276
軽石にフリップフロップは発想できないでしょうw。
278774ワット発電中さん:2010/03/14(日) 10:44:18 ID:J84a1xE0
XilinxのSpartan6の拡張ボードを作ろうと思うんだけど、みなさん何をつなげたいですか?

まずはDVIやVGAだと思うんだけど。ほかに何か・・・。
279774ワット発電中さん:2010/03/14(日) 10:50:45 ID:J84a1xE0
間違えました。Spartan6の評価ボードのSP601の拡張ボードをつくろうと思うんだけど・・・
~~~~~~~~~
でした。
280774ワット発電中さん:2010/03/14(日) 16:46:01 ID:4racBSH4
事情通の方いたら伺いたいんですが、
XにしろAにしろ、
45/40nm世代のFPGAって順調に量産出荷しそうなんですかね?
最近どうなの?
なんだかnVIDIA/ATIとかがGPUで苦労してそうだから、正直どうなの? って思った。

メモリ系でなくロジック系ではもはやIntelくらいしかマトモにプロセス立ち上げ出来ないのかなあ。
281774ワット発電中さん:2010/03/14(日) 18:03:07 ID:j5lOT680
いかにも外野の見方だな
282774ワット発電中さん:2010/03/14(日) 18:05:52 ID:1tc+1A2l
>280
淫輝は金があるから、他社よりも設備を優先的に回すとかの便宜くらい受けてるだろ。
AMDスレではニコンの設備がどーのとか言う話がちらっと出た事もあるし。
あとは各メーカーの資金次第。

nVはそもそもリマーク商法で見限られて経営傾きかけてるし、
AMD/ATiはシェア取れなくて資金が潤沢とは言えない。
283774ワット発電中さん:2010/03/14(日) 18:26:19 ID:kR0K3jOj
>>280
AMDは45nmのCPU量産してるし。
大規模なFPGAほど需要や量産効果が少ないから導入が遅いんじゃない?
コンシューマ製品向けの小規模FPGAはダイサイズが小さいだろうし。
284774ワット発電中さん:2010/03/14(日) 19:24:55 ID:4racBSH4
>>281
ほう、じゃあ内野の見方を是非とも伺いたいね
285774ワット発電中さん:2010/03/14(日) 19:52:13 ID:RisrEp3+
観客席にいるのに内野の見方を聞かれてもなぁ
286774ワット発電中さん:2010/03/14(日) 21:31:33 ID:cQ4oMOXA
>>285
>事情通の方
じゃあカキコしなくてもいいんじゃないの?
287774ワット発電中さん:2010/03/14(日) 22:03:44 ID:Oi7kX/7W
>>284
> じゃあ内野の見方を是非

サードセカンドショートついでにレフトも
全部小坂。
288774ワット発電中さん:2010/03/14(日) 22:44:47 ID:6kyp+iJx
ザイリンクなの?ザイリンクスだと思ってたけど
289774ワット発電中さん:2010/03/14(日) 23:42:59 ID:uhfbT0b1
両端のXはただの飾り文字だろ
290774ワット発電中さん:2010/03/15(月) 00:11:49 ID:EV+085F/
さいきんのプロセスドライバはFPGAらしいよ。
ってなんかの雑誌で見た。
291774ワット発電中さん:2010/03/15(月) 14:08:34 ID:vSc0dtqh
2世代後ろからActelがニヤニヤしてます
292774ワット発電中さん:2010/03/15(月) 14:15:36 ID:zVzIlE3u
Xilinxの評価ボードを最も安く入手する方法を教えてください
293774ワット発電中さん:2010/03/15(月) 14:23:35 ID:gewL46ie
会社で取り寄せて不要になったらもらう。
294774ワット発電中さん:2010/03/15(月) 21:49:02 ID:usZUOtVk
研究室から借りる
295774ワット発電中さん:2010/03/15(月) 22:11:36 ID:wZChlWp4
業績を積んで、メーカーから是非使ってくださいと頼まれる立場になる。
296774ワット発電中さん:2010/03/16(火) 05:44:09 ID:RV958fmi
そんな奴いねーよ
297774ワット発電中さん:2010/03/16(火) 05:54:12 ID:6xv3JUn/
Xilinxに就職する
298774ワット発電中さん:2010/03/16(火) 06:51:16 ID:0VsWKO4o
Xilinxを買収する。
299774ワット発電中さん:2010/03/16(火) 19:26:30 ID:NTReMaz2
世界一になる。
300774ワット発電中さん:2010/03/16(火) 19:57:44 ID:Pz1UTtS1
海賊王になる
301774ワット発電中さん:2010/03/16(火) 20:56:46 ID:rOfv+WOH
型番を指定しないからこんなことにw
302774ワット発電中さん:2010/03/17(水) 11:24:30 ID:virOtdqP
ちなみに、Spartan6は、もうバリバリに流通しているのでしょうか?
QFPでないと趣味に使うにはつらいけど・・・・
303774ワット発電中さん:2010/03/17(水) 21:25:04 ID:Kcrmt+SU
趣味ならブレッドボードを買いなはれ。
304774ワット発電中さん:2010/03/17(水) 23:31:26 ID:1S86kcQG
ところで軽石さん、なんで空きピンをGNDにつないでLにドライブするのが愚者の選択なんですか?
305774ワット発電中さん:2010/03/18(木) 00:20:31 ID:z711c9Nw
バイポーラだと思ってたりして(w
306774ワット発電中さん:2010/03/18(木) 17:42:22 ID:yqWF3eoC
秋葉原のジャンク袋からGAL60001Bとか出てきた。
これ、ispLEVERでプログラミング(?)出来ないのかな…。
というかどうやって書き込めば良いかもわからんww
307o:2010/03/18(木) 23:03:05 ID:JO84gmnv
DATAIOの29BとかUNISITEとか。ほかにも社外ライタがあるけど、ん十万だろうねぇ。
308774ワット発電中さん:2010/03/23(火) 07:23:00 ID:8hUcHrzI
Xilinxのspartan3anのスターターキット欲しくて、小売あるかと思ってふらりと秋葉のマルツ行ったら33000円とかだった。
小売してるのは便利だなと思ったんだけどよくみたら日本版じゃなかった。
それならDIGIKEYで22000円で売ってるんだけどどうなのとか。日本版を代理店通しても同じじゃねとか。
日本版って日本語のマニュアル以外何が違うんだろう。アダプターもユニバーサルアダプター付きだし。
それに日本語のユーザーマニュアルて公開されてるんじゃ。
代理店さんや…。
309774ワット発電中さん:2010/03/23(火) 07:27:16 ID:8jDYPOYu
日本版じゃないと何か不都合があるの?
まさか英語読めないとか?!
310774ワット発電中さん:2010/03/23(火) 08:21:58 ID:8hUcHrzI
英語も得意じゃないけど何か事情でもあるのかなと思って。
311774ワット発電中さん:2010/03/23(火) 12:00:03 ID:caOfjWlf
>>309
>日本版じゃないと何か不都合があるの?
それは不都合でしょう。だって日本人ですから。
ネイティブの米国人なら、読めるだろうけど、
日本人が、取説に書いてある英文の真意や微妙な言い回しを理解するのは、ほぼ無理でしょう。
「技術英語は語数も少ないから読めるよ」といったところで、辞書片手に訳しているようじゃ
日本語版の方がまだ何倍もましだよ。
312774ワット発電中さん:2010/03/23(火) 12:16:38 ID:wEk52Up7
>日本人が、取説に書いてある英文の真意や微妙な言い回しを理解するのは、ほぼ無理でしょう。
日本語版だしても…
日本人が訳してたり、日本人が本国の人から情報もらっても真意や微妙な言い回しを理解していない文章になるんじゃね?
それとも一枚ぺらのこんなのいらね!みたいな紙をありがたがってるのかな
313774ワット発電中さん:2010/03/23(火) 12:56:19 ID:8LdbtQZF
>日本人が、取説に書いてある英文の真意や微妙な言い回しを理解するのは、ほぼ無理でしょう。
>「技術英語は語数も少ないから読めるよ」といったところで、辞書片手に訳しているようじゃ
>日本語版の方がまだ何倍もましだよ。

まずは英語を勉強したほうがいい
314774ワット発電中さん:2010/03/23(火) 15:05:56 ID:paAmwUvt
書いてあることを理解するだけなら辞書すら要らないレベルの英語しか使ってないことが多いけどな
行間嫁って言われると困る
でも英語って日本語ほど行間読ませる風潮ないでしょ
315774ワット発電中さん:2010/03/23(火) 16:11:17 ID:De1RaLwL
>ネイティブの米国人なら、読めるだろうけど、

ネイティブアメリカン = インディアン
316774ワット発電中さん:2010/03/23(火) 18:13:53 ID:caOfjWlf
>でも英語って日本語ほど行間読ませる風潮ないでしょ
確かにそうなんだけど、長文や then , thatでつなぎまくった文章などは、
辞書1つでも結構キツイ。訳しだすと1日かかることもあるよね。
例として貼付けとくから、2行目の長い文章を訳してみて。

CLK_OUT signal in order to maintain phase alignment.
For these applications, it is advised to experiment with the loop bandwidth settings and choose the lowest bandwidth setting that does not produce system
timing errors due to wandering between the clocks and data synchronous to the CLK_IN domain and those synchronous to the PLL_OUT domain.

辞書片手に訳したとしても、全体で「こんなこと言ってるんじゃないかな?」という程度しか訳せない。
だったら、正確な情報は得られないと思うんだ。
だから間抜けでもいいから日本語の訳が欲しくなる。(Exciteはダメよ)
317774ワット発電中さん:2010/03/23(火) 18:27:07 ID:De1RaLwL
位相調整のためのCLK_OUT 信号

これらのアプリケーションのためには、ループバンド幅の設定と
クロック、CLK_IN ドメイン同期したデータ、それらに同期した PLL_OUT ドメイン、
にタイミングエラーを生じないループバンド幅の設定と最小のバンド幅の選択
を確認することをアドバイスします。

って書かれても、意味わかんないだろ。
318774ワット発電中さん:2010/03/23(火) 19:09:41 ID:paAmwUvt
>>316
そんな文章は100%読解する必要ないんだよ
「タイミングエラーが起きないようにPLLのループバンド幅の設定に気をつけろ」
これだけ読み取れればOK

>>317
そんな汚い訳じゃわからんわな。あえて訳すならこんな感じか。
これらのアプリケーションのためには、ループ帯域幅の設定を変えて実験し、CLK_INドメインに同期したクロック・データとPLL_OUTドメインに同期したクロック・データの間で揺らぎによるタイミングエラーが起きない最小のループ帯域幅を選択することをお勧めします。
319774ワット発電中さん:2010/03/23(火) 19:26:12 ID:8hUcHrzI
>>318
かっこいい
320774ワット発電中さん:2010/03/23(火) 20:33:18 ID:caOfjWlf
>>317
ありがとうございます。
>>318
すばらしいです。ありがとうございます。とてもよくわかります。
そこまでササッと訳せると、英文のデータシートも有意義だと思います。
ちなみに、差し支えなかったら教えてください。
・どのようなお仕事をしているのでしょうか? FPGA屋さんでしょうか。
・どうしてそこまで読み込めるのでしょうか。留学経験? 職場で英語使ってる? 
「ゆらぎ」と訳せるのは、スゴイです。

321774ワット発電中さん:2010/03/23(火) 20:46:00 ID:paAmwUvt
>>320
FPGAはじめたてのただの学生だよ。
辞書でwandering signal = 揺らぎ信号って載ってたから引っ張って来ただけ。
322774ワット発電中さん:2010/03/23(火) 22:53:00 ID:L9Ld7xTB
おまいらやるなぁ!
323774ワット発電中さん:2010/03/24(水) 19:02:43 ID:MJ6yeuam
> 日本人が、取説に書いてある英文の真意や微妙な言い回しを理解するのは、ほぼ無理でしょう。
そんなデータシートしか書けないメーカは捨て置け
324774ワット発電中さん:2010/03/24(水) 19:35:25 ID:cIv3+dbv
てーか、出来ないヤツは、仕事で飯食えなくなるだけ。
仕事してりゃ、NDAやら特許がらみも含め、普通に英文に接する羽目になる。
会社によっては会議や質問書そのものが英語。

325774ワット発電中さん:2010/03/24(水) 21:32:24 ID:IJUoDiLZ
会社入ったときは、自分の使う仕様書のmust、should、mayの違いから学ぶんだよ

なんで日本企業でも、日本語の資料無いことがあるんだろうな
まだ、そんなこと考えてるレベルでごめんなさい
326774ワット発電中さん:2010/03/24(水) 21:42:37 ID:aAk8zYDi
ARMの日本語版の資料は、なかなか凄いですよ。
327774ワット発電中さん:2010/03/25(木) 05:50:38 ID:5k/Fpvlo
正社員は技術しない。
328774ワット発電中さん:2010/03/25(木) 10:11:56 ID:oDHgsobT
結局一番安いspartan6ボードは何なの?
329774ワット発電中さん:2010/03/25(木) 10:43:05 ID:36+NUkSE
>>328
一番安いかどうかはしらないけど
EK-S6-SP601-G って3万3千円くらいですよ。
はひたふさんとこのやつは3万後半でしたっけ?
330774ワット発電中さん:2010/03/25(木) 12:24:12 ID:6q81LNr+
英語の訳の話が出たところで、教えて欲しいことがある。
次の画像を見て欲しい。
http://mcnc.hp.infoseek.co.jp/cgi-bin/img-box/img20100325121959.jpg
これは、とあるFPGAボードの搭載機能の紹介なのですが、
最後の項目のPowerManagementの「5 wall」とは、どのような意味なのでしょうか。
5つの壁? 5 wayのまちがい?
331774ワット発電中さん:2010/03/25(木) 17:45:25 ID:c7U+ff4q
wall ってのは、たいがい wall adapter のことだ。
5個付いてくる・・・ってのはなさそうだから、5V wall かもしれない。
332774ワット発電中さん:2010/03/25(木) 17:50:50 ID:PLPBVu/Y
誤植だろ?ほんとは5W allだったんだよ!

全部で5ワットだな(w
333774ワット発電中さん:2010/03/25(木) 18:51:31 ID:6q81LNr+
ありがと。なんかしっくり来ない。買って確認してみるかな。
334774ワット発電中さん:2010/03/26(金) 02:53:41 ID:leueP1sX
>>328-329
AVNETの方が安いね。
いつになったら、出荷されるのかわかんないけど。
335774ワット発電中さん:2010/03/26(金) 21:58:50 ID:d54tL0yA
Xilinx FPGAとパラレルで接続されたconfig ROMを書き込むのに、
iMPACTを使って書き込んでいます。

が、iMPACTの最後の画面で、□ parallel を毎回チェックしています。
このチェックはiMPACTは記憶してくれないのでしょうか?
毎回チェックするのが面倒なんです。
何か記憶する方法があったら、教えて頂けないでしょうか?

336774ワット発電中さん:2010/03/27(土) 17:04:02 ID:2RdvLptN
Quartus(9.0以降)使ってるやつに、ちょっと質問させてくれ。
 Q1. やっぱタイミング解析ってやってる?
 Q2. メタスタビリティ解析ってやってる?
 Q3. 低消費電力化とか意識してる?
 Q4. PinPlannnerで全pinに負荷容量とか配線抵抗とかの設定やってる?
337774ワット発電中さん:2010/03/27(土) 19:15:06 ID:AfDTFwHf
>>330
"within four walls" で ひっそりと の意味があるから、それの強調かも・・・
338774ワット発電中さん:2010/03/27(土) 20:23:09 ID:vdr+JwDJ
>>330
http://www.xilinx.com/support/documentation/boards_and_kits/ug523.pdf
の7ページには
Universal 5 volt power adaptor
となってるから>>331が正解か
339774ワット発電中さん:2010/03/27(土) 21:30:45 ID:AfDTFwHf
five volt と言ったのを音声入力君が five wall と聞き取ったのかも。
340774ワット発電中さん:2010/03/28(日) 15:10:56 ID:Q6PhGzeu
Quartus 9.1 Programmer だが、LPTポートのないMBなのにByteBlasterドライバが勝手にインストールされてしまい、
ブート時にイベントビューにエラーが記録されてしまう(Win7)。
レジストリエディタでByteBlasterで検索して該当箇所を削除すると解決するけど、もっとスマートな解決法ってない?
3/25にSP2がリリースされたみたいだけど。Fixしてんのかな?
341774ワット発電中さん:2010/03/28(日) 15:12:31 ID:b3ss1ZEu
ほっとけばいいじゃん
342774ワット発電中さん:2010/03/28(日) 15:36:50 ID:Q6PhGzeu
kernel power 41とかもあって、邪魔でね。
結局、このエラーはSpeed Stepがらみのパワーセーブの影響でメモリ動作が安定してないことに起因することがわかって、
Over Voltage でようやく安定して動くようになったんだが、まだ、ちょっと信用してないの。
イベントビューをこまめにチェックしてるんだけど、エラーを起こす項目はなるべく排除したい。
サービスからも切れないし、programmer からも該当項目がない。
レジストリいじればOKになることは確認した。
アプリのどっかいじればいいなら教えて mOm
343774ワット発電中さん:2010/03/28(日) 18:08:08 ID:LRkU3t5M
デバイスマネージャー
344774ワット発電中さん:2010/04/08(木) 20:13:31 ID:jwpwK/Xu
Spartan3 バンザーイ
345774ワット発電中さん:2010/04/08(木) 20:55:14 ID:DlyjcrBs
Spartan9の次はSpartanXにちがいない。
346774ワット発電中さん:2010/04/08(木) 21:38:25 ID:jwpwK/Xu
Spartan Tiger
Spartan Leopard
Spartan Snow Leopard

347774ワット発電中さん:2010/04/09(金) 07:36:12 ID:HL/57cTj
spartanの次はsprintだな!
348774ワット発電中さん:2010/04/09(金) 10:53:26 ID:VU27fTUo
spartanの次はsperank(以下略
349774ワット発電中さん:2010/04/09(金) 16:32:56 ID:Xz1ZH8EV
だれかスパルたんのAAを作ってくれ
350774ワット発電中さん:2010/04/09(金) 16:35:20 ID:8hLYefq9
開幕直後の金土日のチケット余るとかここ数年では考えられなかったもんなあ
351774ワット発電中さん:2010/04/09(金) 21:14:42 ID:aX+mZANu
X社もA社もデバイスを沢山売りたいなら、開発ツールは全て完全に無料化しろよな。
352774ワット発電中さん:2010/04/10(土) 00:05:52 ID:U5O4pLJO
Spartanって、なんでSpartanと名付けられたんだろうか。
353774ワット発電中さん:2010/04/10(土) 02:50:10 ID:GhE6dPQf
>>352
何で名前変わらなくなったんだろう。

Cyclone、Spartan辺りから、名前変えなくなったね。
Cyclone→2→3→4
Spartan →2→3→3E→3A→6
て後ろに数字つけるだけ。

VirtexもStratixも。
354774ワット発電中さん:2010/04/10(土) 07:04:47 ID:3lx/shyN
>>351
数個程度しか使わないくせに問い合わせばかりしてくるようなのを
スクリーニングするには有料化が必須なのさ
355774ワット発電中さん:2010/04/10(土) 08:46:18 ID:Zk9YH9Rx
年に2〜3個、しかもスパルタン3しか使わないオレが通り過ぎますよ
356774ワット発電中さん:2010/04/10(土) 21:24:52 ID:AV9dy6Tg
通り・・・過ぎちゃった。ここも寂しくなりそうだ。
357774ワット発電中さん:2010/04/14(水) 13:15:00 ID:K9fWcLjs
Spartan6の評価ボード欲しい
358774ワット発電中さん:2010/04/14(水) 21:20:02 ID:GBcQ/li1
ALTERAのUSB BlasterでXilinxのFPGAに書き込む方法って無いですか?
359774ワット発電中さん:2010/04/14(水) 21:42:23 ID:nUd/h2wx
UrJtag を使えばできるらしい。 USB Blasterの互換ケーブルは認識することまでは
確認した。 XilinxのFPGAへの書き込みが目的だったんだが、その前段階として
MAXUへの書き込みをやろうとしてうまくいかず、それっきりになってしまった。

Linuxがメインらしいんだが、 Windowsでも動かせるようになっており、実際にWindowsでも
前述のようにケーブルを認識するところまでは試した。

詳細は忘れたが、認識させるまででも結構面倒だった。
360774ワット発電中さん:2010/04/14(水) 21:44:08 ID:KwjBicXl
UrJtagでUSBBlaster使ってLatticeのFPGAは書けたよ
しかもデフォで対応していないFPGA
xilinxでもいけるのでは
361774ワット発電中さん:2010/04/14(水) 22:03:24 ID:PwXrkhE/
MAXUのJTAG→NOR Flashメモリ書き込み機能を利用して、
Xilinx用データを、PC→JTAG→MAXU→NOR Flashと書き込んで、
NOR Flash→MAXU→Xilinx(スレーブシリアル書き込み)
というのをやった事がある。
362774ワット発電中さん:2010/04/15(木) 12:02:17 ID:YczNimRZ
>>358
ヒューマンさんのHPで紹介されているのを見た記憶あり
363774ワット発電中さん:2010/04/15(木) 13:02:11 ID:sqit1yoj
このXilinxな時代になぜにAL? 信じられん
364774ワット発電中さん:2010/04/15(木) 13:21:51 ID:uA8bsMU/
アマチュアからみると、Xilinxは評価ボードは手に入りやすいが、安価なUSBの書き込み
ケーブルが入手しにくかったからな。 USB Blaster使えないかと考えるのは不思議ではない
のでは。

今なら、digilentのケーブルが購入可能だから、本当にXilinxを使いたかったら
買ったほうが簡単だろうが。

                        価格  プラットフォームケーブル互換

1. JTAG-USB Programming Cable    安い  互換性なし

2. XUP USB-JTAG Programming Cable 高い  互換性あり

1.は 6千円くらいだったかな? 専用書き込みソフトを使うみたい。
   東工大からみのベンチャーらしい企業が個人輸入で扱っている。

2.は、2万円くらいでヒューマンデータが扱い始めた。
365774ワット発電中さん:2010/04/15(木) 14:05:38 ID:SXv4cHIr
ところでみなさん、
XにしろAにしろ、
開発環境って今主流ってナニですかね?
Win32/Win64/Linux
366774ワット発電中さん:2010/04/15(木) 19:22:55 ID:7RO5XSuJ
会社による
367774ワット発電中さん:2010/04/15(木) 19:45:27 ID:sqit1yoj
FPGA開発の場合、PCはメモリ認識量で決まる。
368774ワット発電中さん:2010/04/15(木) 21:26:53 ID:4B/MnoXE
XだとSynplifyで配置配線までやるのが
最強っぽいけど配置配線のサポートは
何時になるんだろ?
展示会では数倍早いって言ってたが
369774ワット発電中さん:2010/04/16(金) 03:18:51 ID:BwW7UivM
>>364
2を買うならdigikeyで純正platform cable買った方が良いのでは?
(だいたい2万数千円)
370774ワット発電中さん:2010/04/17(土) 13:25:58 ID:+we5+/Gv
>>369
今のやつは赤いけど、あれはなぜ?
371774ワット発電中さん:2010/04/17(土) 13:49:34 ID:3T0tjo1A
書き込みが3倍速い
372774ワット発電中さん:2010/04/19(月) 08:55:56 ID:XWUVhxFM
ホントに?
だったら 今は黒つかってるけど、買い換えようかな
373774ワット発電中さん:2010/04/19(月) 11:59:10 ID:Rtt6NDOz
赤にすると、フラッシュのイレース時間も早くなるのなら、ぜひオレも
374774ワット発電中さん:2010/04/19(月) 13:56:47 ID:uvC3b4S/
赤いのは機能をアップデートできるんじゃなかっかな。

>>371 はガンダムだろ。 最初はまじかと思ったが、くだらん事だ。
375774ワット発電中さん:2010/04/19(月) 20:18:40 ID:e+FVDIN8
何言ってんの?w
376774ワット発電中さん:2010/04/19(月) 23:21:01 ID:HRtoNK+U
ガンダムじゃないよ、シャア専用z
377774ワット発電中さん:2010/04/20(火) 00:29:03 ID:VANezVYJ
若いやつには通じなくなったんだな
378774ワット発電中さん:2010/04/20(火) 01:49:29 ID:cA5Hap/m
マチルダさぁぁぁん!
379774ワット発電中さん:2010/04/30(金) 14:37:46 ID:MseTCmzP
素朴な疑問について教えてください。
FPGAの規模を表すのに、100万ゲートとかいいますよね。
このゲート数というのは、どのように数えるのでしょうか。
何の数をもってゲート数というのでしょう。

また、アルテラとザイリンクスでFPGAを比べて、
「ほぅ、アルテラのxxxは100万ゲートだけど、ザイリンクスのvvvは80万ゲートか。
 じゃ、xxxのほうが大規模だな」という言葉は、正しいでしょうか。
380774ワット発電中さん:2010/04/30(金) 22:23:09 ID:ZHA/mTpy
>>379
>FPGAの規模を表すのに、100万ゲートとかいいますよね。
言わない。
381774ワット発電中さん:2010/04/30(金) 23:39:45 ID:ld0ASvps
言いますよ、軽石さん。無理に答えなくていいです。
このネタは宗教戦争になるのでこれでお開き。
382774ワット発電中さん:2010/05/01(土) 00:31:07 ID:gpv/JoHk
>>379
ゲート数で呼んでるのってザイリンクスだけでしょ
アルテラやラティスはロジックセル数で呼んでる
ザイリンクスのもデータシート見ればロジックセル数載ってるよ
383774ワット発電中さん:2010/05/01(土) 08:06:49 ID:OZHtlyYe
LUTの数とFFの数に係数かけて出してるんじゃなかったっけ。
メーカーによって算出方法異なるからメーカー間の比較はナンセンスらしい。
384774ワット発電中さん:2010/05/01(土) 11:21:02 ID:asX4Z1Xn
だからLUT数で比較するよりゲート数で比較する方がメーカー間でざっくり
比較できるってことじゃね?
385774ワット発電中さん:2010/05/02(日) 19:44:19 ID:B9Qr+6Cz
だいたい値段が同じぐらいなのが同じように使える代物
386774ワット発電中さん:2010/05/02(日) 21:03:13 ID:RYxOK52p
規模的な所はよくわからんので教えてほしい。
https://www.marutsu.co.jp/user/shohin.php?p=65176
https://www.marutsu.co.jp/user/shohin.php?p=65177
https://www.marutsu.co.jp/user/shohin.php?p=69558
どれが一番規模が大きいの?
387774ワット発電中さん:2010/05/02(日) 21:43:42 ID:RmUrlMR8
>>386
EP1C3<LFXP2-5E<XC3S250E
こんな感じだけど倍も違わないから、あんまり気にしなくていいと思う。
388774ワット発電中さん:2010/05/02(日) 22:16:10 ID:RYxOK52p
>>387
あんがと。
ちなみに
ALTERA システム・ゲート数5万ゲート、ロジック・エレメント数2910個
LATTICE 5000ルックアップテーブル
XILINX システム・ゲート数25万ゲート、ロジック・セル数5508個

これって何を基準に規模を判断すればいい?
ActelのSmartFusionも気になってるんだが?20万システムゲートって事はXILINXより小さいのかなぁ?
389774ワット発電中さん:2010/05/02(日) 23:12:58 ID:63j+roTL
最近のFPGAはロジック回路の基になる部分(メーカーごとに呼び名が違う)を、
ルックアップテーブル(組み合わせ回路)+フリップフロップ(レジスタ)で
構成していると思うけので、違うメーカーの製品を比較する場合は、
以下の項目を比較すれば良いと思われる。

ALTERA:ロジック・エレメント数
LATTICE:ルックアップテーブルの数
XILINX:ロジック・セル数
390774ワット発電中さん:2010/05/02(日) 23:30:28 ID:RYxOK52p
ということは
A(ロジックエレメント)≒X(ロジックセル)≒L(LUT)と考えていいのかな?
391774ワット発電中さん:2010/05/02(日) 23:48:02 ID:TkThHRCj
結局のところ、ソースのレベルで比較するしかないのかな。
同じVerilogソースが、
Xのこの品種には入ったけど、Aのあの品種には入らなかった。
だから、Xのこの品種 > Aのあの品種 に違いないと。
コンパイラの賢さによっても異なるかな。
392774ワット発電中さん:2010/05/02(日) 23:52:55 ID:RmUrlMR8
>>390
ロジックセルとかロジックエレメントはLUT1個+FF1個のまとまりの名前。
基本的にはLUT数=FF数=ロジックセル数=ロジックエレメント数だからそれでOK。

LatticeXP2はLUT4個につきFFが3個しかないので、FFをたくさん使う用途では他社より大規模なデバイスが必要。
あと、もっと高価なFPGAだとLUTの入力が4本でなく6本あったりするから、そういうのは単純比較できない。
393774ワット発電中さん:2010/05/03(月) 00:17:17 ID:kwr3ntCK
>>392
おぉ、凄い勉強になった、サンキュ。
この辺ってもう少し詳しく勉強したいんだけど、詳しい資料とかはある?
むんなどうやって勉強してるんだろう?
394774ワット発電中さん:2010/05/03(月) 00:48:50 ID:GUn+B05I
>>393
各社のデータシート見れば載ってるけど。
395774ワット発電中さん:2010/05/04(火) 22:59:39 ID:N6al5RC5
ダミーの回路なり作って、それぞれに乗せたらなん%になるのかを調べればいいんじゃね?
396774ワット発電中さん:2010/05/05(水) 10:25:56 ID:c76N8T6m
そういえば、
前の会社で

担当「XのSは50万システムゲートだから余裕 がははw」
・・・数ヵ月後・・・
担当「なんかDSP入んないお! あははw」

とか言ってるのがいた
あれは人迷惑だったな
397774ワット発電中さん:2010/05/05(水) 13:37:08 ID:0BGyVw0Z
>>396
FPGAにDSPを組み込むと言ったら、
積和演算専用セルと内蔵メモリを組み合わせるのが普通だと思うが、
LUTベースで組もうとしたのか・・・
398774ワット発電中さん:2010/05/05(水) 19:42:21 ID:PdTxFZc5
また変な奴が出てきたぞ。
399>>396:2010/05/05(水) 21:18:56 ID:NNS0n8RE
>>397
そのときはスタセルASIC化へのプロトタイピングですたので、
主要演算ロジックもフルスクラッチで書いてあったみたいです。
なのでLUTマッピング
400774ワット発電中さん:2010/05/05(水) 21:46:43 ID:0BGyVw0Z
>>399
なるほど、それなら仕方ないですね。
401774ワット発電中さん:2010/05/08(土) 02:27:26 ID:uYoexR+B
質問があります。
ISEの12が出たみたいです。僕はまだISE10.1なんですが、
どうしてこんなに次々と新Verがでるのでしょうか?
新Verのほうが速くコンパイルできるかしら、と気にしていたら、もう次のVer。
3時間もかかるダウンロードは、正直言って面倒というか迷惑な感じ。
402774ワット発電中さん:2010/05/08(土) 02:32:30 ID:SGrySD/Z
働けば分かるよ
403774ワット発電中さん:2010/05/08(土) 08:13:08 ID:zm4PWyfr
やっと就職できたのかよ。
404774ワット発電中さん:2010/05/08(土) 13:48:27 ID:0kC3TzLx
新しいのを買わせたいから。
405774ワット発電中さん:2010/05/08(土) 16:28:42 ID:NcBfwI11
出すたびにALTERAより凄い、と言いたいからだろJK
406774ワット発電中さん:2010/05/08(土) 22:54:47 ID:OzBfqwbb
ユーザーにデバッグさせるためとか?

なんでも、来年にはARMコアを搭載したチップがXから出るみたいだし。
407774ワット発電中さん:2010/05/09(日) 02:23:20 ID:NQ/Vq/vA
これって、安くないですかね?
http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=170449923929
408774ワット発電中さん:2010/05/18(火) 01:54:58 ID:CwqFK8N2
>>407
型落ちだからね〜、決して安いとは思わないな
409774ワット発電中さん:2010/05/18(火) 02:46:49 ID:fopP+buv
>407
軽石さん、そろそろ買うだけじゃなくて形にしましょうよ。
410774ワット発電中さん:2010/05/18(火) 03:15:09 ID:Pz461Fqq
>407-408
 型落ちって?
新しいモデルとの機能差などわかりますか?
411774ワット発電中さん:2010/05/18(火) 10:03:43 ID:H0/vvcMA
形は現行品と同じなのにね。
コネクタの計上が違うけど。
使えるっぽい気がする。
412774ワット発電中さん:2010/05/18(火) 21:19:39 ID:CwqFK8N2
eBayではNewって文字が入ってていかにも新製品のような紹介をしてるけど

型番だって数年前のものだし、印刷の文字や作りがなんだか・・・だな

中国のお得意のニセ品じゃね〜のかw

現行品とコネクタの位置も違うし・・・
413774ワット発電中さん:2010/05/18(火) 22:05:53 ID:jrUDw78F
そら、Xilinx Platform Cable USB(HW-USB-G)と100%コンパチって書いてあるのだから
純正じゃないのは確かでしょうな。

つか、その業者が売ってる他のダウンロードケーブルの類も全て純正じゃなくコンパチ品じゃん。
414774ワット発電中さん:2010/05/18(火) 22:24:21 ID:tFW+w85e
どっかでパチつかまされたしかも動かん!って記事見たよ。
それも外観はそっくりだったみたい。
415774ワット発電中さん:2010/05/19(水) 00:37:52 ID:7LCxen3H
>>413
Xilinxのロゴを使ったりや型番を同じにするものはコンパチとは言わないんだよ!
416774ワット発電中さん:2010/05/19(水) 00:45:41 ID:zZVjNo+i
417774ワット発電中さん:2010/05/19(水) 06:51:22 ID:qwB9nF+4
>>416
>かなりもろい封印シールが2枚、上下ケースの間と裏面のねじ隠しとして貼られていました。
>ちょっと触っただけで破れるので封印の役目を果たしていないようです。

これ書いた人、ちょっと勘違いしてないか。
418774ワット発電中さん:2010/05/19(水) 11:58:19 ID:P+FRr5jM
純正品をOEMで作ってる中国メーカーが横流ししてたら100%コンパチだろうなぁ
419774ワット発電中さん:2010/05/19(水) 12:00:00 ID:P7x9SZtD
封印シールの役割って、「開封しにくくする」じゃなくて、
「開封を証明する」って事を知らないんじゃないかな。
420774ワット発電中さん:2010/05/19(水) 13:08:07 ID:xB5+CL9e
結局、あのケーブルはNGなんだろうか?
421774ワット発電中さん:2010/05/19(水) 18:18:26 ID:7LCxen3H
>>418
一般的にそういうことはコンパチとは言わずニセモノって言うんだよw
422774ワット発電中さん:2010/05/19(水) 19:08:26 ID:qwB9nF+4
>>418
カスタムICとかマイコン? その辺りを横流し利用して後は勝手に生産だろうな。
423774ワット発電中さん:2010/05/19(水) 19:23:37 ID:6JXvQJzX
5億ぐらいで、バスケットコート半分ぐらいの敷地におさまる半導体工場が
作れるようになるらしいけど、個人向けカスタムIC製造サービスとか始まらんかね。
FPGAマーケットを結構食うかも。
424774ワット発電中さん:2010/05/19(水) 19:49:30 ID:P7x9SZtD
>>423
試作は書き換え自由なFPGAでする事になるだろうから、
量産しない個人用途なら、そのままFPGA使うだろう。
425774ワット発電中さん:2010/05/19(水) 20:13:06 ID:MLgiUna8
パチモンのパチはコンパチブルのパチだったのか。
426774ワット発電中さん:2010/05/19(水) 21:38:16 ID:tRasRaX6
>>425
だとしたら結構ポジティブな言葉だな
427774ワット発電中さん:2010/05/19(水) 22:14:52 ID:+8m9eZ6k
嘘っぱちのパチだとおもてたよ。
428774ワット発電中さん:2010/05/19(水) 23:06:15 ID:qwB9nF+4
意匠を「パチった(盗んだ)モノ」でパチモン説が有力らしい。
429774ワット発電中さん:2010/05/19(水) 23:57:56 ID:xB5+CL9e
Xilinxのロゴまでしっかり付いてるけど、当のXは何も言わないんだろうか?
430774ワット発電中さん:2010/05/20(木) 00:03:58 ID:SQ3TWDHS
石そのもののパチもんが出回らないかぎりいいんじゃねーの
パチもんケーブルで石を使う可能性が増えるわけだし
431774ワット発電中さん:2010/05/20(木) 00:35:30 ID:kk7QBJoh
Trasicもあそこまで真似なくても....でも、Trasicの方が基板設計いいね。
432774ワット発電中さん:2010/05/20(木) 01:20:40 ID:iqVVTOeI
Trasic?
433774ワット発電中さん:2010/05/20(木) 10:07:32 ID:0mjOtBnM
>>430
うまく書けNeeeeee!とか石壊れたとか、売りたい石の評判落とすことになりかねないんだが。
434774ワット発電中さん:2010/05/20(木) 14:22:54 ID:weuv+pPe
435774ワット発電中さん:2010/05/20(木) 14:25:57 ID:rJ0ekrMg
当たり前では有るが、材の方がまともなつくりだな。
436774ワット発電中さん:2010/05/20(木) 16:36:37 ID:idCMdgrX
>>417 >>419
遅レスだけど、さすがに封印の目的を理解してないってのは馬鹿にしすぎじゃない?
「脆くて開封してもいないのにすぐ破れる封印は、開封を証明する用をなさない」
って記述にも読めるでしょ?
437774ワット発電中さん:2010/05/20(木) 18:52:09 ID:iqVVTOeI
>>436
本人乙
438774ワット発電中さん:2010/05/20(木) 19:02:38 ID:Tp/R/1jh
>>430
そういう考え方がX内部にあるなら、純正ケーブルをもっと安く売ると思うけど
439774ワット発電中さん:2010/05/20(木) 20:11:33 ID:weuv+pPe
>>438
まったく同意します。 なんであんなに高いの?

ISEは無料だ! よーしできたぞ。書き込むぞ。それには? あれ? 3万円?
9800円くらいになればなぁ
440774ワット発電中さん:2010/05/23(日) 10:26:08 ID:DHFlth9n
ISE11は、なんであんなに重くてGUIの反応が遅いんですか?
441774ワット発電中さん:2010/05/23(日) 10:51:44 ID:BI6eqKvU
>>439
そりゃ、

ライタ:9800円
ISE:2万円

にしたら、ソフトを違法コピーされるからでは?

ソフトにコピー防止のトンスル付けたって、ネットにパッチが流通するだけ。
まぁ、ツール開発費は、石の値段にも広く浅く、上乗せされているだろうけど。

>>423
もうちっと小型化できたら、缶コーヒーの自販機の隣に置いたり、新型の
UFOキャチャー、FPGAベンダー焼ける、なんて商売がでけるようになるね。

500円玉入れて、CDROMか、USBメモリからVerilog/VHDLのファイル読み込ま
せると完成した石が出てくる。 昔のジュースの製造機みたいに、製造工程が
「見える化」されてるといいね。

万札入れたら、10個だけテーピングされた石が出てくるとか。(w
自販機の中に、実はライタを持ったバイト君が入ってたり。

しかし、論理合成に失敗したら、レポートファイルだけ出て「はずれ」の
表示が点滅するという罠。しかも、ツールのバグでコインだけ飲まれて、
勝手にシステム再起動とか。

誰が利用するか知らないけど、間違いなくペイしないだろう。(w
442774ワット発電中さん:2010/05/23(日) 12:41:37 ID:CLgKfD1r
どっちも無料で良いきもする
FPGA本体買わなきゃいけないんだから
443774ワット発電中さん:2010/05/23(日) 13:09:26 ID:9zmpYnWN
さすがにライタ無料じゃ売るほど赤字だから、原価、人件費、流通コストくらい払ってもいいけど。
メインチップは自社の石なのになんであんなに高いんだよ
444774ワット発電中さん:2010/05/23(日) 14:13:51 ID:XhiUP7yc
>>441
ライタは、開発時にしか使われないけどなぁ。

量産だと書き込み納入とか、CPUから書き込むとかが多いはず。
445774ワット発電中さん:2010/05/23(日) 14:23:29 ID:KBoVQBkC
>>443
罪のケーブルが高いのは、あれのデバイスドライバを作ってるソフト会社が
一本いくらでライセンス料を取ってるからじゃないかと思う。
446774ワット発電中さん:2010/05/23(日) 14:37:53 ID:J1x/sQO8
>>445
純正ケーブルが高いのは、他のメーカーも同じじゃないか。
Altera も Lattice も純正は 3万以上するだろう。
447774ワット発電中さん:2010/05/23(日) 15:22:19 ID:9zmpYnWN
AlteraなんかFTDIのドライバまんまだしな
448774ワット発電中さん:2010/05/23(日) 15:25:34 ID:x8q8UVmP
>>447
そう。FTDIの石をつかったシリアル変換とかとバッティングしたなぁ。
449774ワット発電中さん:2010/05/23(日) 18:39:19 ID:3QS5sPPw
450774ワット発電中さん:2010/05/23(日) 22:07:21 ID:SqDaGWc4
Altera 純正よりも Terasic の方が評判いいな。
451774ワット発電中さん:2010/05/23(日) 23:42:21 ID:J1x/sQO8
ヒューマンのサイトに、 USB Blasterの Rev.A Rev.B Rev.C の
ほかにTerasic Blasterなんかの波形もあるんだが。

これを見ると、USB Blaster の初期の奴は結構ひどいんだよね。
452774ワット発電中さん:2010/05/24(月) 00:01:43 ID:B9qlUctu
純正よりパチもんの方が高性能www
453774ワット発電中さん:2010/05/24(月) 00:16:35 ID:TH2IAOEE
J-Writerってどうなの?
454774ワット発電中さん:2010/05/24(月) 01:02:25 ID:IShdy9bu
Byte Blaster MVじゃダメなの?
455774ワット発電中さん:2010/05/24(月) 01:38:39 ID:Kn7/ZWyE
レガシーポートがおまへん。
456774ワット発電中さん:2010/05/24(月) 22:59:31 ID:S5+Cx3EN
VirtualPC上から動かして、USB-パラレル変換で行けたかもしれない。
いや、昔のパラレルポートのキーの話だったかな;;
457774ワット発電中さん:2010/05/24(月) 23:25:45 ID:pG23A7K8
>>456
そんな話聞いたことないけど、情報ソースは何なの。
USB-パラレルは使えないというのは、見かけた気がするけど。

VirtualPCなら動く? 聞いたことないな。うーん、まさかって思うけどね。
458774ワット発電中さん:2010/05/24(月) 23:49:22 ID:ZHOYZoQJ
>>452
パチつーか、パートナー会社。
ほとんど純正と言っていい評価用ボードを出してるしな。
459774ワット発電中さん:2010/05/25(火) 00:22:38 ID:z7rplVH7
>>457
VirtualPC上でのレガシIOがAPIアクセスに変換されるとすればありえない話じゃないと思うけど。
でも遅いんじゃないかなぁ。
460774ワット発電中さん:2010/05/25(火) 00:55:40 ID:JNWngx6N
>>459
悪いけどよく分からない。 ありそうもないことを言っているようにしか思えないが。

USB-パラレル の I/Fを使うのなら、実機と同じように、UART-パラレルの
デバイス・ドライバを使うんじゃない。だとすれば、動作にたいした違いはないはず。 

もちろん、VirtaualPCが勝手にUSB-パラレルのデバイスを認識して
パラレルにエミュレートするのならあるのかもしれないが、そんなことある?
461774ワット発電中さん:2010/05/25(火) 03:04:42 ID:BrAoSTQd
VirtaualPCがプリンタポートをエミュレートすんの。0x378だっけ?レガシーに見せて。
462774ワット発電中さん:2010/05/25(火) 06:08:49 ID:JNWngx6N
>>461
もういいんじゃない。 ガセネタとしか思えない。

できもしないことについて語るのは無駄だよ。 本当にできるのなら、根拠を示そうよ。
463774ワット発電中さん:2010/05/25(火) 06:35:32 ID:H/KkMZQB
よく分からないなら、仮定の話なのに嘘を騙ってると非難するな。
464774ワット発電中さん:2010/05/25(火) 07:02:22 ID:JNWngx6N
>>463

技術の話。 結論にはできる できないの2つしかない。 

非難はしてないが。 議論に値する内容じゃないので、
いい加減にやめたらと言っているだけ。

VirtualPCに、USB-パラレルで書き込みなんて実機でもだめなのに
出来るわけがない。 普通は、というか自分はそう考える。

VirtualPCならできると考える思考が理解不能。 もちろん、本当に
できるのなら、俺の理解を超えているがね。
465774ワット発電中さん:2010/05/25(火) 12:47:41 ID:Zpmp6uX1
とりあえずWindows環境として、アプリから直接IN/OUT命令で、0x378等の
I/Oアドレスを叩いてくる場合、IN/OUTは特権命令でアプリ層ではトラップ
対象となるので、GIVEIO.SYSみたいなので、それを捕まえて、PCI接続のパラ
レルボードのアドレスに差し替えるなり、一連のUSBコマンドと応答に置換
してやれば、Virtual PCを使うまでもなく、実現できなくはないと思う。

ただ、現実にそういうドライバが既存であるかというと、聞いたことない。

玄人志向のPCIスロットに挿すパラレルボードや、同じ石を使ってる2S+1P
ボードは、たぶんアドレスがISAバスでは本来使わない領域にマップされ
ているだけで、おそらくI/Oレジスタ構成(レジスタ並びやビット配置)は
同じと思うので、互換ドライバはアドレスを変換してやるだけで済むと思う
けど、そんなドライバは付いていなかった。
466774ワット発電中さん:2010/05/25(火) 16:13:13 ID:z7rplVH7
>ただ、現実にそういうドライバが既存であるかというと、聞いたことない。
IOアドレスでのアクセスをUSBにリダイレクトするのならあるよ
http://www-user.tu-chemnitz.de/~heha/bastelecke/Rund%20um%20den%20PC/USB2LPT/index.html.en

VirtualPCなら元々全部のIOをエミュレートしてるんだから、パラレルもそういう実装になってればできるだろうね。
技術的には十分ありえる話。問題はそのような実装をしているか否かだけど。
467774ワット発電中さん:2010/05/25(火) 18:02:47 ID:JNWngx6N
PCI接続のパラレル増設の拡張ボードは、レガシー I/Fに見えるようになっているので、
(全部の製品がそうかは知らないが)、 パラレルI/F用のJTAG ケーブルを接続して
使っている人はいるらしい。 Webでも成功している人の記述があった。

増設基板によっても違うだろうし、当然、私に保証はできませんが。

もちろん、USBーパラレルを試して見るのも結構だとは思いますよ。 
人は失敗から何かを学べるかもしれませんからね。
468774ワット発電中さん:2010/05/25(火) 19:25:45 ID:z7rplVH7
>>467
その程度のことはさんざん語りつくされてる。
USB-パラレルも素の状態では使えないのは既知。

まあ問題なのは工夫して使えるようにしたとしても遅くて使い物にならないということだろう。
469774ワット発電中さん:2010/05/25(火) 19:33:21 ID:JNWngx6N
>>468
そーだと思うんだけどねー。 レスみてると、そういう人ばかりじゃないみたいだしね。
USB-パラレルでも あーすればとか、、こーすれば、とか、いつまで無駄なことを
こねくり回せば気が済むんだってね。
470774ワット発電中さん:2010/05/25(火) 19:43:29 ID:H/KkMZQB
>464
>ガセネタとしか思えない。
>できもしないことに(ry
この辺り、非難してるようにしか見えない。
ついでに、考える事を放棄しているようにしか見えない。
471774ワット発電中さん:2010/05/25(火) 19:47:47 ID:6mat3ez9
USBなんかはエミュじゃなくてスルーだから
そもそもそんなに速度の問題は出ないんだぜ?
472774ワット発電中さん:2010/05/25(火) 20:09:40 ID:g33lrBpG
考えることを放棄しては無いんじゃないかな。
こんな感じかと↓

「古株としては同じ話題をまたやるなんて許せないんだよ。分からないかな?新参はROMってろ」
473774ワット発電中さん:2010/05/25(火) 21:58:03 ID:bjvs0zPJ
まさに自称プロ、実態素人の軽石こと近藤豊広だな。
474774ワット発電中さん:2010/05/26(水) 00:55:20 ID:Wh0mxFQX
素直にパラレルポート付きのPCを導入すれば?
http://image.itmedia.co.jp/l/im/pcuser/articles/1005/19/l_si_mr6700-06.jpg
475774ワット発電中さん:2010/05/26(水) 02:17:07 ID:0T4NGkqL
軽石....FPGAインフォメーションでウザかったなぁ。突然パッタリいなくなったが。
まだどこかにいるのかねー。二度と会いたくねぇ。
476774ワット発電中さん:2010/05/26(水) 20:24:05 ID:J5qs0r2g
>>472>>475=徹底的に叩かれた初心者クンかなw 涙が浮いてるよw


・・・いや、まてよ。
いまごろ唐突に持ち出して喧伝する・・・もしやこれは高度な罠かも。
>>472>>475=名無しの本人!?

やられた orz
477774ワット発電中さん:2010/05/26(水) 22:22:20 ID:NHT4oRtP
pgr
478774ワット発電中さん:2010/05/26(水) 22:40:05 ID:EweovsNB
>徹底的に叩かれた初心者クンかな

叩くったってさ、軽石に叩くおつむないだろって。
”技術系MLでのマナー云々、ハンドル名を決めろ”に始まり、次は”ググレカス”
手に負えないとバックレor逆切れ、見るに見かねた常連が収拾に入るってのがあの掲示板のパターンだろって。
今の人はいいね。平和で。
479774ワット発電中さん:2010/05/26(水) 23:13:36 ID:o/bXh0qt
軽石ネタはほんとやめてくれ。重石(軽石の嫁)も沸くぞ。
480774ワット発電中さん:2010/06/05(土) 20:24:05 ID:G5KEHPLC
 FPGAやCPLD、プログラマブルロジックデバイスとは
ロジック素子の詰め合わせである。ユーザはIC回路のハードウェア設計と
プログラムのソフトウェア設計の2段階の設計をする。このことにより、LSIよ
りも自由度の高い製品・作品開発が可能となっている。

マイコン・ICなどの勉強をしていて、流れでFPGAまでたどり着き
立ち読みなどで情報を拾ってみたのですが、これでだいたい合ってますか?
481774ワット発電中さん:2010/06/05(土) 21:04:06 ID:caBQIBao
>>480
いろいろと間違ってる
482774ワット発電中さん:2010/06/05(土) 21:06:04 ID:etjvM6oF
つーかFPGAだからって別に無理にこのスレで聞かなくても大丈夫
ここ↓で充分夜釣りが楽しめると思う
初心者質問スレ その66
http://science6.2ch.net/test/read.cgi/denki/1271488193/
483774ワット発電中さん:2010/06/05(土) 21:41:41 ID:G5KEHPLC
>>481
レストン
そうですか・・・もう少し調べて、機会があれば触ってみようと思います
>>482
誘導あり
マルチっぽくなるし、もう一度何か読んでみてわからなければ当たってみようと思います
484774ワット発電中さん:2010/06/05(土) 22:17:34 ID:da9cs6Gh
根本的に間違ってるってわけじゃないと思うけど。
・ソフトの開発を行うとは限らない。作りたいものがハードで完結するならば。
・「FPGAのコンフィグデータはハードではなくソフトだ」と言い張る人も居る。
485774ワット発電中さん:2010/06/05(土) 22:26:52 ID:bdJvU7To
>>483
間違ってるわけじゃないけどFPGAは設計バリエーションが広いのよ。
ハード考えてHDLを書く場合もあるし、論理記述でハードを意識せずHDLを書く場合もある。
最近はGUIで回路をCADに入力する方法もある。
メリット、デメリット色々あるので、好きな手法を使えばいいよ。
マイコンとFPGAは根本的に違うからハードが分からないと理解するのは難しいかも知れんけどガンガレ。
486774ワット発電中さん:2010/06/05(土) 23:55:22 ID:G5KEHPLC
>>484>>485続けてレストンクス
なるほど、チップが物質的な土台であるハードウェアであると同時に
そのチップ自体を思うままのソフトに仕立て上げることが、PLDにおけるプログラミング・設計である
といったところでしょうか?
原始的というと何故か響きが悪いですが、プリミティブな部分に重きを置いたICなのでしょうか
そう考えれば、マイコンの「既定の物をいかに使うか」という見かたとは違いますね
HDLをキーワードに掘り下げてみようと思います
487774ワット発電中さん:2010/06/06(日) 00:12:09 ID:7OaTIjli
>>485
>最近はGUIで回路をCAD
スケマチック入力のこと? ANDとかORゲートか、74XXシリーズを置いて、
繋いで行く方法???

それは、遥か昔に戻ってるようなぁぁぁ
488774ワット発電中さん:2010/06/06(日) 00:22:00 ID:d1XHBNp1
状態遷移図のことか?
489774ワット発電中さん:2010/06/06(日) 09:22:37 ID:Zb87kcWH
ウィザード?みたいなヤツで作る機能ブロックとか?
まぁ上位設計は言語でもスケマでもいいけど。
490774ワット発電中さん:2010/06/06(日) 09:38:41 ID:Yji1zvo5
>>486
> そのチップ自体を思うままのソフトに仕立て上げる
ここでは、ソフトではなくて機能、というべきだろう。


また、コンフィギュレーションデータのことをソフトと表現するのは、
例えば回路図CADのデータのことを、回路図CADの回路図データ(ソフトウェアデータ)と
表現しているのと同じだろう。
つまり、FPGAの配置配線情報(ハード)をコンフィギュレーションデータ(ソフト)として
表現しているだけ。>487
FPGAのスケマといったら、FPGAベンダツールでのスケマ設計だろう。
あと、74**をつなぐのが昔?現役だし、これからもしばらくは使われるとしか思えないけどな。。
491774ワット発電中さん:2010/06/06(日) 09:39:25 ID:Yji1zvo5
改行が変になった。orz
492774ワット発電中さん:2010/06/06(日) 14:11:14 ID:P3uJoaJr
何年やっても、HDL設計はソフトウェア設計だと思うよ。
493774ワット発電中さん:2010/06/06(日) 19:58:52 ID:eQ3/E49j
そうだねそうにちがいないいやぜったいにそうだとも
494774ワット発電中さん:2010/06/06(日) 20:47:32 ID:BtNh0Elu
俺はロジック設計の経験が長いから、HDLで設計をやってると、
回路設計以外の何物でも無いとしか思えないが、
アナログ屋から見ると、HDLはソフトに見えるみたいだね。
495774ワット発電中さん:2010/06/06(日) 22:48:34 ID:o8OSCjS/
だって、ハンダゴテ、オシロ、ラッピング線、切り傷、火傷しながらデバッグ、
これこそハード設計。

PC 1つがあれば、ホテルでも仕事できるよ。俺って天才!
なんていいながら、仕事するのはソフトウェア設計に他ならない。
496774ワット発電中さん:2010/06/06(日) 23:58:28 ID:ZB7S912+
>>495
それって設計じゃなくて製作・実機試験じゃないのか。
回路設計・シミュレーション・基板設計・筐体設計と設計の名の付くものはみんなPC上での作業じゃね?
497774ワット発電中さん:2010/06/07(月) 00:27:31 ID:VMRnhV0U
俺は純粋なソフトとロジック、アナログ回路とやってるけど、
HDLはかなりソフト色が濃いと思う。

例えばリセット信号が解除されてから3クロック後に2クロック期間だけHを出力する回路を考える。
ロジック石だと8ビットくらいのシフトレジスタを使い、
3クロック目と5クロック目の信号でFFを駆動してXORで出力、とか考える。
一方のHDLだとカウンタを作り、カウント処理の中で条件比較するだけで済んでしまう。
もっと複雑なタイミングチャートなら、ロジックだとより複雑な回路になるけど
HDLだと条件式こそ増えるものの複雑さは大きく変わらない。
つまり、開発作業としてはソフトなんだよね。
もちろんHDLでもロジック石と同じ設計は出来るし、そういう手法ならハードに見えるのかもしれない。
けど、そういう設計技法は生産性の無駄だから、普通はやらない。
498774ワット発電中さん:2010/06/07(月) 00:29:09 ID:QnLhHWnF
>>496
チミは、ハードデバッグしたことないね。
PC上で、文字修正だけで変更する設計は、もはやソフトウェアと言うほかあるまいて。
499485:2010/06/07(月) 00:41:27 ID:KytxZvoZ
>>487
スケマ入力の事ね。
分かりやすい様にCADと表現しただけだよ。

HDLもゲートレベルから論理レベル、ビヘイビアモデルまで表現方法は様々だからソフトなのかハードなのかはとらえ方しだいだと思うよ。
ゲートレベルはハードが浮かばないと絶対に書けないし、RTLならあまり回路の中身を意識せず機能だけが理解出来れば取りあえず書ける(ここ辺はソフト的)
ビヘイビアモデルは中身すらないし。
様はどういうレベルで設計するかだけの話で実現方法はどうでもいい。
電気屋がRTLレベルで設計する場合ある程度ハードを理解して設計するし、ソフト屋が書くときはほとんど合成後どういう回路になるかは意識してないことが多い。
どちらも間違いじゃないし、作る物の回路規模によっては効率も変わってくる。

>>495
パソコンで作業するのがソフトというのは乱暴だと思うよ。
半導体設計なんてUNIX or PCでしか設計出来ないしね。

>>496
設計検証や試作等も設計の一部であると言えるので、試作機の制作やブレッドボード上の評価、検証は設計じゃないと言い切るのも乱暴だと思う。
500774ワット発電中さん:2010/06/07(月) 00:54:36 ID:DM1VDvAK
ってかハード、ソフトどっちだあっちだって繰り返されるのはなんでなんだろ?
501774ワット発電中さん:2010/06/07(月) 00:58:30 ID:2VLKDo+S
どんな回路になるかイメージせずに書いてた頃のHDLはひどかった。
今は、手こそキーボード打ってるだけだけど、頭の中では回路設計を同時にやってる感じ。
頭の中に回路のイメージがあるから、合成後の回路を見て異変に気づきやすい。
502774ワット発電中さん:2010/06/07(月) 01:16:12 ID:KytxZvoZ
>>501
まぁ、それが理想だね。
合成後の回路を見るというより、シミュレーションでデバッグするときにハードが意識出来ないと出来ないこともあるし。
ただ、大規模な設計に携わると全部がそう出来るかと言われれば無理なんだよね。
まぁ、論理合成ツールガンガン論理圧縮するからインクリメンタルマッピング後の経路見てもじっくり見ないと分からないこと多いんだけど。
まぁここ辺はTPOに合わせた設計手法を取ればいいと思う。
503774ワット発電中さん:2010/06/07(月) 01:21:58 ID:S4c5meE7
パソコン坊やが、プラモデルより簡単な組み立て作業を「自作した」とか言い張るのと同じだな。
Hard-ware Description Language つまり、言語に過ぎないから、予め決まったロジック(FPGA)用に、コンパイル出来る言語を記述する作業は
マイコン用にプログラムをコンパイルする作業となんら変わりない。言語がCかPHPかHDLかだけの話。
504774ワット発電中さん:2010/06/07(月) 02:03:21 ID:A+UjrN4T
個人的には最終生成物がハード情報かソフト情報かで決まるものであって、
最終生成物がハード情報で、途中の作業がソフトと似ているからといって
それをソフトっていうのは違うという認識。

途中の作業がソフトと似ているものはソフトというなら、
CADソフトつかってボード設計するのもソフトというのかなぁ。
それとも言語だけをソフト的といっている?
505774ワット発電中さん:2010/06/07(月) 02:11:42 ID:QnLhHWnF

話は逸れますが、マザーボード選んで、ケース選んで・・・・みたいな
パソコン組み立て(自作とは言いたくない)って、今でもやれますか?

条件はこんな感じです。

1) 私は、今まで一度も組み立てた経験がない
2) デスクトップ機 (当然ですね)
3) 目的は、ISE11を「ど速く」動かすこと
4) Core i7 (64bit)
5) Windows7
6) HDDは、7200rpm
7) 7200rpmのHDDより、ISEが速くなるなら、SSDの使用も検討
8) かかった費用をメーカー品と比べるつもりはない。(メーカー品が安いに決まってる)
9) その他、メモリ8GB〜16GBくらい?、LANカード、サウンドカードなど
10) 15万円くらいでできないでしょうか。←実勢価格を全く知らないです。
506774ワット発電中さん:2010/06/07(月) 02:21:31 ID:2VLKDo+S
10万もいらんくね?
容量いらないならSSDおすすめ。
507774ワット発電中さん:2010/06/07(月) 02:32:25 ID:QnLhHWnF
ありがとう。10万円程度で出来そうですか。

SSDって、
・7200rpm HDDより速い?
・容量が128GBとかもあるみたいだけど、
 容量が大きいとアクセス?が遅くなるようなことも聞いたけど、
 実のところはどうなのか

CPUって、i7でも856とか920とか番号があるけど、
・数字の大きい物の方が速い?
・はたして その数字の違いでISEの高速化に変化が出るものなのか

など、どうでしょう。時間があったら、また教えてください。

真面目に1度作ってみようかな。
508774ワット発電中さん:2010/06/07(月) 07:38:40 ID:S4c5meE7
>>504
が主張する「最終生成物」とやらによって、現実に出来上がるハードの配線幅やトランジスタのゲート長等が変更されたマスクが生成されて
まったく別のLSIが出来上がるならそれはハードの設計。
予めハードの設計が済んだLSIにソフトを入れるだけで動作を変えるレベルは所詮ソフト。
>>504がいくら徹夜してがんばっても設計ルールが微細化する訳じゃ無い。
509774ワット発電中さん:2010/06/07(月) 08:18:16 ID:2VLKDo+S
>>507
ネタじゃないのかw いいかげん自作PC板で聞けよw
510774ワット発電中さん:2010/06/07(月) 09:44:01 ID:DPswxxE1
>>497
概ね同意だけど
HDLって結局いわゆる普通のソフトで言うループとかAPIとかオブジェクト指向とかって無い訳で
HDLをソフトって言ってしまうものどうかと思う
511774ワット発電中さん:2010/06/07(月) 09:49:54 ID:ZtvsoIbW
>>510
思いっきりオブジェクト指向じゃね?
動的にオブジェクトが出たり消えたりはしにくいですが(w



出来るのも有るという話だが....
512774ワット発電中さん:2010/06/07(月) 10:13:47 ID:S4c5meE7
>>510
> HDLって結局いわゆる普通のソフトで言うループとかAPIとかオブジェクト指向とかって無い訳で

その辺はコンパイラの作りによるだけの話だからねぇ
アセンブラでさえ、昔ながらのシンプルなものからオブジェクト指向をうたった物まであるし。
513774ワット発電中さん:2010/06/07(月) 10:14:35 ID:DPswxxE1
>>511
構造化されてはいるがオブジェクト指向じゃない
514774ワット発電中さん:2010/06/07(月) 10:37:32 ID:S4c5meE7
>>513
オブジェクト指向だから云々なんてナンセンス。
ソフト屋のセンスだな。

ちょっと古いが
>「Cynthesizer」によってC++の言語機能に対応したオブジェクト指向のハード設計を実現している。
ttp://d.hatena.ne.jp/KARMA-EDA/20071106
515774ワット発電中さん:2010/06/07(月) 12:33:29 ID:2VLKDo+S
オブジェクト指向のハード設計って言われると、
必要なときに必要なモジュールをnewでインスタンス化して、いらなくなったらdeleteできそうな気がしちゃう
516774ワット発電中さん:2010/06/07(月) 13:57:23 ID:qaEDBqER
最近FPGA設計からずいぶんご無沙汰しています。また使う事になりそうなのです。

mpeg2デコーダ(SD、480i程度)を組み込む場合どの程度の容量のFPGAが必要でしょうか。
またipはXILINXやALTERAであるのでしょうか?

お願いします。
517イザベラ*アイリス:2010/06/07(月) 18:24:35 ID:Oip0qjpn
****************************
****************************
****************************
http://sakutuu.ddo.jp/sakura2/sanka.asp
さくら通信で俺は名古屋の人と交渉する
情報を無料であげよう
しかし私は夜の6時から11時の間だ
ほぼ毎日出現している
私ができるのは

無線と増幅とCPUとVHDLなどのデジタル工学だ
分かりやすく簡単に説明する

名古屋の人はイザベラ*アイリスの情報で
電車の中でマーケティングしてくれ
****************************
****************************
****************************
518774ワット発電中さん:2010/06/07(月) 22:04:03 ID:DPswxxE1
成せば成る成さねば成らぬ何事も成らぬは人の成さぬ成りけり
519774ワット発電中さん:2010/06/07(月) 22:13:16 ID:w1w2sFpl
XC9572XLで電源投入時に確実にHigh(電源電圧トラッキング)にするには
Vccintをさきに立ち上げた後で、VccioいれればOKですか?
520774ワット発電中さん:2010/06/07(月) 22:25:25 ID:KytxZvoZ
ID:S4c5meE7はJDL自体を知らないとしか思えない書き込みしかしてないな。
しかも微細化とかプロセスの話を持ち出してる時点で半導体自体も分かってない。
あまり相手にしない方がいいみたい。
521774ワット発電中さん:2010/06/08(火) 00:43:51 ID:L6/E1J1H
HDLは、Hard-ware Description Languageなんだから、
HDLというLanguageでDescriptionしようとしている物はHard-ware
522774ワット発電中さん:2010/06/08(火) 01:02:16 ID:TlS1eKda
HDLとRTLって、何が違うの?
523774ワット発電中さん:2010/06/08(火) 02:08:44 ID:UVrS3bPJ
>ID:S4c5meE7

FPGAコミュを追われ、PICコミュでは嫌われ、H.MORIさんには足引っ張る呼ばわれされ。
軽石さん、ほんと初心者嗅ぎ付ける嗅覚だけはすごいですね。
でも、他にコンプレックス解消の方法考えましょうよ。
高校で就職浪人とかいろいろ苦労があったとは思いますが。
(要約:とっとと失せろハゲ)
524774ワット発電中さん:2010/06/08(火) 02:25:21 ID:56iNj2M0
>>520
しったか哀れ。

>>521
成果物は1/0のデーター列に過ぎず、その成果物が出来合いのロジックの塊の入力となるだけの話。
例えば、ROMのアドレスにカウンタがつながり、データーバスが出力されている1チップのLSIを考えると
ROMデータを書き換えるだけで、クロックを入れるとデータバスにサイン波に相当する出力を得ることも出来るが
配線やトランジスタの位置や設計ルールが変化する訳じゃ無い。FPGAにしても、配線をスイッチで切り替えて自由度を増してるだけで
物理的に変化する訳じゃない。

所詮、サイン波をノコギリ波や矩形波に変更するのと同じ次元の作業に過ぎない。
525774ワット発電中さん:2010/06/08(火) 02:29:16 ID:UVrS3bPJ
>524

今日はハローワークのあと病院でしょ。もう寝なさい。
526774ワット発電中さん:2010/06/08(火) 03:11:10 ID:V7B+APd/
>>521
HDL はなにかって話だから Language 。.. Language である以上 Software
527774ワット発電中さん:2010/06/08(火) 03:12:34 ID:56iNj2M0
悔しそうだね。まあ、勘違いする事も大切だからくよくよするなよ。
528774ワット発電中さん:2010/06/08(火) 03:13:27 ID:56iNj2M0
>>527>>525へのレス
529774ワット発電中さん:2010/06/08(火) 05:26:43 ID:DzC/noBi
差異は心の中にある。
530774ワット発電中さん:2010/06/08(火) 06:47:36 ID:BPeOp5FK
さいでっか
531774ワット発電中さん:2010/06/08(火) 09:45:17 ID:5F8olxyr
ヒカルの語か。
532774ワット発電中さん:2010/06/08(火) 10:41:25 ID:Ub70Qzcl
>>526
やはりそうですよね。納得しました。
533イザベラ*アイリス:2010/06/08(火) 16:42:00 ID:K4eq41S7
****************************
****************************
****************************
http://sakutuu.ddo.jp/sakura2/sanka.asp
さくら通信で俺は名古屋の人と交渉する
情報を無料であげよう
しかし私は夜の6時から11時の間だ
ほぼ毎日出現している
私ができるのは

無線と増幅とCPUとVHDLなどのデジタル工学だ
分かりやすく簡単に説明する
http://sakutuu.ddo.jp/sakura2/s/profile/p.asp?l=001187
****************************
****************************
****************************
534774ワット発電中さん:2010/06/08(火) 19:42:34 ID:kNVg83JE
>>526
言語=ソフトという基準が間違ってる。
しかもLangusgeという単語だけをとらえる所が低学歴っぽいね。
Hardware Design Languageつまりハードを設計するための言語。
もう少し分かりやすく言えば、ハードをHDLという言語で設計してるに過ぎない。
535774ワット発電中さん:2010/06/08(火) 19:59:23 ID:aN/0s+cM
>>534
TYPOが多すぎですよ。
536774ワット発電中さん:2010/06/08(火) 21:27:39 ID:kJX7NAF8
>ハードをHDLという言語で設計
......
537774ワット発電中さん:2010/06/08(火) 22:12:19 ID:Lg5EXDgw
何を以ってプログラムと呼び、何を以って言語と呼ぶのか、まず定義づけ
から始めることだな。

結局のところ、ROMから読み出すのが、ステートマシンを動かすプログラ
ミングデータか、ゲート回路の接続を設定するヒューズデータでワイヤー
ドロジックを構成するかって違いだけで、本質的に変わらないと思う。

VerilogやHDLという名前を付けて「言語」と呼んでいるのは人間であって、
動物が吠えているのも、人間が理解できないだけで言語かもしれない。
538774ワット発電中さん:2010/06/08(火) 22:19:18 ID:L6/E1J1H
ある業界の話に絞って言うと、
電子部品をある目的のために機能する形に配置、結合した実体がHard-ware。
Hardware内部で電気信号が継時的に如何な挙動をすべきかを定義した情報がSoft-ware。

HDLの当初目的はHard-wareを設計するためのものだったが、
その発達と共に、HDLを記述する作業は「仕様書の作成」へと向かっている。
仕様書の具現化は、Hard-ware/Soft-wareの両方を駆使して最適に行われるのが理想だが、
現時点ではまだ、HDLで記述された仕様はHard-wareだけで具現化されることが多い。
539774ワット発電中さん:2010/06/08(火) 23:33:26 ID:E/NIcbih
現実はどの部署のどんな人種が扱ってるかでしょ。
長文の人、早く社会復帰しないと戻れなくなるよ。
540774ワット発電中さん:2010/06/09(水) 00:10:48 ID:d6hY5Iys
HDLをソフトだと主張してる人はソフトだと言ってればいいんじゃね?
それで恥ずかしい思いするのは本人なんだから。
仕事で少しでもVerilogやVHDLに携わってるなら猶更。
書き込みを見てるとどう見てもプロではないけど。
541774ワット発電中さん:2010/06/09(水) 00:30:33 ID:nbQ/lOcv
まあ重電分野からみれば、デジタル回路とかほとんどソフトウェアっぽく見えるよね。

>>521
行の切れ目でもないのに単語の途中でハイフン入れる意味って何?>Hard-ware
542774ワット発電中さん:2010/06/09(水) 00:52:07 ID:NeUNfDsB
>>541

521です。
それはつまり、”Hard-ware Description Language”のつづりが判らなかったので、
>>503から引用こぴぺさせてもらったのがきっかけで、その後すっかり習慣になってしまった。
543774ワット発電中さん:2010/06/09(水) 01:47:25 ID:BRHYKv2A
>>540
>それで恥ずかしい思いするのは本人なんだから。
いや、それが全く恥ずかしくないんだな。
周りのみんなも「俺もそう思う」って言うんだ。

ロジアナどころかICの1つも手で触らずに、プログラムだけ変更して、
「俺、ハード設計やってんだ」というのに、たまらなく違和感があるんだってね。

一部のプロ?は、Model Sim + Chip scope のみで確認して、メール添付で納品なんだって。
まあ1発で動作するんだろうけど、正しい動作を見届けるまでが仕事ではないか、というのが一致した見解ね。
544774ワット発電中さん:2010/06/09(水) 01:55:52 ID:nbQ/lOcv
ソフトだったら、バグがあって当たり前の世界なんだから、そんな一発動作なんて期待されても困るぜ。

フッ素とか珪酸とかに触れずに半導体で仕事しているなんていったら、鼻で笑われるわけですね。
545774ワット発電中さん:2010/06/09(水) 03:09:02 ID:530eI6/k
んま、半田付けできないハード屋なんて時代もあったけど、オシロも使わないでノイズが、ジッタがってクレームつけてくる輩が普通の時代だからなぁ。
546774ワット発電中さん:2010/06/09(水) 03:12:27 ID:530eI6/k
カラーコードってなに?だよねん(涙
547774ワット発電中さん:2010/06/09(水) 06:34:47 ID:GRwj8Yrt
>>546
もう15年ほど前になるけど、取引先の大きなメーカーの小さな保守現場に
1/4W型の普通の炭素皮膜抵抗を入れたパーツストッカーがあったけど、誰も
カラーコードを読めないの。新品は箱から出してそのまま棚に入れるらしい。
「もし違う棚に入っていたら問題だから、カラーコードは読めた方がいい」
と言ったけど、そこの主任は「正しい棚に入っていないとしたらそのこと
自体が問題で、改善すべき」と主張していた。勝手にすればと思ったw
548774ワット発電中さん:2010/06/09(水) 06:48:29 ID:Q0rgk3yy
>>543
Flip Flopの最大入力周波数が25MHzくらいで、入力のインピーダンスが低く
て電流が流れるLS-TTLを両面基板に並べて、15MHzや20MHzクロックで動かして
いた昔と違って、FPGAやCPLDは実際に使われる条件に比べて、チップ自体の
マージンが大きいから、多少タイミング設計に不具合があってもデバイスの
マージン内で動いてしまう。

FPGAやCPLDに限らず、PICやAVRといったマイコンなどを含めて、入出力に
保護回路が付いているから、多少の過電圧が印加されても壊れないし、
消費電力も減っているので、電源廻りの配線がいい加減でも動いてしまう。

問題があっても、露見して認識されない限り、問題にはされない。
549774ワット発電中さん:2010/06/09(水) 07:00:47 ID:T2h65ZSg
そもそも、HDL弄ってるだけで「ハードの設計やってるんだぜい」って奴らは過電圧とかパスコンなんて無縁
550774ワット発電中さん:2010/06/09(水) 09:38:11 ID:BRHYKv2A
>>547
某巨大自動車メーカみたいだね。頭のいい人が多いから。

>>549
>過電圧とかパスコンなんて無縁
それって、正真正銘の「ソフト屋さん」じゃん。だからHDL書きはソフト屋だと思う。
551774ワット発電中さん:2010/06/09(水) 09:55:08 ID:Q0rgk3yy
一絡げにソフト屋と言うのは失礼だろ。本人は高級言語を操って最先端の
仕事をしているつもりだけど、コンパイルしてみないとどう並ぶか判らない
ヒューズの並びを決めているコーダーに過ぎないよ。

            ____
         / \  /\  寸分の狂いなく刺身の上にタンポポを並べる方が難しい。キリッ
.       / (ー)  (ー)\
      /   ⌒(__人__)⌒ \
      |      |r┬-|    |    これはタンポポじゃなくて菊だろ?
       \     `ー'´   /
      ノ            \
    /´               ヽ
   |    l              \
   ヽ    -一''''''"~~``'ー--、   -一'''''''ー-、.
    ヽ ____(⌒)(⌒)⌒) )  (⌒_(⌒)⌒)⌒))
552イザベラ*アイリス:2010/06/09(水) 12:31:44 ID:eQU+Bfhp
****************************
****************************
****************************
http://sakutuu.ddo.jp/sakura2/sanka.asp
さくら通信で俺は名古屋の人と交渉する
情報を無料であげよう
しかし私は夜の6時から11時の間だ
ほぼ毎日出現している
私ができるのは

無線と増幅とCPUとVHDLなどのデジタル工学だ
分かりやすく簡単に説明する
質問は本や資料を読みながら簡単に説明します
しかし英語だと読む時間が遅いです
http://sakutuu.ddo.jp/sakura2/s/profile/p.asp?l=001187
****************************
****************************
****************************
553774ワット発電中さん:2010/06/09(水) 12:35:49 ID:6y2/0qFa
>>550
> >過電圧とかパスコンなんて無縁
> それって、正真正銘の「ソフト屋さん」じゃん。
この論理がまったく理解できないわ。
「過電圧とかパスコンなんて無縁」なハードウェア屋は山ほどいるだろ。
ボード設計者だけをハードウェア屋と思っているのか?
554イザベラ*アイリス:2010/06/09(水) 12:37:35 ID:eQU+Bfhp
****************************
****************************
****************************
http://sakutuu.ddo.jp/sakura2/sanka.asp
さくら通信で私は名古屋の人と交渉しようと思う
情報を無料であげます
しかし私は夜の6時から11時の間です
ほぼ毎日出現している
私ができるのは

TRやOPAMPなどの無線と増幅とCPUアーキテクチャー
VHDLなどのデジタル工学と電子工学の全て
数学など

分かりやすく簡単に説明する
質問は本や資料を読みながら簡単に説明します
しかし英語だと読む時間が遅いです
http://sakutuu.ddo.jp/sakura2/s/profile/p.asp?l=001187
****************************
****************************
****************************
555774ワット発電中さん:2010/06/09(水) 12:38:23 ID:M9pqx8QI
>>553
>ボード設計者だけをハードウェア屋と思っているのか?
いえいえ、とんでもない。

過電圧とかパスコンに無縁なのは「ソフト屋さん」と思うだけよ。
556774ワット発電中さん:2010/06/09(水) 13:04:18 ID:G7lrAfbz
>>555
過電圧とかパスコンとか自分には無縁だと思ってるソフト屋さんは、
ハード屋さんにだまされてデスマーチするだけなんだよね。
557イザベラ*アイリス:2010/06/09(水) 13:11:17 ID:eQU+Bfhp
****************************
****************************
****************************
http://sakutuu.ddo.jp/sakura2/sanka.asp
さくら通信で私は名古屋の人と交渉しようと思う
情報を無料であげます
しかし私は夜の6時から11時の間です
ほぼ毎日出現している
私ができるのは

TRやOPAMPなどの無線と増幅とCPUアーキテクチャー
VHDLなどのデジタル工学と電子工学の全て
数学など

分かりやすく簡単に説明する
質問は本や資料を読みながら簡単に説明します
しかし英語だと読む時間が遅いです
http://sakutuu.ddo.jp/sakura2/s/profile/p.asp?l=001187

C++ X86 GCC NASM LINUX CYGWIN  COMMAND PROMPT
Z80 H8 JAVASCRIPT WIN32APIができる

Z80でC言語やBASICコンピュータが作れる

GCCで高速BASICが作れる
回路CADなども規格表だけで作れる
****************************
****************************
****************************
558774ワット発電中さん:2010/06/09(水) 13:15:51 ID:Q0rgk3yy
>ボード設計者だけをハードウェア屋と思っているのか?

入力MOFFETゲート酸化膜の耐圧がどうのとか、保護ダイオードの漏れ電流が
どうのとか、スレッショルド電圧レベルがどうとか、「ソフト屋さん」には
無関係だよね。

>>556
> 過電圧とかパスコンとか自分には無縁だと思ってるソフト屋さんは、
> ハード屋さんにだまされてデスマーチするだけなんだよね。

ノイズの問題とか、基板設計に問題があるとか、濡れ衣着せられ、挙句徹夜
させられたハード屋が、『オイ、オマエHDLのソース晒せや。ゴルァ』と。
んで、見たら外部の非同期入力に同期F/Fが入ってなくて...

トカの方がありがちな気が。
559774ワット発電中さん:2010/06/09(水) 13:50:00 ID:6y2/0qFa
>>555
> 過電圧とかパスコンに無縁なのは「ソフト屋さん」と思うだけよ。
この論理で言うと、人事部門や営業部門はソフト屋?
或いは、機構屋さんや、ボード設計と一緒にHDLも書くハード屋さんはどっち?

もしかして、いわゆるソフト屋さんにHDLの仕事を担当させているだけ、ということか?
だとしたらパスコン云々の前に、非同期FIFOとか理解できてるのかなぁ。
560774ワット発電中さん:2010/06/09(水) 14:09:15 ID:b4icCuDc
FIFOは、フィーフォと呼びます
561774ワット発電中さん:2010/06/09(水) 16:22:10 ID:w+PnIgk1
正確には ファイフォー なんだけど、略語の場合適当に呼んでいる人が
多いから、フィーフォ と言わないと分からない人もいると思うよ。

自分の場合、フィーフォ って言われたら、しばらく考え込んで
"ファイフォーの事ねって言いそうだな。

FIFOはちょっとした辞書なら載っているんで、発音記号見れば分かりますよ。
562774ワット発電中さん:2010/06/09(水) 16:31:43 ID:+7IlKxoG
軽いしみたいに、dongle:トングル google:ゴーグル banner:バーナーてのもいるしw。
563774ワット発電中さん:2010/06/09(水) 19:50:03 ID:M9pqx8QI
FIFOは、ファイフォでしょ。
564774ワット発電中さん:2010/06/09(水) 19:59:34 ID:d6hY5Iys
FIFOはファーストインファーストアウトの略だからファイフォが正解。
HDLがソフト屋と言ってる奴は半導体はソフト屋が設計してると思ってるんだろうな。
しかもHDLとボード設計をごっちゃにしようと必死だし。
こういう奴に限ってパスコンは適当に0.1uFを突っ込むだけで理解してない奴がほとんど。
TrやOPAMPなんて理解すらしてない。
565774ワット発電中さん:2010/06/09(水) 20:03:31 ID:i9R/rXnB
> FIFOはファーストインファーストアウトの略だからファイフォが正解。
略した時点で正解も糞もないわけだがw
566774ワット発電中さん:2010/06/09(水) 20:20:18 ID:ewQFHZol
俺はフィファっていってるw
567774ワット発電中さん:2010/06/09(水) 20:22:55 ID:w+PnIgk1
慣行上 日本語表記は ファイフォが 正解だろうけど、 発音するときに
ファイフォだと違和感ありありなんで、あえて 伸ばしたんだけどね。

自分は、話すときには"ファイフォー" って言うな。

>>565 の言っているほうが正解でしょうな。 発音記号は正確に書けないけど
敢えて書けば [faifou] っていう発音だから。  
568774ワット発電中さん:2010/06/09(水) 20:47:42 ID:a9UVkKuS
>「過電圧とかパスコンなんて無縁」なハードウェア屋は山ほどいるだろ。

 それは、困ったw
569774ワット発電中さん:2010/06/09(水) 21:32:59 ID:5e4njzNJ
FIFAはなんでファイファじゃないんだろね
570774ワット発電中さん:2010/06/09(水) 21:48:45 ID:U1rtsLMU
パターン描いてspiceかけれてハード屋と思うのは間違い?
571774ワット発電中さん:2010/06/09(水) 22:12:58 ID:pjFOGSJL
>>569
FIFAはふぃふぁ、Euだから
ISOをいそと呼ぶEuropa、あいそと呼ぶUSA
お前は青島をなんて呼ぶ、ちんたおと呼ぶか?それともあおしま
572774ワット発電中さん:2010/06/09(水) 23:02:42 ID:g8X45aJt
パスコンにフィルムコンデンサ使うのは?
573774ワット発電中さん:2010/06/09(水) 23:13:22 ID:FH/9X3j/
ダメ、とたしかトランジスタのバイブルに書いてあったはず。
詳しくは忘れた。
574774ワット発電中さん:2010/06/10(木) 00:07:14 ID:S+0H4Z5o
外人がGaAsをぎゃーすと読んでいてわろた。国籍は知らない。青島、チンタオは会話の流れで判断。
575774ワット発電中さん:2010/06/10(木) 00:12:41 ID:S+0H4Z5o
>572

巻物のなので周波数特性が良くない。
デカくて高くてもったいない。
今となっては貴重品。
http://www.kaijin-musen.jp/52.html
576774ワット発電中さん:2010/06/10(木) 01:56:25 ID:bejOhCJN
>>571
じゃあ、EUではFIFOをフィフォと言ってるのかな。

>>575
フィルムも面実装になってきてるけどね
http://industrial.panasonic.com/www-ctlg/ctlgj/qABD0000_JP.html

それにしてもニッセイ電機の倒産は残念。
577774ワット発電中さん:2010/06/10(木) 02:05:38 ID:bQBugALy
>>576
>フィルムも面実装になってきてるけどね
これがハンダ付けの熱に弱いので、取り外して移動とか再使用はできない。
取り外すときの熱で、溶けてしまう。
しかも高いんだ、これ。RSで見ると目の玉飛び出る。
578774ワット発電中さん:2010/06/10(木) 10:09:45 ID:vzPsNYMo
FIFO は フィーフォー だろ常考
579774ワット発電中さん:2010/06/10(木) 12:07:03 ID:KKFTytjW
>>578
FIFOは、英和辞典にも普通に載っている単語ですよ。
つづりを見て フィーフォー って発音する人も多いんでしょうが。

ファイフォーって言う人は、多分 ちゃんと勉強している人だと思いますよ。
常識を知らないんだと、勘違いをしないであげて下さいね。
580774ワット発電中さん:2010/06/10(木) 12:46:46 ID:bejOhCJN
UFOはユーフォーじゃなくてユー・エフ・オーだろ
581774ワット発電中さん:2010/06/10(木) 12:48:20 ID:0QK/fRqJ
>>577
RSは、割かしエクスペンシブ
582774ワット発電中さん:2010/06/10(木) 15:16:45 ID:qoUpnm0y
学がないアメリカ人の米語読みだろ > ふぁいふぉ
通じるから問題ないけど
583774ワット発電中さん:2010/06/10(木) 17:48:13 ID:+T5sZX+/
>>582
じゃあ、何と読むのが学のある人の読み方なんだ。
584774ワット発電中さん:2010/06/10(木) 18:40:14 ID:8vwh5/QI
気になって辞書見たらファイフォウだった。
585774ワット発電中さん:2010/06/10(木) 20:05:41 ID:KKFTytjW
>>582
>学がないアメリカ人の米語読みだろ > ふぁいふぉ

英和辞典も形無しですね。記載してある発音が学がないアメリカ人の読みとは。

...だろ という言い方は、根拠のない推測と判断しましたが、よろしいでしょうか。

FIFOの読み方は、結構続くね。 それだけ間違った呼び方を正しいと信じている
人が多いってことかな。 そういうのに限って、調べもせずに自信満々で書き込む。
しかも、調べないから、いつまでも間違いに気づかない。

間違っているのが大多数なら、それは正しい呼び方で通用はするんだが、
まともな技術者なら正しい呼び方を知っていて欲しいよね。
586774ワット発電中さん:2010/06/10(木) 22:55:21 ID:vobEj1tR
単語の最後を延ばすのは素人。
587774ワット発電中さん:2010/06/10(木) 23:15:24 ID:h5wCl83f
>>574
他の組成のも是非読んでもらってきて。
588774ワット発電中さん:2010/06/10(木) 23:17:56 ID:tJ8XjJgk
しかし、軽石のカニ光線と女工ICは笑ったよ。勘違いの領域じゃないだろ。
秋田ってどんな教育してんだ?
589774ワット発電中さん:2010/06/10(木) 23:28:57 ID:KKFTytjW
>>586
表記上 伸ばさないのを規定しているのは知っているけど発音とは違うからね。 

その程度のことで お手軽に優越感に浸れるのはお得ですな。
590774ワット発電中さん:2010/06/11(金) 00:40:24 ID:EIO+rm61
表記通りに発音できない素人が来ましたw
591774ワット発電中さん:2010/06/11(金) 00:58:53 ID:yLVVmhzo
>>590
やれやれ、よほど素人ということを書きたいようですね。 
その程度のことで、そんなにうれしいんですか。安上がりですね。
592774ワット発電中さん:2010/06/11(金) 01:12:17 ID:mrpNxVmV
>>発音厨

そんなに英語が出来るんなら、
ここへの書き込みも全部英文にすれば?

てゆうか、2chなんかに来ている時点で
「大したことない奴」って気がするが。

本当に優秀な奴は欧米のエグゼクティブ(w)との
会話に忙しくて、こんなところに書き込みしてるヒマないだろ。
593774ワット発電中さん:2010/06/11(金) 06:46:35 ID:yLVVmhzo
>>592
やれやれ、何的外れなこと 言っているのやら。

単語の最後を伸ばさないなんて、誰でも知っていること。 その程度のことで
うれしそうに素人って書き込む君は 自分自身を ずいぶん 「大した奴」って
思っているんだろうね。

こんな小さなことしか指摘できないっていうのは、よほど知識のストックが
小さいんじゃないかと、逆に心配しちゃうよ。

人のことより、自分の小ささを よく理解したほうが良いんじゃない。
594774ワット発電中さん:2010/06/11(金) 08:01:52 ID:qpKkGwRs
つーか欧州において「英語」は西の辺境地の野蛮人の言葉なんだって
日本の西洋語教育だって英語一辺倒になったのも第二次大戦後だよ
仏語 独語 西語 露語は英語と同じくらいの重要度で教えられてた。

グローバルでみればスペイン語が母国語の領域の方が英語より全然広いんだぜ?
595774ワット発電中さん:2010/06/11(金) 10:00:48 ID:TjZQ2/4p
13億人もいて、経済発展目覚しい中国語をどうぞ。
596774ワット発電中さん:2010/06/11(金) 11:10:30 ID:QMBhKDKt
パイポと読むのは朝鮮人。
最初なんのことか分からなかった。 orz
597774ワット発電中さん:2010/06/11(金) 11:35:32 ID:wJGIoRMS
このスレって、HDLはソフトかハードかの話題とかFIFOの発音とかどうでもいいことばっかだな。
かといって他に話題にすることもないか。
598774ワット発電中さん:2010/06/11(金) 11:55:59 ID:YA3Ck5j+
いつもどうでもいい話題が来ると急に伸びる
599774ワット発電中さん:2010/06/11(金) 19:35:10 ID:2MaIGS55
だって4社しかないんだし。
600774ワット発電中さん:2010/06/11(金) 19:56:39 ID:tEXROZDK
電気は心の中にも流れています。
601774ワット発電中さん:2010/06/11(金) 20:01:27 ID:EIO+rm61
ID:yLVVmhzoは自分の敵は一人だと思ってるw
見えない敵と戦うのは大変だな。

>単語の最後を伸ばさないなんて、誰でも知っていること。
なのに自分はファイフォーと発音して周りから影で笑われてる事に気づかない可哀そうな奴だって事は分かった。
602774ワット発電中さん:2010/06/11(金) 20:15:26 ID:RSPt4sBu
>>598
だって技術的話なんてできないのしかいないし
外国のエロイ企業ににおんぶにだっこしてもらわないと、
いまや駄目駄目な日本だから、技術的な話なんてできない
603774ワット発電中さん:2010/06/11(金) 20:48:08 ID:yLVVmhzo
>>601
そうですか、あなたみたいな人は一人じゃなくて良かったですね。 
これ以上相手にしても、他の人にも迷惑でしょうから、小さな勝利感を存分に味わってください。
604774ワット発電中さん:2010/06/11(金) 21:07:21 ID:QktnsjeQ
>596
……禁煙用の器具?w
605774ワット発電中さん:2010/06/11(金) 22:05:10 ID:ottgvxbm
>>577
>これがハンダ付けの熱に弱いので、取り外して移動とか再使用はできない。

うむむ、結構取り扱いが難しそうだ。
606774ワット発電中さん:2010/06/11(金) 22:23:09 ID:EIO+rm61
>>603
反論できなくなって逃げたな。
まぁ涙拭け、お前にもまだ可能性はあるぞ。
まずは、仕事を探す事から始めろw
607774ワット発電中さん:2010/06/11(金) 22:36:39 ID:yLVVmhzo
>>606
心配してくれてありがとうね。 

議論の内容がつまらなすぎるから外野の人に迷惑だからね。

あなたにとって重要なことが、他の人にとっては取るにたらないってことは
学習してもよいかな。 あ、無理なこと言ってごめんね。
608774ワット発電中さん:2010/06/11(金) 23:53:44 ID:EIO+rm61
>>607
>これ以上相手にしても、他の人にも迷惑でしょうから、小さな勝利感を存分に味わってください。
と書いておきながらちょいと煽られると顔真っ赤にして書き込み。
もう馬鹿としか言いようがないw
609774ワット発電中さん:2010/06/12(土) 00:11:45 ID:00N4I1aX
>>597
いや、どっちも興味深かった。
ところで、FIFOは話題になるのに、LIFOが登場しないのはなぜだ。
あと、もっと興味あるのは
SPIの、MISO、MOSIを、みんなどう読んでいるかだ。
俺は当然、「みそ」、「もし」だ!
610774ワット発電中さん:2010/06/12(土) 00:31:16 ID:6AAWliR9
CISCは?
611774ワット発電中さん:2010/06/12(土) 00:57:21 ID:Sv/9u4jj
CISC = シスク
RISC = リスク
MISO = みそ
MOSI = もし
612774ワット発電中さん:2010/06/12(土) 01:18:59 ID:ljAD2Au4
>>608
ごめんね挑発にのったよ 大人げなかった。

君は勘違いしているけど。 表記ルールは、文書を記述する場合の用語の規定で
話し言葉を規定しているわけではない。 だから、実際の発音とは違っているものはある。
JIS Z 8301って規定されているらしい。 今調べたけど。

だからだと思うが、マイクロソフトは2008年に外来語表記ルールを変更している。
たとえば コンピュータ --> コンピューター とかね。

あなたの見解は違うみたいだけど。 君の周りでも コンピューター っていう人の方が
コンピュータって言う人の方が多くないかい。 

技術者だからといって すべての用語をJISの規定に従って話す人を、自分は
お目にかかった記憶がない。 伸ばさないと不自然な用語もあるよね。

すくなくとも、用語を言うときに 最後を伸ばしたからと言って、素人だ恥ずかしい奴だと
思う人は 珍しいんじゃないかと思うが。 そんなことで、技術者の値打ちは決まらないと
思うんだが。
613774ワット発電中さん:2010/06/12(土) 01:23:59 ID:ljAD2Au4
レスを一つ増やすけど >>612 の訂正。 性格の悪い君のことだから、間違いをついてくるかもしれないんでね。
技術者としてはともかく、 性格の悪さでは 僕は 君に遠くおよばないんで、勝ち目はなさそうだけど。

誤り

あなたの見解は違うみたいだけど。 君の周りでも コンピューター っていう人の方が
コンピュータって言う人の方が多くないかい。 



あなたの見解は違うみたいだけど。 君の周りでも コンピューター っていう人の方が
コンピュータって言う人より多くないかい。 
614774ワット発電中さん:2010/06/12(土) 01:30:37 ID:wZ4JM2Oh
なんかPICスレみたいだなw。
ま、そんだけ一般化したんだな、プログラマブルロジック。
615774ワット発電中さん:2010/06/12(土) 03:48:19 ID:hoDigrVw
PICスレw
Cかアセンブラかで盛り上がってたな
616774ワット発電中さん:2010/06/12(土) 06:41:56 ID:ljAD2Au4
>>608
表記の規定が文書を書くときものであることを知らない 素人の君は
ずっと 単語の語尾を伸ばさずに話をして 玄人のつもりだったんだろうなー。
想像すると実に笑える。

性格の悪い君が、どんな言いがかりの反論をするのか、とても楽しみだよ。
いままでの君の罵倒はそのまま君にお返しするよ。

こういう書き込みでは、性格の悪さが最高の武器だとよく分かる。
玄人のつもりの素人君は、語尾を伸ばさないという ただ一つのことしか
言っていない。 まさに、性格の悪さ以外 知識も 経験も必要ない。
617774ワット発電中さん:2010/06/12(土) 09:31:29 ID:00N4I1aX
卵を細いほうから割るべきか、太いほうから割るべきかで戦争になった某国の様相だな。
618774ワット発電中さん:2010/06/12(土) 09:59:42 ID:ljAD2Au4
>>617
100% 誤解しているね。 趣味嗜好とはかかわりがない。

語尾を延ばして発音するなんて規定はないし、実際人によって
伸ばしたり伸ばさなかったりする。 

伸ばしたから素人というのは言われなき侮辱なんですよ。 

あんまり相手にしないつもりだったんで、 >>612 もかかずに
終わらせるつもりで、 >>603 を書いたんだが、 ちょっと調子こいて
いるんで、つい相手になってしまった。

>>608 の素人君は 相当性格が悪そうだから、まだ汚い書き込みを
してくるだろうな。 しばらく スレを汚すことになるかもしれない。

そうなったら、ごめんね。
619774ワット発電中さん:2010/06/12(土) 10:09:34 ID:BJ7d1jHV
馬鹿に構うとID:ljAD2Au4みたいに必死になってスレを荒らしだすという良い見本だな。
620774ワット発電中さん:2010/06/12(土) 10:41:28 ID:wZ4JM2Oh
PICスレ以下だな。振ろうにも、他に話題ないし。
621774ワット発電中さん:2010/06/12(土) 11:18:21 ID:M5rsUW0l
俺も、「みそ」、「もし」だ
622774ワット発電中さん:2010/06/12(土) 12:15:36 ID:00N4I1aX
>>618
 ええっ! アンカー違い?w
俺はさりげなく「ビッグエンディアン」と「リトルエンディアン」の
話題を振ったダケだったんが。

 昨夜はよく寝てないようだが、大丈夫かな?w
623774ワット発電中さん:2010/06/12(土) 16:47:50 ID:gfRI9l0K
分かち書きすると頭悪く見えるのか、元々頭悪い人が分かち書きしてるのか...
624774ワット発電中さん:2010/06/12(土) 20:37:22 ID:6AAWliR9
>>618
顔真っ赤wwwwwwwwwwwww
625774ワット発電中さん:2010/06/12(土) 20:43:01 ID:yIitX1Xz
ほんとPICスレにも劣るな、住人レベル。
626774ワット発電中さん:2010/06/13(日) 01:15:23 ID:MWNvQdn+
PICの読みは「ピーシュ」か「ピック」か、
何て話題を振ったら揉めそうだな。
627774ワット発電中さん:2010/06/13(日) 01:38:36 ID:fobWFdPe
バカが一人じゃないのか、懲りずに繰り返すのか。 
くだらないことを突っつきまわすバカの習性は変わらないな。

くだらないことしか材料にできないのがバカの限界か。
628774ワット発電中さん:2010/06/13(日) 01:59:33 ID:UUqY+hjh
といって、バカをイジってる >627
629774ワット発電中さん:2010/06/13(日) 10:31:14 ID:oyhhT41K
技術者や研究者は、スキルアップするほどに
物事を見てくだらない、人を見てバカ
とは、簡単に思わなくなっていく。
630774ワット発電中さん:2010/06/13(日) 10:48:49 ID:MWNvQdn+
>>629
そうだね。
横から見てるとたいした事ではない様に思えても、
自分がやるとなるとケアしなければならない事が、
想像以上に多いっていう事はあるな。
631774ワット発電中さん:2010/06/13(日) 15:23:48 ID:ieLubSwn
ALTELAの場合、NIOS2とIPコアが主流になるのかな?
細かいチップの制御などをIPコアでどうするのか理解できないので
自分は、VHDLでちまちまチップ毎にプログラムしてるんだけど
時代おくれになっていきそうで心肺
632774ワット発電中さん:2010/06/13(日) 15:40:19 ID:MWNvQdn+
>>631
チップの制御とはどんな事でしょうか?
633774ワット発電中さん:2010/06/13(日) 15:48:27 ID:ieLubSwn
>>631
メモリとか液晶とか

メガファンクションの使用はPLLくらいで
ICの制御や同期はVHDLオンリーでやってます。
ツールがまったくつかいこなせてない感じがひしひしと
634774ワット発電中さん:2010/06/13(日) 15:54:15 ID:3dXCQ4Db
最近VHDLが主流なんかね?
俺はVerilogしか使えないし、ざっと見た感じVHDLの方がコードが長くなる様に見えるんだけど、
雑誌等見ると大体VHDLだしVHDLの勉強を始めた方がいいのだろうか。
635774ワット発電中さん:2010/06/13(日) 15:58:57 ID:CEKZg4C1
昔からVHDLのほうが多いような気がするけど。
個人的にはVerilogのほうがが好きだな。
VHDLはとりあえず読めるくらいにしとけば不自由しないと思う。
636774ワット発電中さん:2010/06/13(日) 16:06:54 ID:MWNvQdn+
>>633
外付けチップの制御のことですか。

アルテラのFPGAで開発をやった事がありますが、
バッファメモリとかFIFOなんかは、内蔵メモリセルを使うメガファンクションで組んだ方が、
リソース使用率を抑えられますけどね。

ただインタフェースのIPは見かけないですね。
パラレルバス用が無いのは仕方ないとしても、
割と普及しているI2CとかSPI(4線, 3線)くらいは、
IPが在った方が便利ですよね。
637774ワット発電中さん:2010/06/13(日) 17:41:04 ID:xfpYMHE8
>>636
> アルテラのFPGAで開発をやった事がありますが、
> バッファメモリとかFIFOなんかは、内蔵メモリセルを使うメガファンクションで組んだ方が、
> リソース使用率を抑えられますけどね。
これは逆だろう。自分で組んだ方がリソースは抑制できる。
しかし、メガファンクの方が工数が少ないから目的次第で使い分ける。

> ただインタフェースのIPは見かけないですね。
> パラレルバス用が無いのは仕方ないとしても、
> 割と普及しているI2CとかSPI(4線, 3線)くらいは、
> IPが在った方が便利ですよね。
たくさんあるぞ。
638774ワット発電中さん:2010/06/14(月) 00:11:36 ID:YjQ307qv
>>637
メモリ系は、メガファンクション使わずに自分で組んだら、
リソースも工数も、その他色々不幸な出来事がドッと押し寄せそうで
ちょっと怖いかも・・・
639774ワット発電中さん:2010/06/14(月) 00:23:28 ID:HmosV8dn
DSP系もIP使った方が小さかったりするね。
まぁ俺のコードがへぼいだけかも知れんが。
640774ワット発電中さん:2010/06/17(木) 02:02:05 ID:16JmIhA2
メガファンクションって何?
アルテラ特有の言葉?
641774ワット発電中さん:2010/06/17(木) 10:21:52 ID:ZOL9cvMk
 ある寺って、FPGA内のメモリーとかを使う場合、シュミレーションできます?
642774ワット発電中さん:2010/06/17(木) 13:01:28 ID:snHKxot/
>>641
ModelSimでもできるし、QuartusII(WEB Editionは知らない)でもできる。
QuartusIIのシミュレーションツールは、そろそろ廃止して、ModelSimのみに
なると聞いた覚えがあるようなないような?気はする。
643774ワット発電中さん:2010/06/17(木) 16:16:53 ID:a9wJI05m
>QuartusIIのシミュレーションツールは、そろそろ廃止して、ModelSimのみに
てことはRTLシミュレーションのみになるってこと?
もっと低レベルの、ゲート遅延や配線遅延含めたシミュは出来なくなるのか。
644774ワット発電中さん:2010/06/17(木) 16:24:13 ID:xnICRWJG
>>もっと低レベルの、ゲート遅延や配線遅延含めたシミュは出来なくなるのか。
ModelSimでも出来るよ
645774ワット発電中さん:2010/06/17(木) 23:55:14 ID:wWiKzueX
cycloneIIかIIIの安いボードないですか?
HumanDataのは高い…
646774ワット発電中さん:2010/06/18(金) 00:13:49 ID:4mNQu+39
>>645
Cyclone IIかIIIの安いボード作って打ってくれw
647774ワット発電中さん:2010/06/18(金) 00:35:55 ID:E5S28tS/
>HumanDataのは高い…

それ位だせよう. つか、ボードでなにすんの?
648774ワット発電中さん:2010/06/18(金) 00:49:09 ID:1OnGdqsh
タダじゃなきゃ満足しない人なんでしょ
649774ワット発電中さん:2010/06/18(金) 00:52:47 ID:E5S28tS/
あー、じゃあトラ技の付録基板だな.
650774ワット発電中さん:2010/06/18(金) 04:30:59 ID:UGAg5vBZ
651774ワット発電中さん:2010/06/18(金) 04:37:02 ID:UGAg5vBZ
おや、C5の方は在庫切れか。
C8ので入らなきゃ、ヒューマンデータかなあ。
652774ワット発電中さん:2010/06/18(金) 04:41:47 ID:4mNQu+39
C8の箇条書き
>すべてのIO を2.54mm拡張ピンヘッダで引き出されてい
ここで切れててすげー気になるw
653774ワット発電中さん:2010/06/18(金) 06:36:22 ID:eGcV+OuZ
つ「る」「ない」お好きな方をどうぞw
654774ワット発電中さん:2010/06/18(金) 08:33:43 ID:3daUbbQx
1万出すならDE0のアカデミックが買えちゃうから、食指が動かん
最低限の周辺回路で3kくらいのFPGAボードあればいいのに
というわけでディジタルデザインテクノロジを2冊買った
655774ワット発電中さん:2010/06/18(金) 18:04:35 ID:+AmuI+Gs
トラ技でDE0の記事が出てからDigiKeyの在庫が結構減ったな。
機能的にはプラス、イーサがあれば完璧なのだが。
656774ワット発電中さん:2010/06/18(金) 19:12:57 ID:VbfbXzIN
>>653
「たずらし放題です」はダメですか。
657774ワット発電中さん:2010/06/18(金) 21:43:17 ID:2USghE25
>>653
「や〜ん」はダメですか。
658645:2010/06/18(金) 21:47:49 ID:EMxvTUD+
DE0はcycloneIIIで100ドルちょい。
しかもペリフェラル色々ついてるから、
何もつけなくていいから100ドル切ってるボードが
ないかなぁと思っただけです。
国産だと3万以上は仕方ないのかなぁ。
おぷは安いけどspartan2だし。
terasic以外で知りませんか?
659774ワット発電中さん:2010/06/18(金) 22:59:31 ID:3daUbbQx
ぶっちゃけ自分で作るしかないんじゃね
チップ自体は$10くらいなのになんでないんだろうね

Cyclone1ならこれがあるけど
https://www.marutsu.co.jp/user/shohin.php?p=65176
660774ワット発電中さん:2010/06/19(土) 00:36:41 ID:GmR7NfoK
>>659
それはトラ技付録のコンパチボードだったかな。
661774ワット発電中さん:2010/06/20(日) 11:40:36 ID:DKfEsQ+U
FPGA内でバス接続にしたい場合、3ステートバスは使えないんだよね?
各モジュールの出力をセレクタ通して各モジュールの入力に渡す感じになるのかな。
出力するモジュールが多いと配線とセレクタが増えて効率悪そうな気がしてしまう。
662774ワット発電中さん:2010/06/20(日) 14:32:34 ID:wF05Eeeh
>661
そんなこたーない。
データシートのIOの項
663774ワット発電中さん:2010/06/20(日) 14:49:24 ID:ow83mmKZ
>>661が言っているのは、FPGAチップ内部でデータバスを構成したい時に
3ステートを使うことが出来ないんじゃないかということで、チップの入出力のことでは
ないと思うが。
664661:2010/06/20(日) 15:30:37 ID:DKfEsQ+U
>>663
その通りです。読み取ってくれてありがとう。
665774ワット発電中さん:2010/06/20(日) 15:45:08 ID:TUIM9rq8
最初の5文字で読み取れるなw
666774ワット発電中さん:2010/06/20(日) 20:23:55 ID:nxzs+w4U
>>661
ARMの実装なんかで使われる事があるけど、
選択されていないペリフェラルのバス出力を All 0 にして、
巨大なORゲートで受けるという方法がある。

遅延はかなり大きそうだが、セレクタよりも小規模な回路で
済みそうな感じがするがどうだろうか?
667774ワット発電中さん:2010/06/20(日) 20:45:15 ID:fXgM/RV7
>>661
無理やりなら使えないことないんじゃね?
まぁライブラリ次第だろうが。
668774ワット発電中さん:2010/06/22(火) 07:57:17 ID:TAAwJMxb
>>666
もしかして、セレクタの中身はAND-ORゲートで構成されていることも
知らずに、ロジック設計しているの?
669774ワット発電中さん:2010/06/22(火) 10:00:04 ID:+HZA3ytV
>668
ていうか、LUTなのわかって設計してる?ゲートじゃないよ軽石さん
670774ワット発電中さん:2010/06/22(火) 10:03:24 ID:re71mwIF
トライステートバスを使えば解決!
671661:2010/06/22(火) 10:09:22 ID:EFptnpqU
「わかるVerilogHDL入門」という本によると、セレクタ使うと回路規模が大きくなるが、3ステートバッファを使ったバスにすると回路規模が小さくなるって書いてあった。
3ステートバッファを使ったバスは普通に実現できるってことかな?
トラ技のPICを実装した記事では全部セレクタでやってた気がするが…
672774ワット発電中さん:2010/06/22(火) 11:43:49 ID:TPr1upL2
Xilinx 7 series 発表されたね
28nmプロセスって歩留まりどうなんだろ?
673774ワット発電中さん:2010/06/22(火) 13:13:10 ID:NnhDb6UC
>>671
それは理論上の話であって、FPGAの場合は出力バッファブロックで切り替えるだけだから関係ないよ。
あと、内部の切り替えはセレクターしか使えないからこちらでも関係ない。

つまり実際に動作させるFPGAの設計には関係ないって事になる。
674774ワット発電中さん:2010/06/22(火) 17:43:26 ID:Z0UpzDfc
675774ワット発電中さん:2010/06/22(火) 20:22:17 ID:2uvLg99v
↓ 以下セブンスレ
676774ワット発電中さん:2010/06/22(火) 20:30:09 ID:6PqJPZ7P

お前はアイスラッガーで討たれろ
677774ワット発電中さん:2010/06/22(火) 22:19:23 ID:xTtsXecG
セレクタって言っても、FPGAだとand-orじゃなくてルックアップテーブル。セレクト信号を含めた入力数がLUTの入力数を超えるとLEが一段増えてって感じで回路が重くなる。

(軽石と言うのは知ったか上から目線の半可通の総称。原典:FPGAインフォメーション掲示板)
678774ワット発電中さん:2010/06/22(火) 22:34:13 ID:NnhDb6UC
そういうあなたは勘違い君?
どうやってFPGAのロジック内部に3ステートバッファを使ったバスを実装するのかな?

具体的に軽くなるソースを出してみな。
679774ワット発電中さん:2010/06/22(火) 23:46:58 ID:zXeddzm5
なんか論理レベルの話をしてるのかマッピング後の話をしてるのか混乱してるな。
680774ワット発電中さん:2010/06/23(水) 00:19:10 ID:5GmF12Gq
「軽石」ってそういう意味だったのか。
この業界の新しいスラングだと思ってたよw
681661:2010/06/23(水) 01:09:59 ID:bye8wGcs
>>673
セレクタ記述だと2702ゲートだったのが、全く同じものをバス記述にしたら1493ゲートに減ったと書いてある。
試しにCycloneIIIに論理合成してみたらセレクタ記述だと144ロジックセル、バス記述だと133ロジックセルだった。

>>678
本に載ってるけどソース出したらまずくない?
682661:2010/06/23(水) 01:32:09 ID:bye8wGcs
ちなみにバス記述の合成結果のLUTを見てみたら、多入力ORの構成になってた。

・バス記述のほうが使用リソースが少ない(最適化の問題か?)
・バス記述でも問題なく論理合成可能(3ステートバスは組み合わせ回路に変換される)

ということがわかった。とりあえず疑問は解決した。
683774ワット発電中さん:2010/06/23(水) 11:58:54 ID:sHs4h5cL
>>677 >>680
ID変えて本人登場かよw
よほど忘れられたくないようだ。
684774ワット発電中さん:2010/06/23(水) 20:55:39 ID:sBy408Zd
>>683
忘れられてたまるか。
てめえらの居るところには何時までも住み着いて
胸糞の悪い思いをさせてやるからな!


               ナ~ンチャッテw
685774ワット発電中さん:2010/06/23(水) 21:00:11 ID:aU/ka/K+
ID:NnhDb6UCさん・・・
686774ワット発電中さん:2010/06/23(水) 21:16:36 ID:6J662ouo
>>658
USBブラスター持って無いなら↓格安だと思うけどな。2万だけど。
ttp://www.altera.co.jp/products/devkits/altera/kit-cyc2-2C20N.html
CycloneIIIのキットは使い勝手が悪い。
687774ワット発電中さん:2010/06/23(水) 21:59:12 ID:lKFRb8va
ID:NnhDb6UCさん・・・これはまた、トンでも軽石さんだなぁ。
688774ワット発電中さん:2010/06/23(水) 23:32:07 ID:tAtEDaWt
軽石にとってのFPGAはXC9532だからなぁq。
回答がAND、ORアレイだったりするんだな。

合成結果ががORアレイでも、現実は.....。
689774ワット発電中さん:2010/06/23(水) 23:41:51 ID:tAtEDaWt
>トンでも

ドングルのことをトングルとか言ってるの思い出しちったよ。

しかし、お仲間のhmoriさんにこんな言われよう。
ちょっと可哀想かも。
http://twilog.org/hmori/date-091128

私の日記に軽石さんが書いている。生きていたんだ。というか返事どうしよう。
posted at 08:53:38
そういえば軽石さんはPICが好きだった気がするなあ。結果的に嫌われるのだけど、
posted at 09:01:21
現在のコミュニケーション力は他者の足を引っ張る為にある。 ひでーー
posted at 09:11:27
690774ワット発電中さん:2010/06/24(木) 00:49:14 ID:aqOmPTj5
>>686
これはどう? シンプルでよさげ。
CycloneIIで8,980円
ttp://www.nissin-tech.com/2009/10/fpgacyclone-iiep2c5q208.html
誰か人柱希望。
691774ワット発電中さん:2010/06/24(木) 02:37:19 ID:Ec5P2CFT
>>690
電源SW?のスライドSWは、あれが正しい取り付けなんだろうか?
692774ワット発電中さん:2010/06/24(木) 02:48:58 ID:FVAlD9H/
>691
使いやすそうな向きじゃない。斜め上向いてて。
693774ワット発電中さん:2010/06/24(木) 13:22:41 ID:bpLdu/w8
DE0でAlteraのFPGAを勉強しようとしています。
SDRAMを使おうと、MegaCoreについて調べているのですが、分からないので教えてください。

MegaCoreは、「期間限定無償」という認識で間違っていないでしょうか?
期間限定無償だとすると、その期間とはどれくらいになるのでしょうか?
MegaCore以外で(無償で)SDRAMを扱う方法はありますでしょうか?(自分でコントローラーを作れというのは無しで)

以上、よろしくお願いします。
694774ワット発電中さん:2010/06/24(木) 19:17:04 ID:NPUn82EO
>>690
・USBブラスタ等の書き込みケーブルが別途必要そう。
・Uart(バッファ+DSUB)は標準で欲しかった。
・LE数が4600とちとさびしい。
小さい基板だから用途によっては便利かも。
俺は趣味で使う人だから >>686 の方が良いね。
一月ほど前は$149だったんだけど、
$199に値上がりしてるのが残念。
695774ワット発電中さん:2010/06/24(木) 23:31:15 ID:qB/TvsVq
やっぱりこの程度の規模の組込用ボードは5k以下じゃないとなぁ・・・
学習用途ならもうちょっと出してDE0で良いやってなっちゃう。
696774ワット発電中さん:2010/06/24(木) 23:43:43 ID:av4RmJip
>>693
>MegaCoreは、「期間限定無償」という認識で間違っていないでしょうか?
SOFをデバイスにダウンロードして、時間限定(1時間だったかな?)で
使用できたと記憶している。

>(自分でコントローラーを作れというのは無しで)
勉強なんだから自分で作ったほうが良いと思うよ?
自由度が増えるし、DDRよりは簡単。

InterfaceかDesignWaveにHDLコード付きの記事があったので、それを
参考にするのもありだと思う。
697696:2010/06/25(金) 00:28:03 ID:8metP7JZ
時間限定ですか・・・orz

確かに勉強に自分で作るべきかもしれませんが、
SDRAMについては、あまり勉強する気がないし、
動作しなかったときに
SDRAMの扱いが悪くて動作しないのか、
HDLが間違って動作しないのか
わからなくなってしまう恐れが有るので・・・。
CQ出版の本は実は探して読んだのですが、自分が理解できるのが無かったのです。
698774ワット発電中さん:2010/06/25(金) 00:37:46 ID:az8cw8Mz
>>697
MegaCore全部が時間制限付きではないよ。
SDR-SDRAMコントローラはSOPC Builder付属のが無償だったと思うが。
DDR系のコントローラは有償だけだったと記憶してる。
699774ワット発電中さん:2010/06/25(金) 01:53:46 ID:7Rl27x3O
>>697
メモリを使うなら、基本的な動作シーケンスくらいは理解しておかないと。
勉強するならこの本がいいよ。マジおすすめ。

メモリICの実践活用法
http://www.cqpub.co.jp/hanbai/books/32/32701.htm

ついでに、絶対にビヘイビアモデルを使ってシミュレーションすること。
(マイクロンとかモデルを配布してるから、同じスペックのを使うといい)
IPを使おうが自作しようが、間違えるものは間違えるんだから。
700774ワット発電中さん:2010/06/25(金) 02:02:02 ID:HSwpFaOL
確かにSDRAMのIPがあったら便利だな、と思う。
ヒューマンの基板には、いつもSD-RAMが張りついてくる。
701774ワット発電中さん:2010/06/25(金) 02:28:54 ID:mvjqjHqg
>>697
> SDRAMについては、あまり勉強する気がないし、
> 動作しなかったときに
> SDRAMの扱いが悪くて動作しないのか、
> HDLが間違って動作しないのか
> わからなくなってしまう恐れが有るので・・・。
てことはさぁ、
SDRAM使わなくてもいいんじゃない??
内部メモリだけで済ませば?
702774ワット発電中さん:2010/06/25(金) 11:07:53 ID:DYtkzJrq
>>701
作ろうとしているのが、
内部メモリをビデオメモリとして使うので、一応外部メモリが必要なんです。
今までは、ザイリンクスの(昔の)スタータキットのSRAMやNEXYS2の疑似SRAMとか使っていました。

>>698
SOPC Builder調べてみます。

>>699
一応、その本、さらっと立ち読みしたのですが、疑問点がたくさん出て挫折しました。

皆さん、情報ありがとうございました。
703774ワット発電中さん:2010/06/25(金) 18:08:18 ID:gxOu5Ulq
挫折するとは勿体無い・・・
704774ワット発電中さん:2010/06/25(金) 21:24:44 ID:az8cw8Mz
>>702
「どこがわからないかが、わからない」状態だと道は険しそうですが、
「疑問点はこれ」とわかっているなら、それを解決していけばよいわけで、
挫折する事はないと思いますが。
705774ワット発電中さん:2010/06/25(金) 22:04:24 ID:kUZmr+nG
>>702
SDRAMをビデオメモリにするのはダメ?
706774ワット発電中さん:2010/06/26(土) 09:59:26 ID:84Bm4WK2
>>702
一番下の「SDRAMの使い方 - ユーザーズマニュアル」を熟読してみたらいかがでしょうか?
http://www.elpida.com/ja/products/documents.html
707774ワット発電中さん:2010/06/26(土) 11:42:02 ID:8hus+SdE
1からならサンプル付きのボードを買った方が早そうだけどな。
>>692
使いやすいのか、使いにくいのか、どっちだ?
708774ワット発電中さん:2010/06/27(日) 00:11:44 ID:M5ZQYuGJ
使いやすそう、じゃない?と同意を求めている。
709774ワット発電中さん:2010/06/27(日) 13:01:24 ID:zD8mxq18
SDRAMは内藤のモジュールが参考になったな
710774ワット発電中さん:2010/06/28(月) 01:57:31 ID:Pdn5uPvs
僕のISE11.5は、HDD容量が30GBも食っています。
みなさんも、そんなもんでしょうか?
パスは、C:\Xilinx\ISE11.5 です。
この調子だと、怖くてISE12が入れられません。

711774ワット発電中さん:2010/06/28(月) 11:24:23 ID:Zv5YLyov
Quartus 9.1 : 2.51GB
ispLEVER 8.0 : 2.50GB

お伊勢さん容量食いすぎじゃね?
712774ワット発電中さん:2010/06/28(月) 11:32:58 ID:0Sj5uEs6
プロジェクトもそのディレクトリ配下においてるんじゃないか?
で、合成とかsimの残骸で数Gとってるとか。
713774ワット発電中さん:2010/06/29(火) 18:47:46 ID:Fm0U9wbR
714774ワット発電中さん:2010/06/29(火) 21:40:30 ID:+7kFH/rT
FPGAの勉強にSmartFusionの評価ボードでも買おうかと思うんだけど、もっと単純なFPGAの方が良い?
代理店からはSpartan6を勧められてるけど、ただの勉強用にはボードが高すぎる。
715774ワット発電中さん:2010/06/29(火) 22:30:23 ID:Fm0U9wbR
>>714
何の勉強かしらんが、DDTのLattice XP2付属本でいいんじゃね?
716774ワット発電中さん:2010/06/29(火) 22:45:22 ID:SYF96o7N
>>714
ただ何となく勉強したいなら、勉強を横から邪魔する要素が最も少ないDE0だな。
717774ワット発電中さん:2010/06/30(水) 00:15:07 ID:NLONEU41
>>713
こないだ8.0SP1出たばかりなのにw
718774ワット発電中さん:2010/06/30(水) 00:49:43 ID:DZESDCAr
>>717
今使ってみたよ。
かなり使いやすくなった。
てかQualtus2より使いやすい。でもシミュレーションはModelsim使うけど。
719774ワット発電中さん:2010/06/30(水) 01:49:33 ID:D+azPLhP
なんとなく勉強なら、Spartan3を買って、変換基板にハンダ付けして自分で作ればいい。
720774ワット発電中さん:2010/06/30(水) 02:29:45 ID:Y0OK5/17
個人的にISEは糞。
721774ワット発電中さん:2010/06/30(水) 10:01:16 ID:8i5VHYVy
郭隗曰く、まず 16V8 より始めよ
722774ワット発電中さん:2010/06/30(水) 10:15:32 ID:s8/wguYz
DOSのabelきぼん
723774ワット発電中さん:2010/06/30(水) 11:04:29 ID:98AibQ8F
XってISEは糞だけど
なんでシェアNo1なの?

デバイスが優れてるの? 安いの? なんなの?
724774ワット発電中さん:2010/06/30(水) 11:45:34 ID:/K8POUpW
しょうがないよ
デバイスの性能がいいからね
725774ワット発電中さん:2010/06/30(水) 11:50:31 ID:mi9vcxOI
個人的な趣味からしたらツールはA社のほうがまとまっているかな。
Xは重いし遅いしできれば使いたくない。
ま、慣れの問題で片方しか知らなかったらお互いに相手側を使いにくいというだろうけど。

数年前の話だけど
数万個規模の量産価格はXのほうがかなり安い。
ちなみにA社でもその規模になると自営業者が買う価格の1/5以下じゃないか?
それでもまだXが安かった。
大手購買の人がいたら最近の詳しい価格教えてくれよ。

あとA社は平行輸入が難しい
X社だとどこからでも手に入る。
だからじゃないの?
726774ワット発電中さん:2010/06/30(水) 19:24:26 ID:NLONEU41
ispLEVERからDiamondに変えたら、今までブロックメモリに実装されていたRAMがLUTに実装されてリソース足りねーよって言い出した。
727774ワット発電中さん:2010/06/30(水) 20:41:57 ID:DZESDCAr
>>726
synplifyを以前のバージョンに戻せばいいんじゃね?
728774ワット発電中さん:2010/07/01(木) 01:36:27 ID:fgvlPRyT
>>726
RAMの実装方法の設定が細かく出来るようになったんじゃね?
729726:2010/07/01(木) 03:54:40 ID:hP1f0IpE
原因がわかった。
今までRead部がこうなってたんだけど、
q<=mem[ra];
ra<=ra+1'b1;
リードアドレスはフィードバックのないレジスタにしないと駄目みたいだ。
こうすればOK。
q<=mem[ra_reg];
ra_reg<=ra;
ra<=ra+1'b1;
ただ、そのままだと1アドレスずれるからそれは別で直さないといけない。
730774ワット発電中さん:2010/07/02(金) 01:37:04 ID:yDTwjDzk
アキバでFPGAキット買ってきたけど何すればいいのかわからなくてワロタ
731774ワット発電中さん:2010/07/02(金) 03:01:06 ID:omMZ2UQC
>>730
わしも経験あり!
732774ワット発電中さん:2010/07/02(金) 08:35:16 ID:IKYeruS9
>>730
そもそもなにがしたかったのですか?
733774ワット発電中さん:2010/07/02(金) 11:30:30 ID:uovd8sHX
>>732
一応マイコン関係は熟知しるんだが、自分の技術領域を広げるために
FPGAくらい使えなきゃいかんだろと思って。要は勉強ですな。
ひとまず最初の目標は搭載されてるLEDペカペカかな
734774ワット発電中さん:2010/07/02(金) 11:46:33 ID:oti83ZEs
>>733
カラー液晶コントローラとか作ったらいいんじゃね?
735774ワット発電中さん:2010/07/02(金) 11:55:47 ID:uovd8sHX
え、うん・・・
まだISEすら使えてない状況だから、
夢は膨らむけどね。。。
736774ワット発電中さん:2010/07/02(金) 11:55:49 ID:s5CPc86C
ある意味勉強したいけど何勉強しようって状態ですか
先に設計とかしてから物買えばいいのに
737774ワット発電中さん:2010/07/02(金) 13:38:14 ID:krM/lUg4
>>733
>一応マイコン関係は熟知しるんだが、
>FPGAくらい使えなきゃいかんだろと思って。
僕も その口だった。苦労した(苦労してる)。

マイコンとC言語のことを考えたら、FPGAは窮屈な開発環境だと思う。

・Cのような柔軟性のある記述ではないし、
     (begin end? なんで { } じゃないの? キータイプ楽なのに) 
・マイコンのコンパイラのように、スグにコンパイルできないし、
     (3時間とか1日? 何なんだよ)
・開発ツールは遅いし、重いし、わかりにくい。日本語対応してないし。
     (ツールに5GB? はぁ?)
・使ってる言葉は難しいし、
・電源は3種類も要るし、外付ROMが要る。
     (1.8V, 2.5V, 3.3V 何それ? 単一電源、ROM内蔵じゃないの?
      マイコンを見習えよ、って感じ)
・LED点けたいだけなのに、なんて面倒なんだ。
・FPGA内部にマイコン?
     (何が嬉しいんだ。素直にマイコン使えよ)
マイコンによる開発より、10倍難しいし面倒くさいと思う。

・しかもFPGAソフト屋は、マイコン屋を上から目線。
   二言目には「そんなのFPGAでやればいいじゃん」とか
        「あ〜、マイコンだからしょうがないんじゃない?」とか。

だけど、
・マイコンより動作が速くて、気持ちいい。
・クロック同期なので、予想通りの動きをする。
・同じ回路の繰り返しを増やすなら、マイコンより12.8倍早くできる。
   インスタンシエートの追加とucfの追加でOK
   (これは嬉しい)
・独立した回路の追加(いわゆる間借り)なので、従来部分に触らなくて済むので安心。
   (これも嬉しい)
738774ワット発電中さん:2010/07/02(金) 13:53:01 ID:krM/lUg4
追加
・回路を増やしても、動作速度落がちない(気にしなくていい)
   (これは、マイコンにはできない芸当。めちゃ嬉しい)
・9bitとか37bitとか、半端なバス幅も気にせずに処理できるので
 マイコンみたいに、char→int→longと、壁越え改造に苦労しなくてもいい。
   (これは、もはや快感)
739774ワット発電中さん:2010/07/02(金) 14:03:38 ID:FR62zL2e
汎用のマイコン使ったら普通周辺にFPGAを使うだろ?
上で言ってるマイコンてワンチップマイコンのこと?
740774ワット発電中さん:2010/07/02(金) 14:12:29 ID:5TzzEISd
私は、小学3年です。私には、彼氏がいます。彼とわたしは、デートの時、どちらかの家に行き、
だれもいないとき、部屋で横になって裸でだきあいます。それがだんだんエスカレートしていって、
学校の体育倉庫で服をぬがされ、まだ3年生ですが学年で1番大きいおっぱいを、つかむように触られたり、しゃぶったりされます。
最初は、苦手だったんだけどだんだん気持ちよくなりサイコーです。しかも、わたしは、学年1美人でもてます。
彼も同じで、美男美女でよくみんなにうらやましく、思われます。
このカキコ見たあなたは4日後に不幸がおとづれ44日後に死にます。それがイヤならコレをコピペして5ケ所にカキコして下さい。
私の友達はこれを信じず4日後に親が死にました。
44日後友達は行方不明・・・・。いまだに手がかりもなく私はこのコピペを5ケ所に貼り付けました。
すると7日後に彼氏ができ10日後に大嫌いな人が事故で入院しました。信じる信じないは勝ってです
741774ワット発電中さん:2010/07/02(金) 15:28:33 ID:krM/lUg4
>>739
そうです、ワンチップマイコンです。
742774ワット発電中さん:2010/07/02(金) 19:06:23 ID:d5/0Ti4b
てか、文句垂れてるやつは、MAX7000でも使ってろ
743774ワット発電中さん:2010/07/02(金) 19:51:49 ID:RnMufYji
そいつは、そんなにスゴいのか?
そーか、そーか。
744774ワット発電中さん:2010/07/02(金) 20:10:52 ID:JgV+z222
>>733
秋月の300円液晶を動かせたら初心者卒業。
745774ワット発電中さん:2010/07/02(金) 21:08:39 ID:uovd8sHX
>>739
自分は「マイコン+遥か大昔に起こしたASIC」という環境でやってます
そのASICはもう社内のデファクトスタンダードで、新しく開発しり風土
すらないです。
あと、簡単に「普通」って言わない方がいいですよ。
自分周辺の環境がすべてであり共通文化だと思ってると、いつか痛い目を見ます
746774ワット発電中さん:2010/07/02(金) 21:55:26 ID:7szV0H5c
でも、>745 の環境は”普通”じゃないなw。
747774ワット発電中さん:2010/07/02(金) 22:27:41 ID:bNe+0Hyt
つか、>745の境遇が”普通”じゃねえなw
748774ワット発電中さん:2010/07/02(金) 23:07:10 ID:8hgmxMPm
>745は普通コンプレックスなんだ。

どよーんとした空気、お察しします。
景気がよくなって、転職ができますように。(-人-)
749774ワット発電中さん:2010/07/02(金) 23:31:45 ID:eXPVvHk+
きっと起こしたASICは会社が無くなるまであるんじゃないか?
古いプロセスのしょぼいASICを使う能力しか要求されずに進歩しないなんて、哀
れすぎる。
750774ワット発電中さん:2010/07/03(土) 00:42:17 ID:e16Cx5Nc
ネタ的にFPGAカフェとか行ってみる
751774ワット発電中さん:2010/07/03(土) 02:52:02 ID:hH5RmACG
>>737
>・しかもFPGAソフト屋は、マイコン屋を上から目線。
>   二言目には「そんなのFPGAでやればいいじゃん」とか
>        「あ〜、マイコンだからしょうがないんじゃない?」とか。
わかる気がする。オレもそうだった。
そう言われたときは、
「FPGAは動きが高速なぶん、ソフトが下手クソでも違いが現れなくていいですよね」
と言ってやることにしている。
752774ワット発電中さん:2010/07/03(土) 06:20:45 ID:7MsBcGdd
>>751
その発言でハード屋全体を敵にまわしたなw
違いがあるに決まってるだろ、ソフトは開発者で違いが現れないのかい?
ってお前いつものFPGAはソフトだハードじゃないって言ってる荒らしだろ
753774ワット発電中さん:2010/07/03(土) 09:28:13 ID:XLEhjrCa
>「FPGAは動きが高速なぶん、ソフトが下手クソでも違いが現れなくていいですよね」

いや、下手くそだと高速時の動きが不安定になる。
FPGAは高速にしていくと徐々に
「デジタル回路つったって、所詮はアナログ回路じゃん。」
という領域に入って行く。あるいは、「入って行ける。」とも言う。
754774ワット発電中さん:2010/07/03(土) 13:35:29 ID:TUvaxkxX
>>753
それは上手い下手の違いじゃない。

正しく制約条件書いてwarningを無視/放置しなければ
「高速にしていくと徐々に不安定になる」
なんてオカルト現象は発生しない。
755774ワット発電中さん:2010/07/03(土) 15:24:18 ID:UDKIuwNk
>>749
古い設計のまま、パターンをシュリンクさせて、新プロセスに対応させていたら、逆の意味ですごいけどね。

>>754
基板の設計も影響してくるね。
756774ワット発電中さん:2010/07/03(土) 17:41:43 ID:XLEhjrCa
>>754
それで幸せが続くなら、敢えてそこから踏み出す必要は無い。
757774ワット発電中さん:2010/07/03(土) 17:48:54 ID:srDdJsan
論理に間違いが無いのに、間に合わないで計算ミスとか
マイコンの時は考えられないトラブルだよな(w
758774ワット発電中さん:2010/07/03(土) 19:02:07 ID:icGtGbNe
勝手にCPUクロックアップして暴走させてる馬鹿は昔からいたぞ
759774ワット発電中さん:2010/07/03(土) 20:10:39 ID:HdSegfVG
>>756
好きにフロンティアを探しに逝ってくれ。
760774ワット発電中さん:2010/07/04(日) 00:33:44 ID:a8EhfLgd
>>753
てきとーに非同期設計されるとありがちだよなw
761774ワット発電中さん:2010/07/04(日) 02:37:08 ID:QvBbRX+Q
>>760
テクノロジー変換に耐えられない設計って今時は難しいと思っていたが
なるほどな。
回路図に固執する使えないおさーんが、新人に入力させた回路が不具合を
連発していたのを思い出した。
メーカーが出してた、ASICの設計方的マニュアルにあった、禁止回路を
使いたがる馬鹿って今でもいるのかなぁ。
不具合オヤジに「ASICの中にはコンデンサを追加できないよ」と馬鹿にしたら
マジ切れしてたのを思い出す。
リストラされたあと何やってるんだろうなぁ、あのおさーんは。
762774ワット発電中さん:2010/07/04(日) 04:24:04 ID:LjQshThK
あまりにも定番すぎて長年使われた石、バージョンアップしようとしたら
テクノロジー変換ツールが使えなくなってたってことがあったなw
763774ワット発電中さん:2010/07/04(日) 10:45:01 ID:svVQFEdu
同期設計でも最大動作周波数(Fmax)は記述によって違いが出る。
計算上のFmaxが保つマージンも記述によって違いが出る。
現実のFmaxを超えて誤動作が起こり始める領域を、
オカルトと考える→ソフト屋さん思考
宝庫と考える→ハード屋さん思考
じゃね?w
764774ワット発電中さん:2010/07/04(日) 11:04:27 ID:gwn1AgE7
つか、テクノロジ変換どころか、同一品種でもプロセス変更があったら
タイミングチェックは普通じゃね?
765774ワット発電中さん:2010/07/05(月) 12:59:50 ID:9n2Yqxm4
オカルトと考える → 実機試験で通ればヨシとする危険思想

実機試験はもちろんキッチリやるのは当然として
「高速にしていくと徐々に不安定になる」じゃなくて
「保証速度+マージン以上にするとFailする」が正しい考え方だろう

「徐々に」ってなんだよ スタンド使いか?
766774ワット発電中さん:2010/07/05(月) 22:57:32 ID:i976X3H8
DE0 そろそろ在庫なくなりそう。
結構売れたなぁ。
767774ワット発電中さん:2010/07/05(月) 23:53:02 ID:iAn6/VMR
そんな売れてるのか
個人でDE0買ってる奴って何に使ってるの?
LEDチカチカだけだったらワロスw
768774ワット発電中さん:2010/07/06(火) 00:00:35 ID:hvCn6YM5
DE0のあの値段であの機能は素晴らしい。
Ver9.1からNiosII/eがフリーライセンスになったのも大きい。
あれ一枚で大概のこと出来そう。(俺はDE1買っちゃったんで持ってない。)
欲を言えばRGBじゃなくUartだったらなぁ。
外にバッファとDSUBつけるの面倒。
769774ワット発電中さん:2010/07/06(火) 00:58:34 ID:fIqXB7Df
>>768
Uartのバッファは付いてたんじゃなかったけ?

>>765
ウケも賛同も覚えることが出来ませんでした
お許しください
770774ワット発電中さん:2010/07/06(火) 08:45:05 ID:Kpg+2D1Y
DE0を使用中

NiosII EDS9.1がWindows7で動かない(Quartus II は動きます)

NiosII EDS10がリリースされていたのでいれる

Quartus II 10でないとNiosII EDS10が動かない

Quartus II 10をいれる

Quartus II、NiosII EDS共に動く

Quartus IIのコメントに日本をフォントを指定できなくなっている

今ここで詰んでます
771774ワット発電中さん:2010/07/06(火) 09:49:29 ID:5CK/xr+d
いわゆるUTF-8か
772774ワット発電中さん:2010/07/06(火) 11:23:38 ID:2mGdGTMs
>不具合オヤジに「ASICの中にはコンデンサを追加できないよ」と馬鹿にしたら

GAやセルベースには追加できないがASICなら、なんでもできるだろ
773774ワット発電中さん:2010/07/06(火) 11:24:58 ID:2mGdGTMs
>>770

おっとQuatrus 7/1付けで10が登録されてたんだなTHX
774693:2010/07/06(火) 12:48:06 ID:mNRx6o80
遅くなりました。

>>699さん、>>706さん、その他の方、アドバイスありがとうございました。
もう一度、SDRAMに挑戦してみる事にしました。
DE0も注文しました。

初Alteraなので心配ですが、もしわからない事がありましたら、(自分で調べた後、どうしてもわからなかったら)ここで質問したいと思っています。
その時は、よろしくお願いします。どうもありがとうございました。
775774ワット発電中さん:2010/07/06(火) 14:01:34 ID:Kpg+2D1Y
>>774
SDRAMうまく動いたらおしえてくだされ
nios使うとSDRAM自体は動きそうだったんだけど
niosからvhdlのほうにデータの受け渡しがよくわからず失敗

サンプルみてこれかああっておもって飛びついたらのがメガファンクション。
うごいたあああっておもったら内部メモリだった。

いまメガコアをダウンロード中
776774ワット発電中さん:2010/07/06(火) 20:04:29 ID:sVq8herg
> niosからvhdlのほうにデータの受け渡しがよくわからず
根本的に・・・・ソフトウェアな人なのかな
777774ワット発電中さん:2010/07/06(火) 20:53:02 ID:ZgHC1Y1J
wireで繋ごうず
778774ワット発電中さん:2010/07/06(火) 20:59:56 ID:5CK/xr+d
PCからFPGAにデータを送受信したい場合
どんな方法が一般的ですか?
コンフィグの書き込みとかではなく
FPGA稼動後にPCからFPGAにデータを送って
FPGA内で処理させた後PC側で取得するような感じです
779774ワット発電中さん:2010/07/06(火) 21:03:53 ID:dc+loJ1S
イーサネット
780774ワット発電中さん:2010/07/06(火) 21:09:36 ID:5CK/xr+d
niosとか使わずにvhdlだけでTCP/IPのプロトコルスタック作れますか?
781774ワット発電中さん:2010/07/06(火) 21:23:37 ID:hvCn6YM5
SOPCビルダーでPIO(Peripheralの下だったかな?)とか入れて、
プロジェクトディレクトリに出来るソースファイル見てみるとか。
782781:2010/07/06(火) 21:24:45 ID:hvCn6YM5
↓これが抜けてた。
>>775
> niosからvhdlのほうにデータの受け渡しがよくわからず失敗
783774ワット発電中さん:2010/07/06(火) 21:39:40 ID:Mt3s8xrv
FX2載っけた
784774ワット発電中さん:2010/07/06(火) 22:26:10 ID:cCGl+out
>>769
ADM3202 が載ってるからD-Subコネクタを付けるだけでOK。
惜しいのはスペースがないからかコネクタを基板に直接ハンダ付けできない所。
785774ワット発電中さん:2010/07/06(火) 23:37:52 ID:pKyTYV2Z
>>778
データ量によるかと。

少量 RS-232(約10kB/s)
↑  USBフルスピード(約1MB/s)
↓  USBハイスピード(約40MB/s)
大量 PCI Express(すごくはやい)

そんなにデータ量多くなければUSBフルスピードはおすすめ。
どんなPCでも繋がるし、自力で実装するかIPがあればFPGAで物理層まで作り込めるから周辺回路が簡単。
786774ワット発電中さん:2010/07/06(火) 23:41:01 ID:zQm2t+jD
USBはWIN側のデバイスドライバー作る必要があるんで大変じゃない?
787774ワット発電中さん:2010/07/06(火) 23:55:29 ID:hvCn6YM5
USBならまだイーサの方が簡単だよね。
ドライバだけじゃなくPC側のアプリのこともあるから。
イーサの場合はクロスで直結なら良いけど、
ネットワーク接続するならデタラメなmacアドレスは本来使っちゃいかんし。
その辺よく分からない素人(もちろん俺も)はRS-232が一番。
どうしても速度が必要なら頑張ってイーサかな。
788774ワット発電中さん:2010/07/06(火) 23:59:32 ID:zQm2t+jD
SOPCビルダーにTPC/IPがあったんでniosから
呼び出せるとおもうんだけど、回路がわからない。
カニチップとかかまさずに、パルストランスだけで直結できるものなのかな 
789774ワット発電中さん:2010/07/07(水) 00:37:08 ID:3TI1+Fmt
>>786
最近はWinUSBとかの汎用ドライバがあるからやりやすいけど。
といってもWindows標準ドライバで動くデバイスしか作ってないけど。

>>787
ReadFileやWriteFileするハンドルがソケットかUSBデバイスハンドルかの違いだからアプリのほうはそんなに違わないと思う。
790774ワット発電中さん:2010/07/07(水) 00:41:01 ID:kFVTbaU+
>>788
>カニチップとかかまさずに、パルストランスだけで直結できるものなのかな
外付けPHYは必須。

http://www.altera.co.jp/technology/high_speed/protocols/gb_ethernet/pro-gb_ethernet.html
以下引用
アルテラのデバイスは、10Base-T (シールドなしツイスト・ペア銅線で 10Mb)、
100Base-T (銅線で 100Mb)、および 1000Base-T (銅線で 1Gb) ネットワークには
直接インタフェースしません。したがって、イーサネット銅線への接続のために、
外部 10/100/1000Base-T 規格の PHY デバイスが必要です。
引用終了
791774ワット発電中さん:2010/07/07(水) 00:42:04 ID:hNyBGW58
>>789
イーサ(もちろんUartも)の方はTeratermとか、
自分で作らなくてもすでに便利なアプリが存在すると言う意味。
アプリも自分で作る前提なら大して変わらんかな。
792774ワット発電中さん:2010/07/07(水) 05:47:25 ID:ezFRb681
>>788
CQ出版社「Ethernetのしくみとハードウェア設計技法」
第3部 Appendix6 「FPGAだけで10Base-T Ethernet コントローラを作る」
なんて記事もある、OpenCores にもあるね。
nios には対応してないけど。
793774ワット発電中さん:2010/07/07(水) 13:59:08 ID:IGWGcuRh
>>776
完全にソフト畑だったのですが、最近組み込みの部署にうつってきて

nios-sdram
|
PIO

このようなniosを介した形はc言語できたのですが

nios-sdram
    |
    PIO

のようにvhdlでSDRAMのアドレスを指定してやって
データをとってくるってやり方がやりたいのですがいまだできずです。
794774ワット発電中さん:2010/07/07(水) 16:47:45 ID:3TI1+Fmt
それやろうと思ったらデュアルポートRAMにしないとできんくね?
795774ワット発電中さん:2010/07/07(水) 16:57:05 ID:swT1BR10
そういうことを聞いてるんちゃう
796774ワット発電中さん:2010/07/07(水) 17:06:45 ID:aIV1F/Ff
Niosは名前しか知らないんで適当なこと言ってるかもだけど
バス接続のメモリやレジスタなどの接続や制御方法がわからないみたいな感じ?
797774ワット発電中さん:2010/07/07(水) 18:00:01 ID:ocPVAMhg
>>794
>>793の図から、Dual portのSDRAM使うの明らかじゃね
ただ、Dual portのSDRAMあるのかしらんが
798774ワット発電中さん:2010/07/07(水) 18:57:01 ID:hNyBGW58
>>793
DMAコントローラ を自作するしかないかな。
799774ワット発電中さん:2010/07/07(水) 19:50:25 ID:YDjI/U1c
niosって、複数のCPUから同じメモリへアクセスするときの機能ついてなかったっけ
800774ワット発電中さん:2010/07/09(金) 17:14:05 ID:kX6i7XsK
伊勢のおかげで、PCを再起動でき、
毎日フレッシュなPCでしごとができて、嬉しいよ。

ありがとう、いせ。
801774ワット発電中さん:2010/07/10(土) 00:34:46 ID:mYsJwS4V
ise11なのですが、
画面最下部のコンソールウインドウのタブに「Warning」タブが出なくなってしまいました。
出ているのは「Console」「Errors」の2つです。
どのようにしたら「Warning」が出るようになりますでしょうか?
802774ワット発電中さん:2010/07/10(土) 01:51:51 ID:AMdEUs2P
ISE11だと、warning tabが無いとか?
803名無しさん@そうだ選挙に行こう:2010/07/11(日) 10:14:46 ID:7t3byOIy
やったー、LEDチカチカに成功したよ!
と言ってもサイトの手順どおりにやっただけだけど
804名無しさん@そうだ選挙に行こう:2010/07/11(日) 17:20:51 ID:GiFNSkJX
>>759
自分は「マイコン+遥か大昔に起こしたASIC」という環境でやってます
そのASICはもう社内のデファクトスタンダードで、新しく開発しり風土
すらないです。
あと、簡単に「普通」って言わない方がいいですよ。
自分周辺の環境がすべてであり共通文化だと思ってると、いつか痛い目を見ます
805名無しさん@そうだ選挙に行こう:2010/07/11(日) 18:37:33 ID:zhsYCibU
デジャビューか?
806名無しさん@そうだ選挙に行こう:2010/07/11(日) 23:18:26 ID:ryXbezxy
XilinxのFPGAの勉強を始めたいのですが、1万前後で良い評価基板はありませんか?
本付属の無駄な奴以外で。
周辺回路は自分で作るので、コンフィグROMや水晶、出来ればUSBコンフィグ回路が乗ってて他のIOはPINに出てる程度の基板を探しています。
807774ワット発電中さん:2010/07/12(月) 00:02:24 ID:SPBnYQcz
苺のにすれば?
808774ワット発電中さん:2010/07/12(月) 00:35:58 ID:dEnZYyr/
苺はFPGAを圧なってないのでは?
CPLDはいりません。
809774ワット発電中さん:2010/07/12(月) 00:39:11 ID:mbRx1pib
ユーザから質問されたときに答えられないからとか
810774ワット発電中さん:2010/07/12(月) 00:41:01 ID:mbRx1pib
まさかそんなことないよな?
811774ワット発電中さん:2010/07/12(月) 07:56:11 ID:uCwXLj02
>>806
その条件のものはない気がする。
マルツのSpartan基板に別途コンフィグケーブルを使うのが一番条件に近いかな。
812774ワット発電中さん:2010/07/12(月) 13:42:20 ID:QBO6rOQA
>>806
周辺無し、USB付で1万前後は無いと思う。

USB無しなら
http://www.mai-denshi-shop.co.jp/shopdetail/003000000001/order/
(良いかどうかは、わからない)

周辺付なら
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,790&Prod=BASYS2
とか・・・
813774ワット発電中さん:2010/07/14(水) 23:06:25 ID:+QdQLoUT
>>806
素直にSpartan-3A(N)スタータキットを買っとけ。
予算は倍かかるけど、その分の価値はあるぞ。
814774ワット発電中さん:2010/07/15(木) 01:51:04 ID:TYflGo9j
VGA出力付いてるけどアナログじゃないから8色しか出ないんだっけ
815774ワット発電中さん:2010/07/15(木) 04:25:21 ID:A5F8yRw+
アナログだけどR-2Rでビット数少ないから4096色くらいだった記憶。

・・・うろ覚えの記憶をたどるよりデータシート見たほうが早いなw
816774ワット発電中さん:2010/07/15(木) 17:43:45 ID:GH8SzzBg
しかし周辺なしでコンフィグ回路ありってなかなか需要が少なそうだな。
817774ワット発電中さん:2010/07/16(金) 01:31:09 ID:oj0MnIJu
ったく、どうしてゲート数の大きなFPGAはBGAになってしまうの?
QFP100で100万ゲート、DCM内蔵、乗算器内蔵とか、
超小型Virtex4みたいなのを出してくれよ。
818774ワット発電中さん:2010/07/16(金) 11:28:54 ID:zJLvSbXT
誰がつかうんだよ
819774ワット発電中さん:2010/07/16(金) 12:16:58 ID:1VPZ+C0D
規模がでかいデバイスはI/Oパッドを四辺に出す余裕がないんだよ
820774ワット発電中さん:2010/07/16(金) 16:13:28 ID:oj0MnIJu
>>819
なるほど、納得だな。
821774ワット発電中さん:2010/07/16(金) 18:37:40 ID:gT4Zm2J+
言われてみれば、大容量、少ピン数って言う需要もない事はないと思うね。
作られる事は無いと思うけど、あったら使ってみたい。
というか、今正にそんなデバイスが必要になってる。
822774ワット発電中さん:2010/07/16(金) 19:12:43 ID:pa6o92bd
200ピン以上のQFPは半田が面倒
背が低ければいいんだけどねぇ
823774ワット発電中さん:2010/07/16(金) 23:08:12 ID:tccpodRu
使ったQFPパッケージの中では、CycloneIII(3C25)の240ピンが最高だな。
ハンダチェックは楽だが、チップ面積が広すぎる。
824774ワット発電中さん:2010/07/17(土) 01:07:33 ID:vl4NoyKH
>>806
AVNETのSpartan3AがROMもUSBコンフィグもついてるよ
1万より、かなり安いが、安過ぎると不都合かな
I/Oも全部じゃないが、2.54ピッチで、たくさん使えたはず
825774ワット発電中さん:2010/07/17(土) 03:45:03 ID:2qLx8mKz
マイコンで開発していて、速度とか機能の追加などで
途中でFPGAに置き換えたいと思うことがある。
でも、踏み切れない。それは、
・電源3種類も必要→作るの面倒。
・ROMが要る→スペース増。トータルで部品代高くなる。
・不必要にI/O数が多い→多いのは別にいいけど、
・すぐにBGAになってしまう→実装代が高く付く。QFPのFPGA作ってくれよ。
・4層基板でBGAの外から2周のボールしか使わずに、残りを未接続にするのは、
  オレの設計思想が許さない。
・マイコンに押し込む努力をせずに、FPGAに逃げたと思われて、
  オレのプライドが許さない。
826774ワット発電中さん:2010/07/17(土) 04:07:06 ID:Kxc8sHBZ
○○ゆるさないとかいってるが、開発者の脳が無駄だらけや腐ってるんじゃね
827774ワット発電中さん:2010/07/17(土) 05:46:59 ID:yXSP97YM
>>825
ワンチップマイコンと書いた方がいいぞ。
でないとROM、RAM外付けは普通だぞ。
828774ワット発電中さん:2010/07/17(土) 11:16:31 ID:fVCr7XTL
>>825
「FPGAに置き換えたい」と思う心が
「踏み切れない」と思う心より強くなってから
再検討すればいいんじゃね?
829774ワット発電中さん:2010/07/17(土) 12:44:32 ID:FRT7qVJa
>>825
やらない理由を挙げているだけだな。

FPGAはスピード重視だろう。金は二の次。
電源が複数いるのは確かに面倒だけど、つまらんプライドなぞ犬にくれてやれ。
830774ワット発電中さん:2010/07/17(土) 12:58:22 ID:2qLx8mKz
>>825
言っていることは、良くわかる。確かにその通り。
だけど、それらをさっ引いても「速いこと」はメリット。

1人で1つの仕事しかできないマイコンで「速度が間に合わない」という対策に
あれこれテクニックを使って悩んで手間取るより、
FPGAで高速にサッと処理したほうが、開発も楽ちんだと思う。
そういう意味で、FPGA上にマイコンを載せるのは、どうかと思う。
何が嬉しいのかわからない。
831技術奴隷:2010/07/17(土) 13:00:29 ID:d2CMmcpz
>>817
全ピンが電源で良ければそれもありかも試練
832774ワット発電中さん:2010/07/17(土) 13:18:11 ID:iqRLPnQD
ちょっとしたシーケンス動作が必要だとマイコン載せたくなるもんだぜ。
833774ワット発電中さん:2010/07/17(土) 13:21:53 ID:i5HaTDeJ
>829
でも、頑張ればマイコンで出来るんだろ。
だから無理してFPGAに移行する必要が無い。
どう頑張ってもマイコンでは追いつかないなら、とっくに移行してるだろ。
834774ワット発電中さん:2010/07/17(土) 13:33:55 ID:d2CMmcpz
>>825
素直に「能力が無いから出来ません」と言えよ。
>BGAの外から2周のボールしか使わずに
なんて言ってる時点で使うための検討さえしたこと無いのバレバレだし
開発始めてから速度の問題でFPGAに置き換えなんて
マイコンさえもおぼつかなくて、やってみなくては判らないレベルなんだろ。

これだからCしか出来ない奴は…
835774ワット発電中さん:2010/07/17(土) 13:40:59 ID:RGf29EkQ
ID:d2CMmcpz
ん?

ID:2qLx8mKz
・・・おや?
836自演?:2010/07/17(土) 15:24:31 ID:Rm4tYSlp
つまりどういうことです?
837774ワット発電中さん:2010/07/17(土) 15:39:15 ID:/jZTloCO
レスが理解できなかったから他人に解読してほしいってことだよ
838774ワット発電中さん:2010/07/17(土) 17:02:50 ID:fVCr7XTL
「自演」は聞こえがよろしくない。
こういうのは昔から「自問自答」と呼ばれている。
839774ワット発電中さん:2010/07/17(土) 17:41:52 ID:7XTjsx2q
俺の中のもう一つの人格が
840774ワット発電中さん:2010/07/17(土) 22:21:58 ID:KH/7pOPR
馬鹿その1:
一知半解馬鹿は不規則媒体中での波動の伝搬問題が伊藤-シュレーディンガー式そのものだと言うことを知りましたとさゲラゲラ


馬鹿のその2:
トランジスタ技術に量子力学の知識がいらなきゃFETの入力インピーダンスがなぜ∞にならないかも説明できない。
リーク電流がいったいどういう原理で発生するかもせつめいできない


もうね死ねよおまえ
841774ワット発電中さん:2010/07/18(日) 00:20:45 ID:qTqzA6hh
大化の改新は645年!
関が原の合戦は1600年!

と、突然言い出す坂田利夫のようなものか
842774ワット発電中さん:2010/07/18(日) 00:22:36 ID:JyeLtGCn
暑くなってきたから。
843774ワット発電中さん:2010/07/18(日) 00:50:47 ID:BTy8A5KG
よい国作ろう鎌倉幕府 4192年
844774ワット発電中さん:2010/07/18(日) 00:59:02 ID:/CgFSZbM
ナイアガラ瀑布
845774ワット発電中さん:2010/07/18(日) 12:29:50 ID:30zce71v
ぐふぇ〜〜ん
動かねえよー、ただのシフトレジスタごときが動いてくれない・・・
もう嫌だ・・・何だよコレ、verilogムズイよ〜わけわかんねーよー
846774ワット発電中さん:2010/07/18(日) 13:06:03 ID:6JeFzjll
>ムズイよ〜
何これ?
847774ワット発電中さん:2010/07/18(日) 14:48:22 ID:0SoiyqEu
Verilogより日本語を先に勉強した方がいいな。

ちなみにシフトレジスタは

module shift4 (clk, xrst, data, bit);

input clk, xrst, data;
output [3:0] bit;

reg [3:0] bit;

always @( posedge clk or negedge xrst ) begin
if ( !xrst )
bit <= 0;
else begin
bit <= bit << 1;
bit[0] <= data;
end
end
endmodule
848774ワット発電中さん:2010/07/18(日) 14:59:27 ID:Z+0sgo1I
>>848

>>ムズイよ〜
>何これ?

ムズカシイよ〜、を略しただけでは。
気持ちわるいをキモイと言うのと同じ。
849774ワット発電中さん:2010/07/18(日) 16:06:01 ID:gsidbCQn
チョベリバ〜ww
850774ワット発電中さん:2010/07/18(日) 16:23:46 ID:mhDQfN6g
薄幸
851774ワット発電中さん:2010/07/18(日) 17:13:12 ID:6JeFzjll
>ムズカシイよ〜、を略しただけでは。
ムズカシくないよ〜、でも成り立つんだけど。
やめませんか? 変な省略。
852774ワット発電中さん:2010/07/18(日) 18:15:31 ID:vmSR8VeC
FPGA(Spartan 3A)を使ってのを連続的に変化するアナログ電圧を作りたいです。(0〜2.5V)
バックライトの輝度を調整するためのアナログ電圧ですなのですが、できるだけ
簡単に作るにはラダー抵抗が良いでしょうか
853774ワット発電中さん:2010/07/18(日) 18:17:03 ID:xIMqasz0
即応性が要らないならPWMを出力してLPF通すのが一番楽だと思う。
854848:2010/07/18(日) 19:14:23 ID:Z+0sgo1I
じゃあ、まず気持ちわるいをキモイと言う連中を
あなたの力で根絶してください。

気持ちわるい=キモイはすでに定着しちゃってるけどね。
それ根絶できたら大したもんだわ。

>やめませんか? 変な省略。
なんてあなたが言ってたって世の中の潮流は変わりませんてば。
855774ワット発電中さん:2010/07/18(日) 19:39:15 ID:mcniV6o+
出力電流値には注意せーよ。
856774ワット発電中さん:2010/07/18(日) 22:57:29 ID:6JeFzjll
>>854
長いものには巻かれろと? あなたは、そういうスタンスなんだ。

>気持ちわるい=キモイはすでに定着しちゃってるけどね。
日本語を知らない人、特に若い子にはね。
正しい日本語ではないことを知った上で使うなら、そこにもある話だけど、
それが正しい日本語だと思い込んでいるのは認識させないといけない。

>あなたの力で根絶してください。
微力だがそうするよ。2chで叫び続けるつもり。
857774ワット発電中さん:2010/07/18(日) 23:44:07 ID:jmhTG6F/
>>856
「正しい日本語」なんて言うのは無粋だからやめとけ。
「最近の若い者は」と同じようなもので、何百年も言われ続けてきたに違いない。
858774ワット発電中さん:2010/07/19(月) 00:15:30 ID:nDCV5ShW
>>856
君じゃ役不足だね
859774ワット発電中さん:2010/07/19(月) 00:20:12 ID:7aXt+zm2
まー、現代日本語だって、たかだか100年ほど前に作られたばかりなのに、
既に当時から色々と変わってるしなぁ。
860774ワット発電中さん:2010/07/19(月) 01:29:45 ID:SAXv65em
>>856
なんでこんな馬鹿な子が湧いてるの?
861774ワット発電中さん:2010/07/19(月) 02:05:54 ID:hfgHjWZf
つまり、おっしゃりたいことは、要するに
自演ミスの痕跡を早く流してしまいたいと言うことですね?
862774ワット発電中さん:2010/07/19(月) 03:10:45 ID:HzkqMF+C
自営スレの閑古鳥加減に反比例して、初心者系スレで自演荒らしが増えているような気がしますが..。
863774ワット発電中さん:2010/07/19(月) 08:53:46 ID:B8D834Qo
>>858
役不足ではなく、この場合力不足なw
864774ワット発電中さん:2010/07/19(月) 18:18:49 ID:b+AZZxLG
640×480ドットの画像を320×240ドットに縦横1/2にスケーリングする場合、単純に1ドット間引けば
良いのでしょうか。他に画質をよくするアルゴリズム等はあるのでしょうか。
865774ワット発電中さん:2010/07/19(月) 19:03:21 ID:14QJUkTy
>>864
職場で近くに座っている人が同じ様な事を、まさか(笑)
とりあえず基本的な3方法
・ニアレストネイバー法
・バイリニア法
・バイキュービック法
で、簡単な説明。
ttp://tt.sakura.ne.jp/~hiropon/lecture/trans.html
866774ワット発電中さん:2010/07/19(月) 21:29:55 ID:MyTNiIai
バイリニアが結構簡単でそこそこ効果もあるね
1/2にするだけなら4画素の平均とるだけだし
867774ワット発電中さん:2010/07/19(月) 22:22:53 ID:7aXt+zm2
ニアレストネイバー法は昔、PC98で画像回転するのにやったことある。
結構アラが目立つんだよな。
868774ワット発電中さん:2010/07/20(火) 14:47:25 ID:l5X3xcpl
元の画像によるな。
VTRのようなソフトな画像ならニアレストネイバーでも問題ないと思う。
CGのようなシャープな画像ならバイリニアを使わないと出力が荒くなる。
869774ワット発電中さん:2010/07/21(水) 23:36:10 ID:XsCuU6q9
ActelのSmartFusion評価キットでSoCの勉強をしようと思い、購入前にLiberoをダウンロードしましたが
使い方が今一つ分かりません。
Liberoの使い方を書いているHPがあれば教えてください。
870774ワット発電中さん:2010/07/21(水) 23:57:36 ID:oZiLiJ0w
DE2-115 ってのが発売されるね。(今のところ発売日・価格未定
CycloneIV(EP4CE115)使用、スペックオタ歓喜。
ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=502&PartNo=5
871774ワット発電中さん:2010/07/22(木) 00:18:30 ID:euNYkwCx
パッケージがグラボみたいだな
872774ワット発電中さん:2010/07/22(木) 06:55:36 ID:G+RA0UMo
EtherCAT の IPCore が付いてくるのがポイント高い。
$799なら欲しい。
873774ワット発電中さん:2010/07/22(木) 08:55:42 ID:OpS4L1rw
CycloneIIIでFPGA勉強中です。
I/O端子には、どの程度の電流を流す事ができるのでしょうか?
データシートを見た所、ピンあたり40mAと書いている所があるのですが、これでしょうか?
また、例えば、PICマイコンだと、「ピンあたりXXmA、デバイス全体でXXXmA以下」という制限があるのですが、
FPGAには、そのような(デバイス全体やブロックあたりの)制限はないのでしょうか?
874774ワット発電中さん:2010/07/22(木) 13:39:16 ID:GoGl/4gK
>>870
スイッチそんなに要らねぇwwwww
875774ワット発電中さん:2010/07/22(木) 23:22:33 ID:njDxp2Ko
>>873
勉強してるならツールぐらいダウンロードしてるよね?
IO設定するところにドライブ能力設定する所が無いか調べてみ。
あとデバイスマニュアルにもそれくらい載ってると思うが、大概のFPGAは
IOのタイプとドライブ能力は設定出来て一本当たり最大20mA前後は設定できる。
876774ワット発電中さん:2010/07/23(金) 09:27:39 ID:V88jqZFm
疑問は、
(1)データシートに40mAと載っていたのですが、本当にそんなに流せるものか。
(2)デバイス全体の制限は無いのか
たとえば、1本あたり20mAとして、100本使ったら全体で2Aになってしまうのですが、
そんなに流せるのか。
です・・・。
877774ワット発電中さん:2010/07/23(金) 09:39:18 ID:FOC9Q7VC
それもデータにトータルの許容損失載っているぞよ
878774ワット発電中さん:2010/07/23(金) 18:43:32 ID:8qJBhrcG
そんなに流す必要があるのか
879774ワット発電中さん:2010/07/24(土) 03:52:54 ID:5Ty3GaB+
>>876
考え方は、PICと同じでいいと思うよ。

>(1)データシートに40mAと載っていたのですが、本当にそんなに流せるものか。
流せます。ただし、電圧は何Vになってしまうか知らないけどね。

>(2)デバイス全体の制限は無いのか
あります。

>たとえば、1本あたり20mAとして、100本使ったら全体で2Aになってしまうのですが、
そんなに流せるのか。
流せます。電源の容量が5Aくらい供給できることと、2Aという値が許容損失の規定内ならね。

結局は、それが定格範囲内であれば、なんでもありです。
PICでも同じ。
880774ワット発電中さん:2010/07/24(土) 06:23:23 ID:YiLoW65G
>>870
マニュアルがダウンロード可になってる。
少し読んだだけでお腹一杯。
ビデオ関係が弱いと思ったけどHSMCカードで拡張すればいいのか、納得。
881774ワット発電中さん:2010/07/24(土) 06:46:20 ID:qKXV6w2W
幼少の頃より電子回路に強い興味を示す東京工業大学理学部 応用物理学科卒
東京大学大学院 システム量子工学専攻修了日立系のIT関連企業へ就職。大規模ネットワークの設計に携わる。
未踏ソフトウェアに採択される。それを機に退職・独立し、ナヒテックを設立する。平成16年経済産業省およびIPAにより、
「スーパークリエータ」に認定される平成18年技術士(電気電子部門)登録(文部科学省登録)
882774ワット発電中さん:2010/07/24(土) 08:29:47 ID:/dq1cROQ
>>875,877,879
ありがとうございました。
データシートをよく読んでみます。
883774ワット発電中さん:2010/07/24(土) 09:14:59 ID:RwOzkemg
>>879
許容損失で決まるのか?PICの場合ポート毎に合計電流規定されてたけど。
884774ワット発電中さん:2010/07/24(土) 10:54:44 ID:iZxHjNKn
>>883
PIC、PICうるせーな
許容損失で示されるデバイスだって他にいくらだってあるだろ?
885774ワット発電中さん:2010/07/24(土) 11:39:18 ID:U2uK1yJH
まぁPICからマイコンやFPGAに入る人は多いので、PICが基準になるのは仕方ないだろ。
PIC16F84を例にとると、IO一本が流せる電流は最大20mAだが、ポートあたりトータルだと100mAとなっている。
ということで、PICと同じ考え方でOKかと言われれば違う。

>(1)データシートに40mAと載っていたのですが、本当にそんなに流せるものか。
データシートにウソを書く理由が分からん。
40mAと書いてるなら40mA流せるんだろう。

>たとえば、1本あたり20mAとして、100本使ったら全体で2Aになってしまうのですが、
そんなに流せるのか。

電源次第だろう、ただ、そんなに流すと当然熱が発生するので、熱設計も必要になるだろう。


>結局は、それが定格範囲内であれば、なんでもありです。
>PICでも同じ。

PICとは違います。
886774ワット発電中さん:2010/07/24(土) 12:19:44 ID:/dq1cROQ
>>(1)データシートに40mAと載っていたのですが、本当にそんなに流せるものか。
>データシートにウソを書く理由が分からん。]

データシートがウソとか、おかしいとか言っているのではなく、
40mAという数値が大きいと(勝手に)思ったので、
自分の読み方が間違っているのかと思い、確認のための質問でした。
887774ワット発電中さん:2010/07/24(土) 13:48:04 ID:RwOzkemg
その40mAの意味を考えないと、数字だけじゃ何の意味もないよ。
短絡電流なのか、外部から無理やり電流引き抜いたときの壊れない限界なのか、VIH/VILを満たす範囲での限界なのか。
888774ワット発電中さん:2010/07/24(土) 14:14:29 ID:XRDbXlYj
>>886
>自分の読み方が間違っているのかと思い、確認のための質問でした。
どのデータシートのどの部分の表記?
それがわからないと、>>886の読み方が間違っているのすどうか、判定できない。

「○○という条件なら40mAまで流せるよ」だと思う。
その条件は、

電圧が○○Vまで下がってもイイなら、○○mA流してもいいよ、ということ。
これは、PICでも同じことで、メーカーが何Vの点で定義するかだから、
Vddからの電圧低下が
・0.1Vで見ると1mAまでになるけど、
・0.5Vで見ると10mAまでOK、
・1Vで見れば40mAでもいいよ、
・1.5V落ちてもいいなら、80mAでもよし。  という事かもしれない。
PICとアルテラで何Vで定義しているかによる。

内部の損失を○○Wまでにしてくれないと、
・熱により、内部のモジュールが機能しなくなるとか、
・熱により、ポート出力のGETが壊れてしまういか
・熱により、半導体チップ全体にに悪影響があるとか。
だから、これだけの許容損失以下に抑えてね!という事だと思う。
889774ワット発電中さん:2010/07/24(土) 18:26:44 ID:l095BIrc
XILINXのFPGAを勉強する必要に迫られていて、参考書を探しています。
>>806
で似た様な質問があり、
>>813
で紹介されていた、Spartan-3A(N)スタータキット購入を考えています。
本は、Amazonで「VHDLとCPLDによるロジック設計入門」の評価が良いので、
これを考えていますが、他にお勧めはあるでしょうか?
なお、PIC, CortexM3などのマイコンCプログラムの経験があります。
890774ワット発電中さん:2010/07/24(土) 21:29:55 ID:RwOzkemg
CやってたならVerilogのが取っ付きやすいとおもう
いやVHDLでやりたいんだっていうなら止めないけど
891774ワット発電中さん:2010/07/24(土) 21:58:18 ID:qnQnEHcI
20mA流してるI/Oが100本同時に動いたらどうなるかな
892774ワット発電中さん:2010/07/25(日) 00:11:12 ID:+bkioH/x
>>891
電源が無限に供給でき、許容損失が定格以内なら、問題はありませんが、何か?
893774ワット発電中さん:2010/07/25(日) 00:19:07 ID:iaXgZjis
電源が無限に供給できてもステップ状の2Aの過渡変化での電圧変動を許容値に抑えるのは難しそうだ。
894774ワット発電中さん:2010/07/25(日) 00:42:02 ID:t2lkGQMs
>>889
・HDLによる高性能ディジタル回路設計
  〜ソフトウェア感覚を離れてハードウェアを意識する〜 CQ出版社
つい最近まで売ってたんだけど絶版になってしまった。
探せばまだあるかも。
895774ワット発電中さん:2010/07/25(日) 01:10:25 ID:KW/Sera9
>>894
いつか買おう買おうと思ってたのに・・
急いでググって探したらHMV Onlineで見つけて即注文してしまった
896774ワット発電中さん:2010/07/25(日) 10:01:34 ID:IwUjjon+
VHDL使ってる本だともう>894でFAだったのでそれ以上は見てないんだが、
Verilog使った本でまともなのが見あたらない。Verilogでのお薦めは何?
897774ワット発電中さん:2010/07/25(日) 10:03:00 ID:IwUjjon+
>876
Latticeは知らんがXILINXのFPGAだと最大電流指定可能なピンの本数には上限がある。全ピン指定はできない。
全ピン指定可能なようにみえたら錯覚か、まあ無さそうだけどマニュアルの記載抜けだろ。
898774ワット発電中さん:2010/07/25(日) 10:27:30 ID:3WTfWMcY
まぁ、パワー食いそうな出力には外部にバッファを設けてやるのが安心だわな。
899774ワット発電中さん:2010/07/25(日) 11:47:52 ID:QPI0zre1
>>893
それを「供給できてない」と言う。
900774ワット発電中さん:2010/07/25(日) 20:20:14 ID:ODwWPgRI
>>870
外観見た感想。
 ×ピンヘッダが一つアルテラコネクタ(入手困難)になってるのかな?
 ○SDRAMが2つか?
 △イーサがあるのは○だが、そのせいでアダプタ12Vになってる?
  ボード上にスイッチングレギュレータはなさそうなので、
  電力大きめの外付け基板は別電源必要そう。
 ○リモコンなんだろ? IRDAでもあるのか。
値段にもよるが、個人の趣味用としてはDE0かDE1の方がよさそう。
901774ワット発電中さん:2010/07/25(日) 23:36:05 ID:nADmlwxz
XilinxのUSBで書き込むケーブル(黒いやつ)が、最近たまにおかしいことがある。
長く使っているから買い換えようかと思うんだけど、
現行のやつって、赤いですが、何が違うんでしょうか?
赤いやつは、書き込める品種が多いとか、通信が速いとか・・・・ありますか?
902774ワット発電中さん:2010/07/25(日) 23:54:35 ID:dHlzfg+a
赤いやつはISEの古いのだと使えません
903774ワット発電中さん:2010/07/25(日) 23:59:43 ID:b2o1BRb9
うちも黒いのおかしくなった。
なんだかデバイスの認識が怪しくなる
904774ワット発電中さん:2010/07/26(月) 00:14:31 ID:2CkM2wXn
タイマーでも入ってるのかw
905774ワット発電中さん:2010/07/26(月) 14:09:57 ID:E/QGRYpy
おれも暑さでおかしくなりそう
906774ワット発電中さん:2010/07/26(月) 20:25:01 ID:iLEFR2Cw
もう寝よう
907774ワット発電中さん:2010/07/28(水) 17:33:54 ID:gPGHaYda
ISE 12.2 インスコした?
908774ワット発電中さん:2010/07/30(金) 09:49:19 ID:bLRDt+gX
VHDLで質問なのですが、シグナルsigTestと入力SWで挙動が違いを理解できません。
1のケースであればsigTestを1にしない限りLEDは光らないのですが、
2のケースは入力をいれなくてもLEDが光ります。elseで明確にLED


1)
process ( clkSystem)
begin
  if clkSystem'event and clkSystem = '1' then
    if sigTest = '1' then
      LED <= '1';
    end if;
  end if;
end process;
2)
process ( clkSystem)
begin
  if clkSystem'event and clkSystem = '1' then
    if SW = '1' then
      LED <= '1';
    end if;
  end if;
end process;
909774ワット発電中さん:2010/07/30(金) 09:51:59 ID:bLRDt+gX
途中で送信をおしてしまったorz
VHDLで質問なのですが、シグナルsigTestと入力SWで挙動が違いを理解できません。
1のケースであればsigTestを1にしない限りLEDは光らないのですが、
2のケースは入力をいれなくてもLEDが光ります。elseで明確にLED<='0'にしないと
if SW = '1' thenでなくともLED <= '1';が実行されるとどこかでみたのですが、
入力に対してシグナルや出力ののラッチはできないということですか?

1)
process ( clkSystem)
begin
  if clkSystem'event and clkSystem = '1' then
    if sigTest = '1' then
      LED <= '1';
    end if;
  end if;
end process;
2)
process ( clkSystem)
begin
  if clkSystem'event and clkSystem = '1' then
    if SW = '1' then
      LED <= '1';
    end if;
  end if;
end process;
910774ワット発電中さん:2010/07/30(金) 12:00:30 ID:8OvxBxey
>>909
リセットはどうなってるの?
911774ワット発電中さん:2010/07/30(金) 13:28:56 ID:bLRDt+gX
>>910
process( clkSystem, reset)
begin

  if reset = '1' then
    LED <= '0';
  else
    if clkSystem'event and clkSystem = '1' then

       if SW = '1' then
         LED <= '1';
      end if;

    end if;
  end if;

end process;

こんなかんじにしてみると、思う動作なのですが、例えばLEDの属性を逆にしてみて
process( clkSystem, reset)
begin

  if reset = '1' then
    LED <= '1';
  else
    if clkSystem'event and clkSystem = '1' then

       if SW = '1' then
         LED <= '0';
      end if;

    end if;
  end if;

end process;

とすると、最初、リセットもかけてないのに LED <= '1'がはしってしまいます。
912774ワット発電中さん:2010/07/30(金) 13:36:50 ID:FQJ9q8hs
>>911
回路はどうなってるの?
913774ワット発電中さん:2010/07/30(金) 13:46:23 ID:bLRDt+gX
>>912
DE0です。LEDが1で点灯、0で消灯。
スイッチが1でON、0でOFFです。
914774ワット発電中さん:2010/07/30(金) 19:08:41 ID:/+I1res/
>>913
> リセットもかけてないのに
FPGAは大丈夫なのかも知れんが、
基本的にFF(その記述だとFFが使われる)の初期値は不定だよ。
初期値'0'でも'1'でも、どっちでも有り得る。
たまたま初期値'0'になりやすい部分のFFが使われたか、
初期値'1'に転びやすいFFが使われたかの差じゃないの?
だから初期値を明確に決めたい場合はリセットで初期値を代入してやる必要がある。
915774ワット発電中さん:2010/07/30(金) 19:40:40 ID:tnNeEPPA
High リセットなのか?
Power On リセットは入らないのか?
SWはレジスタ受けしてないのか?
とかが良くわからないな。
916774ワット発電中さん:2010/08/01(日) 00:14:52 ID:RozmXkbF
>>909
その書き方だけだと初期値不定なので
記述の解釈(論理合成)によっては信号の初期値を
Highと解釈されても仕方がない。

例えばISEだと初期値Lowでも、Quartusだと初期値が
Highになるといった具合に。

最終的にどうインプリメントされたか
レポートファイルなりFPGA EitorやChip Plannerで
確認してみれば分かる。
917774ワット発電中さん:2010/08/01(日) 01:37:24 ID:XhF8I5vl
まだそんな古いこと言ってるのか
918774ワット発電中さん:2010/08/01(日) 01:45:09 ID:xXQ7JB1x
LatticeだろうがAlteraだろうが暗黙的に初期値0になるみたいだが
919774ワット発電中さん:2010/08/01(日) 04:26:43 ID:G8SMNG6W
FPGAとマイコンを組み合わせる場合の初期化について教えてください。
電源on→マイコン用RESET待ち→RESET戻る→マイコン走り出す→
FPGAのコンフィグ終了→Done=Hになる→マイコンがそれを知る→
マイコンはFPGAのRESETピンをアクティブにして戻す→FPGAのRESET待ち(スグ)→
FPGAが「動き出したよ信号」をマイコンに言う→マイコンは、次の処理に進む。
というふうに考えているのですが、何かまずい所はあるでしょうか?
920774ワット発電中さん:2010/08/01(日) 07:21:09 ID:S+Qx3x4c
まずくないです。
921774ワット発電中さん:2010/08/01(日) 10:29:52 ID:xXQ7JB1x
done=Hになる前にリセットをアサートしたほうが良いんじゃない?
922774ワット発電中さん:2010/08/01(日) 11:57:03 ID:xZQZPajs
>>921
どちらでもよくない?
マイコンのIOがインストラクション実行に比べて遅いクロックで同期されてる場合等は
リセット信号が確実にアサートされるようにウェイトをいれる必要が出てくるだろうから
そこにdone待ちループを入れてしまったほうがすっきりするかもしれないけれど。
923774ワット発電中さん:2010/08/01(日) 12:36:36 ID:bul4O2FC
>>909
>>911
これが事実なら。

>>918
では無い石を使っているのでは?
924774ワット発電中さん:2010/08/01(日) 13:49:16 ID:8sFcCl/y
>>909 の例だと、0を代入してる文がないから、常に1というワイヤードロジックが生成されてる気がする。
>>911 の例だと、初期値1のFFが生成されているのではないだろうか。
>>918 の言っていることは、初期値を指定しなければ暗黙的に初期値として0が用いられるということかと。
意図しない動作をする場合は生成された回路を見てみよう。
925774ワット発電中さん:2010/08/01(日) 20:07:00 ID:ydG6OT5I
ちょっと適当に考えてみた。

両方ともreset='1'でFFの値は'0'になって、負論理の方は出力段にインバーターが入ってる、という回路では?
で、FFの初期値は'0'。正論理の出力は'0'、負論理の出力は'1'。
FFにSet/Reset両方は接続されていないFPGAってあったような記憶が。
ってV6の資料見たら、'0'、'1'どちらにもセットできるみたいだから、これも違うかな。
926774ワット発電中さん:2010/08/02(月) 00:21:21 ID:Xjj8hVkr
この話題は最近FPGAインフォメーションで見た気がする
927774ワット発電中さん:2010/08/02(月) 21:25:14 ID:DmaQOkId
>>886
遅レスですが。

CycloneIIIで40mAなら絶対定格。
全ピンそんなに流せるはずない。
(データシートちゃんと読めてないってことね)

ドライブ電流調整できるけどIO電圧によって変わる。
2.5VIOだと16mAまで、3.3VIOだと8mAまで。

で、隣り合う○○ピンの合計が○○mAを超えないようにって
制限があったはず。記憶では。
どのドキュメントに書いてあったかは忘れた。
928774ワット発電中さん:2010/08/02(月) 22:09:05 ID:9pWWO/X7
初期値代入のここまでの流れを見て・・・・

FPGAにおいても、
 リセット時の初期値代入は書いておいたほうが無難。
というカビの生えた古い考えを変える必要は無いのかな?
俺は変な癖ついてAsicの時に間違うと嫌だから、どっちにせよ書くけどね。
929774ワット発電中さん:2010/08/02(月) 22:29:52 ID:j8bcsAxd
明示的に書いておかないと、
FPGAのメーカーが違ったり、同じメーカーでも違うシリーズだったり、
同じメーカー、同じシリーズでも開発ツールのバージョンが違ったりすると
違う結果になりそうで怖い。

「この前作ったヤツは初期値なんか書かなくてもちゃんと動いたぞ!」
と、後から騒ぐよりも最初から明示できるものは明示しておいたほうが
いいと思う。
930774ワット発電中さん:2010/08/02(月) 22:34:58 ID:ZXhT97GV
もうリセットいらねーよ、リソースの無駄だから書くなボケって、
メーカーが発表してなかった?
931774ワット発電中さん:2010/08/02(月) 23:27:19 ID:9pWWO/X7
>>930
リセットの記述を省略した場合は、
ツールの方で非同期リセット端子を自動的にリセットに接続するだけだと思ってたが、
リソースの無駄ってことはあらかじめ非同期リセットをリセットに固定したセルが存在するのかね。
しかし、シミュレーションのこともあるし、ブツブツ・・・
古い考えをまだ捨てれんな俺。
932774ワット発電中さん:2010/08/03(火) 01:33:02 ID:B80KSrfZ
リセット記述なくてもいいから
せめてシミュレーション用に
  signal Hogehoge :std_logic := '0';
ってしておいて。
ツールによってはこれで初期値決定してくれるし。
933774ワット発電中さん:2010/08/03(火) 04:38:57 ID:1Qbi1x1v
>>932
デザインコンパイラがエラー吐くんだが、設定で消せる?
消せそうだけど。
934774ワット発電中さん:2010/08/03(火) 08:24:49 ID:9g68Dgvg
>>932
この場合、シミュレーションでは0でもダウンロードしたら1になってることもありませんか?
935774ワット発電中さん:2010/08/03(火) 23:54:51 ID:8c/10dFa
934
POR機能があるので、FFやメモリの初期値は0になる。
ただし、電源投入時に電圧が単調増加する必要がある。
936774ワット発電中さん:2010/08/04(水) 11:09:00 ID:f8IlfLDD
あくまでシミュレーション用の指定だから、
論理合成した結果、初期値1のFFになってしまう場合があるのではないか?
という意味では?
937774ワット発電中さん:2010/08/04(水) 16:26:56 ID:+aVP46JT
国内でsmartfusionの評価キット、売ってるところがあった。
ttp://www.chip1stop.com/UE027_M10.cfm?PID=ACTE-0001227&from=UE002

mouserの1.5倍もするが送料で相殺されるのかねぇ。
938774ワット発電中さん:2010/08/06(金) 23:52:04 ID:E1r7jx4/
Quartus2(ver9.1)のSOPC builderが何故か立ち上がりません

---------------------------
Java Virtual Machine Launcher
---------------------------
Could not create the Java virtual machine.
---------------------------
OK
---------------------------

こういうエラーがでるんだが、解決法ご存知の方、教えてください。
939774ワット発電中さん:2010/08/07(土) 00:07:18 ID:kosN3UWB
JAVA VM 起動するぜ
やっぱJAVA VM起動できなかった
けどOK!!!

ってことだから、まあOKなんだろ
940774ワット発電中さん:2010/08/07(土) 00:26:07 ID:Xy3JpgDU
いやOKではない。
紛らわしくてすまん。

SOPCを起動させようとアイコンを押すと、最初のロゴだけ表示されて、
下のダイアログが表示される。仕方なくOKボタンを押すと、QUARTSに戻る。

ダイアログの
タイトルが「Java Virtual Machine Launcher」
メッセージ本体が「Could not create the Java virtual machine.」
最後に「OK」ボタン
941774ワット発電中さん:2010/08/07(土) 00:27:08 ID:Xy3JpgDU
で、SOPCのbinまでのpathには空白文字は含まれていないですよ。

942774ワット発電中さん:2010/08/07(土) 01:04:30 ID:kGWa1b7f
quartusの中のjava VMを別途インストールしたjava VMで置き換えてみる。
Latticeのツールがうまく動かなかったときはこれでうまく動くようになった。
943774ワット発電中さん:2010/08/07(土) 19:45:55 ID:Xy3JpgDU
置き換えるって、上書きってこと?
944774ワット発電中さん:2010/08/07(土) 21:24:34 ID:XxJkYC4I
【民主党】朝鮮学校も無償化決定

金正日を礼賛する教育に税金を投入すべきではない。税金は日本人の未来のために使うべきです。
メール、電話、嘆願書を送ってください!

文部科学省〜御意見・お問い合わせ http://www.mext.go.jp/mail/index.html
※「高等学校の実質無償化及び高校奨学金に関すること」

首相官邸〜ご意見募集 http://www.kantei.go.jp/jp/iken.html

民主党HP〜ご意見はこちらへ https://form.dpj.or.jp/contact/

-------------------------------------------------------

朝鮮学校を無償化とする方針について、下記の理由により反対します。

1.朝鮮学校の民族教育が日本の高校に類する教育課程にあたらない

日本国憲法で規定された基本的人権の尊重、平和主義は、わが国の教育の根幹であり、また高等学校教育において
最も尊重されなければならない。しかし、朝鮮学校では金正日を絶対化した主体思想及び他国との共存を否定する
先軍主義を基本とした民族教育がなされている。この両者は決して相容れないものである。

2.検討手段の適切さを欠く

教育への助成は国費を投じての、次世代人材への投資であり、国民的合意が必要。
非公開の外部有識者のみの決定では不十分。
945774ワット発電中さん:2010/08/07(土) 22:33:18 ID:LcK4lTht
>>943

環境変数内のPATHの順番を入れ替えるだけでよくない?

想像だけどQuartusに内包しているjavaVMのバージョンが
Windowsのそれと一致してなくて起動しないんじゃないかなーと。

ならばAlteraフォルダのPATHを前に持ってきて優先順位を上げてやれば
正常に起動するのではないかと。たぶん。
946490:2010/08/08(日) 21:49:17 ID:wFU6Jtlh
>>945

アドバイスありがとうございます。

PATHを前のほう(Winシステム類の直後)に前進させましたが、
状態は変わりませんでした。

その後、試行錯誤した結果、
@プロジェクトを開いた後、SOPCのアイコンを押すと、例のダイアログが出るが、
連打すると、20〜30個例のダイアログが出て、SOPCが起動する。

A@の現象はCPUの負荷が増えると、SOPC起動しやすくなる。
(例:NIOS IDEを同時起動、デスクトップのデザインを視覚効果が大きいものにする、
 平行してTVキャプチャするとか)

@から、プロジェクトの中身やWindowsの静的な設定が原因ではないような気がします。
SSDを入れたので、Windowsの実行効率にかかわる設定を変えていましたが、全て元に戻しました。

JAVA起動時のメモリ確保とかあるのでしょうか?
947490:2010/08/08(日) 21:51:45 ID:wFU6Jtlh
OSはWinXP SP3
QUARTUSは7.2、8.1、9.1、10.0全て同じ現象でした。
(1個ずつインストール、アンインストールの繰り返し)
948774ワット発電中さん:2010/08/09(月) 13:19:34 ID:sWxBJwsC
ティクルとジャバが喧嘩してるんじゃね?
経験したこと無いけどなw
949490:2010/08/09(月) 17:01:22 ID:S4/QuWbc
ま、「なんとか」SOPCが起動するので、このまま作業することとします。
ツールの話ばかりですみませんでした。
950774ワット発電中さん:2010/08/09(月) 17:16:13 ID:u5FXbZzO
 ツールが動かないと他のことも出来ない以上、ものすごく大事なことだから
その後の結末が分かったらちゃんと報告してね。
951774ワット発電中さん:2010/08/09(月) 18:26:46 ID:4Bbc5tO5
報告って、何か上から目線な感じだよね。
952490:2010/08/09(月) 19:14:20 ID:S4/QuWbc
>>950
『「なんとか」起動する』って言ってるジャン

953774ワット発電中さん:2010/08/09(月) 19:25:46 ID:om0FlxUi
FPGAを使いたいと思っているのですが、FPGAに通信機能ってデフォルトで備わっていますでしょうか?
それともxbeeとかを接続しての通信になるのでしょうか?
954774ワット発電中さん:2010/08/09(月) 19:52:00 ID:arXg+/Is
備わっているといえば備わっているし、備わっていないといえば備わっていない
955774ワット発電中さん:2010/08/09(月) 21:12:59 ID:om0FlxUi
>>954
よければ詳しく教えていただけないでしょうか?
956774ワット発電中さん:2010/08/09(月) 21:23:39 ID:KJ/N5hiM
教わらないと使えないような機能は、たとえ備わっていても
備わっているとは言わない
957774ワット発電中さん:2010/08/09(月) 21:35:07 ID:om0FlxUi
調べ直してきます
すみません
958774ワット発電中さん:2010/08/09(月) 22:21:40 ID:0FKTkBaG
>>953
速度を気にしなければ、シリアル・パラレル通信はどのFPGAでも可能。
SPIとかI2C通信はコーディングで実現可能。
SATAとかPCIeとかの高速シリアル通信はちょっと高級なFPGAなら可能。
LANに繋ぎたいならPHYが必要。
xbeeやりたいなら外付けモジュール必須。
959774ワット発電中さん:2010/08/09(月) 23:45:32 ID:5goxOV+K
>>958
エスパーすると、初心者の言う「デフォルト」ってのは
回路をコーディングせずにってことじゃ無いかな。
何も補足説明が無く何が分からないのかも分からないけど。

>>953
そういう意味では答えは「No」です。
回路作成しなきゃ通信できません。
960774ワット発電中さん:2010/08/10(火) 00:34:25 ID:RTv53bkI
コンフィギュレーションしなくても使えるシリアルポートがあるじゃん。
あとはお前に任せた>なひたふ
961774ワット発電中さん:2010/08/10(火) 08:51:01 ID:DWzItvKT
QuartusIIを10にバージョンアップしたら
テキストフォントが日本語選択できなくなった
962774ワット発電中さん:2010/08/10(火) 09:03:15 ID:dBZENuGl
Quartus10になって一気にインストーラがでかくなったな。
963774ワット発電中さん:2010/08/10(火) 09:14:11 ID:uW4PNZ5q
>>961
あ、やはりそうだよね。
10から使い出したので、それが普通かと思ってた。
まあ、外部エディタ使っているから関係ないけど。
964774ワット発電中さん:2010/08/10(火) 15:06:00 ID:/sOY9fB/
ISE12って、どうですか? みなさん使ってますか?
10.0以来、リリースが早すぎて、ついて行けない。
965774ワット発電中さん:2010/08/11(水) 10:50:04 ID:ttIj4ROt
そろそろQUARTUS 10.0を導入しようかと思うのだが、
9.1からの大きな変更点は
「日本語が使えない」
「シミュレーションをModelsimに投げるようになった」
くらいかな?
966774ワット発電中さん:2010/08/11(水) 10:50:39 ID:fvJ10NuT
すぐには最新版を使わないと思うよ。
リビジョンが2つくらい上がったら使ってもいいけど。
戝やテドの講習に行っても、11や、下手すると10使っている
967774ワット発電中さん:2010/08/13(金) 23:47:31 ID:v6pNJhet
ISE12.2を使い始めましたが…
環境変数が.batで起動毎にローカル
で作成する仕様がちょと…
Synplifyとか環境変数を参照する
ツール使用時にdummyでISE立ち上げて
回避してるけど
あとパッチにインストーラが無く
上書きコピーってのもなんだかなぁ
968774ワット発電中さん:2010/08/14(土) 00:11:20 ID:2x1f+UuQ
VMWARE上で動かしたら?
速度が遅くなるのは我慢してw
969774ワット発電中さん:2010/08/14(土) 00:47:34 ID:RPSDSVGN
>965
うちは何でかWindowsのスタンバイが出来なくなった(XPSP3)
仕方ないから9.2に戻した
970774ワット発電中さん:2010/08/14(土) 09:55:33 ID:f4zLMF7E
ISEのGDGD度はますますパワーアップしているようだな
971774ワット発電中さん:2010/08/14(土) 10:12:30 ID:6PgHNpxS
ずーっと11を使い通すということはできないだろうか。
XIlinxのツール、もうおなかいっぱい。
972774ワット発電中さん:2010/08/14(土) 12:06:33 ID:1OUNu5JS
>>969
9.2ってあった?
SP2の事かな。
973774ワット発電中さん:2010/08/14(土) 16:05:16 ID:NS4eYMbn
うちはCEのSDKが入らなくなった
これのせいかは判らない
でもきっとこれのせい
974774ワット発電中さん:2010/08/15(日) 01:55:33 ID:4NSYJ/kW
OSの環境変数に定義しちゃえばいいじゃん。
975774ワット発電中さん:2010/08/17(火) 19:47:47 ID:Ls8Fsmlp
授業でalteraのDE2ボードを使っていろいろやって、面白かったので
自分でボードを買ってみようと思うんですがおすすめとかありますか?
DE2は高いので買えません。
976774ワット発電中さん:2010/08/17(火) 21:48:10 ID:hJjjduET
DE0アカデミック価格じゃダメなの?
977774ワット発電中さん:2010/08/17(火) 21:48:32 ID:rjiJ9lXJ
貧乏人のaltera使いはDE0買っとけ。
学生だったらアカデミック価格で買えるからこれ以外に選択肢はないな。
978774ワット発電中さん:2010/08/17(火) 22:04:10 ID:Ls8Fsmlp
>>976
>>977
ありがとうございます。
DE0を買うことにします。
979774ワット発電中さん:2010/08/17(火) 23:57:18 ID:j3YbVNXz
DE0買ったけど、ぶっちゃけDE1のほうを買うべきだったと思ってる。
DE0とDE1は値段が5000円くらいしか違わない。
DE2以上は万単位で高くなってるけど。
980774ワット発電中さん:2010/08/18(水) 14:16:00 ID:7oCqRwM5
教えてエロい人!!(>_<)
ISEをインスコしようとして、新規アカウントを有効にするとこまでは
うまくいって、さらにライセンスを取得しようとしてるんだけど、
「ライセンスファイルの取得」のページから「次へ」をやっても
そのまま何も起きずに進まなくなります。。。
ライセンス取得がうまくいった方いますか?
ブラウザは「Firefox3.6」と「IE8.0」両方とも駄目でした。。。
981774ワット発電中さん:2010/08/18(水) 16:13:41 ID:DBYVis5g
IE6なら無問題
982774ワット発電中さん:2010/08/18(水) 21:30:28 ID:8lp1oyhA
IE8なら互換表示ボタンを押してみたらどうでしょ。
983774ワット発電中さん:2010/08/18(水) 23:49:29 ID:yfwUtdRl
ザイリンクスのライセンス管理のページは死んでることが多いw
984774ワット発電中さん:2010/08/19(木) 00:32:02 ID:KG3I5N/g
>>870
価格が発表されたね。
$595-、アカデミック$329-
EtherCATのIPコアの説明がない、CDを落としてみたけど中にもなさそうだ。

>>979
パッと見て、機能的にはSRAMが載ってるかの違いくらいかな。(FPGAは除く
985774ワット発電中さん:2010/08/19(木) 16:56:43 ID:0IHIOoE0
age
986774ワット発電中さん:2010/08/20(金) 08:14:25 ID:lpqHiPCl
VHDLからブロック図を描画するフリーのソフトってありますか。
987774ワット発電中さん:2010/08/20(金) 08:27:14 ID:vnKeg/S6
QuartusII の「RTL Viewer」でTOP階層を表示するとか。
988774ワット発電中さん
ありがとうございます。調べてみます。