【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 11

このエントリーをはてなブックマークに追加
1774ワット発電中さん
2774ワット発電中さん:2009/06/30(火) 20:17:28 ID:Dd5uspLZ
3774ワット発電中さん:2009/06/30(火) 21:16:39 ID:sVNUzLP/
4774ワット発電中さん:2009/06/30(火) 21:33:28 ID:s60WmMiX
前スレ >>997
システムCのコンパイラとのセットが出てたはず。
30万くらいだったような。
5774ワット発電中さん:2009/06/30(火) 21:46:41 ID:L/qccpzt
スレ立て遅杉
6774ワット発電中さん:2009/06/30(火) 23:19:44 ID:gvS0L1oU
SystemCはテンプレートなエラーだけでお腹いっぱいになる
7774ワット発電中さん:2009/07/01(水) 01:58:08 ID:Oc7I/ind
このFR60、空き端子を全部出力にするって嫌がらせのつもり?
発信器も高杉。
78K買いそびれたけど、書籍になるようだし、こっちのほうが楽かな。
8774ワット発電中さん:2009/07/01(水) 02:48:14 ID:8KG4WyJm
前スレ最後の方で聞いた者です。再度教えてください。
「俺はFPGAできるよ」と言うには、どの程度の事を言うのでしょうか?
前スレ最後の方にあったresponseのように「仕事を無事こなして・・・・」のような話ではなく
純粋にFPGに関する技術的な観点でです。
・メモリは○○まで使ったことがあります。
・内部を○○MHZで駆動しました。
・VHDLとVerilog混在で、ソフトコアマイコンを使用しました。
・DualPortメモリをIPコアでなく、自分で作りました。
・PCI Express、Rocket I/Oを使いました。
とかでしょうか。
9774ワット発電中さん:2009/07/01(水) 04:11:54 ID:CGZDEe2f
とりあえず「ぼくの考えたスーパー戦闘機」の話をしなくなるのが、
技術者としてのスタートじゃないだろうか?
10774ワット発電中さん:2009/07/01(水) 07:29:59 ID:bTEbPHmO
もしくは「ぼくの考えたスーパー戦闘機」を完成させてしまった場合でも良いんじゃないだろうか?
11774ワット発電中さん:2009/07/01(水) 08:17:42 ID:/QYNi9lY
そこにFPGAを使うべきか判断できて、使うと決定したら動く設計を提供できる。

じゃねぇの?
12774ワット発電中さん:2009/07/01(水) 09:25:15 ID:vEaUPUvC
HDL が書けるのとはまた別のスキルが必要だしな。
HDL で LSI 設計してるやつで FPGA 使えないだろうし。
全く初めての FPGA だったら一通り使えるまでに何ヵ月かかかる。
ボード設計も含めたらね。
13774ワット発電中さん:2009/07/01(水) 11:01:20 ID:1J2OZrp8
>>8
どんなTPOで「俺はFPGAできるよ」と言いたいかによるでしょ。
そんな大雑把な区切りで自分のスキルを説明するシチュエイションて
あまり無いと思うけど。
自分になにが出来て、なにが出来ないのか、過去にどのような設計に
どういう役割でかかわった経験があるのかを具体的に簡潔に説明できる
ことが重視される場面のほうが多い。
14774ワット発電中さん:2009/07/01(水) 11:07:26 ID:T5k0PBFQ
FPGA使った製品を1つ出せばFPGAできると言えるんじゃね?
15774ワット発電中さん:2009/07/01(水) 11:20:45 ID:+4agze43
>「俺はFPGAできるよ」と言うには、どの程度の事を言うのでしょうか?

んなもん話ししてる状況によるだろ
はげ
16774ワット発電中さん:2009/07/01(水) 11:49:09 ID:BJhb9kwo
FPGAでLEDチカチカさせたいです
17774ワット発電中さん:2009/07/01(水) 11:57:10 ID:KaIA+Zfn
信号機なら作ったよ。


CPLD で。
18774ワット発電中さん:2009/07/01(水) 17:11:17 ID:E0QkZ6mN
信号機ってマイコンでやったほうが安上がりじゃないか
19774ワット発電中さん:2009/07/01(水) 17:52:44 ID:BJhb9kwo
いいなー
FPGA極めたいなー
20774ワット発電中さん:2009/07/01(水) 18:19:39 ID:KaIA+Zfn
>>18
いや、Verilog 始めるにあたってのお勉強だったんだよ。
シミュレーションレベルでよかったんだけど、せっかくだから
合成して、ちょいちょいと LED くっつけて動かしてみた。

この信号機の課題は、みんな始めるときにやるみたいだけど、
実際にモノまで作ったのはたぶん俺だけ。
21774ワット発電中さん:2009/07/01(水) 18:53:45 ID:EdC6BWKY
歩行者用の点滅とか、深夜の県道の点滅とか、ちゃんとやった?
22774ワット発電中さん:2009/07/01(水) 19:13:17 ID:KaIA+Zfn
もちろん。




…なわけないだろ。
23774ワット発電中さん:2009/07/01(水) 20:09:54 ID:E0QkZ6mN
ΔΣDACを組み込んで「カッコー カカコー」「ピヨッ ピヨピヨッ」まで実装すれば完璧
7セグも繋いで変わるまでの時間表示するとか
24774ワット発電中さん:2009/07/01(水) 20:10:15 ID:lvgHS9Ei
>>16
LEDチカチカて難しいよな。和紙なんか、未だに拉致の付録でチカチカできてないよ
LEDチカチカが出来ると、それを圧電ブザーに置き換えて和文・英文モールスで出力が可能になるんだがな
"ホレ 信号赤なり 待て ラタ" とかモールスで出力
25774ワット発電中さん:2009/07/02(木) 09:52:05 ID:kqd7RBCv
マトリクスLEDでおk
26774ワット発電中さん:2009/07/02(木) 09:57:54 ID:ufD0XVky
トランジスタとかもFPGAで作れるんですか?
27 ◆YMO/ALTERA :2009/07/02(木) 10:10:02 ID:LZN9rT7P BE:1997897696-DIA(111500)
>>1

自分の話をすると
元々師匠から与えられたFPGA基板でいきなり実装からべんきょーしたので
実務実作業で要求される"検証"に関する諸々が欠落してるんだな。

あと、クラスチェンジするにも、
実務案件での実績がない→案件で声がかからない→実績がない
のスパイラルに陥っている。

別件で、いとこ請けのFPGA屋がにっちもさっちも行かないとき、
F/W担当の俺は外部仕様を見て自ら論理起こしてしまい、最終的に
納入物にそれを含めてしまったこともあったけどな!
(件のFPGA屋が行き詰まったとき、"参照させてくれ"のリクエスト来たw)
28774ワット発電中さん:2009/07/02(木) 14:08:11 ID:E3bTd5+e
要するに、俺はデキルってアピールしたいの?
29774ワット発電中さん:2009/07/02(木) 14:55:18 ID:GnLr5c61
FPGA屋とF/W担当って、何が違うのかな。
30774ワット発電中さん:2009/07/02(木) 15:02:16 ID:hBlg1gNe
 |
 |
 |  /l、 ??
 | (・_ .7  ツンツン
 し⊂、 ~ヽ
    しf_, )〜
31774ワット発電中さん:2009/07/02(木) 15:05:23 ID:ufD0XVky
男の会話ってのは自慢のしあいだからな
32774ワット発電中さん:2009/07/02(木) 23:41:26 ID:ZRGifR9t
FPGA担当って謎だが、
rtlだけなのか、ボード設計〜立ち上げまでやるのか、
で全然違うんじゃない。
F/W担当は、ハードに近いってだけで、純粋なソフト。
33774ワット発電中さん:2009/07/02(木) 23:56:52 ID:GnLr5c61
マイコンソフトのことだろうか?
34774ワット発電中さん:2009/07/03(金) 00:08:19 ID:DBAgZPCX
一人で全部やれ
35774ワット発電中さん:2009/07/03(金) 00:10:42 ID:HMO+3n8/
だが断る。
36774ワット発電中さん:2009/07/03(金) 02:24:26 ID:8qSxAHPh
ソフトは5倍の労力
37774ワット発電中さん:2009/07/04(土) 12:35:49 ID:SCVV2CWU
久々にXilinxのWebPACKをダウンロードしようと思ったら…
IE8だとダウンロードが始まらない? Firefox3.5ならOKだった。
8.2とかの古いWebPACKはダウンロードできなくなった?
以前は、古いバージョンもダウンロードできたと思うのだけど。
38774ワット発電中さん:2009/07/04(土) 15:14:41 ID:cvUW60++
TARじゃなくてZIPとかにして欲しいな
エラーチェックがないのは不安
39774ワット発電中さん:2009/07/04(土) 15:32:24 ID:oXFVb+bP
>>37
古いISEも落とせるよ。
「ISE 10.1 or earlier...」みたいなボタンがどこかにあったはず。
40774ワット発電中さん:2009/07/04(土) 17:33:55 ID:SCVV2CWU
>>39
そこをクリックしたら、ISE 10.1 だけが候補出てくるんです。
41774ワット発電中さん:2009/07/04(土) 19:03:50 ID:SCVV2CWU
37です。
>IE8だとダウンロードが始まらない? Firefox3.5ならOKだった。

これは解決しました。
IE8でXilinxのホームページをブラウズしているときは
「セキュリティで保護された Webページコンテンツのみを表示しますか」という
メッセージに対して、「いいえ」を押さないといけないようです。
42774ワット発電中さん:2009/07/04(土) 19:15:10 ID:SCVV2CWU
37です。
>8.2とかの古いWebPACKはダウンロードできなくなった?

こちらも解決しました。
ttp://www.xilinx.com/webpack/classics/wpclassic/index.htm
(要ログイン)
でも、このページはXilinxのトップページから辿ったのではなく、
Googleで探したのでした。Xilinxのホームページは迷路のようです。
43774ワット発電中さん:2009/07/04(土) 19:24:50 ID:SCVV2CWU
37です。

スレ汚し、すみません。
トップから辿れました。
Home → Design Tools → ISE Classics → Previous Versions of ISE WebPACK

ダウンロードにきまってるだろ、と
Home → Downloads に進んだ時点でダメだったようです。
44774ワット発電中さん:2009/07/05(日) 00:09:09 ID:B5wn80US
Cyclone3ってなにが利点なの?安いだけ??
45774ワット発電中さん:2009/07/05(日) 02:58:24 ID:rZv/DNCw
>>44
Spartan3は、安いのと流通が良いのか身の上です。
Spartan 3、Spartan 3E、Spartan 3AN、Spartan 3A-DSPと種類も豊富ですよね。
46774ワット発電中さん:2009/07/05(日) 07:44:41 ID:9StXox8A
職場次第
47774ワット発電中さん:2009/07/05(日) 08:15:34 ID:f53Br2y6
>>44>>45の話が全然噛みあっていないように見えますが気のせいでしょうか。
48774ワット発電中さん:2009/07/05(日) 14:45:16 ID:Z4o0ByRg
暗にCyclone3は安いという利点は無いと言っているのかもしれん。
49774ワット発電中さん:2009/07/05(日) 14:53:55 ID:/AN3RISz
スパルタ式回答法
50774ワット発電中さん:2009/07/05(日) 15:21:42 ID:nucBHzk/
俺は
 Cyclone3も同様です
と行間を読んだのだが違うのか
51774ワット発電中さん:2009/07/05(日) 17:04:06 ID:L0XTXUY7
実はCyclone3とSpartan3は元は同じで
ALがちょっと色をつけて販売しているのがCyclone3で、そして
XIがちょっと色をつけて販売しているのがSpartan3
52774ワット発電中さん:2009/07/06(月) 01:57:02 ID:fwHJilaw
>>51
Cyclone3からはTSMC65nmLPプロセスになっててパワー削減主眼なんじゃね?
Cyclone初代、2まではGプロセスだったハズ。
だから、コアロジックに関しては大抵の案件ではStratix並みに性能出せたりした。
アルテラも商売上カテゴリ分割したいだろうから、変えたんじゃね?
高性能なのは高価格なStratixを、と。

ちょっと色付けってw
53774ワット発電中さん:2009/07/06(月) 02:13:22 ID:FleAeGMZ
Cyclone2は結構いけるなと思ってたがそういうことか。
54774ワット発電中さん:2009/07/06(月) 16:56:29 ID:xnOgCRJM
Digi-key価格
Cyclone3 5000LE EP3C5E144C8  1450円
MAX II  570LE EPM570T100C5N 1507円

CPLDとFPGAで名前が違うのと、不揮発か否かが違うとはいえ同じアーキテクチャのはずなのにこのコストパフォーマンスの違いはなんだろう。
Cyclone3が安いと考えるべきか、MAX IIが高いと考えるべきか。
55774ワット発電中さん:2009/07/06(月) 18:18:12 ID:dC/clADF
DRAMとSRAMの関係みたいなもんだよ
56774ワット発電中さん:2009/07/06(月) 20:23:40 ID:fEl3JZGV
不揮発か否かは大きいだろ。
フラッシュを載せるスペースも手間がかかる。

ついでに言えば、MAX2はCPLDと言い張っているところから、マーケットが違う。
57774ワット発電中さん:2009/07/06(月) 20:25:19 ID:fEl3JZGV
> フラッシュを載せるスペースも手間がかかる。
われながら、なんかすごい日本語だなw
58774ワット発電中さん:2009/07/06(月) 20:42:55 ID:Zov592Pz
やんちゃで手間のかかるダイなんですね。
59774ワット発電中さん:2009/07/07(火) 16:34:19 ID:5xpTyVd/
60774ワット発電中さん:2009/07/09(木) 17:52:26 ID:h7gjxqEw
MAXUで質問です。EPM240を使用して間もない者です。
内蔵のoscを使用したいのですが、QuartusUにあるLIBのoscを使用してますが、osc_envにvccを接続しても動作しないのですが、内蔵のoscを使用するにあたり、QuartusU側で設定する項目ってあるのでしょうか?
データシートを読む限りそのような項目が無いと思ってるのですが、外部から10MHzのクロックを供給すると回路は動きます。
内蔵の5MHzで動かないので悩んでいます。皆さんの意見聞かせてください。
61774ワット発電中さん:2009/07/09(木) 22:18:11 ID:aD+8qEPC
小冊子、PDFにして配布してくれればいいのにね。
62774ワット発電中さん:2009/07/09(木) 23:43:17 ID:jNAQ7tmt
>>61
小冊子って何?
誤爆?
63774ワット発電中さん:2009/07/10(金) 00:40:38 ID:pQ4E46yo
情弱は知らなくていいもの。
64774ワット発電中さん:2009/07/10(金) 02:16:14 ID:zWN8IeFY
>>60
EPM570で特に問題もなく内臓クロック使ったことあるよ
oscena=1にする以外は特に設定もない

ここに書いてある手順どおりで出来た
http://optimize.ath.cx/max2/design.htm
65774ワット発電中さん:2009/07/10(金) 04:16:27 ID:HMarvfyD

まったく このスレはFPGAの話ばっかりですね。
66774ワット発電中さん:2009/07/10(金) 06:02:19 ID:tQJ/6sFR
じゃあ、CoolRunner-IIの話でもしようか?
67774ワット発電中さん:2009/07/10(金) 08:12:35 ID:s4uIrvQc
そういえばもういっこあったスレ落ちた?
68774ワット発電中さん:2009/07/10(金) 12:50:34 ID:o1w4R7u8
>>66
CoolRunner XPLA3の話にしてください。
69774ワット発電中さん:2009/07/10(金) 13:02:40 ID:SoY48+rF
GALの話でもしようぜ
70774ワット発電中さん:2009/07/10(金) 13:22:11 ID:rpijR1LS
GALってデーター保持何年なの?
71774ワット発電中さん:2009/07/10(金) 15:07:28 ID:o1w4R7u8
まぁ、せいぜい20才ぐらいまでだ。
72774ワット発電中さん:2009/07/10(金) 17:34:25 ID:tQJ/6sFR
だれうま
73774ワット発電中さん:2009/07/10(金) 19:20:28 ID:b3mnL0tT
ここは20年遅れているスレ?
74774ワット発電中さん:2009/07/10(金) 22:51:01 ID:tQJ/6sFR
そんなに言うならispGALの話でいいよ。
75774ワット発電中さん:2009/07/11(土) 00:33:42 ID:41Wzdzl1
学校でHDLを習いだしたのでXILINXのISE WebPACKを自習用にDLしようとしたのですが上手くいきません。
住所入力などの情報の入力を要求するページが現れて色んなパターンで入力してみましたが、次に進めません。
日本在住だと嘘の海外の住所でも入れない限りDLページまで進めないんですか?
76774ワット発電中さん:2009/07/11(土) 00:40:13 ID:BtWqeYlz
進める筈だけど…?
何か勘違いした所を探ってるんじゃないのか?
77774ワット発電中さん:2009/07/11(土) 01:06:55 ID:bTGObIsJ
またページが壊れてるんじゃないのw
78774ワット発電中さん:2009/07/11(土) 01:07:35 ID:41Wzdzl1
>>76
ttp://www.xilinx.com/webpack/classics/wpclassic/index.htm
こからDLを試みてます。
10.1をDLしようとしてたのですが、9.2i_SFDは落とせるみたいなのでそっちをDLすることにします。

ところで皆さんはダウンロードケーブルってどうしてますか?
パラレルのほうは自作できるようですけど自分のPC(ノート)にパラレルポートはないし、PCカードで増設する
パラレルは3万もするんですよね。
プラットフォームケーブルも3万近くするんですよね。
79774ワット発電中さん:2009/07/11(土) 01:20:27 ID:izWM6BZY
terasic
80ngdbuild:2009/07/11(土) 03:01:44 ID:u72RltB7
Altera Quartus から Xilinx ISE に切り替えている学生です。

ISE の Tcl Shell タブで ngdbuild というコマンドを実行できるって言われたものの、
実行してみたら invalid command name "ngdbuild" って返されます。

ちなみに、Tcl Shellのタブでhelpなどのコマンドは使用できます。
ISEのインストールはフルインストール Release Version: 9.2.04i , Application Version: J40
また、WindowsのDOS窓では ngbulild コマンドを実行できます。

ngdbuild は Tcl Shell タブでデフォルトで実行できるコマンドですよね?
なにか設定が必要でしたか?

勘違いしてるだけの可能性が非常に大きいです…orz
コメント宜しくお願いします o┓
81774ワット発電中さん:2009/07/11(土) 03:04:44 ID:t8a2LHnz
>>78
ケーブルは、自分の書いた動作をFPGAで試してみる大事なケーブルなので、
俺は純正品を使っている。
書き込みケーブルが原因で書けた書けないを繰り返す時間がもったいないし。
ぜひ純正品を買ってください。安心と信頼の二つが手に入ります。
82774ワット発電中さん:2009/07/11(土) 05:11:25 ID:T1065EIb
ダウンロードケーブルが安くなればFPGA人口が増えると思うんだけどな
83774ワット発電中さん:2009/07/11(土) 10:58:34 ID:VQuSDOA1
>>82

そんな事ないでしょ。
しかし貧乏人ばっかりだなぁ
うちは5人しかいない零細企業だけどダウンロードケーブルは純正品を3社全部買ってくれたよ。
自分個人用にTerasicも持ってるし。
84774ワット発電中さん:2009/07/11(土) 11:11:57 ID:sL1toHCN
全部自腹切って買ったよじゃ無いところにワロタwww
お前も貧乏人じゃねーかww

あと>>82の言いたかったのは
(マイコン並に)ダウンロードケーブル安けりゃ、(企業としてじゃなく個人で弄りまわす)FPGA人口が増える
って意味だったんだと思うけどなw
85774ワット発電中さん:2009/07/11(土) 11:19:48 ID:z9rhVKXx
いじるだけなら、各種スターターキットが安いからおすすめなんだけどな。
86774ワット発電中さん:2009/07/11(土) 18:52:31 ID:a4E2izOG
> うちは5人しかいない零細企業だけどダウンロードケーブルは純正品を3社全部買ってくれたよ。
買わない会社を知りたいw
87774ワット発電中さん:2009/07/11(土) 19:33:36 ID:MrLjRSXP
>>86
まーーー、会社で純正ダウンロードケーブル買えないようじゃな
自社保有の開発設備は秋月のDMMだけです。
それ以外の開発に必要なのは全て発注元が支給しください。
あっ、半田ごてもありません.....よろしくです。
純正ダウンロードケーブル買えない会社ってこんな感じだろな
88774ワット発電中さん:2009/07/11(土) 19:42:16 ID:z9rhVKXx
ついでに仕事は○投げだから、自社で持つ必要が無いんだよ、たぶん。
89774ワット発電中さん:2009/07/12(日) 17:57:13 ID:XMsNWQ/P
>>87
元居た会社がそんな感じだったw
9080:2009/07/13(月) 00:07:33 ID:SZf53F4w
ngdbuildで困ってた学生です。
ある意味では(自己)解決しました。

Windows XP (Home) SP2 のマシンに入れ直したら、
ngdbuild が Tcl Shell タブで当たり前のように動作しました。

前入れてたマシンは Windows Vista Business 64 bit のため、
とりあえず Vista マシンで ISE を使わないようにしました。

お騒がせしました。
91774ワット発電中さん:2009/07/13(月) 00:17:06 ID:Qvs1Gnaf
ISE11だと、VISTA Buisiness 対応みたいだよ
92774ワット発電中さん:2009/07/13(月) 01:17:44 ID:3I/D7ZrP
Xilinx はツールが糞。Altera を使ってればいいよ。
93774ワット発電中さん:2009/07/13(月) 03:15:46 ID:Qvs1Gnaf
でもALTERAは、デバイスがタコじゃん。
94774ワット発電中さん:2009/07/13(月) 04:17:42 ID:N9vsZL9d
じゃ、間を取ってActelで。
95774ワット発電中さん:2009/07/13(月) 09:43:32 ID:uQuHPSln
3750円のJTAG注文したが使えるのだろうか・・・
96774ワット発電中さん:2009/07/13(月) 09:43:35 ID:nL165tu8
Actelは代理店がタコ。
大手には手厚いかもしれんが、中小相手は冷たいよ。
97774ワット発電中さん:2009/07/13(月) 11:59:43 ID:VdomQBKG
Actelって、代理店どこ? 東京エレクトロンだと嬉しいんだが。
98774ワット発電中さん:2009/07/13(月) 12:14:03 ID:pFrRjrWo
99774ワット発電中さん:2009/07/13(月) 13:47:50 ID:q2nGLehY
>>93
具体的に
100774ワット発電中さん:2009/07/13(月) 19:38:54 ID:3z4YM5Q5
>>99
俺93じゃないが
アルテラ:PLD屋
ザイリンクス:FPGA屋
2社ともに自由に使えるのに
PLD屋のFPGAを、FPGA屋のPLDを使ってないよな
101774ワット発電中さん:2009/07/13(月) 20:02:18 ID:14bv1M+E
ぜんぜん具体的じゃないんだが
102774ワット発電中さん:2009/07/14(火) 01:22:29 ID:4rOdj0oi
>>95
その会社の話にはあまりふれる人がいないようだけど、
jwriterじゃダメだったの?
103774ワット発電中さん:2009/07/14(火) 02:49:00 ID:nOFwhbEY
USB-パラレル変換ケーブルでパラレルJTAGケーブル使えないの?
104>>99:2009/07/14(火) 07:58:31 ID:YsanNvz2
>>100
レスありがと。

でも、うーん、
今時AをPLD屋だからで切って捨てるのはどうかと思う。
Cyclone,StratixとかってFPGAじゃん、って素で思うんですが。

APEXのアーキはなんだかPLD(P-term部)とFPGA(LUT)の小さいブロックを固めた感じだから、
それを指して「CPLDだ」って言っちゃうのは理解できなくも無い。
#まあ、それでAは大分痛い目にあってXのVに大手顧客奪われたのを
 受託会社の立場だけど至近で見てきた経験がある。

もう昔話なんじゃないですかね?
それでもAがPLD屋だ!FPGAはタコ!!
というならば、もう少し説得力のある説を聞いてみたい希ガス。
105774ワット発電中さん:2009/07/14(火) 09:56:14 ID:tHRXQ6Vi
ArduinoみたいなとっつきやすいFPGAってないですか?
106774ワット発電中さん:2009/07/14(火) 10:24:55 ID:2oB1UA8w
まずはソフトウェアシミュレーションだ
107774ワット発電中さん:2009/07/14(火) 15:06:09 ID:itDEDeyj
>>105
最近、Arduino、Arduinoって、うるさいけど、
Arduinoが楽ちんというものの、細かい所を触りたいときに
さわれないんじゃないの?
108774ワット発電中さん:2009/07/14(火) 18:26:18 ID:uJXic6uW
レゴ マインドストームのほうが簡単
109774ワット発電中さん:2009/07/14(火) 19:27:54 ID:C9HfEzMJ
電子ブロックのほうが簡単
110774ワット発電中さん:2009/07/15(水) 00:44:45 ID:ZZDiXnHe
111774ワット発電中さん:2009/07/15(水) 03:54:49 ID:2btqhZJo
Arduino、Arduinoって、布教活動がうるさいな。
秋月で売るか、トラ技の付録にでもなれば使ってみてもいいけどな。
112774ワット発電中さん:2009/07/15(水) 16:47:23 ID:OuWirADC
Quartus II 9.0sp2 Web EditionのテキストエディタでフォントをMSゴシックに変更しても日本語が入力できません
メモ帳で日本語を入力してから開く場合は表示が可能です

IMEなどの設定がいるのでしょうか?
113774ワット発電中さん:2009/07/15(水) 17:29:08 ID:Q0Srl6oe
日本語要らないだろ
114774ワット発電中さん:2009/07/15(水) 18:15:38 ID:OuWirADC
コメントに入れたいのですが
115774ワット発電中さん:2009/07/15(水) 19:02:13 ID:htf6AnSg
>>112
すぐに改善要望をアルテラにするように、でも
天下のアルテラはザイリンクスと違って黄色い猿の言語のサポートなんてしないだろうがな。
サルのくせに生意気なことを天下のアルテラに言いやがるって感じだろうな
そうじゃなければとうの昔にサポートしている
116774ワット発電中さん:2009/07/15(水) 22:00:29 ID:5F0DFQBK
アルテラはサポートいいよ
117774ワット発電中さん:2009/07/15(水) 23:42:51 ID:m/Z/pCJw
Xilinx ISEだけど、外部エディタに設定して、秀丸で入力。
これ最高です。
118774ワット発電中さん:2009/07/16(木) 00:11:01 ID:wKqq1tsd
なんだかこのスレにはアンチアルテラが巣食っているのかな?
時々突然極端なカキコミがあるね。

>>112の気持ちはわかる。
母国語のコメントがなんだかんだ言って一番いい、といった人はいるさ。
使いたい人間に対して外野が要らないだろ、とか言ってもねえ。

どうなんだろ、中国語とかもあるから2Byteコード対応進まないのかな?
119774ワット発電中さん:2009/07/16(木) 01:25:22 ID:mnPTIrTR
>>114
UTF-8
120774ワット発電中さん:2009/07/16(木) 06:24:22 ID:2LomeMq0
外部エディタで編集したのは表示できるよね
121774ワット発電中さん:2009/07/16(木) 08:54:07 ID:QPP1jLj+
今は使ってないのでよくわからんけど、昔は普通に入力できていた気がする
バージョンUPしたら出来なくなったのか
122774ワット発電中さん:2009/07/17(金) 03:53:14 ID:UV5xRJMZ
漏れも外部エディタにしてる。ISEのエディタは使いにくいから。
123774ワット発電中さん:2009/07/17(金) 09:58:32 ID:YRdpvuBu
ALTERA の ACEX なのですが内容が揮発したりします。

冷めて何回か電源入れると戻ったりします。

この石辞めるのが正解でしょうか?

ザイXXXの方はそういいます。
あるテラの人はおまいの使い方が悪いといいまつ。
124774ワット発電中さん:2009/07/17(金) 10:54:23 ID:0FtEjms2
電源シーケンスとか
125774ワット発電中さん:2009/07/17(金) 12:02:16 ID:emS4b92S
>>123
冷めて・・・てのがあるけど、何度くらいまで上がっているの?
動作中に突然ダメになるのか、電源再起動に失敗して、冷えてからだとOKとか現象の説明がうまく出来てないぞ。

電源の再起動だったら、>>124の言うとおりの電源設計の不具合による電源シーケンスミス。
あるいはどこかにでかいコンデンサがあって、放電しきる前に電源の再起動が行われているかかな?
この確認は電源OFFした直後に電源をショートさせて(低い抵抗を使ってもおk)コンデンサを完全放電させてからやってみると良い。

熱が問題かは通電する前にドライヤーなどで基板を暖めてからやっても確認できる。
熱でダメになるなら温度を上げた基板の起動に失敗するはず。

財に変えてもそのあたりの設計をちゃんとできないと同じ事の繰り返し。

失敗した時にROMの読み出しシーケンスがどうなっているかロジアナで確認してみるのも手だな。

この手の問題に一月以上掛けているなら仕事として向いていないと思われる。
126774ワット発電中さん:2009/07/17(金) 12:52:45 ID:qoySuNwc
>冷めて何回か電源入れると戻ったり
これからするとリセットがうまく行っていないような希ガス
127774ワット発電中さん:2009/07/17(金) 13:54:05 ID:kIpNTFnI
>>123
評価ボードなら石を疑う。
自作ボードならボードを疑う。
128774ワット発電中さん:2009/07/17(金) 14:34:47 ID:RALZ0bbY
>>123
俺ならお前を疑う。

^^;
129774ワット発電中さん:2009/07/17(金) 15:48:34 ID:G0BesrEZ
>>123
それ熱暴走だな。
実機動作でようやく熱設計ダメポが分るようじゃダメポ
とりあえず、クーラー付けてしのげ
130774ワット発電中さん:2009/07/17(金) 16:20:34 ID:FdsiFMxJ
まあ、いまさら ACEX を使う意味はないなぁ
131774ワット発電中さん:2009/07/17(金) 18:16:45 ID:AmFRXjQI
>>130
そうそう、それ漏れも気になった。
ACEXなんてなつかしいCycloneの前世代。

コレの今時点でのメリットってなにかしら?
5Vトレラントだったけ?そんな理由?
132774ワット発電中さん:2009/07/17(金) 19:13:17 ID:wmxO34lk
電源まわりのどこかで半田が割れてるんじゃねーの?
133774ワット発電中さん:2009/07/17(金) 19:16:41 ID:Y6E6O3P4
>>129
「冷めて」即「熱暴走」ですか・・・やれやれ
134774ワット発電中さん:2009/07/17(金) 20:17:18 ID:QCPuHH9o
>>117
最近FPGAはじめたけどエディタだめだよな。MSのVSのエディタを見習って欲しいよな
変数、パラメタ、define文字でカーソルちょっと止まったらその変数のプロバティを自動表示
ファンクション、インスタンス、assign名とかで右クリックしたら、定義に逝く?とか
表示出してすばやく定義に飛べるとか、begin-endのペアリング表示、長文を折りたたみそれが何であるかをコメント表示
こんなのがIDEのエディタに提供されてないって俺的には信じられんなんだが、
それとも、提供されているがデフォルトではメモ帳に毛が生えた程度の機能しか有効にしていないのかな
135774ワット発電中さん:2009/07/17(金) 20:28:37 ID:kIpNTFnI
>>134
それは好みじゃないかな?
個人的には、
>MSのVSのエディタ
の、ヒント機能が邪魔でしょうがない。
だからVSでも普通の外部エディタを使う派。
当然、ISE、QIIも外部エディタ。
136774ワット発電中さん:2009/07/17(金) 20:46:58 ID:V66ISXnJ
vim最高!!
137774ワット発電中さん:2009/07/17(金) 21:18:18 ID:HPifa7N2
HDLじゃないけど便利な環境以外で書く事が多くて、便利→不便→便利を繰り返すうちに変化が嫌になった。
んで、自宅で簡単に再現できる不便な方に自分の作業環境を統一したらそれに慣れてしまったよ。
フリーソフトと軽いエディタがないとソース書く気にもならなくなった。
いいのか悪いのか。
138774ワット発電中さん:2009/07/17(金) 22:10:21 ID:HPusmZ6S
外部エディタで開いても改行がおかしくなってるときあるな
139774ワット発電中さん:2009/07/17(金) 23:00:21 ID:rUWhUJr8
Terasic-Blasterを使ってるんだけど、HUB通した時と直接接続した時で書き込み速度が大分違う。
不審に思ってシリアル出力のスループット測ってみたらHUB経由時3771kbps、直接接続時495kbpsだった。
USBホストとの相性問題かと思ったがAMDチップセットでもIntelチップセットでも同様だった。
140774ワット発電中さん:2009/07/18(土) 00:24:17 ID:iM1m7fLb
transaction translatorを通したのなら、
遅くなるのは仕方ないんじゃ?
141774ワット発電中さん:2009/07/18(土) 04:22:39 ID:+LWh71al
>>134
まるで宣伝のように説明的な文章、ありがとう。
MSって会社がどこの誰だか知らないけれど、話の内容からすると、
相当お節介なエディタみたいだね。まるでマイクロソフト製品みたいだ。
Excelの、ワードの「これでもか」と言わんばかりに独善的で変な押し売り機能みたいだね。
愛知にある某自動車メーカーの製品と同じだね。

142774ワット発電中さん:2009/07/18(土) 05:47:25 ID:NVmnamZ3
何の機能も要らないならメモ帳でも使ってろ
OFFに出来る機能をOFFにせずに使ってるド素人が
143774ワット発電中さん:2009/07/18(土) 10:55:08 ID:Hvh0tEf+
>>142
ワロタ。>>141はカスタマイズなんてできないんだろな。
うまく使えば楽ができてコーディング効率上がるのにな
IDE鬱陶しい、こんなの止めれ。GUI、何んだこの超お節介なのはだろうな。
144774ワット発電中さん:2009/07/18(土) 12:51:19 ID:Wb2sZmeS
だーほ、んなもん 全部OFFで使ってるわ。
節操なく、デフォルトで全部ONになってるのが、お節介きわまりないんだ。
145774ワット発電中さん:2009/07/18(土) 12:56:07 ID:xgHVKcd3
オフにしたところで、IDE使っている時点で負けているぞw
146774ワット発電中さん:2009/07/18(土) 12:57:13 ID:fi5mXdUS
デフォルトでOFFにしたらしたで、今度は「こんな事もできないのかよ」って
騒ぐやつが居るってもんだ。
147774ワット発電中さん:2009/07/18(土) 13:01:52 ID:z/rOYAaV
なんていうか痛々しい。
148774ワット発電中さん:2009/07/18(土) 13:46:22 ID:AYQKNG4c
全くってことはないだろうけど、いらねぇ機能だな
149774ワット発電中さん:2009/07/18(土) 14:16:21 ID:JnFyv6jE
>>142 >>143は、MSの素晴らしい機能を駆使して、
効率良く仕事をこなしているに違いない。うらやましいなぁ。

>>146
>デフォルトでOFFにしたらしたで、今度は「こんな事もできないのかよ」って
確かにそうだね。
というか、そういうMSオススメの機能を「このようにすればoffにできますよ」という
のを、もっと積極的に説明文に出すべきだと思う。

あの機能がdefaultで全てonで出荷されれば、ほとんどの人がそのまま使う→
予想を上回るお節介の使い方がわからない→Word資格認定精度の創設→
その資格のための講習商売が増える→業者儲かる→
MSはまたさらにお節介を考え、defaultでonにして売る→以下繰り返し。
150774ワット発電中さん:2009/07/18(土) 14:36:43 ID:fi5mXdUS
ま、MSがそのへんヘタクソなのは感じる。
151774ワット発電中さん:2009/07/18(土) 15:44:33 ID:JnFyv6jE
あと、SoftwareUpdateの多さね。
152774ワット発電中さん:2009/07/18(土) 16:40:10 ID:GJb8xnbZ
確かにMSは業界の常識から外れてる。

 一回売ってそれっきり → アップデート・リリースなし
 毎年金を支払わせる  → アップデート・リリースする

こうすればもっと儲かるのに(´・ω・`)
153774ワット発電中さん:2009/07/18(土) 17:27:30 ID:YOQOjGlK
>>144
何について言っているん? ワード・エクセル? ISE? 外部エディタ?
ワード・エクセルのOFFに出来る機能を全てOFFして、それでHDLを書き書きしているん?

逆にワード・エクセルの機能をうまく使って効率よくコーディングしているぞなら
何々で興味わくんだがな。低脳の俺はMS Officeのよく分らん機能は
宝の持ち腐れだけど、高脳ならそれを使って開発効率を上げることが出来るかもな。
で、俺はそれを教えてクレクレと。
154774ワット発電中さん:2009/07/18(土) 18:17:10 ID:z/rOYAaV
俺も俺も。
コーディング始めて手作業の置換に堪えきれなくなるまでは自動で置換するなんて思いつきもしなかった低脳だし。
155139:2009/07/18(土) 19:33:55 ID:fNdg+pha
>>140
USB1.1のHUB通したら直接接続と同じだった
USB2.0のHUBを通すと直接接続の6倍の速さ
USB1.1のフレームが1msで2.0のフレームが125usなのと関係してるんだと思う
156774ワット発電中さん:2009/07/18(土) 22:09:56 ID:JnFyv6jE
自動置換ほど恐ろしい機能はないぞ。
おれはいつも手動でやっている。
157774ワット発電中さん:2009/07/19(日) 01:39:07 ID:68ADurFZ
なんでEDKの話が出てこない?
158140:2009/07/19(日) 01:41:28 ID:5l0uIkzA
>>155
uhci/ohciでハンドリングするよりもehci+ttでハンドリングした方が
うんと速くなるのか。

ホスト側の割込みタイミングの問題? 奥が深いな。
159774ワット発電中さん:2009/07/19(日) 01:51:46 ID:88gR/zFz
>>155
OSは何をお使い?
160774ワット発電中さん:2009/07/19(日) 08:10:43 ID:jfNxpZbZ
ACEXなのですが電源リセットは推奨回路(ある寺)運転は20-24時間/日で約半年後くらいでつ。
基板は焼けとかは見当たりません。半田もふつう。
もうごみな石だそうでつが載せ替えが正解でしょうか?

あるかたは将来的には ある寺 => ざいりん をまずかんがいる必要あり ということでつが?

161774ワット発電中さん:2009/07/19(日) 08:18:27 ID:acExNsu/
技術系板で無理やり2chっぽく振舞わなくていい
なんて言うかイライラする
162774ワット発電中さん:2009/07/19(日) 08:54:47 ID:1Hj/5yO4
>>160
電源リセットは推奨回路であっても、
パターン設計や部品選定、受動部品の問題の可能性とかありえるから、
ちゃんとオシロで電源とリセット信号、コンフィグ信号につないで
多チャンネル観測してみたら?

何度かON/OFFしつつ、
時に波形重ね書き描画とかして探ってみたら?

基本>>125氏指摘の通りだぞ。
そんなのやんなくて、A→Xとか言ってもしょうがない。

今まで指摘されてたこと、
どれだけやったの?


>あるかたは将来的には ある寺 => ざいりん をまずかんがいる必要あり ということでつが?

あるかた、とはこのスレじゃないね、
今のところこのスレで安直にA→X薦めてるのは居ない。
それはそうする妥当性のある判断材料がアナタから報告されていないから。

それとも、社内の方ですか?
そうなら、そんなの、どういう判断材料からそうなったのか不明であって、知ったことじゃない。

ねえ、
真剣に相談に乗って欲しいのなら、
姿勢を正しなよ、なってないぞ。
163139:2009/07/19(日) 09:14:21 ID:xaOjJ6Ky
>>159
XPのIntel環境と7のAMD環境両方ともだね。
ちなみに測定したスループットが6倍なだけでMAX2の書き込み時間は1.5倍くらいしか違わない。

どうもUSB-Blasterに限った話ではないようだ。
USB搭載のPICをUSB経由で書き込む時もUSB2.0HUB通すと6倍くらい速かった。
164774ワット発電中さん:2009/07/20(月) 01:25:36 ID:8XlhnioE
>>163
直接接続時495kbpsというのからみて、1フレームで1パケットしか
送れてないっぽいね。
寺の大容量デバイスを使ってる人にとっては、もしや世紀の大発見?
というか、特別な環境でもないのに、普通に使ってこんなに遅かったら、
ByteBlasterの方が、マシじゃん。
165困っている:2009/07/20(月) 07:07:52 ID:YGFHikgM
なんかずれている気がします。

Acexのパッケージに縦に筋のようなものができていてケースの黒アルマイト変色しています。

アルマイトは化学染色なので酸アルカリや手垢でも変色するのですが別の二箇所から帰ってきたものが症状同じで同じ変色があったと考えると
やはり熱ではないかと思っています。
アルテラのセールスに聞いての非常にあいまいな返事で少しでも金にしようとするのかそういうセミナーがあるので参加したらいいみたいな返事だけで実際のことはひとつも言いません。

URLを探したのですが食んだりフローはできてもそれはピンの耐熱(熱さらし)温度で ジャンクション温度は85度で駄目になるという記事がひとつだけありました。

黒アルマイトにはいろいろな方法や染料があるのですが低いもので75度くらいから変色します。

質問の趣旨としては:
果たしてそのジャンクション温度85度というときにパッケージの温度もそのくらいになっているのか?
あるいは120度とかもっと高温になっていて自然対流の空気も暖めてしまうのか?
ジャンクション温度が上がってはじめの12バイトが消えるというようなことはありえるのか?

実際には動作が停止してしばらくして電源を再度入れると動き出しかなり長い時間(1ヶ月とか)してからまた止まる。その周期がだんだん短くなる。

石を変えるというのは最近のもののほうがこの点よいのではないか?どうもアルテラ(日本社)は比較の話ですが某社よりよくない(セールスのレベル)ということ、対応に腹立つからです。
某社でも同じでしょうが、たまたまアルテラだったので。(日本生命と第一生命の保険外交員の比較と同じ話かもしれないが)たまたまアルテラ。

皆様、設計がはじめからすばらしいのと細かい具体的な設計テクをご存知なのでいまどきこんな熱暴走みたいな話ははじめからないかもしれませんが
もしご存知でしたらお教えください。
166774ワット発電中さん:2009/07/20(月) 07:35:53 ID:OmYG8sHt
確かに、かなりずれてるようだなぁ。
167774ワット発電中さん:2009/07/20(月) 07:38:38 ID:h0qU2unO
>基板は焼けとかは見当たりません。半田もふつう。

ではなかったのでつか?
168USB-Blasterもどき作者:2009/07/20(月) 08:56:38 ID:viteNCcX
高速化した新バージョン公開しました。回路図も描きなおしました。
http://sa89a.net/mp.cgi/ele/ub.htm
169困っている:2009/07/20(月) 10:13:14 ID:YGFHikgM
基板には変色やわれはないんです。
170774ワット発電中さん:2009/07/20(月) 10:16:48 ID:7qBEpKdx
>>165
オマイさんの話がズレてきているんだな、、、

取り寄せた実機で温度は実測したのかな?
ジャンクション温度がどうとか書いてるけど、その前に温度実測は?

ところでアルテラってアルテラ本体なの?
アルティマとか代理店じゃなくて?
まあ新横浜のアルティマだったら対応悪すぎなのはオイラも経験したなあ。
171774ワット発電中さん:2009/07/20(月) 10:23:51 ID:bM5HoCT+
>>165
これってスレ元が>>123で冷やせば動くってやつだよね。
相変わらず頭のネジがずれているね。

症状の報告が入ったので少しはまともになっているように見えるけど、動作時の温度を測っていない所が間抜けさを表している。
(わざと入れていないとしたら、うまい釣りだね)

動いていて、停止する時の温度はいくつなんだろう。症状からするとたぶんものすごい高熱になっている可能性が高そう。
多分ジャンクション温度近くで動作していて、焼きいれをしながら動いているものと思われる。
放熱処理とかまじめにやっているのかな?
やっていたとしても放熱板の周りの廃熱処理がうまくいっていないので、動作環境は最悪だろう。

問題はなぜ温度が上がっているのか?
・クロックが早く、使用率も高いのでデバイス自体の発熱が多い。
・バスファイトや接続先とのレベルマッチングが行われている為、内部バッファに異常電流が流れている。

熱設計については本が出ているから大きな本屋に行って手にとって勉強になりそうなのを買うとよい。
トラ技でもトランジスタの熱設計についての記事があったと思うのでそっちみるのもいい。
ちなみに「ジャンク温度が上がって」という文章はおかしい。ジャンクション温度はあらかじめ決まっているもので、上下したりはしない。

少ない情報をもとに想像すると、この基板は設計が悪い。動作時にICの温度は高温になっており、その放熱処理を怠っている。
エンジニアの年齢は20代で経験が足りない若手と推測される。経験4年以下。(もしも30代で経験5年以上だとすれば・・・・。)
不具合の原因はICが長い間の高熱動作環境によって、内部の構造に物理的ダメージが加わって動作不良が発生している。
このダメージは高温動作によるクラックのような物と推測され、温度が下がる事で物理接触が復活し動作していると推測される。

対策としてはICの温度を下げる事(放熱板をICに取り付ける)で暫定的な対策が可能であるが、温度上昇の原因を明確にしないといけない。
問題は熱上昇の理由。クロックが高速で、使用率も高い為に発生しているのであれば、発熱しにくいデバイスに変更すれば解決する。
しかし、原因がほかにあるとすれば問題は解決しない。
172>>170:2009/07/20(月) 10:41:34 ID:7qBEpKdx
>>171
的確っすね。

実測レポこないのが、
本当に苛立たしいね。
上司はナニやってんだか、とか正直オモータ。

確かに高クロック、高使用率、高活性率がもしも原因だと、
先端的デバイスに変えれば、とかいう話には行き着きそうですね。

困った君よ、
それはそうとして、早まらず、調べること調べてからだぞ。

なんか、
この思考からすると
A営業イヤイヤ→X営業素敵!→X変えた→なんか動いた(・∀・)→やっぱA駄目

とか言い出しそう。
173774ワット発電中さん:2009/07/20(月) 11:14:09 ID:dM54M4bD
ACEXってそんな高速に動いたっけ?
174774ワット発電中さん:2009/07/20(月) 11:32:28 ID:7qBEpKdx
>>173
いや、今からすれば低速だよ。

こういうのは、
その時々のプロセステクノロジにとって、
どれだけ高負荷な駆動をするか、だから。
そういう意味合いね。

ACEXって0.25umくらいだっけ?
前のFLEX6000が0.35umとかだった希ガス。
懐かしいなあ、ゴリゴリ使ったよ。
175774ワット発電中さん:2009/07/21(火) 10:25:31 ID:HRUY9nQ1
頭が悪いんでしょう
176774ワット発電中さん:2009/07/22(水) 13:16:24 ID:983r4j7Z
ユーザー登録しなくてもダウンロードできる
ISE WebPACK
ってありませんか?
177774ワット発電中さん:2009/07/22(水) 13:35:46 ID:MKhmXmlp
絶対に無い と思う ような気がする と感じられる かもしれない 
178774ワット発電中さん:2009/07/22(水) 13:36:02 ID:nZT91iWU
捨てアドでええやん
179774ワット発電中さん:2009/07/22(水) 13:59:59 ID:983r4j7Z
捨てアドなんて虚偽記載で逮捕されるやん
180774ワット発電中さん:2009/07/22(水) 14:55:23 ID:FQ1fYKbe
ウソじゃあない。そのときは確かに自分のアドレスだった。
その後捨ててしまったというだけ。
181774ワット発電中さん:2009/07/22(水) 15:01:33 ID:983r4j7Z
名前とか入れたくないし
182774ワット発電中さん:2009/07/22(水) 15:37:30 ID:BcR/3BPu
何が問題なん?
183774ワット発電中さん:2009/07/22(水) 16:05:52 ID:W/BuiMEI
芸名とか源氏名とか、ペンネームとか、通名とか etc
184774ワット発電中さん:2009/07/22(水) 17:56:42 ID:oyizGoLO
名前とか入れてもはじかれるけどな
185774ワット発電中さん:2009/07/22(水) 17:59:59 ID:983r4j7Z
>>183
逮捕されるからヤダ
186774ワット発電中さん:2009/07/22(水) 19:10:28 ID:vFFAN5/R
>>185
何それ。旅館じゃあるまいし、
個人情報ただでくれてやってバカなん?
住所も電話番号も書いてる政治家あたりの名前でも記載してやれば。
187774ワット発電中さん:2009/07/22(水) 19:16:28 ID:j75PNRKg
>>186まともに相手する必要ないよ。
ID:983r4j7Zは脳内に蛆が沸いているかわいそうなやつなんだよ。
放置してあげるのが武士の情け。
188774ワット発電中さん:2009/07/22(水) 19:46:40 ID:BcR/3BPu
きもいな
189774ワット発電中さん:2009/07/23(木) 02:41:10 ID:EXVMKoRr
えろいな
190774ワット発電中さん:2009/07/23(木) 08:25:40 ID:d6sz3OEa
電子回路はじめて1ヶ月ですけどFPGAなるものがあると
聞いたのですが入門にはEDX-004で良いでしょうか?
他におすすめがあったら教えてください。
191774ワット発電中さん:2009/07/23(木) 08:51:15 ID:YdhlqRW4
EAGLE + Olimex
192774ワット発電中さん:2009/07/23(木) 09:31:04 ID:K4+exiYv
Olimexはレジストが好きじゃない
193774ワット発電中さん:2009/07/23(木) 09:32:49 ID:eJ2cqIXQ
w
194774ワット発電中さん:2009/07/23(木) 10:29:29 ID:d6sz3OEa
総合的に判断するとEDX-004で良いということですね。
ありがとうございました。
195774ワット発電中さん:2009/07/23(木) 12:55:24 ID:MHS62dK2
>>194
坊や、それ止めな。
お奨めは、http://japan.xilinx.com/products/devkits/EK-V6-ML605-G.htm
196774ワット発電中さん:2009/07/23(木) 12:57:30 ID:eJ2cqIXQ
$1,995
197774ワット発電中さん:2009/07/23(木) 13:29:56 ID:d6sz3OEa
>>195
高すぎだろJKw
198774ワット発電中さん:2009/07/23(木) 13:57:09 ID:Xy7ReoPW
>>192
基板舐めて味わっているとはすごいな。
199774ワット発電中さん:2009/07/23(木) 17:31:46 ID:OycP4rag
>>198
好き嫌いは舐めた時の味とは限らないだろ。


しばらく一緒に住んでみたのかもしれまい。
200774ワット発電中さん:2009/07/23(木) 19:52:34 ID:Zz7U+ESf
>>199
そういうユーモアは改行を挟まない方が概ね効果的になる。
ボケる前の改行は現実でのボケた後の過度のドヤ顔に等しい。
201774ワット発電中さん:2009/07/23(木) 20:47:15 ID:V9WKbby0
酸っぱいか、イカ臭いかのどっちかじゃない?
202774ワット発電中さん:2009/07/23(木) 23:00:23 ID:OycP4rag
>>200 (・ω・ )
203774ワット発電中さん:2009/07/23(木) 23:30:38 ID:WlBcQYrD
>>201
イカ臭い女に当たった時は魂消たぜ
204774ワット発電中さん:2009/07/24(金) 00:56:06 ID:3xhXVrXw
このスレって、これから、1ヶ月以上、毎日この調子なの?

205774ワット発電中さん:2009/07/24(金) 02:00:47 ID:zBAmOfP3
はい、未来永劫この調子です
206774ワット発電中さん:2009/07/24(金) 03:24:19 ID:g0xN22RF
アルテラとザイリンクスどっちのがツール使いやすいの?
207774ワット発電中さん:2009/07/24(金) 03:53:47 ID:uhcZ8YWu
ザイリンクス
208774ワット発電中さん:2009/07/24(金) 07:48:28 ID:xTaPX7KC
だが、合成はシノプシスをオススメする。
209774ワット発電中さん:2009/07/24(金) 09:27:38 ID:RfLf+USJ
夏が終わるまでの珍棒です。
210774ワット発電中さん:2009/07/24(金) 15:18:49 ID:XLZKwD9n
>>207
ライセンス管理がザルで、使いやすいといえば使いやすいかも。

ところで、ツールのライセンス管理を厳しくする予定という噂を聞いたけれど、
どうなの? もう、なっている?
211774ワット発電中さん:2009/07/24(金) 16:45:42 ID:g0xN22RF
厳しくするメリットってなんやねん
212774ワット発電中さん:2009/07/24(金) 16:55:08 ID:E34IE+Br
違法コピー防止
213774ワット発電中さん:2009/07/24(金) 22:45:08 ID:7I1w+3w1
デバイスコピーされないならいいじゃん、とか思う。
214774ワット発電中さん:2009/07/24(金) 23:13:45 ID://QQrSmQ
ツールのライセンス料金はとても儲かる。
215774ワット発電中さん:2009/07/25(土) 00:23:18 ID:AY/2qyhi
ってか、ソフトなんてタダなんだからライセンスが売れれば
大もうけ。ウィンドウズとかね。
216774ワット発電中さん:2009/07/25(土) 00:30:01 ID:iY0Nwn4F
語弊のある・・・w
217774ワット発電中さん:2009/07/25(土) 00:37:04 ID:q5xSYvjx
割れ厨乙
218774ワット発電中さん:2009/07/25(土) 01:25:46 ID:xRbe8Jzt
>>205
やっぱ、この進行は、夏厨じゃなくて、工作員ぽいよね。
誰かに恨みを買うようなカキコでもあったんだろか。
219774ワット発電中さん:2009/07/25(土) 22:36:54 ID:rox/JhR3
テラよりザイが使いやすいか?
どちらも大して差はないと思うけどw
220774ワット発電中さん:2009/07/26(日) 13:13:20 ID:p53L9hLJ
Xiのxstは世の中SystemVerilogがすでに主流なのに未だに対応していないんだが、
AlはすでにSystemVerilog対応している?
Xi的にはお試し用のxstに期待するな、仕事では仕事用の合成使えよって感じか
221774ワット発電中さん:2009/07/26(日) 14:36:41 ID:rCkAph4e
テラとラチしか使ったことないけど、ラチの使いにくさはガチ。
全てにおいて動きが遅い。ピン配置の画面起動するのに15秒も掛かる。
論理合成もテラなら全工程15秒で終わる軽いコードでもラチは最速設定で1分掛かる。
222774ワット発電中さん:2009/07/26(日) 15:17:26 ID:s25fPieG
>>220
具体的に、System Verilog 対応ってどういうこと?
223774ワット発電中さん:2009/07/26(日) 15:34:12 ID:p53L9hLJ
>>222
ISEの仕様にSystem Verilog 対応と入れるだけ。簡単だろ
224774ワット発電中さん:2009/07/26(日) 21:56:03 ID:mY4NRYS2
代理店を大幅に増やしたのにシェアが低下。
気の毒とは思うが、ココで宣伝してもねぇ。
225774ワット発電中さん:2009/07/27(月) 02:24:26 ID:3vgmbHHG

ハードウェアの質問ですが、ここでしても良いでしょうか?
FPGAを動かす電源回路についてです。

FPAGには、1.8V, 2.5V, 3.3Vなど複数の電源が必要です。
多くの場合、5V電源など1つの電源を元に、それら3つの電源を作り出しています。
例えば、
1) 5V電源があるので、ここから3端子レギュレータでまず3.3Vを作り、FPGAのI/O電源に供給。
2) そして1)の3.3Vから、再び3端子レギュレータで2.5Vを作り、FPGAのAUX電源に供給。
3) そして2)の2.5Vから、三度3端子レギュレータで1.8Vを作り、FPGAのCore電源に供給。
一見、これでうまく行くように思います。
しかしFPGAのデータシートを見ると、全てのデバイスではありませんが、
電源をいれる順番が規定されているデバイスがあります。
その順番が、1.8V Coreを最初に、次に2.5Vを、次にI/Oの3.3Vを、などと決まっています。
中には2.5Vと3.3Vは同時でもいいです、というのもあるみたいです。
しかし、上記のような3端子レギュレータの接続では、この投入順序を満足できません。
ならばと、出力イネーブル付きの3端子レギュレータを使えばいいように思いますが、
1.8Vから「2.5V君、出力してもいいよ」と指示するためには、2.5Vが出ないとできません。
このように、電源投入順序に対して、どうしたら良いのでしょうか?

3端子レギュレータの出力を、FETでスイッチする手もありますが、本末が転倒している感じがします。
あるいは、3出力を一手に引き受けるレギュレータICがあり、その機能として、電圧の順序投入が可能な
ICがあるかもしれません。でも高そうです。
市場にこれだけのFPGAが出回っているので、もっと簡単に実現できているようにも思います。

どのような方法で投入順序を作り出しているのでしょうか?
226774ワット発電中さん:2009/07/27(月) 08:36:12 ID:40O8Zg+X
>1.8Vから「2.5V君、出力してもいいよ」と指示するためには、2.5Vが出ないとできません。
1.8Vレギュレータの入力を5Vから取ることにすれば、安上がりだよ。
227774ワット発電中さん:2009/07/27(月) 09:14:44 ID:bRGMLMqD
>>226
安上がりじゃないけど、
電圧検知IC(オープンドレイン出力)+出力イネーブル付きレギュレータの
組み合わせでやれば、リセット回路も同時に出来上がるという効果があるね。
228774ワット発電中さん:2009/07/27(月) 09:17:22 ID:P0UDTgGp
どうでもいいけど、
ttp://japan.xilinx.com/products/design_resources/mem_corner/resource/xaw_sram_qdr.htm
テクノロジそりゅー損wwwwwワロタ
229774ワット発電中さん:2009/07/27(月) 09:24:46 ID:40O8Zg+X
230774ワット発電中さん:2009/07/27(月) 10:04:10 ID:BDBxN9y2
ほう
間違ってるのはメモリの中だけか
231774ワット発電中さん:2009/07/27(月) 15:25:31 ID:+fDJQ37l
>>225
この辺のIC使って昔Stratixの電源シーケンス組んだ基板を触った。

Latticeの電源管理デバイス
http://www.latticesemi.co.jp/products/powermanager/index.cfm?source=topnav

目的と予算にマッチすれば良いのですが。どうでしょうかね。
232774ワット発電中さん:2009/07/27(月) 15:42:17 ID:dQ4VWrvH
5V→3.3V
5V→2.5V
5V→1.8V
じゃだめなの?
233774ワット発電中さん:2009/07/27(月) 16:23:17 ID:J4RmltIA
225です。
みなさん、的確なアドバイスありがとうございました。

>>226 >>232
私も5Vから直接1.8Vや2.5を作ることも考えたのですが、次の理由で躊躇しています。
投入順序が本当に1.8、2.5、3.3の順番になるのかどうかわからないかも?ということです。
確かに低い電圧から順に1.8が来て、次に2.5が来て、次に3.3が来て、最後に5Vがupするように
感じますが、必ずそうなるものでしょうか? 例えば、これら3種類の3端子レギュレータに、
3つの会社の物を使うと、物に寄っては出力が出てくるまでの時間が異なるとか無いでしょうか?
一番いいのは5V電源がゆっくりと上昇すれば、間違いなく1.8が最初にくると思います。

>>227
ありがとうございます。
そうですね。コンパレータ+en付3端子レギュレータがいいかもしれませんね。
ただ、1.8印加→2.5印加→3.3印加と順を追ってやりたいときはひと工夫がいりそうですね。
電源断時も逆シーケンス必須とかだと、さらに面倒な気が・・・・。

>>231
ありがとうございました。
未だ良く読んでいませんが、そういうICあるんですね。勉強します。

みなさんありがとうございました。
234774ワット発電中さん:2009/07/27(月) 16:37:41 ID:dQ4VWrvH
>一番いいのは5V電源がゆっくりと上昇すれば、間違いなく1.8が最初にくると思います。

これはやばい、まじやばい
235774ワット発電中さん:2009/07/27(月) 16:40:16 ID:40O8Zg+X
>>233
>投入順序が本当に1.8、2.5、3.3の順番になるのかどうかわからないかも?ということです。
そりゃ、そういうふうに制御しなきゃ順序は不定だろ。
順序制御するのは当然として、それ以前の話として、制御する前に入力電圧がないと
ダメだから5Vから取れってことだ。
236774ワット発電中さん:2009/07/27(月) 18:04:02 ID:+KcbusUk
>>233
レギュレータだけじゃなくて、普通に遅延制御しようよ。
237774ワット発電中さん:2009/07/27(月) 18:04:48 ID:J4RmltIA
>>235
ありがとうございます。
>制御する前に入力電圧がないとダメだから5Vから取れってことだ。
そうですね。5Vから取ればスグ上の電圧の状態は関係なくなりますね。

>そりゃ、そういうふうに制御しなきゃ順序は不定だろ。
この「制御しなきゃ」とは、どのような制御のことでしょう。
shut downとpower goodのある3端子レギュレータのカスケードでしょうか?
238774ワット発電中さん:2009/07/27(月) 18:41:49 ID:40O8Zg+X
>>237
>shut downとpower goodのある3端子レギュレータのカスケードでしょうか?
その方法は基本だね。
ただし、そういう制御ピンのある「3端子レギュレータ」は存在しない。
239774ワット発電中さん:2009/07/27(月) 19:21:52 ID:rWSrB8T+
この業界では初心者のものです。
FPGA(Cyclon)を使って小さな工作でも作ってみたいのですが、
まずどこから始めればいいのかわかりません。
いいサンプルやチュートリアル、参考になるWebサイト、書籍などがありましたら教えていただけますか?
240774ワット発電中さん:2009/07/27(月) 19:51:41 ID:40O8Zg+X
241774ワット発電中さん:2009/07/27(月) 19:52:24 ID:40O8Zg+X
すまん、旧版だった。
改訂版はこっち
http://www.cqpub.co.jp/hanbai/books/31/31501.htm
242774ワット発電中さん:2009/07/27(月) 19:57:13 ID:k32OCZXp
ネックレスとか指輪あたりから始めたらどうかな?
http://www.rakutaku.com/diy/ring_hills/ring_hills.html
243774ワット発電中さん:2009/07/27(月) 21:34:20 ID:HqdMok0E
>>237
enable付きDC-DCコン、レギュレータ+PLDで汁

>>239
適性無いから別の業界に行ったほうが良いよ
適性無いと結局、下手の横好き程度にしかなれず、人生を無駄にする
244774ワット発電中さん:2009/07/28(火) 00:20:43 ID:srn9Lye+
>>243
その根拠は!
ど こ に !
あ る と !
い う ん だ!
245774ワット発電中さん:2009/07/28(火) 14:20:56 ID:vWwQPX5O
CPUで無料のいいやつない?
246774ワット発電中さん:2009/07/28(火) 14:37:14 ID:oI0tn2Qt
247774ワット発電中さん:2009/07/28(火) 14:52:04 ID:KATf2GlQ
横からSUNKUS
この表でそれぞれ何LEくらい使うとかも一緒に書いたもの?
248774ワット発電中さん:2009/07/28(火) 15:36:34 ID:vWwQPX5O
>>246
ありがとう
249774ワット発電中さん:2009/07/28(火) 16:37:44 ID:nK40VRUG
>>225
> 電圧の順序投入が可能なICがあるかもしれません。でも高そうです。
> 市場にこれだけのFPGAが出回っているので、もっと簡単に実現できているようにも思います。
> どのような方法で投入順序を作り出しているのでしょうか?

”でも高そうです”って・・・
プロなら部品単価だけでなく制御回路等を含めたトータルの費用対効果で考えろよ。

とりあえず、この辺を見とけば?
http://www.national.com/JPN/xilinx/files/xilinx_design_guide_jpn.pdf
http://www.national.com/JPN/appinfo/power/files/AlteraDesignGuide_jpn.pdf
http://focus.tij.co.jp/jp/lit/sl/jajy001/jajy001.pdf
http://www.chip1stop.com/semi/fpga.cfm

”電圧の順序投入が可能なICがあるかも”と気付いているのに
自力で調べられないのはダメダメだけど。
250774ワット発電中さん:2009/07/28(火) 18:13:17 ID:LWmwxwVl

>>249
ありがとうございました。

>プロなら部品単価だけでなく制御回路等を含めたトータルの費用対効果で考えろよ。
とりあえず、プロではないです。趣味でいじってます。
マイコンを使った製作例の回路図は、ちょくちょく見ます。が、
FPGAを使った製作例、しかもヒューマンなどの電源部完成品のボード使用でなくて、
電源回路も作ったという回路例が、なかなか見つからず、みんないったいどうやってるの?
という疑問に至り、質問しました。

URLありがとうございます。とても助かりました。メーカーでもそういうICを出すほどに
パワーシーケンスは面倒みたいですね。大電流のためか、スイッチング式が多いですね。
コイルの選定とかが難しいので、可能ならシリーズパスでいければと思います。
また専用のsupervisor ICは、素人が1つ2つで手に入れにくいこともあり、
趣味では3端子レギュレータで処理するしかないのかなぁと思っています。

「3端子レギュレータ+少しの回路」で実現できないか、今日も1日考えていました。
こんな感じです。
  前提  1.2V、2.5V、3.3Vの、enable付き3端子レギュレータを用意する
  方法案1 コンパレータを置いて3つの電圧を監視して、上位レギュレータをenableする。
  方法案2 PICなどの少ピンのマイコンで制御する。
何かと有利なのは、案2のPICを使う方法です。おいしい点は、
・ハードでなくマイコン制御なので、思いのままのsequenceが実現できそうです。
・マイコン内蔵のADコンバータで、3.3V、2.5V、1.2Vの各電圧を監視できそうです。
・マイコン内蔵のBORにより、減電も検出できるので、System全体のresetやFPGAのPROGBにも使えそう。
・将来的に、VttやVrefを使うようになったときでも、同じ手法で増設できそう。
というところです。

その検討過程で、さらに変な疑問が湧いてきました。この考えは変でしょうか?
・FPGAには、power-up sequenceがあり、それを守る必要がある。
・例えばVccI/Oの3.3Vは、その順番の最後である。
・FPGAのI/Oには、各種のデバイスがぶら下がっている。
・システムの電源投入後、周辺デバイスはFPGAのI/Oにへ向けて3.3Vを出力してくる。
・FPGAのI/Oの内部回路を見ると、ESDのダイオードがVccIOに向かってつながっている。
・周辺デバイスから迫ってくる3.3Vが、このダイオードを通ってVCC_IOが2.7V程度まで上昇してしまう。
・すると、FPGAの投入順序は守られない。
・対策は、5Vや3.3Vなどシステムの全電源は、FPGAのpower-up sequenceが完了してからでないと、
 通電できない?  そんな馬鹿なぁぁぁ。
Virtex5などの大規模になると、configrationの時間も短くないので、これは問題だと思うんです。
ユーザーは装置の電源をonしてもスグにランプなどが点かないとか、変な感じがします。
どのように理解すれば良いのでしょうか?
宜しくお願いします。
251774ワット発電中さん:2009/07/28(火) 21:09:29 ID:o8nJUdtX
>249
たかがローカル電源管理と思ってこれまで読んでなかったけど
ナショセミのはっちゃけデザインとテキサスのカタいデザインの対比はなかなかおもろかった。
自分でデザインするときはテキサス風にしかならないだろうけどナショセミの力の抜き方は良いな。

それとVref=1.24Vのレギュレータで1.20V作ったのは目から鱗。リプル多そうだけど。
252774ワット発電中さん:2009/07/29(水) 00:18:26 ID:tKdDjG40
>>250
config が完了するまで VCCO を与えてはいけないというわけではないよ。
全ての電源をシーケンス通りに投入してから config が開始されても Ok
というよりそれが普通。
253774ワット発電中さん:2009/07/29(水) 01:00:10 ID:sLQ0b8lO
>>250
IOのクランプダイオードってPCI規格とかの一部の規格でしかEnableにならないと思ったけど
少なくとも手持ちのMAXIIとXP2はそのようだ
254774ワット発電中さん:2009/07/29(水) 01:00:11 ID:qc+kDTO2
>>252
夜遅くに、コメントありがとうございます。すみません。

そうすると、このような理解で良いでしょうか?
  FPGAのI/Oピンに外部から印加される電圧は、電源投入動作には関係がなくて、
  FPGAの電源投入sequenceの処理前でも、処理中でも、処理後でも、I/Oピンに3.3Vが来てもOK。

>全ての電源をシーケンス通りに投入してから config が開始されても Ok
>というよりそれが普通。
はい、一旦正しく電源が投入されてしまえば、configrationは、いつでもOKですね。
マイコンから/PROG_BをLにして、強制configrationすることも、たまにあります。

今回の疑問は、
外部デバイスの出力電圧3.3Vが、FPGAのI/Oピンに届き、保護ダイオードを経由して
VccI/O電源端子の電圧を押し上げてしまうと、電源投入順序が守れないと思っていたのですが、
違うみたいですね。
マイコンでは、これが原因でRESETがちゃんとかからないとか、
電源offにしても、この外部電圧で動いたままになってしまうとか、良くあるんですが。

その後調べていたら、身近なSpartan3のデータシートでは、電源投入順序は関係ないとしながらも、
VccAUXを最初に入れないと、一瞬だけど電流がドバっと流れるよ、と言っています。
さらにESDダイオードも内蔵しており、FPGAの電源の有無に関係なく機能している、と
書かれています。内部回路もダイオードのカソードがVccIO端子に接続されていて、良くわかりませぬ。
255774ワット発電中さん:2009/07/29(水) 01:04:41 ID:qc+kDTO2
>>253
夜遅くに、コメントありがとうございます。すみません。

>IOのクランプダイオードってPCI規格とかの一部の規格でしかEnableにならないと思ったけど
I/Oのクランプダイオードがon/offできるんですか? スゴイですね。常時つながっていると思っていました。
デバイス単品のときも保護できないといけないので、常閉(NormalClose)の回路になっているんでしょうね。
恐るべしALTERA & Lattice。
256774ワット発電中さん:2009/07/29(水) 04:09:09 ID:/RAWsTDV
何の根拠もないけど、回答があまりつかない所を見ると
みんな良くわからずに適当にやってるのか、本当に適当でOKなのか、
毎起動時デバイスが耐えているのか、ってとこだろう。
FPGA使いの人はハードが苦手な人が多いので、わからないんじゃないかな。俺もその一人なんだが。
自作例などはデザインウェーブマガジンを買うとちょくちょく載ってるよ。
(訂正。すでに廃刊)
257774ワット発電中さん:2009/07/29(水) 09:42:19 ID:rqXtsBzJ
単に長文ゆとりに答える意味なしってだけだろ。
データシートも上にあがった参考資料もまともに読んでないようだし。

例えばVccintを必ず先に上げねばならないFPGAでIOに電圧掛けたら投入順序が〜だが
投入順どうこう以前にVccoが0Vの時にIOに電圧かける時点で論外。
258774ワット発電中さん:2009/07/29(水) 09:55:37 ID:JWkR9kuY
一方通行電源も疑問だが
5Vゆっくりで読む気なくした

感じるとか思いますとかばっかり言ってたら何も進歩しないぜ
259774ワット発電中さん:2009/07/29(水) 10:10:09 ID:hSMSv2yi
たんに、rtl設計は経験があるけど、ボード設計の経験がないだけでしょ。
fpgaどうこうじゃなくて、ボード設計の本でも読んでから質問すれば?
260774ワット発電中さん:2009/07/29(水) 12:10:38 ID:K0p0Nb1B
電源投入してから動作を開始するまで時間がかかるのは
最近のシステムでは普通。
そもそも、FPGAのコンフィグ終わるまでは全ピンオープンとか
プルダウンとか、異常な状態なわけで、気になるんだったら
ハード的に対策をしなくちゃね。
261774ワット発電中さん:2009/07/29(水) 12:15:23 ID:qc+kDTO2
>>257
どうもすみません。
ゆとり世代ではありませんが、長文で失礼しました。すみませんでした。
「私はこう考えています、予想しています」というのを誤解無く伝えるために、冗長な文章になる傾向があります。
すみませんでした。でもパソコン画面1ページ程度の文章を長文と言われるとは思いませんでした。

すみませんが、教えてただけないでしょうか?
>投入順どうこう以前にVccoが0Vの時にIOに電圧かける時点で論外。
私は当初より、VccINT投入→VccAUX投入→VccIO投入という順番を実現させるというお話をしています。
つまりVccINTとVccAUXが満足に電圧上昇してい期間は、VccIO端子=0Vです。
この間に、周辺デバイスの出力からFPGAに3.3Vが向かってくると、FPGA内部の入力保護ダイオードを通して
VccIO電源ピンの電圧が上昇しないでしょうか?
宜しくお願いします。

>>258
またまたご迷惑をおかけしているようで、すみません。

すみませんが、以下の件、ぜひ教えていただけないでしょうか?

>一方通行電源も疑問だが
この一方通行とは、私の発言のどの部分の事でしょうか?

>5Vゆっくりで読む気なくした
1.2V、2.5V、3.3Vの3つの3端子レギュレータを使用し、全て電源を5Vからもらい、
最初に1.2V、次に2.5V、最後に3.3Vという順番で電圧が上がってくることを期待します。
ところが3端子レギュレータやコンデンサ値などの状況によって、先着がずれる可能性があるので、
入力の5Vをゆっくりと上げれば、順番が確保できると思うのですが、何かおかしいでしょうか?
もちろん、ゆっくりといっても、100ms程度のことです。
宜しくお願いします。
262774ワット発電中さん:2009/07/29(水) 12:28:40 ID:qc+kDTO2
>>260
コメントありがとうございます。

>電源投入してから動作を開始するまで時間がかかるのは
>最近のシステムでは普通。
はい、そうですね。2秒くらいかかるものもありました。ちょっとビックリした記憶があります。

>そもそも、FPGAのコンフィグ終わるまでは全ピンオープンとか
>プルダウンとか、異常な状態なわけで、気になるんだったら
>ハード的に対策をしなくちゃね。
ありがとうございます。
もちろん、起動中のHi-Z期間の誤動作を防止するために、
外部への出力はpull-up/pull-downで論理固定しまています。以前痛い目に遭いましたので。

ということは、FPGAのconfigrationの終了を待ってから、
基板上の他の回路の電源を入れるということでしょうか?
例えばこんな感じです。
  主電源ON→5V電源上昇。でもFPGA以外の回路には電源を送らない→
  →FPGAの電源投入順序に従って電源を印加→
  →FPGAのconfigration開始/完了待ち→
  →FPGA以外の回路の電源ON
という感じでしょうか?
宜しくお願いします。
263774ワット発電中さん:2009/07/29(水) 14:47:40 ID:72TaU71p
とりあえず、ザイリンクスのWebさんに電源シーケンスについて聞けば解決できる程度のことだった。
264774ワット発電中さん:2009/07/29(水) 18:35:48 ID:XLABt6ms
NIOSUの評価版っていろいろ制限あるんだな、残念だ
265774ワット発電中さん:2009/07/29(水) 19:16:52 ID:2ergn0t8
celoxicaのRC10ボードや
XilinxのSpartan3Lに近くて安いボードない?
スターターキットで。
266774ワット発電中さん:2009/07/29(水) 19:35:20 ID:N3DiB5Fe
その手の質問でいつも疑問なのは、いくらなら安いのかってことなんだよな。
「小さいボードない?」とか「軽いボードない?」と聞かれるのと同じ臭いがある。
267774ワット発電中さん:2009/07/30(木) 00:03:15 ID:ZNHWBKQd
極端に安いのを除いた、相対的に安い製品群。
自分は1万〜3万くらいかなと。

spartan3Lに近い製品とはどれだろうか。
268774ワット発電中さん:2009/07/30(木) 14:08:44 ID:XY3+8W3e
>>266
低脳ってそういうもんだ。会社・学校でもそんな調子でやり取りしいると

>>267
>極端に安いのを除いた、相対的に安い製品群
これじゃハァだよな
269774ワット発電中さん:2009/07/30(木) 14:49:18 ID:+04ezCEU
DDT付録のXP2って入力バッファの設定にシュミットトリガってないの?不便だな…
270774ワット発電中さん:2009/07/30(木) 16:43:24 ID:JXf7eAcs
プロ用だからな
271774ワット発電中さん:2009/07/31(金) 03:53:51 ID:n+wAC7Wt
>>270
なんでプロ用はシュミットではないのですか?
272774ワット発電中さん:2009/07/31(金) 08:15:14 ID:isPacgRC
シュミットトリガでググって、シュミットトリガの長所と短所調べてから質問を書いてね!
↓次の質問をどうぞ
273774ワット発電中さん:2009/07/31(金) 09:40:33 ID:QoYTuWF8
趣味っとのダジャレ
274774ワット発電中さん:2009/07/31(金) 10:49:37 ID:yRu5qccu
IO余ってればNOT出力して抵抗で正帰還して似非シュミットトリガにするとか
275774ワット発電中さん:2009/07/31(金) 11:23:45 ID:2g/DOkqd
普通はカウンター使ってノイズとりするんジャマイカ?
276774ワット発電中さん:2009/07/31(金) 11:29:52 ID:DPtjtcB7
今月のInterfaceを見ました。FPGAやってみようと思いました。
277774ワット発電中さん:2009/07/31(金) 11:53:05 ID:JkaFzLxH
omede10
278774ワット発電中さん:2009/07/31(金) 11:55:19 ID:2g/DOkqd
ariga10
279774ワット発電中さん:2009/07/31(金) 12:34:47 ID:UBZHOHVg
>>269
何の目的でシュミットトリガを使うの?
RC発振樹を作りたいの
280774ワット発電中さん:2009/07/31(金) 13:07:59 ID:zndJSIF7
FPGAん中に4bitマイコン作りたい……
281269:2009/07/31(金) 13:56:17 ID:2M0OnQkx
>>279
SPIのクロックが鈍ってて、1クロックが複数クロックとして認識されてビットずれしまうからシュミットトリガで波形整形したい。
シュミットトリガ使えば問題なくなることはMAXIIのシュミット入力とDDT付録基板のオンボードシュミットインバータで確認済み。
282774ワット発電中さん:2009/07/31(金) 14:07:06 ID:3nrjjGiu
普通はカウンター使ってノイズとりするんジャマイカ?

283774ワット発電中さん:2009/07/31(金) 15:01:57 ID:Ir1udvSj
なんでそんなにノイジーなの?
284774ワット発電中さん:2009/07/31(金) 15:49:09 ID:eMTd1G95
原因から断ったほうがいいんじゃ
285774ワット発電中さん:2009/07/31(金) 16:38:00 ID:Cp1tiKNn
パッチとかで空中配線引き回してるとリンギング(オーバーシュート)で
誤カウントすることあるよ。タンピング抵抗とかで手っ取り早く対策しちゃうのが
早い気がする。
286774ワット発電中さん:2009/07/31(金) 18:54:53 ID:HoZ9F01P
シュミットトリガとかカウンタ使ったノイズ取りは最後の手段だろ
線路のインピーダンスマッチングやったりするのが先なんじゃないか
287774ワット発電中さん:2009/07/31(金) 18:59:28 ID:diFNXTks
どうやってカウンター使ってノイズ取りするんの? おじちゃんたち
288774ワット発電中さん:2009/07/31(金) 19:53:32 ID:jjysnaYr
ノイズの入り具合は目的依存だろJK
携帯電話にノイズが入るからビルがないところで使えとか言っているようなもの
289774ワット発電中さん:2009/07/31(金) 20:12:46 ID:MuF7AAo5
環境依存じゃないか?
高電圧信号の発信源があるとか、
あとは基板が他社開発でノイズに弱いとかだと、
サージやラインノイズ乗りまくりだろう。
290269:2009/08/01(土) 01:43:18 ID:cnhnBtkz
ノイジーなんじゃなくて波形が鈍ってて立ち上がり・立下りが遅いのが問題
こういう場合はシュミットトリガ使うのが普通なんじゃないの?
291774ワット発電中さん:2009/08/01(土) 04:07:26 ID:ePoisC27
>>282>>283が突然ノイズの話を出してきたので 話が変になった。
始めっから>>281はクロックが鈍ってて・・・と言ってるのに。

>>290
その通り。シュミットトリガインバータで波形整形するのが王道。
FPGA内部で数値的に処理することも可能。でも遅いクロックの生成など面倒でもあるので、
やはり外部でシュミットICを使うのが正しいと思う。

292774ワット発電中さん:2009/08/01(土) 15:23:20 ID:19DLUaVl
>>290
波形が鈍っている原因が分かっているのか?
単に波形みたらなまっていた。で何も考えずにシュミットで対策ってレベルか
293774ワット発電中さん:2009/08/01(土) 15:46:41 ID:9xDXuKLP
鈍ってるだけなら、シュミットトリガ使う必要ないよ。
294269:2009/08/01(土) 17:39:11 ID:cnhnBtkz
>>292
出力インピーダンスが300Ωと高めだからケーブルやピンの寄生容量で鈍ってるんだと思う

>>293
スレッシュホールド電圧付近の時間が長いとH・Lがバタバタしてしまうと思うんだけど
295774ワット発電中さん:2009/08/01(土) 18:03:15 ID:K/m2GgNx
入門用にFPGAボードで学ぶVHDLとAREAのSD-PCI9805-1P
買ってみたけど動作しなかった…
parport.sys、parvdm.sysを使わないパラレル拡張ボードはダメなのね
http://www.alteraforum.com/forum/showthread.php?t=2822
296774ワット発電中さん:2009/08/01(土) 18:55:38 ID:AqovMjFt
>>295
LPT拡張ボードに相性があるって、スレに何度か出ているから既知(ガイチ)だろ
Alで動作するLPTボードを調べて買わないってゆとりだな
自分のポリシーに従い(事前に調べない)、すぐに別なボード買ってトライだ
そのうち動作するのにぶつかるよ
297774ワット発電中さん:2009/08/01(土) 19:30:07 ID:vvdYDQ6j
>>293
>鈍ってるだけなら、シュミットトリガ使う必要ないよ。
なぜでしょう? 理由を教えていただけないでしょうか?
298774ワット発電中さん:2009/08/01(土) 20:20:58 ID:S51W+JzS
文字通り鈍っているだけなら必要ないとは言えるけどね。
普通はそれに小さなノイズが乗るから何らかの対策が必要になってくる。
最近のカスタムICはカウンターを使ってノイズを取っているんだから、
FPGAもそうしろということだろうと思う。
299774ワット発電中さん:2009/08/01(土) 22:24:05 ID:vvdYDQ6j
>>298
>文字通り鈍っているだけなら必要ないとは言えるけどね。
>普通はそれに小さなノイズが乗るから何らかの対策が必要になってくる。

そうでしょうか?

例え信号にノイズがゼロでも、ダラーっと上昇してくる波形に対して、
ある1点でHとLの切り分けは出来ないと思います。

例えば、2.5Vのスレッシュ電圧を持つゲート(FPGAでもいい)があるとします。
そこに入力信号が ダラーっとしながら2.5Vに近づいて来ます。
2.501Vになりました。するとゲートはHだと思い、Hを出力します。
これで万事OKな気がしますが、
データシートのViLとViHの差があんなにあることからも容易にわかるように、
IC内部のスレッシュが一定であるはずはありません。
いったんHと判定したあとで、絶対にLにならないという保証は無いと思います。
ゲートICの内部回路のスレッシュが変動し、再びLになることは普通に発生すると思います。

要は、
ダラーっと変化する信号は、いくらそれがノイズ無しのきれいな信号であっても、
ゲート側のスレッシュがフラフラするのだから、1点だけのH/Lは起きないと思うんです。
もちろん入力信号がダラーとではなく、高速にシャキっとしている場合は、話は別です。
この場合、1点だけのH/Lが成り立つと思います。

ですから、
入力信号のノイズの有無に関係なく、ダラーとした信号を受ける際は、
窓電圧を持つシュミットトリガーで受ける必要があると思いますが、
どうでしょうか?
300774ワット発電中さん:2009/08/01(土) 22:29:02 ID:ejUwSzjK
おまいら言っているのはフェーズジッターのことだな。
そういう場合はFPGAに入れたクロックは使わず、FPGAに入れるクロックを別系統から回すのだよ。
301774ワット発電中さん:2009/08/01(土) 22:30:24 ID:S51W+JzS
昔のICは中間電位で発信したりしていたけど、最近のは対策されているとおもうよ
302774ワット発電中さん:2009/08/01(土) 22:34:38 ID:ejUwSzjK
フェーズジッタは語弊があるか;;
303774ワット発電中さん:2009/08/01(土) 22:42:36 ID:I/mu3WxB
ゆっくり動く信号だったらシュミットでも良いが(そんなんならカウンタでも良いが)
とにかく速く動いて欲しい部分でシュミットなんて使ってたら、遅延でどうなるかなんて目に見えてるよな?

で全部の入力ピンにシュミット相当の回路と入力選択用の回路つけてたらどうなるかも理解出来るよな?

そりゃ”ついてる分には構わない”が、”何でもかんでも付いてりゃ高価でも良い”ってもんじゃない
ってもんだと思うんだが?
304774ワット発電中さん:2009/08/02(日) 00:07:00 ID:frSUl0wY
>>299
ダラーであるかシャキッであるかってどうやって判断する?
それがシュミット受けにするかしないかのお前の判断基準と思うが...

ダラーじゃなくtr/tf条件を満たさない、シャキッじゃなくtr/tf条件を満たすとか
とかの表現に汁。キーワードはダラー、でもこれ何だろってならないか。
305774ワット発電中さん:2009/08/02(日) 00:15:05 ID:N/RoZtiE
>>301
>最近のは対策されているとおもうよ
その対策こそ、何を隠そうシュミット入力化なんだけどね。
ViLとViHの間は状態規定の無いグレーゾーンだから、
その中にヒス窓を持ってくれば良い。

>>303
さすがですね。
確かにシュミットにすると、遅くなる。74ACクラスで5ns→10nsに落ちるね。

ノイズと聞くと、スグに「コンデンサ抱かせればいいよね」という人が いかに多いことか。
R無しでCだけ抱かせると、逆に線路上のノイズが寄ってくる事を知らないんだな。
しかもそれを非シュミットの入力ピンでもおかまいなし。
HDL記述専門の人なのに「オレはハード設計者だ」なんておっしゃる方に多いですね。
 (オシロもロジアナも使えない、ChpScopeだけで仕事をてる気になってる人の、どこがハード設計者なの?と、心の中で)
306774ワット発電中さん:2009/08/02(日) 00:19:13 ID:N/RoZtiE
>>304
はい、もっともです。
10-90が、5msと5nsでは全然違いますからね。

オシロの自動測定によるtr, tfの値も、結構当てにならないって知ってました?
オーバーシュートをどのように扱うかでtr, tfなんてゴロゴロ変わりますからね。
あと負荷容量ね。
307774ワット発電中さん:2009/08/02(日) 00:20:52 ID:N/RoZtiE
訂正
×仕事をてる気になってる人
○仕事してる気になってる人
308774ワット発電中さん:2009/08/02(日) 00:40:50 ID:HELd1LYl
>>306
おいおい、自動測定に頼るって....波形をみて自分で判断できないのか
309774ワット発電中さん:2009/08/02(日) 01:20:40 ID:b0AGVxyP
>>305
なんかコンプレックスあるのか?
310774ワット発電中さん:2009/08/02(日) 01:42:42 ID:N/RoZtiE
だから自動測定は参考にしかしていません。
最近の人は、自動測定がないとオシロから値が読めないみたいなので、
あえてここに書いたんです。

コンプレックスはありますよ、もちろん。

コンプレックスの無い人なんて、いませんからね。
コンプレックスが、活力の源になるんです。
品質、性能、納期への 人一倍のこだわりは、コンプレックスがあってこそね。



311774ワット発電中さん:2009/08/02(日) 01:59:38 ID:KotfnteF
>>269
話が変な方向に流されてるので、マジレスするが、ちゃんと
同期回路って概念は知ってるのか?
FPGAらしく同期回路で作ってれば、基準クロックのエッジでしか
読まないんだから、パタパタしても問題になるわけないだろ?
基準クロックの周期以上にパタパタが続くなら、カウンタとかで、
幅を数えて短いのは無視すりゃいいだけのこと。
だから、シュミットなんて不要ってレスがたくさん来る。
ちゃんと同期式で設計してるのに、誤カウントするなら、
SPIの通信相手が同じクロックを基準に動いてるのかわからん
が、レーシングを起こしてるのでは?
MAXUとかで動作するのは、基準クロックとのタイミング関係
が変わって、たまたまいい塩梅になるだけで、シュミットとは
無関係な可能性もある。
312774ワット発電中さん:2009/08/02(日) 02:15:19 ID:WH8FGCKn
>>310
最近の人ってだれ?
なんか統計でもとったの?
313774ワット発電中さん:2009/08/02(日) 02:18:34 ID:71p6uG3p
最近の人だけど、学生のうちに買えるオシロなんてたいして機能もないアナログオシロだから
自動測定の使い方がわからんよw
314774ワット発電中さん:2009/08/02(日) 03:14:14 ID:tWhISjDU
学生のうちに買えるオシロってUSBでつなぐような奴じゃないの?
こんなのとか
http://akizukidenshi.com/catalog/g/gM-01620/
315774ワット発電中さん:2009/08/02(日) 03:54:37 ID:N/RoZtiE
話の中に取り上げる「若い人」ってのを、
統計とらないと使えないというのも変な話だが、
ウチの会社の若いやつ8割以上は、オシロでの測定に自動ボタンを探す。
「/div」の意味がわからないみたいだな。
上記のような数字ではダメか?>>312
なんならパーセントで言おうか? 80.000%だけど。>>312
まだ不足か? >>312

>>314
自分のカネでUSBオシロを買うような学生は、ほとんどいない。
学校の授業で使うオシロが、既にデジタルオシロで自動測定の旨味を知っているので、
目盛なんか数えて測るより、自動測定のボタンを探すわな。
確かに周波数などは、正確に表示されるけど、Vp-pなんてボロボロ。
垂直の帯域を不必要にFullにしてあるので、測定値が大きく出るわな。
316774ワット発電中さん:2009/08/02(日) 05:00:30 ID:S1s+W1iV
>>315
>垂直の帯域を不必要にFullにしてあるので、測定値が大きく出るわな。
そうそう、みんな知らないみたいだけど、ピーク電圧を自動計測で測定するには、
平均をかけないと正しく出ない。
あとよくやるのが、100MHZオシロで40MHzとかを測定する人ね。
2倍のサンプルレートで何がわかるというのか。
だいたいはサンプルレートの1/10くらいしか使い物にならないのにね。
100MHzを測りたかったら、500MHZ〜1GHzの帯域のオシロでないとダメよ。
317774ワット発電中さん:2009/08/02(日) 10:41:21 ID:aG79TMoe
>316
帯域とサンプリングレートをごっちゃにして語る奴も相当にアレだけどな。
318774ワット発電中さん:2009/08/02(日) 10:59:29 ID:8DR4CDZl
>>316
自分の書いた文章をよく読みなおすんだ。
穴埋め問題みたいな間違えがあるぞwww
319くり:2009/08/02(日) 11:18:30 ID:dIkyXx2k
>>318
>穴埋め問題みたいな間違えがあるぞwww

 うーん、確かに。「次の文章の間違いを正せ。」という問題だとすると
良問ですね〜。
320269:2009/08/02(日) 11:33:08 ID:9acVauEo
>>311
メインクロックとSPIのクロックの比の自由度を確保するために、
SPIのシリパラ変換部分はSPIのクロックで動かすようにしてる。
パラレル化後にメインクロックに同期させてる。
現状SPIクロックが12MHzでメインクロックが28MHzなのでSPI部分も同期回路にしてカウンタで除去というのはあまり現実的ではない。

MAXIIでもCMOS入力にすると同じようにビットずれするから微妙なタイミングの問題ではないかと。
321774ワット発電中さん:2009/08/02(日) 15:01:05 ID:FXG4fHhF
>>320
おれ、311じゃないが
12MHzSPIクロックで波形なまっているって、もう正弦波みたいなクロックなんか
(ViL〜ViH区間が長いって感じか)
こんな信号なら波形整形して入力すべきだろな
出来るのか知らんが、入力クロックを空きピンにバッファアウトさせて、入力と比較
してみるのも良いんじゃね(ViL〜ViHがどう判断されているか見るのも良いんじゃないか)

処理的にはそれでで良いと思う。

お前ら、オシロ使っている会社なら
新人のころHP(アジ)/テクトロ/LeCroyなんかオシロ入門やアプリノートををぺろぺろ舐めさせられたろ
それすらさせないゆとり会社が最近は多いのか
自分が舐める測定器の入門書ぐらい舐めないと、測定器をぺろぺろ出来ないぞ
322774ワット発電中さん:2009/08/02(日) 15:03:13 ID:FuoLy+s0
ヒント:夏休み
323774ワット発電中さん:2009/08/02(日) 16:01:15 ID:PSRxXG0q
まあまあ、お互い熱くならないで
判ってる人はちゃんと設計できて仕事でトラブらないし、
判ってるつもりで判ってない人は必ずどこかでハマるんだから
324774ワット発電中さん:2009/08/02(日) 21:42:44 ID:tWhISjDU
好きなようにすれば良いさ
325311:2009/08/02(日) 23:46:29 ID:Sms2kHfD
>>320
一応、FPGAらしく作るなら、PLLで28Mクロックの周波数を上げて
対応するんだが、「現実的ではない」ってのは、試したらダメ
だったから禁断の非同期にしたのか?
どっちにしても、ケーブルを通す12MのSPIってのは、難度が高い
気がするがなあ。 速度を下げられんの?
326774ワット発電中さん:2009/08/03(月) 00:08:45 ID:x7xx6r83
不況で週休3日の暇なおっさんが集まるスレはここですか?
327774ワット発電中さん:2009/08/03(月) 02:18:23 ID:kxqYA1JE
夏休み遊びまくっている学生ですが、何か?
回路設計のバイトとかないかなw
328774ワット発電中さん:2009/08/03(月) 03:28:06 ID:U+ZMKLkk
>>295
増設LPTとか、資源の無駄使いだろ。
PCIバスコネクタのメッキだけで、何ミリグラムの金を使うと思ってんだ?
素直に、168に出てるのを作れば?
PICライタがまわりに無いなら、前スレのFR60でもいいんだし。
329269:2009/08/03(月) 10:59:59 ID:S0s2hyMg
>>325
マイコンの内部回路とかだと、シリアル受信のシフトレジスタやカウンタのプリスケーラまでは外部クロック動作になってるのを見慣れてるから安直に真似しただけという。
FPGA的にはタブーなのか…
ところで「カウンタで対処すべき」という事はI/OにLともHとも取れない信号が入る事自体は問題ないということ?

波形はこんな感じ。
http://www1.axfc.net/uploader/Img/so/54660.png
330269:2009/08/03(月) 11:00:55 ID:S0s2hyMg
波形の補足
CH1が実際のSPIクロック入力の波形
CH2がシュミットトリガインバータを通した波形
331774ワット発電中さん:2009/08/03(月) 13:11:39 ID:KDpVwwkt
HともLとも取れない信号が入るのは良くない。
でもFPGAが要求するtr, tfより短ければ問題なし。

波形のCH2は、いい感じにシュミットされてるね。
ただ、ヒス窓が大きいのでdutyが崩れているね。
コンパレータを使ってヒスを自分で決めれば、もっとduty良くなる。

CH1の波形を測定したときのプロービングは、低周波測定と同じように
プローブ先端のフックと、プローブ先端のワニ口を使って普通にプロービング
したんでしょ?
だったら、このままの波形でも、まずまずの波形になってると予想します。
送信側はプルアップ抵抗でHを作っているのか、push-pullでしょうか?
送信側のインピーダンスが高いのが気になるけど。

オシロがいいやつだということも、わかった。
332269:2009/08/03(月) 13:52:04 ID:S0s2hyMg
>>331
データシート読むとtr/tf ( 10% to 90% ) 1ns Max.とのこと。
相当鋭いエッジでないと駄目なよう。何らかの波形整形は必要だと思う。
シュミットトリガ通してdutyは1:1ではなくなったが、位相で45度もずれていないから全く問題ないかと。

送信側は5V系のマイコンのpush-pullから抵抗分圧(470Ω・1kΩ)で出してる。
オシロは家のじゃ帯域が足りないので学校のを使わせてもらってる。
333774ワット発電中さん:2009/08/03(月) 14:02:25 ID:gLMFvtJh
抵抗一桁下げたらいいんじゃない。
334774ワット発電中さん:2009/08/03(月) 14:20:37 ID:5clTLa97
レベルコンバーター使わないのが最大のミス
TC74VHCとか使え
335774ワット発電中さん:2009/08/03(月) 14:22:01 ID:8Szp0QZB

>>332
1ns maxね。だったらシュミットの出番でしょう。CH2の波形ね。
ただしPLLのクロック源とか、↑で何かして、↓でも何かしているとかだと
dutyが変わると困るね。
あと、片エッジ使用でも、clockだけにシュミット入れるのはダメ。
dataなど、clockのエッジを期待する物全てに入れないといけない。

送信側の鈍りを取るのは、>>333が言うように抵抗を1桁落とせばいいけど、
まずは、マイコンの出力電流があるか確認。
H8は全然吐けないので抵抗作戦はダメ。バッファ(といっても74HCxxでOK)を
入れて、さらにそのバッファの出力に10〜33Ωくらいの抵抗を入れてダンプする。

AVRやPICのように20mAも吐けるマイコンなら、そのマイコン出力に
470〜1k程度の抵抗を直列に入れるだけにして、分圧なしでやってみる。
5Vがそのまま3.3V系に印加されるように見えるが、
FPGA入力は5mA程度なら流せるので、この抵抗とFPGA内部のESDダイオードで
差を喰ってもらう
336774ワット発電中さん:2009/08/03(月) 14:30:29 ID:lxxi7YFj
分圧しないでシュミットトリガを電源3.3Vで動作させてもいけるでしょ
337774ワット発電中さん:2009/08/03(月) 15:43:26 ID:8Szp0QZB
その通り。
分圧の10kを取り外すだけでOKだね。
338774ワット発電中さん:2009/08/03(月) 17:08:34 ID:jh5M/Xdm
ch1の信号
なんでこんなにウンコなの?
FT2232Cのウンコ出力なら経験あるが
339774ワット発電中さん:2009/08/03(月) 18:56:30 ID:8Szp0QZB
測定方法が不明だから何とも言えないけど。
340774ワット発電中さん:2009/08/03(月) 19:57:36 ID:rDc/tLd3
>>332
tr、tfが1n maxって超凄いな。これじゃマイコンアウト信号は条件を満たさないだろうから
波形整形しなければ駄目だな。マイコンアウトがどんな信号なのかも確認したほうが良いな。

抵抗分割のレベルコンバートで12MHzクロック伝送できると思い、
そして470・1k抵抗分割でうまく行くと判断し実行したと言うことなんだが、
どのようなことを考慮してそうした?
このときの抵抗分割も考慮に入れた等価回路どのように考えた? 
そして、それで12MHzクロックを伝送できる(出来そう)と思った根拠は?
自問汁!

恐らくは低周波(2,3百kHz以下)感覚でやったんだろ。
12MHzクロック伝送するのに300Ωにもなる等価抵抗入れる感覚はどうかと思うぞ

で、そのように抵抗分割する時はローパスが形成されるから、必要ならそのローパス特性を
補正するようにしなければ駄目って授業で習ったろ。つまり、ハイパス要素を入れると。
この場合、470にコンデンサを並列に入れて補正になるが、12MHzクロックじゃ効き目なしかな。

ついでに、電流制限抵抗のみにして5Vの信号を加えろとレスあるが、
クランプが動作するまではC、R(電流制限)のローパスは元気に働くからな。
そうするとC(入力等価容量)が減るのかな。
341774ワット発電中さん:2009/08/03(月) 22:50:50 ID:3gzySQ/K
大規模なFPGAに安く手を入れたくてDegikeyで注文したのはいいが、
てっきりピンは従来のとげとげタイプかと思ったら違うのかよ・・・
ソケットがどこも5万以上もするとか・・・orz
なんとかソケット自作できないかしら、
まぁむりだよね、0.8mmピッチだし、484ピンもあるし(泣
342774ワット発電中さん:2009/08/03(月) 22:57:37 ID:fNoEYoxA
ひっくり返してUEW線で引き出すのだ!
343774ワット発電中さん:2009/08/03(月) 23:13:43 ID:3gzySQ/K
http://japan.xilinx.com/support/documentation/package_specs/cs484.pdf
まだ現物届かないから解らないんだけど、
端子の丸っこいところに線引っかかるのかなあ;^^)
344269:2009/08/03(月) 23:14:15 ID:S0s2hyMg
>>340
定数を決める際に、インピーダンス300Ω、入力容量10pFでシミュレーションした。
そして波形が多少鈍ってはいたが十分読み取れるであろうという推測をした結果がこれ。
現実には配線のL分もあるせいか、シミュレーションよりも崩れた波形になったし、
SPI出す側を作った当時はFPGAに触れたこともなく、クロック条件がこんなに厳しいものだとは思いもしなかった。

「分圧抵抗を100Ω・200Ωに変更し、3.3Vロジックを通して波形整形した上でFPGAに入力する」を結論にしようと思う。
長々とスレ汚しスマンカッタ。
345774ワット発電中さん:2009/08/03(月) 23:17:37 ID:g89HOco1
>>343
基板作らんと無理
346774ワット発電中さん:2009/08/03(月) 23:18:27 ID:ncVrqZ8v
QFPあたりなら気力で手付け出来ただろうが…
そのピン数だとBGAでしょ?
オーブンでリフローだとしても2層基板じゃどうやっても配線足りないだろうし、
ヤフオクあたりで売っぱらうか、なんか一つ大きなプロジェクトを始めてしまうかしかないかと…
347774ワット発電中さん:2009/08/03(月) 23:23:53 ID:3gzySQ/K
端子に半田付けしても大丈夫?
348774ワット発電中さん:2009/08/03(月) 23:35:19 ID:V7dQEXeH
1MHz位なら動くかもしれないけど電源の半田だけで死ぬ思いだと思う。
349774ワット発電中さん:2009/08/03(月) 23:35:20 ID:ncVrqZ8v
>>347
可能不可能で言えば”可能”
それでまともな動作が期待出来るかと言われれば”出来るわけが無い”

ビスが見つからないんだが、ロック帯で固定してどうにかなる?って言われてるぐらい無茶苦茶
350774ワット発電中さん:2009/08/03(月) 23:54:53 ID:D1b3tGuj
>>344
抵抗分割によるレベルコンバート止めて、その3.3Vのロジック(今のシュミットを)を5V入力可能なもの
(5V->3.3Vのレベルコンバート可能な74LCX,LVC,VHC)にするってのが普通のやり方じゃね。
まぁ、現在の抵抗分割+シュミットで動作に問題ないならそれをそのまま使うでも
良いと思う(学生製作の電子回路だし、自分で使う分には良いんじゃね)。

>>347
>>342の言うように
男なら、裏返しにしてballに手半田して使う
何個買ったんだ?
351774ワット発電中さん:2009/08/04(火) 00:41:19 ID:m8J8oFdW
>>350
買ったのは2つだけだよ。
Spartan3A-DSP 3400 484pin × 2コ 1万5千円。
484ピンは0.8mmピッチ、676ピンのヤツなら1.0mmらしく、
試しにそのピッチで適当に書いて印刷してみると、0.2mmでもかなり違う。
1mmピッチならまだ救いがあったかも。いろいろ失敗したorz.

一応この2つは、ドブに捨てるつもりでいろいろ試行錯誤するつもりです。
手の器用さには自信がありますから、ははは・・・。
352774ワット発電中さん:2009/08/04(火) 01:02:29 ID:9TS1+zlC
悪いことは言わんから、基板描いて搭載してもらえ。
1万5千円ドブに捨てることないだろ。
353774ワット発電中さん:2009/08/04(火) 01:10:22 ID:ujgMbaDa
ソケット買ったほうがいいよ。
手半田して壊したり、動作不良のとき、それを調べるのが・・・。
354311:2009/08/04(火) 01:12:26 ID:90VbVfGb
>>335
>>340
そんなに意地悪な書き方するのやめようよ。
夏休みなんだし、ジョークを夏厨が勘違いして真に受けたら日本の将来が危うくなる。
大人なら、I/Oピンが1nsmaxなんて
 「あ」「り」「え」「ま」「せ」「ん」
ってはっきり書こうよ。
だいたい、波形なんて見るまでもなく、12Mが通ってる時点で、
「ダラー」のわけがない。
12Mがダラーでパタパタしちゃったら、一体何百MHzの信号が出るのさ。
12Mなら、ウンコ上等、正弦波でも無問題。

>>329
禁断の割には、ちゃんと動くことが多い。
DWMとかで執筆しているような人でも、たまに非同期が混じってるし、
まあ、勝てば官軍、動けば完成。

>>332
>>344
こんな回路のためにシュミットを使いたかったのなら、
マジレスするまでもなく、270と284でFAだったな。
以上。
355774ワット発電中さん:2009/08/04(火) 02:12:55 ID:9olA/Ps+
FPGA(Cyclone3 144pin)の周辺回路を描いてみました。
ttp://tomiya.bne.jp/cgi-bin/upup/src/myg_l1918.png.html

この基板を、用途に特化した複数のテスト基板に挿して使おうかと
思ってます。
(FPGAの再利用が目的)

よろしければご意見ください。m(_ _)m
356774ワット発電中さん:2009/08/04(火) 03:12:23 ID:ioQ9+zbV
悪いけど、何が何だかさっぱりわからない回路図だね。
基板Assyの外観図のほうがよくわかる。
この回路図CAD、45度の斜め線くらい引けないの?

FP3C5F144って型番? どんなFPGAだろう。
FPGAはXCで始まるんじゃなかったか? XC3S....とか。

電源RESETが無いみたいだけど、大丈夫? n_configにRESET ICの出力をつなげば
いいと思うんだけど。
357774ワット発電中さん:2009/08/04(火) 03:24:06 ID:P+bkDz9r
>>356
>FPGAはXCで始まるんじゃなかったか
>>355
>Cyclone3 144pin
358774ワット発電中さん:2009/08/04(火) 08:41:43 ID:9olA/Ps+
>>356
すみません、趣味で始めたばかりなんで作法を知らないんです。

この回路は、FPGAの電源周り+コンフィグのみを実装して、あとはIOを
引き出すことだけを目的にしています。

リセットの件、了解です。
追加してみます。

FPGAはアルテラ社製のものを使ってます。
ttp://www.altera.co.jp/products/devices/cyclone3/overview/cy3-overview.html

ちなみに、まだ基板設計はできてないので外観図はありません・・・。
45度のラインは引けますが、なんとなく見辛かったので。
359774ワット発電中さん:2009/08/04(火) 08:56:59 ID:JoSJrgzG
全部一枚にするから見難いんだと思う。
繋ぐ先がIOポートしかないなら、2ページにして

電源周り+コンフィグ+FPGAにIO用のバス(太青線)まで
IO用のバス(太青線)からIOポート
の2ページにするだけでもすっきりすると思う
360774ワット発電中さん:2009/08/04(火) 09:24:30 ID:nBa2T/Q/
Eagleは手軽でいいねえ
全くわからないってことはないけど、ライブラリいじるだけでかなり見やすくなる気はする
リセットICはいらないと思うけど、リセットボタンをつけると便利かも

Cyclone3の144ピンパッケージって、底面に大きなGND端子があったような・・・
361774ワット発電中さん:2009/08/04(火) 11:14:59 ID:ChQhaAoO
デバッグの時なんかに行き先探すなら1枚になってたほうがありがたいなぁ
362774ワット発電中さん:2009/08/04(火) 17:00:48 ID:nPBK68B8
>>358
回路図は、回路設計者の気持ちを、回路図を見る人に伝える、それが役目です。
私は、回路設計20年になりますが、見にくい回路図とは、
回路図を書く自分のことしか考えていない、見る人を無視した回路図です。

例えば以前、評価ボードを買うとついてくる回路図でもひどいのがありました。(今も?)
FPGAやICから出た線は、信号線名がついているだけで線が切れてるやつ。コレ最悪です。
「n_config」っていう信号線は、どこにつながっているかを知るのに、
回路図内の信号名を全部目で見て探さなければなりません。
しかも行き先は1箇所とは限らず、何箇所有るのかわかりませんら全部しらみつぶしに
調べる必要があるのです。それをユーザーに強要しているんです。
評価ボード内のICやFPGAからの線が、全部その調子です。私は見たくありません。
この理由は、基板を製作するために必要なネットリストを作成するのが目的だからです。
ネットリストが得られれば良くて、見る人がどのように感じるかなんて興味がないのです。
それなのに業界では「あそこのボードはいいよね。値段も手頃だし、回路図もちゃんとしてるし」って。
何をいわんやです。

その回路図と比べたら、>>358の回路図は、
抜群に良く書けていますので、安心してください。

読み手が誤解しない回路図が書ければ、ひとまず1人前です。
FPGAの型式が間違って読み取られていたようですが、>>358の回路図では
部品の枠と重なって、EがFに見えます。見る人がそのように読んだのは、
見る人がいけないのではなくて、そんな風に誤解を与える書き方をした>>358の責任です。
363774ワット発電中さん:2009/08/04(火) 17:22:20 ID:JoSJrgzG
どこに繋がってるかってpdfで検索掛けりゃ一発だと思うんだけど…
364774ワット発電中さん:2009/08/04(火) 17:44:55 ID:htwQgMm5
>>363
144pin全部調べようと思ったら、たったの144発で済みますね
365774ワット発電中さん:2009/08/04(火) 18:08:28 ID:JoSJrgzG
全部調べないといけないようなのは
回路図以前にセンスが無いだろww
366774ワット発電中さん:2009/08/04(火) 18:11:05 ID:Qsnjq5Yb
よく言っている意味がわからない
367774ワット発電中さん:2009/08/04(火) 18:24:17 ID:P+bkDz9r
紙に出すのが前提なら一枚の方がいいのは、よくわかる。
でも、「1枚だから追いやすい回路図」も144本追うまえに検索機能が欲しくなるってもんだ。
海外の回路図はブロック毎に分けて複数ページにしてるのが多いね。
368774ワット発電中さん:2009/08/04(火) 18:46:31 ID:uloSU/Ze
>>362,>>363-5

PDFもなく、紙ベースの時代は確かにその通りだと思う。
だからページを跨ぐ接続は飛び先を書けといわれていたのも最近まで言われていたな。

論理接続と物理接続はそれぞれメリットがあるので、規約で決めたらそっちにあわせればよい。
ちなみわしゃ、物理接続派。
目の前にある試作ボードと手元の回路図とそのまま一致するので判りやすい。
369774ワット発電中さん:2009/08/04(火) 20:48:17 ID:nPBK68B8
その通りです。
回路図上に、すべての「線」が表示されているべきだと思います。
pdfの検索機能でOKなんて言ってる人が もしいるなら、
その人にはネットリストが一番じゃないかな。テキストだし検索も早いよ。

回路図の究極は、「昭和のテレビの回路図」だと思います。セットの裏にビニール袋に入ってぶら下がっていたあの回路図。
1枚で書かれていて、全ての信号が目で追えた。
・信号の流れも書かれていた。
・要所要所の波形も書かれていた
とても素晴らしいものだった。

回路図は、部品同士のつながりのほかに、信号の流れを表すものです。
信号の流れ=設計者の意図の表れです。
370774ワット発電中さん:2009/08/04(火) 21:04:58 ID:nBa2T/Q/
371774ワット発電中さん:2009/08/04(火) 22:05:50 ID:ED+HqFag
>>370
いいねえ
372774ワット発電中さん:2009/08/04(火) 22:25:14 ID:LyoCM/4x
たしかにIC1って書かれた箱が中央にあってn_XXXのハタが無数に出てるだけの
ページとか見るとげんなりするよなぁ。
373774ワット発電中さん:2009/08/04(火) 23:10:00 ID:/RKMupLV
A0版の紙が使えれば、A4の紙16枚分の図は書けるな。
374774ワット発電中さん:2009/08/04(火) 23:30:50 ID:9olA/Ps+
>>359
アドバイスありがとうございます。

実は回路図CADにEagleのフリー版を使っているのですが、
フリー版は2ページ目以降は使えないんです。

複数のファイルに分けた場合、基板のネットリストが・・・。

>>360
FPGAは自分で作ったのですが、ちょっと後悔しています。
バンクごとに分ければよかったと・・・。

底面のGND端子は"E端子"で表現しています。
手実装する予定ですが、基板に穴をあけてハンダを流し込む予定です。

>>361
そうですね・・・。

>>362
ありがとうございます。
お褒め頂き光栄です。
ビギナーズラックというか、無知ゆえの正攻法が功を成した感じですね。(笑

部品枠とシンボル名の重なり、チェックします。


皆様、いろいろとありがとうございました。
直接私の回路図に対するもの以外のご意見も読めて勉強になりました。

これから早速手直ししたいと思います。
375774ワット発電中さん:2009/08/04(火) 23:31:50 ID:Ue6j+42I
いまは回路図よりはHDL記述の方がよく良い設計者なのかよく分るよな
module、ブロック構成、データフロー...
376774ワット発電中さん:2009/08/05(水) 00:22:53 ID:tdied+Ni
>374 フリー版は2ページ目以降は使えないんです。

んなことはない。複数シートが使えないだけ。
ひとつのシートは大きくなって来ると複数ページに分割される。
>355 も印刷→ファイル出力→pdf に出せば複数ページになってるはずだが。
377355(374):2009/08/05(水) 01:51:17 ID:nSWrQhzI
リセット回路を追加してみました。
ttp://tomiya.bne.jp/cgi-bin/upup/src/myg_l1921.png.html

その他、表記も少し修正、加筆しました。

とりあえずこれで基板設計に移りたいと思います。

>>376
そういう意味でしたか・・・。
了解です。

FPGAが大きすぎてA4に入らない状況なので、
部品の改良を兼ねて整理してみたいと思います。
378774ワット発電中さん:2009/08/05(水) 03:50:41 ID:2vMt5VyX
Eagleのフリーなのは同人ハード作るのもご法度だからなぁ.
そういう制約の無いフリーなのがあるから乗り換えてみたら?
379774ワット発電中さん:2009/08/05(水) 08:57:38 ID:827BYvj9
>>362
>>369
私も全く同じ意見です。
ネットリストを出すための手段と思っている人がいますね。自身だってデバッグや改版のときに何度も見るものなのになー。見ているうちに腐った物にだんだん目が慣れてくるんだろうと思う。
380774ワット発電中さん:2009/08/05(水) 10:26:40 ID:iPsC3s/G
>>377
N_CONFIGはマイコンからのコンフィグ、JTAG系はオンボードか
ヘッダからのコンフィグって
使い分けになっているから、JTAG系は外に出さずに専用ROMの
ソケットとJTAG用のピンヘッダつけといた方がいいよ。
381774ワット発電中さん:2009/08/05(水) 11:56:00 ID:ehCHaZNC
>>379
俺の回路図は、最初はそれなりのものだと思うが、
改版のたびに、どんどん腐っていく。

何かきれいに改版していくコツはないかな?
382774ワット発電中さん:2009/08/05(水) 12:07:23 ID:58K3F+1Y
>>381
簡単だよ、テキストでも回路図でも同じだけど機能ごとに分割して書くことだよ。階層設計
383774ワット発電中さん:2009/08/05(水) 12:55:14 ID:xqgE++9n
>>382
階層設計はいいけど、回路図として「体裁が良くなる」だけで、
情報取り出しに関しては、見にくく、わかりにくくなるよね。
384774ワット発電中さん:2009/08/05(水) 13:26:44 ID:/fnOeDVX
大規模な物を全部線で繋ごうとすると階層なり抽象化しないと破綻するし、
そうでなければネットリストのラベルだけで繋いだ方が、繋ぎなおしとか出た時に楽だよね。
385774ワット発電中さん:2009/08/05(水) 13:35:29 ID:fMjJPny2
階層構造にするなら、せめて
トップのブロック図は書いておいてほしい。
386774ワット発電中さん:2009/08/05(水) 13:57:18 ID:xqgE++9n
>大規模な物を全部線で繋ごうとすると階層なり抽象化しないと破綻するし、
回路図の書き手が楽になる、書き手の都合ですね。

>そうでなければネットリストのラベルだけで繋いだ方が、繋ぎなおしとか出た時に楽だよね。
なるほど、回路図の修正が楽にできる、修正する人の都合ですね。
387774ワット発電中さん:2009/08/05(水) 14:04:28 ID:/fnOeDVX
>>370
あたりだとまだマシなんだけど、ビデオカメラとかになると「見易い」回路図でも酷いからね。
プログラムの補助が期待できないPDFでくれるよりはCADファイルくれた方が嬉しかったり。
388774ワット発電中さん:2009/08/05(水) 14:41:14 ID:MkL1E/0o
それは言えてる
389774ワット発電中さん:2009/08/05(水) 15:14:25 ID:45jbcUFQ
>>387
PDFで貰ってどうするんだよ。それじゃ自分の開発環境に取り込めじゃないか。
ま、開発環境で管理しやすいって超大事だよな。

プログラムの補助があるのが前提だからこそ、大規模なのは配線図メインから
階層設計図(最下層にようやく回路図)になったのかもしれんな。
390774ワット発電中さん:2009/08/05(水) 19:20:48 ID:TJQEMzWR
まずICなりモジュールのシンボルをキレイに作るんだw
391774ワット発電中さん:2009/08/06(木) 03:32:13 ID:mLpuxr4y
くりさんって誰ですか?
392774ワット発電中さん:2009/08/06(木) 04:14:56 ID:ZcA3j/NV
回路図無しでネットリストを手打ちし始めたりしてな
393774ワット発電中さん:2009/08/06(木) 15:48:25 ID:AT0kDfGq
NET0001: IC1(1),R1(1),C1(1)・・・・
394774ワット発電中さん:2009/08/06(木) 19:57:11 ID:yQZeBOnl
学生時代、spice3f5をワークステーションで使ったときに、
ネットリストを手打ちしていた俺がここにいます。
395774ワット発電中さん:2009/08/06(木) 20:30:57 ID:izm/Xv4O
spartan3搭載ボードで、ImpulseC/CoDeveloperを使っているが、
そのサンプルhelloworldがコンフィグレーションしても出力されない。
ISEでbit生成まではできたけれど。
VGAで画面につなげてる。

解決法か、分かりやすい書籍ないかな。
396774ワット発電中さん:2009/08/06(木) 22:57:38 ID:lymzL1Fx
397774ワット発電中さん:2009/08/06(木) 23:14:01 ID:vTuaTYBU
Xilinx Developer Conferenceの参加者抽選商品を見てワロタよ
体脂肪体重計....お前らデブだからってこんな商品欲しいって要望出すなよ
398774ワット発電中さん:2009/08/06(木) 23:16:40 ID:wkLM1Yp4
体脂肪体重計・・・ちょっと欲しいかも・・・
399774ワット発電中さん:2009/08/06(木) 23:42:44 ID:AT0kDfGq
のりピー心配だな。
400774ワット発電中さん:2009/08/07(金) 05:01:56 ID:eJd+zxPV
>>396
スタートブックは購入したんだが、なかなかサンプル実行が成功出来なくて。
何が足らないのか調べたが分からない状態。
ピン等もマニュアル見て直したんだが・・。
401USB-Blasterもどき作者:2009/08/07(金) 13:26:46 ID:kbu+BKn9
拙作USB-BlasterもどきでLattice XP2-5E に書き込むソフト作った。
http://sa89a.net/mp.cgi/ele/ub.htm#xp2write

雑誌2980円+Blasterもどき材料費700円で入門するFPGAってのもリーズナブルでいいじゃないか。
402774ワット発電中さん:2009/08/07(金) 13:52:47 ID:f+RTGjrf
>>401 GJ
それってアルテラ、XP2-5E 両方書き込みできるん?
コネクタ接続部超素敵だな
403774ワット発電中さん:2009/08/07(金) 14:02:38 ID:NaLQjquj
いゃー、回路図がちゃんとしたのに感動したよ。
+5VとGNDが節操なくタテだったりヨコだったりするのを除けばね。
ありがとう。
404USB-Blasterもどき作者:2009/08/07(金) 14:05:55 ID:kbu+BKn9
>>402
アルテラは普通にQuartusから使えるよ
405774ワット発電中さん:2009/08/07(金) 14:42:15 ID:HjsWgVZG
ザイのおもよろしくおねげぇしますだ
406774ワット発電中さん:2009/08/07(金) 21:31:55 ID:2Jydx5YK
>>401
これはありがたい…
407774ワット発電中さん:2009/08/07(金) 22:00:34 ID:HuvwJIcT
>>401
すばらしいでござる。
回路が簡単だから自作したくなったが、PICライタから作らなければだめぽ

ねぇ、おまえら、XilinxのLPTダウンローダをPICライタにするソゥトしらない?
408774ワット発電中さん:2009/08/07(金) 22:57:58 ID:KEj5lZON
Latticeユーザが多くいたことに驚き
409USB-Blasterもどき作者:2009/08/07(金) 23:14:16 ID:kbu+BKn9
そういえばSED_CRCという32bitの値の扱いが良くわからんから放置してた。
書き込まなくても動いてるけどこれは何の値かわかる人居る?
410774ワット発電中さん:2009/08/07(金) 23:22:03 ID:iG4XF7hp
CRC32 だろ。
411774ワット発電中さん:2009/08/08(土) 00:30:14 ID:rdWXPjjB

PLD大手5社の売り上げ,前年同期に比べ23%落ち込む
http://techon.nikkeibp.co.jp/article/NEWS/20090729/173552/?ST=edaonline

上位3社グラフ配色に、ちょっとだけイラッときたw
412USB-Blasterもどき作者:2009/08/08(土) 00:35:49 ID:vbNvvDM6
>>410
CRCなのはわかるけど何のCRC?
自分がビルドしたjedファイルでは常にFFFFFFFFhなんだけど。
413774ワット発電中さん:2009/08/08(土) 22:19:48 ID:nFe5QR3g
>>411
あー、なんだかお花畑な配色だけどEXCELデフォルトのままの色よりいいかな。
でも何となく俺が個人的に会社からイメージしてた色と合ってる感じ。
414774ワット発電中さん:2009/08/08(土) 22:54:27 ID:tzRFLHsy
アルとザイ売り上げ結構開いてるんだな
415774ワット発電中さん:2009/08/09(日) 00:51:00 ID:H9Aa2PYv
しかし、損益は逆転w
416>>411:2009/08/09(日) 01:14:37 ID:E8M5RJc8
>>413
さようですか、、、
X赤、A青、L黄 かと理解してましたが。

>>415
売り上げではXが1億ドル多いのに、利益は逆転負け。
高コスト体質なんかなあ。。。
UMC/TOSHIBAはウェハ高いのかも。
ニダニダしてきたら安くなるのかなあ。

しかしAと交渉するときは、もっと安くしろと言える口実にはなるねw
417774ワット発電中さん:2009/08/09(日) 08:56:02 ID:s3xI0QxK
利益なんて投資を絞れば一時的には増やせる
でもそれ続けてると先行き暗いんだよね
418774ワット発電中さん:2009/08/09(日) 14:38:46 ID:H9Aa2PYv
ザイリンクスに比べてアルテラは手堅いでしょ。
今回のは、この経済情勢の中でのことだから別にしても。
419774ワット発電中さん:2009/08/10(月) 00:34:00 ID:Fapbre7g
>>296
いまさらだけど親の敵のようにそこまで怒るなよ
入門で確かに大した下調べもしなかったけど、そこまで相性問題があると思わなかったよ
420774ワット発電中さん:2009/08/10(月) 01:13:11 ID:uJWuvJKb
>>419
超遅レスにワロタ
怒ってるんじゃなく馬鹿にしてるんじゃね
もう解決した?
421774ワット発電中さん:2009/08/10(月) 01:35:10 ID:Fapbre7g
>>420
いや、これから
素直に古いPCを使うか、>168を作るか考えているけど
とりあえず過去ログ読んで来る
422774ワット発電中さん:2009/08/10(月) 01:50:35 ID:uJWuvJKb
>>421
今後ますますパラレルオンボードPCの入手性は悪くなるだろうから
将来のこと考えると>>168作ったほうがイイ
423774ワット発電中さん:2009/08/11(火) 01:52:31 ID:gsYK2bwC
CPLDに書き込むときってVerilogは必須?
それともC言語みたいな汎用言語でプログラムできたりする?
424774ワット発電中さん:2009/08/11(火) 02:10:36 ID:qfDEgDC4
普通VerilogかVHDLでしょ systemCもあるけど一般的では・・・・
425774ワット発電中さん:2009/08/11(火) 02:10:43 ID:mGAO26f1
VerilogかVHDLのどっちかは必須と思ったほうがいい
最近はCで書くのも出てきたけど一般的じゃない
426774ワット発電中さん:2009/08/11(火) 03:11:29 ID:iNcmkVAD
>>423
CPLDに書き込むって、
書き込みプログラムのことに読めるけど、
だとしたら、Cでもasmでもできる。
427774ワット発電中さん:2009/08/11(火) 03:45:23 ID:Ti4QXCyG
>>423
Cでの開発は、まだ発展途上。
できないと思った方が良い。
仮に出来ても、マイコンのCとはほど遠く、いろいろと制約や方言があり、簡単ではない。
FPGAをCでやろうとするよりも、Cに似たVerilogを1から学んだ方が近道。
VHDLでもいいけど、おまじないの3行が必要だったり、長い文章をタイプする必要があり、
Verilogで書けば10行のソースも、VHDLで書くと20行になったりする。
本屋さんでHDLの本を見比べて見るとよくわかる。
文法チェックが厳しいからVHDLのほうが良いという人もいるけど、
記述の面倒くささと文法チェックの厳格さは別物で、Verilogのような柔軟性はない。
多くのVHDL設計者は、Verilogに移行したいと思っているらしい。
428774ワット発電中さん:2009/08/11(火) 03:45:50 ID:gsYK2bwC
verilog.VHDL必須なのね
勉強してきまつ('A`;)・・・
429774ワット発電中さん:2009/08/11(火) 08:28:48 ID:pS1NuPD5
命令書くわけじゃないからな
430774ワット発電中さん:2009/08/11(火) 09:33:48 ID:mGAO26f1
俺はウィキペでVerilogとVHDLのページ読んでVerilogがわかりやすかったという理由で選んだ。

でもサンプルとかVHDLのも結構多いから両方読めた方が得かな。
431774ワット発電中さん:2009/08/11(火) 10:05:19 ID:GMtICXiM
今月の月刊インターフェースを読んでマスターしたよ。
432774ワット発電中さん:2009/08/11(火) 10:14:09 ID:yoCkdYHF
「マスターした」は召喚呪文。
433774ワット発電中さん:2009/08/11(火) 13:18:43 ID:5ikrWb/e
僕もVerilogで書いてる初心者だけど、これまでに、理解する上で2つの大きな壁があった。
イメージが湧くまで、なかなか理解できなかった。
1. reg と assign、= と <=
2. インスタンシエート
特に2.のインスタンシエートは、楽に書くのに便利なのと、他のIPを使うときに必須になるのであった。

この2つを理解した時点で、マイコンのCとは別物だと感じた。
434774ワット発電中さん:2009/08/11(火) 13:38:26 ID:gsYK2bwC
>>433
そうなんだ。

PIC用にアセンブラ書いたことはあるんだけどVerilogは一度も触ったことがない。
両者はまったく違うプログラミングということ?
435774ワット発電中さん:2009/08/11(火) 13:43:24 ID:/YYjd6EM
プログラミングは基本的に逐次実行ですよね。
回路は同時に動くのが普通ですし
そのあたりを頭の中でイメージできるかどうかだと思いますよ。
436774ワット発電中さん:2009/08/11(火) 13:48:18 ID:iNcmkVAD
Verilogは、プログラミング言語じゃない。
論理シミュレーションと回路を書く言語。
437774ワット発電中さん:2009/08/11(火) 13:50:12 ID:yoCkdYHF
>プログラミング言語じゃない。
それは、どうだろう?
438774ワット発電中さん:2009/08/11(火) 13:59:44 ID:GMtICXiM
全部逐次処理で書けばいいんじゃない?
439774ワット発電中さん:2009/08/11(火) 14:09:06 ID:5ikrWb/e
>>434
そうそう、まったく別物と考えた方がいいね。
マイコンは、1人でプログラムを進めていく感じ。
つまり、10行目の処理をしているときは、8行目も、9行目も、11行目も、12行目も、
何も変化しない。その人が10行目を終えてからでないと11行目は実行されない。
だから動作が考えやすく追いやすい。所詮1人で処理しているからね。
FPGAは、素子や配線の数だけ内部に処理する人がいて、しかも何の連携もなく勝手に進めてしまう。
a=b;みたいな簡単な行の担当者もいれば、a=b*c/d-e*(f-g);みたいな複雑で時間のかかる行の担当者もいる。
このa=b*c/d-e*(f-g);は時間がかかるので、a=b;みたいな人達から「遅っせーぞ」と文句を言われる。
だからあちこちに処理時間のズレを生じてしまいうまくない。なので設計すね僕たちにも動作が追いにくい。

じゃ、どうやって統制して進めていくかというと、
FPGA内部に「号令を掛ける人」がいる。その人は偉そうに朝礼台に乗ってホイッスルを吹くだけ。
各処理人は、その人のホイッスル毎に1行だけ処理する。
そうすれば、a=b;の行はスグに終わって次を待ってる。a=b*c/d-e*(f-g);の行は次のホイッスルまでに処理を終えれば、
他の人から文句は言われない。次のホイッスルで、みんな一斉に次の1行をこなす。

こんな感じなので、マイコンとFPGAは考え方が全く違う。
マイコンは、順序だてた処理に向く。
FPGAは、同時に、たくさんのことを、高速に処理できる。
どっちかというと、マイコンのような順序ものは苦手。

なので、最近は、FPGA内部にマイコンの回路を作り込んでしまうのが
流行っている。でも、それが何が嬉しいのか、僕には理解できない。
H8などのマイコンチップのほうが安いし、動作も安定、Cコンパイラも安定と実績がある。
FPGAのマイコン用Cコンパイラは、XilinxとかALTERAの提供するCコンパイラ。
printf()も普通に書けない。xprintf()とか書いて、昨日もANSI準拠ではない。
何が嬉しいのか。

そんな感じ

440774ワット発電中さん:2009/08/11(火) 14:46:35 ID:9ridcfZ1
>>439
おまえ、アホやろ!
441774ワット発電中さん:2009/08/11(火) 15:15:25 ID:pS1NuPD5
長すぎw
442774ワット発電中さん:2009/08/11(火) 15:19:39 ID:L0mZca03
3行で
443774ワット発電中さん:2009/08/11(火) 15:37:51 ID:GMtICXiM
FPGAって結局何を作るのに向いてるの?
444774ワット発電中さん:2009/08/11(火) 15:56:35 ID:L0mZca03
GAの代用
445774ワット発電中さん:2009/08/11(火) 15:57:40 ID:qfDEgDC4
高速処理が本分だろうけど、ちょっとした実験するときも便利だよね
446774ワット発電中さん:2009/08/11(火) 16:09:38 ID:HQ7/lyRH
>>443
CPUで間に合わないような処理を行う時にいい。
たとえばある信号がH - >L に変化したとき100ns以内に設定したデータの出力信号を変化させるような時かな?
出力データをFIFOに放り込んでおけば信号の切り替わりで出力が切り替わる。

CPUだとポーリングで検出すか、割り込みを使うかだが、100ns以内にレスポンスするにはちょっとつらい。
FPGAを使えば遅いCPUでデータを設定して、後はFPGAが処理してくれるね。
447774ワット発電中さん:2009/08/11(火) 16:13:10 ID:0BgbpJQU
>>443
デジタル回路
448774ワット発電中さん:2009/08/11(火) 16:51:11 ID:F6trTdJh
EDKって何処かですぐ買えない? 無償バージョンではじめたらもう一ヶ月
経っちまった。某社で見積もり取ったら6週間だと。
直ぐ欲しいんですけど。


 
449774ワット発電中さん:2009/08/11(火) 19:42:26 ID:yoCkdYHF
>>442
マイコンのプログラムは手順を書くもの。
HDLは条件を書くもので、
それぞれの条件が一斉に成り立つように振舞う。
450774ワット発電中さん:2009/08/11(火) 22:03:44 ID:L0mZca03
この手のちょっとマイナーな言語って手続き型か違うのかが解りにくい物があるよね。
maxima とか最初どっちなのか解らんかった。
手続き型だけど。
451774ワット発電中さん:2009/08/12(水) 04:40:44 ID:F19r8SHG
ソフトウェアのプログラム暦10年以上で、HDLは始めて2,3年だが、
CとかC++とかはどんなに疲れててもさらっと書けるけど、
HDLは徹夜明けとかのボーっとしてるときは手が止まって一行も書けなくなる
まだハードな脳になりきってないんだな・・・
452774ワット発電中さん:2009/08/12(水) 10:20:55 ID:0pyY5vIJ
俺のやってることなんてマイコンで十分だったorz
453774ワット発電中さん:2009/08/12(水) 14:33:18 ID:O+6yJuB9
C++はやりたい事がわかってればそれをやりたい順番で書くだけだからあんまり頭使わない
アルゴリズムを自分で考えて実装するときはそうもいかないけど

HDLはまず回路構成を考えてから言語で記述しないといけないからいつも頭使う
454774ワット発電中さん:2009/08/12(水) 15:30:00 ID:YIp7aZ+D
クロックとタイミングの概念が慣れない。
455774ワット発電中さん:2009/08/12(水) 20:14:48 ID:L89Ky88I
verilogやHDLを勉強できる良書といったらどんなものがある?
456774ワット発電中さん:2009/08/12(水) 21:26:33 ID:NFPFJVts
小林 優
桜井 至
枝  均

最強Verilog先生軍団。
457774ワット発電中さん:2009/08/12(水) 21:37:07 ID:glrC+Qg7
CQの本を読んで文法を調べたあとは、vi + iverilog + gtkwave で設計してる。
言語モノは文法がわかって実践できる内容のものがいいんじゃないかな。
入門者向けの参考書は基板付き書籍が1冊あれば十分なんじゃ?

他に参考書が要るとするなら、TTL等の汎用ロジックでも何でもいいから
デジタル回路設計について書かれた本。HDLは回路を記述しているに過ぎない
ので。TTLでCPUが組める貴方なら文法解説書以外に要るものといえば
使いたいデバイスのデータシート、アプリケーションノートぐらいでしょ。
458774ワット発電中さん:2009/08/12(水) 21:43:04 ID:O+6yJuB9
ディジタル回路は最低限、同期カウンタの動作原理くらいは知っておいたほうが良いな。
459774ワット発電中さん:2009/08/12(水) 21:49:31 ID:9Zutd5IP
汎用ロジックでストップウォッチでもつくろうぜ
460774ワット発電中さん:2009/08/12(水) 21:56:24 ID:TR2XVZs2
みんなボードとかどこで買ってるんだ?
461774ワット発電中さん:2009/08/12(水) 22:03:48 ID:FxpFCQsr
買うんじゃなくて作るわけだが。
462774ワット発電中さん:2009/08/12(水) 22:40:30 ID:PZxNcnOA
>>457
vi + iverilog + gtkwave( ・∀・)人(・∀・ )ナカーマ
463774ワット発電中さん:2009/08/12(水) 22:42:44 ID:O+6yJuB9
手持ちのボードはこんだけしかないな…
・MAXII CPLDボード(オプティマイズ)
・CQ-FRK-LXP2(ディジタルデザインテクノロジNo1.付録)

まだ入門したばかりだからこれでもおなかいっぱい。
464774ワット発電中さん:2009/08/12(水) 23:20:41 ID:L89Ky88I
>>456
ありがとう。
その先生たちの本読んでくる(・ω・)ノシ
465774ワット発電中さん:2009/08/13(木) 00:35:58 ID:f/vFyHdC
>>463
付属のほうの規模はしらないけど
その規模のCPLDだと無茶しようとするとすぐいっぱいにならんか?
466774ワット発電中さん:2009/08/13(木) 00:47:53 ID:LM8ruuYa
>>465
そう。MAXIIで組んでたらすぐに使用率80%超えてしまった。
インターフェース変換程度にしか使い道ないかも。

付録のLatticeXP2はLE数で10倍、乗算器やブロックRAMもあるからしばらくは大丈夫そうだ。
安いし、もう一冊くらい買っておこうかな。
467774ワット発電中さん:2009/08/13(木) 02:15:12 ID:f/vFyHdC
Latticeの開発環境相当クソって聞いたけどどうなの?
468774ワット発電中さん:2009/08/13(木) 11:21:47 ID:LM8ruuYa
コンパイルはAlteraの4〜10倍くらい時間掛かる。未だにWin7で一部機能が使えないし。
でも3000円くらいで手に入るボードで5000LEくらい欲しいっていうとこれしかないから仕方ないね。

Digi-keyでEP3C5E144C8が1450円だから3000円くらいでボード出してくれねえかな。
469774ワット発電中さん:2009/08/13(木) 16:54:14 ID:+ZS12OQX
素人だが、FPGA練習ボード買ってLED点灯は出来たが、
エッジ処理やディスプレイ出力が出来ない・・。
何が足らんのだろうか。
470774ワット発電中さん:2009/08/13(木) 17:46:29 ID:W08snCJK
>>469
勉強が足りん。
471774ワット発電中さん:2009/08/13(木) 19:20:15 ID:EpiX9RKL
>>469
気合、かな
472774ワット発電中さん:2009/08/13(木) 19:26:30 ID:1FVA/BGf
専用ROM買うとめっさ高いからSPI flashで設計してちょ>某出版

>>469
ウェブや雑誌や同人誌を鵜呑みにせず一次資料を探して読む能力
信号系統・制御系統を見通す企画能力
順序回路の設計能力

「コミュニケーション能力」以外の手段を獲得することが
技術者としての(ry
473774ワット発電中さん:2009/08/14(金) 18:27:38 ID:BjzmEPaY
個人だとFPGAにCPU積むより実機隣に置いちゃったほうが手軽だよね・・・
474774ワット発電中さん:2009/08/14(金) 18:37:41 ID:FX4WLwTZ
どうやって隣に置くんだよw
475774ワット発電中さん:2009/08/14(金) 19:43:08 ID:w2jElD7H
FPGAにCPU入れると、FPGA+CPUの2チップ構成よりも基板設計が楽になる。
476774ワット発電中さん:2009/08/14(金) 20:04:59 ID:PuIbWNJI
でもパワーが出ない
477774ワット発電中さん:2009/08/15(土) 00:05:27 ID:8wkG8wuL
デバッグも面倒
478774ワット発電中さん:2009/08/15(土) 00:32:35 ID:Lcyq/yBR
ハンダで直付けしやすいCPLDといったらどこのメーカーになる?
表面実装タイプが助かる
479774ワット発電中さん:2009/08/15(土) 01:17:26 ID:WYTbYgpM
>>478
>ハンダで直付けしやすいCPLD
半田で付けないCPLDってあるの? 100%ハンダ付けだと思うけど。
PLCCだってQFPだってBGAだった、みーんなハンダ付けだよ。
480774ワット発電中さん:2009/08/15(土) 01:33:21 ID:Mh4dSlA5
手での半田ってことでしょ 察しなよ
罪のが千石で入手しやすいんじゃない
481774ワット発電中さん:2009/08/15(土) 01:59:38 ID:Lcyq/yBR
そうそう、手半田のことね
>>479
CPLDの中にはソケットに挿入して運用するのがデフォのものとかあるでしょ。
そういうのはハンダ付け難しいかなと思って。
482774ワット発電中さん:2009/08/15(土) 02:12:09 ID:Mh4dSlA5
PLCCのほうが簡単でしょ QFPも不可能じゃないけど変換基盤つかうか手でがんばるしかない
BGAは手でやるもんじゃない

安いボード買っちゃったほうが早い気もするけど
483774ワット発電中さん:2009/08/15(土) 02:24:34 ID:WYTbYgpM
はじめから手半田でって言えよ。

>CPLDの中にはソケットに挿入して運用するのがデフォのものとかあるでしょ。
無いよ、そんなの。
どうしてソケット使用が前提のICがあるの?
484774ワット発電中さん:2009/08/15(土) 03:36:11 ID:Sg/miJQh
息の長い製品を作ってる人は「CPUのディスコンを気にしなくても良いのがメリット」と言ってたな。
そりゃ、コストや消費電力で見れば既存の外付けCPUを使った方が良いのは当たり前だよね。
485774ワット発電中さん:2009/08/15(土) 10:13:38 ID:1jcNXUuw
新しいPLDに合わせて基板設計しなおして、デザインも移植するくらいならファームウェア移植しても手間変わらなくね?
486774ワット発電中さん:2009/08/15(土) 10:22:35 ID:349UfE6b
ファームウェア移植前提ってことはCPU固有の機能全く使えなくなるんじゃね?
487774ワット発電中さん:2009/08/15(土) 10:28:24 ID:8wkG8wuL
>>479
ソケット使う場合もあるじゃない?
PLCC を半田付けってのも、一時期製品で見かけたけどね。
488774ワット発電中さん:2009/08/15(土) 11:21:47 ID:wIZl2HXD
GALはソケットがデフォだったけどな
489774ワット発電中さん:2009/08/15(土) 13:18:08 ID:BsQnfiIH
ちょっと前まで(といってもかれこれ10年)専用ライターで書きこんでから
実装するタイプのCPLDを使っていました。これだとソケットじゃないと辛いです。
GALもispGALを除けばソケットにすることが多かったです。
490774ワット発電中さん:2009/08/15(土) 16:51:32 ID:toI3ppAy
時代が違いすぎだろ・・・
491774ワット発電中さん:2009/08/17(月) 11:12:16 ID:Sw9PPFWP
かれこれ10年前になるかな。
研究室にあったPC(PC9801シリーズ)でDOS上で動くソフトでGALにシコシコ書いてたよ。
配線もAND素子だったら、

 C = A ・ B

みたいな感じで。

最新のFPGAやCPLDは触ったこと無いんだけど基本的にはこのスタイルの延長なんだろうか?
それとももっと高級なことができるようになったの?
492774ワット発電中さん:2009/08/17(月) 11:20:24 ID:CQAS+hQ2
abelと比べているんだったらあんまり変わらんとおもう。
ただ、CPPに相当する機能が衰退しているけど。
493774ワット発電中さん:2009/08/17(月) 11:24:10 ID:CQAS+hQ2
それと、意外とめんどくさいのが文法上字下げが多くなることかな?
494774ワット発電中さん:2009/08/17(月) 11:37:23 ID:7CpeidWz
めっちゃ初歩な質問ですまんが、「プリミティブ」ってどういうものをさす言葉なんだ?
495774ワット発電中さん:2009/08/17(月) 11:37:43 ID:u/gmKkYf
>>491
Verilogだけど、andなら
assign c = a & b;
であんまり変わらないね。
大きく変わったのは乗算器とかブロックRAM内臓してることかな。
496774ワット発電中さん:2009/08/17(月) 12:09:05 ID:Sw9PPFWP
>>495
変わってないんだ('∀`)

ところで今の時代の人は論理合成ってどうやってるの?
昔ながらにカルノー図書いてシコシコ枠で囲ってやってるの?
497774ワット発電中さん:2009/08/17(月) 12:09:27 ID:CQAS+hQ2
高級なことと言えば a=b*c; で自動的に乗算器を割り当てることかな?
それと、abelと比べるとモジュールが使えるようになっているんで
大きな機能を1つのファイル内に書ける。
498774ワット発電中さん:2009/08/17(月) 12:13:26 ID:CQAS+hQ2
>>496
普通はステートマシンだとステートに名前つけるし、
論理合成は自動で最適化するから何もしないっていうのが正解か?
499774ワット発電中さん:2009/08/17(月) 12:20:19 ID:MHIBGwhg
>>496
C言語で論理合成とか言ってるやつもいるけど、
結局はカルノー図を書くんだよ。orz
500774ワット発電中さん:2009/08/17(月) 17:09:07 ID:u/gmKkYf
>>496
andの表現は変わらないんだけど、ゲートレベルでの記述なんてしないね。
c[7:0]<=a[7:0]+b[7:0];
て書けば8bitの全加算器が勝手に作られる。
c = sel?a:b;
って書けばマルチプレクサの出来上がりだ。
501774ワット発電中さん:2009/08/17(月) 17:12:33 ID:Sw9PPFWP
>>500
そ、そういう便利な時代になったんだ(;´∀`)

久しぶりに趣味でいじってみようかな
502774ワット発電中さん:2009/08/17(月) 17:31:36 ID:0E1o8zJW
>>500
abel でもできるだろ
503774ワット発電中さん:2009/08/17(月) 23:25:21 ID:CQAS+hQ2
abelはモジュールの概念がないから乗算器とかをうまく使えないんだよな。
504774ワット発電中さん:2009/08/18(火) 01:41:43 ID:YghUytdj
USBに接続できるお手頃価格のFPGA/CPLD用ライターってある?
製品で該当するものがなければキットでもいいよ。
505774ワット発電中さん:2009/08/18(火) 02:43:25 ID:tcb1xNVZ
yes
506774ワット発電中さん:2009/08/18(火) 08:56:45 ID:LKlba1Ag
罪か在るどっちがお望み?
507774ワット発電中さん:2009/08/18(火) 11:38:53 ID:NnmctCxS
「お手軽価格」がいくらなのか分からないけどね
508774ワット発電中さん:2009/08/18(火) 12:32:31 ID:7Hm5HXgL
abelって、アベル? エーブル? エーベル? どう読めばいいのですか?
509774ワット発電中さん:2009/08/18(火) 12:41:14 ID:7Hm5HXgL

ABEL = Advanced Boolean Expression Language の略です。

高度ブーリアン表記言語でしょうか。
510774ワット発電中さん:2009/08/18(火) 13:39:26 ID:qmG21KFQ
商社さんでは、エーベルって呼ばれてたと思う。
英語だと聖書に出てくる Cain と Abel のアベルだよね。
牧場の少女カトリに出てくる犬もアベル。
ペリーヌに出てくるのはバロン。
511774ワット発電中さん:2009/08/18(火) 13:58:25 ID:YghUytdj
USB接続タイプ売っとるん?
パラレルポートとか、最近のノートPCじゃ存在してなかったりするからできればUSBタイプの方が安心でしょ。
512774ワット発電中さん:2009/08/18(火) 14:09:11 ID:PANEm3MP
>>511
メーカ純正品や互換品いろいろありますよ。
513774ワット発電中さん:2009/08/18(火) 14:31:55 ID:7Hm5HXgL
>>510
これでやっと寝られます。
今まで45年間、この読み方が気になって、ねられませんでした。
ありがとう
514774ワット発電中さん:2009/08/18(火) 17:27:08 ID:YRL5xCmz
FPGAでA/D変換とかD/A変換ってできますか?
515774ワット発電中さん:2009/08/18(火) 17:28:16 ID:OQhWZmU3
USBで安価な書き込み環境まとめ

●既製品
・USB-Blaster互換ケーブル Altera対応
http://csun.co.jp/SHOP/200901025.html \3750
http://whttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=46 $50

・MAX2 Microkit AlteraのCPLDとUSB-Blasterが一枚の基板に載ってる
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=215 $69

・J-Writer 各社FPGA/CPLD対応
http://www.tokudenkairo.co.jp/jwriter.html \9800

●キット
・カメレオンFX2 USB2.0マイコン+CPLD 単体でUSBからマイコンとCPLD書き込み可能
http://optimize.ath.cx/cusb_fx2/index.html \4980

●自作
・78kマイコンで作るUSB-Jtag LatticeXP2シリーズ対応
http://www.nahitech.com/nahitafu/trg78k/78kjtag.html トラ技付録78kマイコン+わずかな受動素子で作れる

・USB-Blasterもどき Altera対応、LatticeXP2-5E対応
http://sa89a.net/mp.cgi/ele/ub.htm 材料費1000円未満

ザイ使いたいならJWriterしか選択肢はないかな。ほかにあれば追加よろ。
516774ワット発電中さん:2009/08/18(火) 17:28:58 ID:PANEm3MP
>>514
必要なスペックとFPGAの品種、許される外部部品の種類によると思います。
517774ワット発電中さん:2009/08/18(火) 17:29:50 ID:OQhWZmU3
>>514
デルタシグマ変調を使えばわずかな外付け部品で出来る。
D/AはRCフィルタ、A/DはアナログコンパレータとRCフィルタが必要。
518774ワット発電中さん:2009/08/18(火) 17:53:27 ID:PICu5tyr
普通は出来ないと答えるべきものなんじゃないかな?
CPUで出来る物があるけど
同じような感じで出来るFPGA/CPLDはまだない
519774ワット発電中さん:2009/08/18(火) 17:58:13 ID:O/YluARz
>>513
45年ぶりの睡眠か。当分起きそうにないなw
520774ワット発電中さん:2009/08/18(火) 18:03:24 ID:O/YluARz
>>518
>CPUで出来る物があるけど
>同じような感じで出来るFPGA/CPLDはまだない
アナログ系周辺回路を持つFPGAなら、こういうの↓もあるよ
http://www.actel.com/intl/japan/products/fusion/index.html
521774ワット発電中さん:2009/08/18(火) 19:29:11 ID:YghUytdj
>>515
どうも(・ω・)っ

> ●キット
> ・カメレオンFX2 USB2.0マイコン+CPLD 単体でUSBからマイコンとCPLD書き込み可能
> http://optimize.ath.cx/cusb_fx2/index.html \4980

これは単なるFPGA/CPLDライターにとどまらず、USB経由でデジタルデータをPCとやりとり
するためのインターフェースみたいなものなのね(´・ω・`)b
522774ワット発電中さん:2009/08/18(火) 20:36:05 ID:EMsNfzo8
Virtex5がシステムモニタ用途として結構な数のADピンを持ってる
DAは抵抗を並べればいいw
523774ワット発電中さん:2009/08/18(火) 20:36:06 ID:3YROKxpK
>>514
技術的には可能だけど、ここでそういう質問をする初心者には無理かと

>>515
ヒューマンが抜けてるぞw
524774ワット発電中さん:2009/08/18(火) 20:36:35 ID:OQhWZmU3
>>521
そうそう。10MB/sとかの大量のデータを取り込んでPCに渡して処理させることが出来るから便利。
CPLDの規模は小さいからデータの中身に手を加えるのは難しいけど。
汎用のライタとしては使えないから注意。書き込めるのはオンボードのCPLDのみ。
525774ワット発電中さん:2009/08/18(火) 20:38:27 ID:OQhWZmU3
>>523
ヒューマンデータのどれのことか知らんが、万超えるからさすがに安価とはいえないかと。
526774ワット発電中さん:2009/08/18(火) 20:41:44 ID:3aYflOQt
抵抗ラダーの回路を使えば簡単にD/Aできるよ
527774ワット発電中さん:2009/08/18(火) 21:07:00 ID:YghUytdj
>>524
> そうそう。10MB/sとかの大量のデータを取り込んでPCに渡して処理させることが出来るから便利。

似たようなコンセプトにArduinoというツールがあるけど
データの転送速度はFPGA/CPLDの方が速い(・ω・)?
528774ワット発電中さん:2009/08/18(火) 21:14:34 ID:PANEm3MP
>>527
FPGA/CPLDがArduinoより高速かどうかと言うより
USB部分をどのように実現してるかだと思いますよ。
ArduinoはUSB(FTDI)とAVR間の接続はUARTですよね。
529774ワット発電中さん:2009/08/18(火) 22:04:48 ID:OQhWZmU3
>>527
そもそもUSB2.0か1.1かの違いがあるからね。
正確に言うとHighSpeedとFullSpeed。
FTDIのUARTはMAX1Mbpsだったかな。とすると100kB/sも出ないね。
カメレオンFX2はUSB2.0HighSpeed対応でパラレル接続だから圧倒的な速度だよ。
530774ワット発電中さん:2009/08/19(水) 01:32:31 ID:DeqBzPAr

教えてください。 ISE10.1で、次のエラーメッセージが出て、解決できません。

エラーメッセージ
Constraint <NET "H_sync" S>: NET "H_sync" not found. Please verify that:

私の解釈
1. Constraint <NET "H_sync" S>: → ????
2. NET "H_sync" not found. → "H_sync"というネットが見つかりません。
3. Please verify that:   → 次のことを確認してください。

わからないこと
1.のConstraint <NET "H_sync" S>: は、どのように読めばよいのかがわかりません。
・Constraint → 制約ファイルの
・<NET "H_sync" S>: → この <> 括弧の意味がわかりません
・ S → このSは、どのような意味なのでしょうか。
・ : → この:は、どのような意味なのでしょうか。
ucfファイルの中を見ても、スペルミスもなく、異常は見あたりません。
宜しくお願いします。

531774ワット発電中さん:2009/08/19(水) 02:37:29 ID:yAJdSSt3
>>515
おいおい、どこの自演だよ?
digilentは、terasicの次くらいでもいいだろ。
JWriterの値段で入れるなら、AsixとAmontecも入れていいんじゃね?

>>ザイ使いたいならJWriterしか選択肢はないかな。
んなこたあ、ない。
どのケーブルでもSVFPlayerがあれば、罪に使える。
逆に、SVFPlayerで、寺のROMに書けるんだろうか。
JWriterとかどうなってんだろう?
532515:2009/08/19(水) 06:56:27 ID:GPFcQp4n
>>531
そんなことはわかってるがsvf経由するのは手間も増えるし、時間も掛かる。
スレ的に嫌われてるのを見てきたからスルーした。
SVFがありならFT2232系が安いし、自作も出来るからいいと思う。
533774ワット発電中さん:2009/08/19(水) 08:13:26 ID:o+28fEPC
xilinxなら
http://sourceforge.net/projects/xilprg/
が有ります。
FT2232を使用
http://fenrir.naruoka.org/archives/000644.html
http://naknet.jpn.ph/blog/log/eid319.html
78Kマイコンを使用
トラ技2009.6
2008年8月号付録78K0 USBマイコン基板とフリー・ソフトウェアを使った
USB接続のFPGA書き込みツール
534774ワット発電中さん:2009/08/19(水) 10:13:13 ID:k98IBOIc
>>530
ucf と トップモジュールを晒せ
535774ワット発電中さん:2009/08/19(水) 11:41:30 ID:DeqBzPAr
530です。
ありがとうございました。
Clean up projectを実行したら、エラーが無くなりました。
Xilinxのページには、いつものように次期ISEでは改善される予定です、と
書いてありました。

536774ワット発電中さん:2009/08/19(水) 17:47:29 ID:7bCy4ESe
>533
fenrirさんちの方法は残念ながらISE11では使えなくなってしまった
ISE10.1.3で茶を濁しつつ修正中…
537774ワット発電中さん:2009/08/19(水) 20:41:03 ID:duj/VxxJ
んなこたぁない。ちゃんと使えてるよ
538531:2009/08/20(木) 00:46:35 ID:O43/y2ru
>>532
そりゃ、悪かった。
けど、SVFが手間なビギナは、もう純正互換でないと無理じゃね。
上級者なら、533みたいのだって探してきて何とでもするし。
ってか、524も書いてるけど、亀様は趣旨が違う気が・・・。
そもそも、亀様をJTAGケーブルなんかにしたらもったいなさ杉。
539774ワット発電中さん:2009/08/20(木) 09:34:19 ID:4ygLVCLu
カメレオンはライタ付き実験ボードとしては便利だと思うよ。
Alteraはコンパイル時に同時にsvf生成できるし、亀のsvf書き込みは速いから手間も時間も掛からない。
540774ワット発電中さん:2009/08/20(木) 10:17:22 ID:bo8yvb2C
FeRAM内蔵デバイスはどうなってる
541774ワット発電中さん:2009/08/20(木) 12:54:23 ID:+n2ANY2r
最近2ちゃんが某民辱によるF5アタックで鯖が長時間落ちるとか物騒なこと多いじゃん。

そこで回線とルーターの間に挟んで特定の範囲内のIPアドレスから送信は全て迷惑攻撃と
見なしてシャットアウトする機械をFPGAやCPLDを使って作ることって可能だと思う?
パターンマッチングのスピードといった処理速度が肝になる気がする。
542774ワット発電中さん:2009/08/20(木) 12:58:41 ID:F0cRhELm
レイヤー3スイッチとか、FPGAで構成されてる場合もあるみたいだから
できるんじゃない?
543774ワット発電中さん:2009/08/20(木) 13:20:40 ID:0bLoazzT
>>539
JTAGケーブルにするなら、亀よりもMAXの載ってないFX2基板のほうが向いてる気がする。
ってか、この会社もPICの基板がなかったっけ?
もどきをそのまま書いて動かんの?
544774ワット発電中さん:2009/08/20(木) 13:50:04 ID:4ygLVCLu
これ使えばUSB-Blasterとその互換品でXilinx使えるな。
http://www.tmplex.co.jp/jp/sample5.html

PlatformUSBCableの互換品とかクローンってないのかな。
このスレ見てるとXilinxのほうが需要ありそうなのに。

>>543
単にUSB-JTAGとして使うならFX2単体でいいね。
オプのUSBPIC基板はアーキテクチャが違う石だから移植と再コンパイルが必要かと。
ブートローダ書き込み済みのPIC18F2550ボードがあればライタなしで、もどきが作れるから敷居が下がりそうだが。
545774ワット発電中さん:2009/08/20(木) 19:19:37 ID:+sHPXpM0
ImpulseCでhwswに分けて記述するけど、hwはFPGAに実装されて、
swはデータとしてFPGAに記録されるってこと?
546774ワット発電中さん:2009/08/20(木) 20:45:34 ID:gmLVM9h5
>>537
俺んとこの環境でも駄目っぽ(XPSP3)
ISE10のだとうまくいけてるのにISE11だと繋ぎに行った瞬間にimpactが無限ループになる
547774ワット発電中さん:2009/08/20(木) 21:32:26 ID:9zVGLpUd
>>546

たぶん>>537は適当な事言っているんだよ。
おれも正常に動かなかった。

548774ワット発電中さん:2009/08/21(金) 21:14:13 ID:+2ifuk36
>>547
人に責任をなすりつける奴って最低だな
549774ワット発電中さん:2009/08/22(土) 10:29:04 ID:Pa9uGeRs
質問なのですが、
FPGAデバイスの「システムゲート数」って具体的に何の数なんですか?
550774ワット発電中さん:2009/08/22(土) 10:47:28 ID:IlMNn92A
システムゲートの数
551774ワット発電中さん:2009/08/22(土) 11:33:58 ID:Pa9uGeRs
システムゲートってなんですか?
FPGAは入力に対してテーブルによって出力値を決める方法で、
仮想的にゲート回路を作っているのではないのですか?
それともそれを実現するために組み込まれている
ゲート数のことを言っているのですか?
552774ワット発電中さん:2009/08/22(土) 11:34:14 ID:wmBqilgW
メーカーによって対象物が違うらしい
553774ワット発電中さん:2009/08/22(土) 11:58:35 ID:cRtTR21X
>>548
動く事証明すればおk
そんなにくやしかったか>>546
554774ワット発電中さん:2009/08/22(土) 13:10:48 ID:5y/TJIN2
Digi-keyのカタログだとLE数をゲート数って書いてあって紛らわしい
555774ワット発電中さん:2009/08/22(土) 13:13:56 ID:MOHRBfGK
>>549
ここの住人には、答えられないと思うよ。
FPGAやっていても 詳しく知らずにやっているからね。
556774ワット発電中さん:2009/08/22(土) 13:38:41 ID:IlMNn92A
>>555
そう言い切れるあなたの解説を是非!
557774ワット発電中さん:2009/08/22(土) 15:41:28 ID:IULkaz+U
ある寺の代理店て低学歴低脳名やつばっかり。

DigiKeyでつぶれるかも。
558774ワット発電中さん:2009/08/22(土) 18:29:56 ID:GPgYTSRB
アルテラ関係無しに
国内代理店よりdigikeyが便利ってのは確かにある
559774ワット発電中さん:2009/08/22(土) 22:42:58 ID:rvboKfow
3日語に届くのメリットは、大きい。
560774ワット発電中さん:2009/08/23(日) 03:25:57 ID:lXuSWm33
>>
ラッチ(FF)の数だと思えばいい。
ただし複雑な組み合わせ回路だと、ラッチを使わなくてもLEが消費される。
561774ワット発電中さん:2009/08/23(日) 03:52:35 ID:J9jeXGFx
>>560
なんだか気持ち悪いコメントだなあw
そもそもラッチとFFは違う物だし。

回答「システムゲートは意味無いです。 無視してください。」

#回答になっていないことは承知の上、
 でも実際参考にならない数値なんだもん、システムゲート数って。

気にするならユーザブルゲートカウント とか言いたいところだけど、
どうしても概算したければ、オーソドックスな4:1LUT+1FFのLEだと、
1LE=10ゲート で計算してたよ、オイラは。

本当は12〜14ゲートくらい行きそうだけど、
まあ、計算の単純化とマージン兼ねてエイヤで10ゲートにしてる。
562774ワット発電中さん:2009/08/23(日) 03:56:17 ID:RBHwWBKL
その時の「ゲート」とは何を持ってゲートとするのでしょうか。
NOTでもゲートですし、2NANDでも、8ANDでも「ゲート1個」でしょうか?
8ANDを展開してみたら、実際には2ANDが7個使われていたなら、7ゲートとすべきか。
563774ワット発電中さん:2009/08/23(日) 05:05:14 ID:0+2mQhNt
>そもそもラッチとFFは違う物だし。
FPGAの場合は動作モードが違うだけで、"物"は同じだろw

>その時の「ゲート」とは何を持ってゲートとするのでしょうか。
全体の回路規模でいってるなら2NAND=1ゲートじゃなかったっけ。
でも何を持って(トランジスタ数?面積?それと配線は?)イコールで
結んでるかは知らない。

規模を計るのに、
セルベースならセル面積で、
FPGAならLE,SLICE等でないと理解しづらい。

よって結論はこうなる。
>「システムゲートは意味無いです。無視してください。」
564774ワット発電中さん:2009/08/23(日) 07:58:52 ID:FsWk+x7J
>>563
>>そもそもラッチとFFは違う物だし。
>FPGAの場合は動作モードが違うだけで、"物"は同じだろw

違う
565774ワット発電中さん:2009/08/23(日) 10:43:31 ID:McCZWv0R
FPGAにラッチってIOピン以外には存在しないんじゃね?
566774ワット発電中さん:2009/08/23(日) 22:56:03 ID:FiON1rfp
なんつーか、
ちまたではFFのことをラッチと言うこともあるらしいわけで、
ラッチとFFは違うもの、ってところで揚げ足取りして
議論が別方向に進むこのスレはいったい何なんだろうね・・・
567774ワット発電中さん:2009/08/23(日) 23:41:47 ID:McCZWv0R
FFにクロックが入って出力が入力と同じになることを、簡単になんというんだ?
これがないから困る。
568774ワット発電中さん:2009/08/24(月) 01:11:37 ID:icQABOaE
クロックド ラッチ
569774ワット発電中さん:2009/08/24(月) 01:44:12 ID:mYbGONJk
>>566
揚げ足で済ませるのは、かなり問題だと思われ。
混同しない癖つけたほうが良いよ。
570774ワット発電中さん:2009/08/24(月) 02:15:42 ID:pXmN/kBd
>>569
じゃあ、システムゲートって何って話だったのに
なんでFFとラッチの区別が必要になるのか、説明してくれ

このスレに集まる人は論理的思考のできない人たちなの・・・?
571774ワット発電中さん:2009/08/24(月) 02:17:33 ID:7CzfPT0X
理屈っぽい人って嫌いだわ
572>>561:2009/08/24(月) 02:49:35 ID:RT01nWd4
>>570
おやおや、ご機嫌を損ねてしまったようだw

そりゃあ、「本筋のシステムゲートの説明自体には必要ないよ。」
だからこそ>>561ではサラっとしか触れてないじゃん。

ここまで引きずる話なのか?
ちなみに、実際問題、FFとラッチを混同するのが現場に居て困った経験上、
明確に普段から識別する癖は大事だと思った次第。
何事も誤解の無いカキコがよいんだと思うよ。


そもそも、
>ちまたではFFのことをラッチと言うこともあるらしいわけで

ちまた、あるらしい とかやたらあやふやだね。
やはりFFラッチを揚げ足取りで済まそうなんぞ、まさに危うさを感じる。

論理的思考? なかなか笑わせるね。
573774ワット発電中さん:2009/08/24(月) 07:04:09 ID:553dnspn
>ちなみに、実際問題、FFとラッチを混同するのが現場に居て困った経験上、

kwsk

>明確に普段から識別する癖は大事だと思った次第。

I agree
574774ワット発電中さん:2009/08/24(月) 07:05:54 ID:553dnspn
>最近は、苦言が助言だと気づかないやつも激増してるし。
>それでも、2chだと「またこいつか」と気づかれることが少ないから、
>かなりひどいヤツでもある程度の助言・苦言は貰えて完全放置になりにくいんだよな。
575774ワット発電中さん:2009/08/24(月) 07:51:13 ID:99CtH/OH
結局、ラッチとFFの違いは何なの?
グーグル先生に聞いてみたが明確な違いは見出せなかった。

 どちらも1ビットの情報を保持する機能をさしているようだが。

576>>572:2009/08/24(月) 08:14:02 ID:gLugt7qh
>>573
大した話じゃないんだけど、
高速系→低速系への非同期関係の信号のハンドシェーク回路で、
スルーラッチ使う場合あるじゃないですか、
その辺りのディスカッション場面でFF2段たたきだけの場合と
議論しててFFもスルーラッチもごっちゃでラッチするラッチする連呼してんのよ。

そもそも受け側低速系でFF2段たたきだけってアレなのに、
ラッチラッチ言うもんだから、もうゲンナリした。  というお話。

kwskのやらにもしなんか期待させてたらスマソ

>>574
そうかも試練ね。。。
577774ワット発電中さん:2009/08/24(月) 10:01:22 ID:6lqdTmK7
“ラッチ”が名詞なのか動詞なのかで混乱しやすいよね。
回路のラッチを言うなら、レベルラッチとかトランスペアレントラッチとか
言ってほしい。
578774ワット発電中さん:2009/08/24(月) 10:47:54 ID:YJHiwols
FFとラッチの違いに敏感なやつとそうでないのがいるのは、
扱ったことがある回路の速度に違いがあるからじゃね。
性能ぎりぎりの速度を出すにはFFでないと出来ないけど、
そうでなければどっちも同じような動作をするしね。
579774ワット発電中さん:2009/08/24(月) 11:20:58 ID:jkwFvD1s
レベルラッチって、何?
580774ワット発電中さん:2009/08/24(月) 12:15:46 ID:T7nT0LE4
>>579
"H"の間はデータ筒抜けとかだろ。
581774ワット発電中さん:2009/08/24(月) 12:28:55 ID:NfZ81hTJ
エッジじゃなくてのレベルトリガのラッチ。
てのがあるのかと思って読んでた。

で、実際どんななの?→レベルラッチ
582774ワット発電中さん:2009/08/24(月) 12:53:13 ID:QrPb/Q/g
「レベルラッチ」でググれ
583774ワット発電中さん:2009/08/24(月) 13:23:25 ID:LHxo+V4v
エッジで保持するのはラッチじゃなくてFFだろ。
584774ワット発電中さん:2009/08/24(月) 13:34:31 ID:NfZ81hTJ
>>583
そういえば、そうでした。
585774ワット発電中さん:2009/08/24(月) 13:55:27 ID:+gQvAYPk
ラッチには、レベルラッチとトリガラッチがある。
で、トリガラッチは、FFと呼ばれることがある。
586774ワット発電中さん:2009/08/24(月) 15:22:33 ID:7CzfPT0X
よーしパパ、ラッチしちゃうぞー
587774ワット発電中さん:2009/08/24(月) 17:47:37 ID:TEbkEOie
もうめんどくさいからデジタル系はFF,アナログ系はラッチで統一して良いよ。
実際LSIの業界はFFしかあり得ないんだから。
588774ワット発電中さん:2009/08/24(月) 19:54:09 ID:GDyfNjkB
実際の設計で気にする?
コンパイル掛ければ必要なLE数が表示されるから、
それを見てデバイスを選べば済むと思うが・・
589774ワット発電中さん:2009/08/24(月) 20:39:57 ID:YJHiwols
初心者は最初にデバイス決め手からコード書き始めるからな。
590774ワット発電中さん:2009/08/24(月) 21:05:13 ID:TEbkEOie
ん?構造記述すんの?
普通にVerilogかいて合成すりゃいいじゃん。
セル種すら気にしないが。
591774ワット発電中さん:2009/08/24(月) 21:20:21 ID:YJHiwols
初心者は最初に基板作ってからコード書き始めるからな。
592774ワット発電中さん:2009/08/24(月) 23:12:54 ID:gljYZ9pb
初心者が入手出来るデバイスは限られているからな。
安価で使いやすいボードという条件だと。
俺の入門ルートは、ボード入手→開発環境整備→コーディング→基板作成だった。
593774ワット発電中さん:2009/08/24(月) 23:23:29 ID:q9b0ychK
鳥が先か卵が先かみたいな不毛な議論ワラタw
コードからだろうと基板作成からだろうと好きなようにやればいいじゃない
594774ワット発電中さん:2009/08/25(火) 00:35:55 ID:0K5WmHu+
FPGAのゲート(2NAND)数計算方法
FF+4入力LUTで1LE。
16bit Loadble Counterの1bitは、約12ゲート。
カウンタの1bitは1LEで構成可能。
つまり、1bit=1LE=12ゲートと計算する。

システムゲートはFPGAに搭載された論理回路(ハードマクロ含む)
に含まれる全NANDゲート数の換算です。
595774ワット発電中さん:2009/08/25(火) 01:25:24 ID:NFNR0agw
その「ゲート」とは、何を持ってゲートとするんでしょうか?
596774ワット発電中さん:2009/08/25(火) 06:27:36 ID:MiUurtFQ
>>595
2NANDって書いてるよ。
597774ワット発電中さん:2009/08/25(火) 06:29:48 ID:MiUurtFQ
ちなみにNANDが2個じゃなくて2入力NANDね。
598774ワット発電中さん:2009/08/25(火) 11:26:44 ID:NFNR0agw
そうすると、
XilinxのSpartan3は、ALTERAの○○に相当とかの表は作れないかな。
599774ワット発電中さん:2009/08/25(火) 16:11:13 ID:lQH8p3gp
>>598
はい? 普通にLEと、スライスなりCLBなりと比較すればよいじゃない。

ローコストモデルのは両者とも4:1LUT+1FFが基本だし、比較しやすい。
ハイエンドモデルは6〜8入力で2出力とか、FF2個とかで比較しにくい点もあるけど、
大した話じゃない。

ザックリ比較ならばデータシート読むだけで既に比較できるよね?
それ以上の精度出したかったら合成するしかない。
600774ワット発電中さん:2009/08/25(火) 16:33:49 ID:WxgB0u89
>>599
最近はメモリブロックがあるから、それのサイズで相当品を当てはめるといいかも。
601774ワット発電中さん:2009/08/25(火) 18:27:42 ID:NFNR0agw
>>599, 600
ありがとうございます。
>それ以上の精度出したかったら合成するしかない。
やはり、最終的にはこの方法なんですね。

メモリブロックだけの比較だと、メモリブロックを使わないアプリケーションでは
ぢかってくるですよね。
602774ワット発電中さん:2009/08/25(火) 21:44:00 ID:JMZERNcg
603774ワット発電中さん:2009/08/25(火) 23:22:55 ID:RYNEne6C
担当者が勝手にやったのかな?組織ぐるみかな?
ツマラナイ不具合を直したんだろうけど、密かに直すにしてもせめてしっかり検査してからにしてくれ。
こひれだけ問題になったらだれかの首が飛ぶかな?
604774ワット発電中さん:2009/08/25(火) 23:33:49 ID:veIL39Ee
案外、昔の98の頃の組み方で値の単位は送らずに
あらかじめすり合わせておくって感じのプログラムなのかもしれんな。
605774ワット発電中さん:2009/08/26(水) 00:58:41 ID:BjzTa8ue
ってゆうか、テストしてないんだろうか?
606774ワット発電中さん:2009/08/26(水) 01:07:03 ID:OZ/jX4mS
数値の単位が違っているだけだったら、
単体のテストでは発見できんよ。
607774ワット発電中さん:2009/08/26(水) 02:13:01 ID:UovPk21+
>>605
そうですね
25を四捨五入したら525になるなんて
608774ワット発電中さん:2009/08/26(水) 02:58:32 ID:DepO7rvr
計算途中で0.025になるのを1000倍して25としていると切り捨てじゃん
四捨五入のやりかたはっと・・ググってググって・・
そうか、0.5足してやればいいんだ!
じゃあ,0.5足して・・あとは一緒で1000倍して・・

ちゃんちゃん
609774ワット発電中さん:2009/08/26(水) 08:47:00 ID:BjzTa8ue
テストとか試験工程って力業だから、派遣や外注に依存することが多い。
そのあたりバッサリ切り捨てたので、項目抽出とかに抜けが発生しまくり。

最近そういうのが身近にたくさんある。
610774ワット発電中さん:2009/08/26(水) 09:02:30 ID:UovPk21+
>>608
ttp://www.jiji.com/jc/zc?k=200908/2009082500129&rel=j&g=soc
>原因を調べたところ、千葉県南房総市の観測点で測定した地震波の振幅が実際には
>25マイクロメートルだったのに、同庁に電送されてきた時に525マイクロメートル
>となっており、このため、マグニチュードが最大6.6と過大に見積もられたことが判明した。

0.025mm

www
611774ワット発電中さん:2009/08/26(水) 09:38:54 ID:pTGF7hGP
ところでFPGAとなんか関係あんの?
612774ワット発電中さん:2009/08/26(水) 10:34:20 ID:/dC+AGA9
>>602はあちこちのスレにコピペしまくってるキチ
613774ワット発電中さん:2009/08/26(水) 11:03:45 ID:EFGvRZqU
CPLDでボードに搭載された水晶以上のクロック欲しくなったら、新たに水晶用意するしかないのかな
そもそもそうゆう用途でCPLD使うべきではない?
614774ワット発電中さん:2009/08/26(水) 12:21:39 ID:6rv9PoCt
>>613
内部で逓倍なり分周すれば?
615774ワット発電中さん:2009/08/26(水) 13:28:09 ID:3SRlC+G6
>>613
PLLが内蔵されていないと普通にそうするでしょう。
下のクロックでも分周比が合わないと変更する事は良くある。

CPLDにするか、FPGAにするかは予算と回路規模で決まる。
クロック変更するくらいは普通だよ。
616774ワット発電中さん:2009/08/26(水) 14:34:50 ID:V5m/be+j
CPLDでクロックを逓倍する方法を教えて。
617774ワット発電中さん:2009/08/26(水) 14:39:39 ID:5W9UUyBO
Clock Doublerで良いなら内蔵しているCPLDはあったはず
618774ワット発電中さん:2009/08/26(水) 16:04:09 ID:UovPk21+
>>616
通常のクロックと
位相をずらしたクロックを
混ぜる
619774ワット発電中さん:2009/08/26(水) 19:39:18 ID:rjIR4Qs9
ゲート数珠繋ぎ・・・に、したつもりが無くなっていたり。
620774ワット発電中さん:2009/08/27(木) 12:21:11 ID:P91A9oiT
>位相をずらしたクロックを、混ぜる
混ぜるって、どのようにすれば良いのでしょうか?
621774ワット発電中さん:2009/08/27(木) 15:13:47 ID:O8d2F1JP
そりゃ、足したり掛けたりでしょ。
622774ワット発電中さん:2009/08/27(木) 16:17:01 ID:fnZefeOH
XOR
623774ワット発電中さん:2009/08/27(木) 16:20:50 ID:kk9G0cLn
3GHzとかでも大丈夫ですか?
624774ワット発電中さん:2009/08/27(木) 17:06:01 ID:P91A9oiT
>>622
ありがとう。
紙の上で、90度ずらしたデジタル波形で、2倍のduty50%が出てきました。
625774ワット発電中さん:2009/08/27(木) 17:48:16 ID:TND+4Bfj
インバーターの入力と出力をつなぐと・・・
626774ワット発電中さん:2009/08/27(木) 19:24:33 ID:P91A9oiT
発振する。
627774ワット発電中さん:2009/08/27(木) 20:14:07 ID:xa0Qy6sy
秋葉で表面実装の100MHzとか買える店ある?
628774ワット発電中さん:2009/08/27(木) 21:22:46 ID:4Y/i9lSh
あのな。基本的に水晶の基本波ってのは30MHzぐらいまでしかない。
それ以上はPLLかn次高調波
629774ワット発電中さん:2009/08/27(木) 21:32:25 ID:DSfclV2g
まぁアキバじゃ売ってないな。
水晶メーカに特注すれば\20〜30k位で基本波発振作ってくれる。
630774ワット発電中さん:2009/08/27(木) 21:44:38 ID:tXvM53GR
631774ワット発電中さん:2009/08/27(木) 21:54:44 ID:9g/B57D5
60MHzくらいかなあ
632774ワット発電中さん:2009/08/27(木) 23:01:56 ID:O8d2F1JP
なんでいきなり水晶の話を持ち出したんだろう。不思議だ。
633774ワット発電中さん:2009/08/27(木) 23:59:59 ID:noIeRdhJ
なんで基本波限定になったんだ?
634774ワット発電中さん:2009/08/28(金) 00:32:16 ID:3mC222vX
>>627
は水晶の話してないになんで水晶の話するんだ?低脳基地外ども
635774ワット発電中さん:2009/08/28(金) 00:32:28 ID:8WX0clD/
>>632
ここには趣味でいじってる人も多いことを忘れずに
636774ワット発電中さん:2009/08/28(金) 01:03:35 ID:Gkoz3rct
http://techon.nikkeibp.co.jp/article/NEWS/20080423/150905/

基本派で170MHzですか..。
いまでも100MHzくらいは珍しくないけど。

>634
重石さんは善部町へお帰りください。
駆るさんと末永くお幸せに。
637774ワット発電中さん:2009/08/28(金) 08:36:15 ID:41GwsJtD
>>634
昔は、秋葉原に 2m とか買いに行ったものだ。
638774ワット発電中さん:2009/08/28(金) 16:21:11 ID:gKwQ+qpC
僕は6mね。601
639774ワット発電中さん:2009/08/29(土) 01:09:05 ID:AgLUDfbw
俺は9R59Dだな。男はつべだろ
>>638
自分で一からリグ作ったことないゆとり電話世代の代名詞が601だろ
640774ワット発電中さん:2009/08/29(土) 09:46:49 ID:5319kpw1
はい、もっぱらEs狙いで8や6と・・・・
641774ワット発電中さん:2009/08/29(土) 11:57:09 ID:1MCVrr5u
両面テープは3Mだろ
642774ワット発電中さん:2009/08/29(土) 14:58:54 ID:SCHWo8qP
僕のは15cmですが、だめでしょうか?
643774ワット発電中さん:2009/08/29(土) 20:15:00 ID:t0/+FFBL
FPGAに画像処理回路実装したとして、FPGAに画像を送るのはどうすればいいんだ?
644774ワット発電中さん:2009/08/29(土) 20:18:25 ID:KUP2juG9
DVI とか
645774ワット発電中さん:2009/08/29(土) 20:20:25 ID:2TVIp4Y1
パッケージ穴あけてチップ露出させて






・・・いや、なんでもない
646774ワット発電中さん:2009/08/29(土) 20:23:37 ID:YtHPFzPi
>>643
バス接続型のCMOSカメラチップ使うとか
ADC乗っけてNTSCをキャプチャするとか
USBコントローラ経由でwebcam使うとか

>>645
懐かしいな
たぶん俺が生まれる前の話だったと思うがw
647774ワット発電中さん:2009/08/29(土) 21:03:58 ID:PBuCUv4E
FPGAボードで学ぶVerilog HDL
という本を買ったのですが、付属のFPGAボードを扱うにはウインドウズXPでないといけないらくし、更に変換ケーブでない生のプリンタポートがいると書いています。
VISTAでプリンタポートがないけれど、やっぱダメですかね?
USB変換ケーブルでダメらしいのはライセンスの問題ですかね?
あと、VISTAにはハイパーターミナルがないけれど自分でアプリ作ればOKですかね?
というか、みなさんはどんなPC環境でFPGAのスタディしてますか?
なにかお勧めキットはないですか?
648774ワット発電中さん:2009/08/29(土) 21:07:21 ID:hjZEARJ7
>>646
坊な質問に返答サンクス。
bitファイルをFTU3でconfigしたが、静止画をどう送って処理するかよくわからんのだよ。
649774ワット発電中さん:2009/08/29(土) 21:23:12 ID:Y9HWvYaB
>VISTAにはハイパーターミナルがないけれど

aruyo
650774ワット発電中さん:2009/08/29(土) 21:56:28 ID:PBuCUv4E
>>649

そうですか。
今、LPTポートの増設ボードを見つけたので、それもクリアできました。
あとは・・・実はVISTAでも動くとかないかな?
651774ワット発電中さん:2009/08/29(土) 22:10:18 ID:/gH/28VD
通信ソフトはtera term proが一般的かな
652774ワット発電中さん:2009/08/29(土) 22:41:59 ID:LRFosAFD
>>647
> なにかお勧めキットはないですか?
このスレ的には>>515らしい。
653774ワット発電中さん:2009/08/29(土) 23:13:47 ID:PBuCUv4E
>>652

ありがどうございます
654774ワット発電中さん:2009/08/30(日) 02:29:02 ID:yn2XYnH6
J-WriterもVista対応してないよね
655774ワット発電中さん:2009/08/30(日) 02:56:03 ID:attt9e4Z
Windows7に対応すれば問題無い
656名無しさん@そうだ選挙に行こう:2009/08/30(日) 07:56:08 ID:vQNTftlR
ソフトは5倍難しい
657名無しさん@そうだ選挙に行こう:2009/08/30(日) 10:32:33 ID:eGZx87AE
http://sa89a.net/up/src/up0133.jpg
友人と買ったのを並べたら一枚だけ型番が見辛かった
左 今月本屋で取り寄せ
中 今月CQに注文
右 5月にアマゾンで注文
658名無しさん@そうだ選挙に行こう:2009/08/30(日) 19:25:48 ID:86UPKOv3
プッシュボタンの色も違うな
659647:2009/08/30(日) 20:50:00 ID:LOsXSKwW
FPGAボードで学ぶVerilog HDL

この本、返品の問い合わせしていたのですが、受け付けてもらえました。
いまどき、パラレルポート+XPってありえないもんな。

さてと、VISTAで始めるには何がよいでしょうか。
結婚したてで金がありません。
10000万円以内でどんなものを買えばよいですか?
660774ワット発電中さん:2009/08/30(日) 20:53:27 ID:W2h1cSAi
1億もあればいろいろ買えそうですね。
661774ワット発電中さん:2009/08/30(日) 20:53:38 ID:FV0A1GTU
「できない奴は何をやっても駄目」
662774ワット発電中さん:2009/08/30(日) 20:54:13 ID:sOKHh333
>>659
> 10000万円以内でどんなものを買えばよいですか?
す・・・好きにすれば・・・なさればよろしいのではないでしょうか。
663774ワット発電中さん:2009/08/30(日) 21:05:18 ID:LOsXSKwW
FPGA トレーナ  EDX-002 15,540円

これなんてどうでしょう?

これで学習した方いますでしょうか?
664774ワット発電中さん:2009/08/30(日) 21:28:54 ID:LOsXSKwW
どうやら、VISTAにも対応してますね。
665774ワット発電中さん:2009/08/30(日) 21:31:00 ID:W2h1cSAi
>>663
スパルタン2って最新の開発ツールで対応してないとかどうとか書いてませんか?
それが気にならないならよろしいんじゃないでしょうか。
666774ワット発電中さん:2009/08/30(日) 21:39:01 ID:LOsXSKwW
いゃあ、初めてでして、スパルタン2が何か分らなくて・・・
667774ワット発電中さん:2009/08/30(日) 21:40:53 ID:W2h1cSAi
ザイリンクスのFPGAのシリーズ名ですね。
668774ワット発電中さん:2009/08/30(日) 21:46:00 ID:LOsXSKwW
あたたた、なんとこのボードに乗ってる心臓部ですか。
669774ワット発電中さん:2009/08/30(日) 21:52:16 ID:LOsXSKwW
FPGAトレーナ EDX-005 41,895円

これだとSpartan-3ANだけど、一気に値が上がりますね。。。
670774ワット発電中さん:2009/08/30(日) 21:56:24 ID:eGZx87AE
スパ3なら純正のスターターキットが安いじゃん
$150くらいじゃなかったか
671774ワット発電中さん:2009/08/30(日) 22:06:43 ID:LOsXSKwW
純正は英語なのか・・・・

まぁでも、PICも英語だったけれど、日本語のサイトや雑誌での扱いを期待して買っちゃオーかな?
672774ワット発電中さん:2009/08/31(月) 02:55:00 ID:Bh8jB96j
ISE10を入手すればEDX-002でも別にいいのでは。
ISE11と両バージョンインストールしておけばいいんだし。
純正スターターキットは既に馴染んでる人にはコストパフォーマンスいいけど、
7segLED無いし、DDR2だしと、難易度は高いかも。
673774ワット発電中さん:2009/08/31(月) 13:31:30 ID:5iYO2YwJ
100円とか300円のPICは扱い悪くて壊しても平気だったが、
評価ボードとかになると高くて壊せないな。
674774ワット発電中さん:2009/08/31(月) 14:27:09 ID:ALAsesca
>>546-547
これって、どこかに対応法公開されてないの?
675774ワット発電中さん:2009/08/31(月) 14:32:14 ID:5iYO2YwJ
>>672
どうもです。そうですね。とりあえず10.1.03のZIPをダウンロードしました。これを自分のPCにも大事に保存しておこうと思います。
同時に11もインストールできるかは分りませんが、まずはEDX-002でスタディとようと思います。
676774ワット発電中さん:2009/08/31(月) 16:29:06 ID:5iYO2YwJ
XCM-008 Spartan-3 TQG144 搭載ブレッドボード ¥14,700.-


EDX-002と同じHUMANDATAの製品ですが、いやぁ19ページとはいえ日本語マニュアルは捨てがたい。

激しく迷う。始めてだから素直にEDX-002がいいかな?
677774ワット発電中さん:2009/08/31(月) 16:35:11 ID:6MWTUn2n
>>676
XCM-008だとダウンロード(JTAG)ケーブルが別途必要になりませんか。
678774ワット発電中さん:2009/08/31(月) 17:02:20 ID:5iYO2YwJ
そうでした。では、やはりEDX-002に決めます。
679774ワット発電中さん:2009/08/31(月) 19:00:08 ID:5iYO2YwJ
あーやっと、インストールが始まりました。
分りづらかった・・・・まずは最新版をダウンロードしています。
いきなり、前のバージョンからダウンロードは出来ないようですね。
つかれた。あちこち、たらい回しになったよ。
エロサイトぐるぐる回っているようだった。
680774ワット発電中さん:2009/08/31(月) 22:26:35 ID:GV+CPWBm
O2
681774ワット発電中さん:2009/08/31(月) 23:00:36 ID:5iYO2YwJ
うーーん。
バージョン11をインストールした後で、10もインストールしたけれどうまく使い分けできない。
一旦全部アンインストールして、バージョン10だけインストールする道を探す。
682774ワット発電中さん:2009/08/31(月) 23:42:42 ID:ttGpY7mh
9.2のあと、10を入れたけど、impactは9のままなのは正常ですか?
683774ワット発電中さん:2009/09/01(火) 00:02:45 ID:eloc91Ub
なんか、10のインストールで、スタンドアローンタイプとかでimpact10.1だけインストールされたけれど、EDX-002使うのにはこれだけで良いのかな?
684774ワット発電中さん:2009/09/01(火) 00:17:27 ID:eloc91Ub
ああ、メールについてきたID入れたらISE デザインスーツもダウンロードできた。
685774ワット発電中さん:2009/09/01(火) 00:42:46 ID:eloc91Ub
さぁ〜impactってそもそも何するものだか。今はインストール中で寝れないのでレスします。
686774ワット発電中さん:2009/09/01(火) 01:13:36 ID:BPgRfQU/
PCの環境変数を、簡単にパッパッと切り替えられるアプリは無いでしょうか?
というか、ISE9.2 10.1 11を簡単に起動したいのです。
いちいち環境変数を書き換えるのは面倒だし、間違いのもとなので。
687774ワット発電中さん:2009/09/01(火) 01:19:09 ID:PR0tmeTY
>>686
バッチファイル
688774ワット発電中さん:2009/09/01(火) 01:48:25 ID:nfdC6OeS
オランダ妻がどうしたっぺ?
689774ワット発電中さん:2009/09/01(火) 03:09:45 ID:mkTxPedp
>>686
もしや、 >>674 に自力対応中?
環境変数は、ログインユーザーを複数作れば、ユーザーごとに持てる気が
するが、駄目かな?
もうちょっとまじめな話なら、VirtualPCの類を使うのが早くて確実と思われ。
690774ワット発電中さん:2009/09/01(火) 13:27:34 ID:QX9pt4FZ
>>686

>>689が書いているのでもいいし、VirtualBoxでもいいよ。
1台のPCですべてのバージョンのISEも持とうと思えば持てる。
691774ワット発電中さん:2009/09/01(火) 17:12:50 ID:lYaFEQwl
 ISEを使ったCPLDの開発手順について教えてください。

  問題点
BehavioralシミュレーションとPost-Fitシミュレーションで結果が異なる。
明らかにPost-Fitシミュレーションの結果がおかしいと思われる。

  現象
 以下のリストで、Behavioralシミュレーションでは問題なく動作するassert文がPost-Fitシミュレーション
では動作していない。つまり、リセット動作が行われていない。他の信号からもリセットが働いていないことが
確認できる。

process(clk, write_latch, match_address, reset)
variable out_tmp : std_logic_vector(out_port_count -1 downto 0);
begin
if reset = '1' then
out_tmp := (others => '1');
--このasertをいれても、postFitシミュレーションでは表示が行われない。どう考えてもおかしい。
assert false
report "out_tmp"
severity ERROR;
elsif (clk'event and clk = '1') then
if write_latch = '1' and match_address = '1' and reg_sel(1) = '1' then
if reg_sel(0) = '0' then
out_tmp := (out_tmp(out_port_count -1 downto 8) & shift_reg);
else
out_tmp := (shift_reg(out_port_count -9 downto 0) & out_tmp(7 downto 0));
end if;
end if;
end if;
out_port <= out_tmp;
end process;

デバイスはXC9536XL-10を設定しています。
実デバイスで動作検証する環境が手元に無いので、少し躊躇していますが、ひょっとしてCPLDでは
Post-Fitシミュレーションは必要ない(正しい動作をしない)のでしょうか。
 それとも、もっと基本的なところで間違っているのでしょうか?
692774ワット発電中さん:2009/09/01(火) 23:15:34 ID:BPgRfQU/

ISE10.1使っていますが、Cleanup Projectって、いったい何者でしょうか?
CreateNewSourceで、CoreGenからIP呼んでも、TemplateのFileがCreateされずに、半日悩んしゃった。
まさかと思ってCleanup Projectsを実行したら、あっさりとFileができた。
勘弁してくれよ、こんなんばっかりじゃん。できの良いツールの他社に乗り換えるぞ!

と、鬱憤晴らししてみる。
693774ワット発電中さん:2009/09/01(火) 23:57:48 ID:Y11jj6Xi
リセットボタンと同じようなものさ
694774ワット発電中さん:2009/09/02(水) 00:17:28 ID:UL9Shpzj
Cleanup Projectって中間生成ファイル、最終生成ファイルを削除するだけの機能だな。
make CLEANといった感じ。
ファイルのタイムスタンプでどっちが古いかとか認識していると思われるので、日付とかタイムゾーンとか変だとそういったこともあるな。
695774ワット発電中さん:2009/09/02(水) 01:20:14 ID:FMfs9NkN
>make CLEANといった感じ。
おお、言い得てるね。まったくそんな感じ。
突然「`include FileのPathがわかんないよ」と言ってみたり。
世話が焼けるぜ、まったく。
696774ワット発電中さん:2009/09/02(水) 02:57:02 ID:+kExnrRY
・ISEは、重いからイヤだなぁ。
・ダウンロード+インストールに1時間以上かかるし。
・処理が速いかと言えば、そうでもないし。
・エラーメッセージはわかりにくいし。
・ソースウインドウ内の 選んだファイルによって、
 プロジェクトウインドウの見え方が変化するのは やめて欲しい。慣れるまでとても困った。
・コンソール画面に出るWarningやError Messageは、文章の最後まで表示してくれ。結局他の所から見ないと
 全文が読めないのは、とてもめんどくさい。
・日本は結構なお客なのに、いつまでも英語版のみしかリリースしない。
・TextEditorで2byte文字がまともに使えないし。
697774ワット発電中さん:2009/09/02(水) 04:26:35 ID:vm8Lsf32
・ISE
バグの対処法
次のリリースバージョンで直す予定です。
698774ワット発電中さん:2009/09/02(水) 12:14:27 ID:FMfs9NkN
>>697
多いよね、それ。
というか、そればっかり。
699774ワット発電中さん:2009/09/02(水) 21:59:38 ID:YV6e+WrD
えーー不安になるな。他にいい奴ありますか?
700774ワット発電中さん:2009/09/02(水) 22:52:10 ID:w45J82CK
個人的にはAlteraがオススメ。
Quartusの方がISEより軽いし
701774ワット発電中さん:2009/09/02(水) 23:03:25 ID:FAqoSFId
>>700
アルテラのツールの方が使い勝手が良いのでしょうか?
今まで、ISEで簡単なCPLDの開発をやってきましたが、Post-Fitシミュレーションまで
正しく動いたことがありません。
 コードの書き方が悪いかと思って、あちこち直してみても結局ツールのエラーで最後まで
上手く行かないのであきらめていました。
 実デバイスで動作しているので問題はないと思いますが、気分的に不安です。

 もし、アルテラのツールの出来が良いようなら、本気で移行を考えます。
702774ワット発電中さん:2009/09/02(水) 23:22:04 ID:hlsliFyG
自作じゃなくて、
サンプルコードをまず動かしてみれば?
703774ワット発電中さん:2009/09/02(水) 23:30:31 ID:FAqoSFId
 探し方が下手なのかもしれませんが、CPLD用のサンプルコードやチュートリアル
は見当たりません。xilixのHPにあるものはFPGA用ばかりのようです。
 仕方が無いので、簡単なデコータやカウンタから順番にインプリメントして動作確認
してきました。
704774ワット発電中さん:2009/09/02(水) 23:33:14 ID:2rag6mZ8
アルテラの方が使い勝手がいいよ
705774ワット発電中さん:2009/09/02(水) 23:35:53 ID:YV6e+WrD
CPLD?

XILINXでいうとCPLDとFPGAはそれぞれ何ですか?
706774ワット発電中さん:2009/09/02(水) 23:49:33 ID:6BRV3fyO
アルテラは5Vトレラントなやつが少ない
707774ワット発電中さん:2009/09/02(水) 23:51:01 ID:JCdb1/66
代表で言うならばCoolRunnerとSpartanがそれぞれCPLDとFPGAじゃないの?

そんなことよりシングルエッジFFしかないFPGAでデュアルエッジカウンタ作る方法思いついた。
reg [7:0] cntp;
reg [7:0] cntn;
always@(posedge CLK) cntp[7:0]<=cntn[7:0]+1;
always@(negedge CLK) cntn[7:0]<=cntp[7:0]+1;
うまく使えば二倍の分解能の分周器が作れる。
708>>701:2009/09/02(水) 23:52:50 ID:FAqoSFId
>>704
 ありがとうございます。まず、ツールの使い方を覚えるべく、少し触ってみます。
709774ワット発電中さん:2009/09/03(木) 02:13:20 ID:By/2s8O9
>>698
バグがなぜ起きるかを詳細に書いてあるが、解決策は無い。次のリリースを待て。
ばっかなんだよなぁ…

それだけ詳細に分かってるなら直せよ、と言いたい。

WEB Packも2G越えをダウンロードして、インストールしてUpDateを掛けると
また1G越えを取りに行く…
ISE11.2とか、ISE11.1aとかにしてよ…
710774ワット発電中さん:2009/09/03(木) 02:52:33 ID:omA49guD
Xilinxを使っている人や会社って、み〜んなISEを使っているんだよね?
大企業の技術部も、大学の教授も、学生も。しかも世界中の人が。
文句言わずに使ってるのはスゴイと思う。
俺も使ってるけど、「なんだよそれ」「うそ? まさか」など文句言いながら使ってる。

Source Windowのファイルを選択すると、Project Window の表示内容がコロッと変わるのが許せない。
最初の頃「あれ〜? いつもの画面にならないよ」と1日悩んで、結局再インストールもした。
iMPACTで書き込むときも、フラッシュROMの絵が、mcsを生成するまでとmcsをデバイスに割り付ける時で
ROMの絵が違う。何が何だか、サッパリわからない。

大手商社も、DSPだとかエンベデットとか高尚な講習もいいけど、
初級、中級、上級と分けた「ツールの使い方講習」をもっともっとやって欲しい。
ISEのバージョンが変わる毎にやって欲しいわ。
711774ワット発電中さん:2009/09/03(木) 03:11:11 ID:v4Qsd8Ze
FPGAの勉強をしようと思っているのですが、
ザイリンクスやアルテラでやってるトレーニングは個人で参加しても大丈夫?
それとも、素人にはオススメしない?
712774ワット発電中さん:2009/09/03(木) 06:20:47 ID:O7NuTdvy
別にチームで参加しなくても大丈夫だと思うよ。
713774ワット発電中さん:2009/09/03(木) 08:55:12 ID:2SxvceeR
>>710
会社で使ってるけど、論理合成は別のツール。
それに、コマンドラインで使う人もいる。
714774ワット発電中さん:2009/09/03(木) 11:19:07 ID:auCDXq6p
>>696
・TextEditorで2byte文字がまともに使えないし。

外部のエディタ使えば?
715711:2009/09/03(木) 13:18:10 ID:v4Qsd8Ze
>>712
書き方が拙かったようですいません。
個人というのは、企業など法人の一員ではなく学生や無職の者という意味で書きました。
そのような場合、招かれざる客、てな感じにならないでしょうか?
716774ワット発電中さん:2009/09/03(木) 14:12:34 ID:FkgaIsHe
>>714
確かに外部editorで良いのだけれど、
材の「2byte圏顧客に対する気持ち」が推し量れるという意味だろう。

>>715
712のことを気にしなくて大丈夫。
712もわかって書いてる。そうキミを茶化してるんだ。

講習の個人参加は何ら問題ない。
FPGAのことを学びたくて参加する講習会?には、次のようなものがある。

1. XilinxやAL寺など、メーカーが主催する講習
   多くの場合有料。有る程度理解している人を前提にした講習が多いみたい。
   講習日程も1日から1週間とか長くて、良いと思う。
   オレは参加したことないので、予想と先入観の話。

2. メーカーの有力代理店が主催する講習
   これは数え切れないほど行った。
   多くの場合無料。内容はまずまずだが、1日とかの短時間で詰め込みすぎるため
   内容が深くわからない。教本は、実務に則したノウハウが書いてあり、良い。
   講師は概ね良いが、たまに新人にあたることがある。教本に書いてあることだけ
   棒読みしてくれた。あほらしくて午前中で帰ったことがある。
   講習の最後に、「現在の仕事は何? どのデバイスをお使いですか? 生産予定は?」とか
   聞かれる。「個人参加なので、そう言うことはありません」と言い切れば良いと思う。

3. ポリテクセンター
   国がやっている教育制度。タダではないが安いし、期間も長く良い。
   ただ、細かいところは知らない先生もいる。

4. CQ出版のセミナー
   有料。講師は現場の技術者なので、内容は良い。テキストも良い。
   数が少ない。オレはFPGAのセミナには行ったことない。アナログ回路。
717774ワット発電中さん:2009/09/03(木) 17:48:05 ID:lXGVIVNb
USBでPCとFPGAボードつないで画像処理させてPCに返す方法はどうすればいい?
CPUはいる?
718774ワット発電中さん:2009/09/03(木) 17:53:53 ID:NXnUVg9W
>>717
FPGAとUSBを接続する手段によると思います。
719774ワット発電中さん:2009/09/03(木) 19:00:32 ID:MBK4U+dV
>>717
画像処理と言うことならUSB2.0HS必須として答える。
1)USBのPhy(UTMI)をFPGAに繋いで、USBプロトコルはFPGAに実装
2)EZUSB FX2とFPGAを繋ぐ

USB1.1ならPhyもFPGAで実現できるかもね。
720774ワット発電中さん:2009/09/03(木) 19:03:25 ID:MBK4U+dV
FPGAにPhyを外付けするならUTMIよりULPIのほうが使いやすいな。
721774ワット発電中さん:2009/09/03(木) 21:22:33 ID:bV1vWbdV
ごめん、ぜんっぜんわかんない。 バカでごめんなさい。
722774ワット発電中さん:2009/09/03(木) 21:29:48 ID:TbOJ0BFe
ならば黙って立ち去るがよい。
723774ワット発電中さん:2009/09/03(木) 21:39:24 ID:MBK4U+dV
キーワードは提示したんだから自分で調べるくらいしたらどうなの?
724774ワット発電中さん:2009/09/03(木) 22:31:45 ID:kHw5/Hab
あのすみません。webpack_SFD.tarというファイルをダウンロードしたのですが、実行するにはどうすればよいでしょうか?
725774ワット発電中さん:2009/09/03(木) 22:37:33 ID:OA5O/1Pi
>>724
まず、解凍かと。
winrar Lhaplusあたりで解凍できると思う。
でも、それwin用?
726774ワット発電中さん:2009/09/03(木) 22:55:22 ID:kHw5/Hab
>>725

ありがとうございます。
WIN用かはわかりません。が今インストールしているのできっとそうです。
727774ワット発電中さん:2009/09/03(木) 22:56:07 ID:LzYI054R
>>717
PCに全部処理させた方が、それを作るのも、処理速度自体も速いんじゃねーの。
728774ワット発電中さん:2009/09/03(木) 23:10:31 ID:O7NuTdvy
>>725
そうなんだよ、なぜか tar 圧縮されてる。
6GB もあるんだぜ
729774ワット発電中さん:2009/09/03(木) 23:11:04 ID:FkgaIsHe
>>726
Windows用であることを祈る。
730774ワット発電中さん:2009/09/03(木) 23:24:12 ID:kHw5/Hab
今、評価ボードで勉強していますが、LSIの足のピッチの狭さに頭が痛いです。
変換基板とかあるのでしょうか?これを克服しなければ先に進めないし。。。
731774ワット発電中さん:2009/09/03(木) 23:34:31 ID:N4sNbbha
変換基板とかあります。
732774ワット発電中さん:2009/09/03(木) 23:47:30 ID:kHw5/Hab
よかったぁ〜
733軽石:2009/09/03(木) 23:48:31 ID:fe0rfABP
変換基板みたいなのもあるみたいです。(^。^)
ゴーグル先生に聞いてみましょう。(^。^)
734774ワット発電中さん:2009/09/03(木) 23:51:35 ID:kHw5/Hab
>>733

あーどうもです。この板にもいらしてたんですか。分野広いですね。
735軽石:2009/09/04(金) 00:13:05 ID:2t80CBB+
PIC+FPGA(Xilinx)といえば軽石です。
PIC-MLとFPGAインフォメーションをみていれば自然です。(^。^)
736774ワット発電中さん:2009/09/04(金) 00:22:57 ID:kJqZnbEY
ISE:Vista
Quartus:Linux

こんなイメージがあるんだよね
なんでXilinxのは、ゴテゴテになっていくの?
737774ワット発電中さん:2009/09/04(金) 02:59:28 ID:Zz5xYZQC
>>736
UIで受ける印象は逆なのにな。
738774ワット発電中さん:2009/09/04(金) 07:35:24 ID:3EEz+wdP
軽石ってこれだろ

FPGA BBS [One Topic All View / Re[1]: Quartus IIの設定 / Page: 0]
http://www.fpga.co.jp/cbbs/cbbs.cgi?mode=al2&namber=2020&no=0&KLOG=2
739774ワット発電中さん:2009/09/04(金) 11:52:05 ID:rwlsnN7m
>>733
「基盤」だろw
740774ワット発電中さん:2009/09/04(金) 12:00:34 ID:c0xrmlpG
w
741711:2009/09/04(金) 12:53:50 ID:j5eiCboi
>>716
丁寧なご回答ありがとうございます。
712さんのレスはそういうことでしたか。お恥ずかしいです。

実は、同様の質問をX社とA社にメールで問い合わせておりました。
X社は担当者様からすぐに丁寧な返信が来たのですが、A社はまだ無回答です。
この辺りの対応から、A社からは「個人での参加は遠慮してくれ」的な空気を
感じておりました。
まあ、遠慮せず申し込みしてみようと思います。
742774ワット発電中さん:2009/09/04(金) 13:15:12 ID:kjWxN/U8
>A社からは「個人での参加は遠慮してくれ」的な空気

Yes you do.
743774ワット発電中さん:2009/09/04(金) 14:03:32 ID:4MhfAD7S
>>741
>まあ、遠慮せず申し込みしてみようと思います。
それがいいですね。頑張ってください。

僕は、電子回路関係を個人でやってるんだけど、
半導体で有名なT愛からのメールニュースが来る。
そこに講習会の案内があったので申し込んだけど、返事が来たことは1度もない。
個人自営なんて商売につながらないからね。
744774ワット発電中さん:2009/09/04(金) 16:08:01 ID:enq7xZVF
セミナーは、基本的に法人限定だったはず。
個人で通るのは、メーカーのエンジニアが個人的に申し込む場合だけだったと思う。
でも学生は受けられるセミナーもあるらしい。
745774ワット発電中さん:2009/09/04(金) 19:31:07 ID:nZNN2mp2
Xi のWebISEでsim何使ってる?
ISE付属のxst? それとも無償版のModelSim?
で、なんでそれ使ってるの、ねぇ
746774ワット発電中さん:2009/09/04(金) 19:52:14 ID:SWRt10Hq
>>744
某社は、登録の時点で、メールアドレスが、co.jpでないとはねられたりする。
747774ワット発電中さん:2009/09/04(金) 20:12:44 ID:P7HM3u1P
yahoo.co.jp
748774ワット発電中さん:2009/09/04(金) 20:55:36 ID:4huG09or
関西で評価ボードがすぐに買える店ある?
SUZAKUあたりで。
749774ワット発電中さん:2009/09/04(金) 22:28:09 ID:m9XuFy96
通販でも2日位で届きますよ。
750774ワット発電中さん:2009/09/04(金) 22:42:04 ID:d5F3vW02

個人だと商売にならない、という判断がメーカー(商社)として正解なのかも知れないが、
もう一方で、競合(メーカー、商社)が紛れ込んでも分からなくて困るからかな?

昔いた会社の上司が
名刺偽造してチョメチョメしてた話があるので、
まあ、なんとでもなるんだろうな、とは思う。
751774ワット発電中さん:2009/09/04(金) 22:45:10 ID:u74PM1a2
まあ、日本橋のコムスポット共立かマルツパーツ館か千石電商とかで置いてあったと思うよ。
USBダウンロードケーブルを探しに行っただけなので、評価ボードはあまり探してなかったもので・・・。
マルツパーツ館は店の入り口と階段の間辺りに置いてあったような。
SUZAKUはあったか覚えていない。取り寄せになるかもしんない。

面倒なので通販という手も。
ttp://www.paltek-onlinestore.com/Item/Item_Atmark_Suzaku.html
ttp://www.chip1stop.com/AT102_M20_02_13.cfm
752774ワット発電中さん:2009/09/05(土) 00:27:51 ID:dsyBETgm
>>
おお、ボードとかなかなか届かないイメージだったけど、結構早いのね。
753774ワット発電中さん:2009/09/05(土) 01:12:27 ID:YU5t31ga
今時、関西に拘る理由がわからん。
全国通販を使わない理由が気になる。
754774ワット発電中さん:2009/09/05(土) 01:31:35 ID:Pn6bvQYX
>>745
iverilog+vvp+GTKwave使ってる。
全部のPCにISEを入れる余裕がないもんで・・・。
12GBなSSDのネットブックにインスコできんし、重いし。

というか、ModelSimの使い方が判ってない・・・。
755774ワット発電中さん:2009/09/05(土) 01:55:45 ID:jDgBkYQ1
そうかぁ
現物を見たり、アドバイスをもらったり、値引き交渉したりできるやん
店頭に並んでるほうが、買い物した気になるしな
756774ワット発電中さん:2009/09/05(土) 08:22:06 ID:DCfPgX4W
いくら交渉しても通販より安く買えることはまず無いから店で交渉しようなんて思わない
店頭で買わないと買った気になれないのは通販に慣れてないだけだろ
757774ワット発電中さん:2009/09/05(土) 08:47:12 ID:7qc2l/5l
FPGAボードで、アドバイスがもらえるような店なんてない。
758774ワット発電中さん:2009/09/05(土) 09:16:25 ID:t0F/H3vX
>>755 は店員いじめて楽しむアホ
759774ワット発電中さん:2009/09/05(土) 10:03:24 ID:DCfPgX4W
てか家電の値引き交渉はよく聞くけど、FPGAボードとかで値引き交渉とかできるものなの?
760774ワット発電中さん:2009/09/05(土) 10:08:35 ID:Eg3aVpJE
5V非対応で3.3VまでのCPLDとかFPGAに5V入力すると壊れるってよく見るけど実際どうなの?
個人でその手の失敗した人はいそうだけど、壊れたとかはみたこたことない 機種によるのかな
実際壊れるとしたらそのピンだけ壊れるの?それとも完全に死亡?
761774ワット発電中さん:2009/09/05(土) 10:29:36 ID:RRnIF8yL
>>759
メーカと数量と担当の心次第 なのはあたりまえだけどね。

前に居た会社で250万円するASICプロトボードを30万くらい値引いてもらった。
ヒューマンとかのは知らないけどね。

あと、期末まじかになると売り上げ確保の為にテモミモードになるだろうね。 
762774ワット発電中さん:2009/09/05(土) 10:48:22 ID:vkA+/1Vh
>>760
>個人でその手の失敗した人はいそうだけど、
>壊れたとかはみたこたことない

失敗しない人=>大多数(あまりにも当たり前だから)
失敗した人=>あまりにも馬鹿らしい恥さらしだから発表なんかしない

どうしても「壊れない」という結論にしたいなら試しに自分でやってみれば?
763774ワット発電中さん:2009/09/05(土) 12:01:02 ID:7qc2l/5l
>>760
おまえがAC100vの片方を加えて、もう片方をちんこのさきにつなげてみて、生きてるかどうかと同じ。
たとえ生きていたところで、50年使えたちんこが10年で終了するように壊れてるかも知れないのも同じ。

764774ワット発電中さん:2009/09/05(土) 13:42:39 ID:IUtonoFB
このスレはどうしてこうも・・・
765774ワット発電中さん:2009/09/05(土) 16:18:54 ID:5tRUbjV8
悪輝の65ナノ品まだかよ。。。
766774ワット発電中さん:2009/09/05(土) 19:05:00 ID:Db+ljLev
>>762
> 失敗しない人=>大多数(あまりにも当たり前だから)
> 失敗した人=>あまりにも馬鹿らしい恥さらしだから発表なんかしない
失敗したかどうか不明な人=>なんか変だけど、バグか故障か区別不能

>>760
ぶつっと切れるだけが故障じゃないことも考えて、さぁがんばれ。みんな応援してる。

>>763
もうちょっと面白いこと書いてくれたら嬉しい。
767774ワット発電中さん:2009/09/05(土) 21:20:39 ID:jDgBkYQ1
ええええええええええ
おまいら3万とか4万する評価キットを値引き交渉もしないで買うの?

おれは必ず一割はまけてもらってるよ。
逆に定価のまま買うなんてありえない。
768774ワット発電中さん:2009/09/05(土) 22:22:48 ID:zb8u9jB4
通販は最初から3割以上引き
下手すりゃ半値
769774ワット発電中さん:2009/09/05(土) 22:37:08 ID:de4Xmr9j
>>767
それ個人の話じゃないよね?会社の話だよね?
大きい会社になると、下請法とか色々あるから難しいんだよ
770774ワット発電中さん:2009/09/05(土) 23:43:10 ID:ksV0qmkV
Windows Vista Business (笑)
771774ワット発電中さん:2009/09/06(日) 00:20:48 ID:zZoHaMfe
>>769
夜遅くに、コメントありがとうございます。すみません。
772774ワット発電中さん:2009/09/06(日) 01:54:17 ID:LJJ37YKK
ISE 9.1のプログラムを無くしてしまった。どこかでダウンロードできませんか?
773774ワット発電中さん:2009/09/06(日) 01:55:50 ID:/ITnV4jA
774774ワット発電中さん:2009/09/06(日) 02:10:21 ID:K5H5ByU9
これって、何気に安くてお勉強に良さそうなんだが、もう出回ってる?

http://solitonwave.co.jp/products/terasic.html#de0.html
775774ワット発電中さん:2009/09/06(日) 02:48:19 ID:/ITnV4jA
千石にあるみたいです(^o^)
776774ワット発電中さん:2009/09/06(日) 10:41:52 ID:nADWVZiJ
>>772
WEB PACKだったらttp://japan.xilinx.com/webpack/classics/wpclassic/index.htm
に過去すべてのバージョンがあるよ。
777>>772:2009/09/06(日) 12:59:40 ID:LJJ37YKK
>>776
  感謝!!
 xilinxのHPを探したのですが、このページを見つけることが出来ませんでした。
778774ワット発電中さん:2009/09/06(日) 18:40:59 ID:310KzD99
LatticeのFPGAを使ってFPGAの勉強をしているのですが
回路図エディタでROMを作ろうと考えたんですが
ROM内部に書き込むファイルをどのように作ればいいのか
わからないので誰か教えてください
一応アドレスバス16bit,出力16bitで考えています
779774ワット発電中さん:2009/09/06(日) 19:39:21 ID:n2fgkVo9
やった事はないけど…
ipExpressでROM作るときにMemoryFile欄になんかファイル突っ込めばいいんじゃない?
780774ワット発電中さん:2009/09/06(日) 19:55:31 ID:310KzD99
>>779
それで突っ込もうと思ったんだけど
Binaryファイル
HEXファイル
Addressed Hexファイル
って記述されて
上記のファイルを作ろうと思ったんだけど作り方がよくわからなくて
ROMに書き込もうと思ってるファイルは16bitビットマップファイルで
どうやって行けばいいのかいろいろネットで調べたけどわからなかった・・・
781774ワット発電中さん:2009/09/06(日) 20:15:15 ID:n2fgkVo9
ビットマップならバイナリ
782774ワット発電中さん:2009/09/06(日) 20:26:37 ID:310KzD99
>>781
どうやってやればいいの?
なんかいいソフトあったら教えてください
783774ワット発電中さん:2009/09/06(日) 20:37:01 ID:n2fgkVo9
>>782
バイナリってのはファイルの中身を丸々そのまま突っ込むって意味だから変換は要らないんじゃないか
784774ワット発電中さん:2009/09/06(日) 20:55:40 ID:310KzD99
>>783
なるほど
ちょっと試してみます
785774ワット発電中さん:2009/09/06(日) 21:58:18 ID:1IB3L0hq
>784
 いや、 ispExpress の要求する 'バイナリ' は 普通の人が想像する
バイナリファイルじゃなくて、'0' と '1' の文字だけで数値表現する方。
'バイナリストリング' の テキストファイルです。
残りの HEX や AddressedHEX もテキストファイル。

書式は TN1137.pdf に書いてあるから Latticeから DLしてきて読んでね。
アドレス忘れたけれど、日本語版もある。

自分で変換ソフトを作るか、ファイルダンプするソフトを探してきて
出力を エディタで加工すればいいです。

↓ は自分が使った 8bit AddressedHEX の書式の先頭2行(参考)

000000: 00 00 00 00 00 00 00 00 FE FE FE FE FE FE FE FE
000010: F0 F0 F0 F0 F0 F0 F0 F0 0F 0F 0F 0F 0F 0F 0F 0F
786774ワット発電中さん:2009/09/06(日) 22:18:16 ID:q0HfNeH8
アブソリュートバイナリとか言っていたな。
エンコードしていないそのままのバイナリは
787774ワット発電中さん:2009/09/06(日) 23:17:52 ID:sBhprAbd
すみません、ISEでfpgaを始めたばかりなのですが、それぞれのウインドウが何を意味しているのか、
何処かで解説しているサイトはないでしょうか?
788774ワット発電中さん:2009/09/06(日) 23:42:05 ID:310KzD99
>>785
ありがとうございます
参考になりました
これで何とかできそうです
789774ワット発電中さん:2009/09/06(日) 23:47:36 ID:zWfIbWwR
>>780

unixとかだと
od -hc hogehoge.bin

od -hca hogehoge.bin
を良く使う


HEXファイルフォーマット
モトローラ Sレコードフォーマット
インテルHEXレコードフォーマット
http://www.pastelmagic.com/tips/hexform/hexform.html
http://www40.atwiki.jp/system-ed/pages/14.html

Ruby
http://homepage1.nifty.com/~tetsu/ruby/cmd/hd.html
790774ワット発電中さん:2009/09/07(月) 00:48:30 ID:C5spN/EO
>>787
僕も知りたいです。
本とかでもいいです。
でも、バージョンで違うんだろうなぁ。
791774ワット発電中さん:2009/09/07(月) 00:55:58 ID:T3rtveP6
この辺ですかね。
http://www.cqpub.co.jp/hanbai/books/MDD/MDDZ200905.htm

つか、ISEのHelpみりゃ(ry
792774ワット発電中さん:2009/09/07(月) 02:01:54 ID:RsDo2Fir
>>787 >>790
ISEのあの窓、わかりにくいよな。

左上、左下、右半分、下部の4つの窓(こういう窓のことをペインという。panel=パネルの原型paneね)
がある。
それぞれの窓内は、さらにタブで切り替えられるようになっている。
要は兼用兼用でいろいろなものを表示させようと、
兼用させ過ぎで見にくく、理解しにくいものになっている。

左上のペインは「Source Window」(ソースウインドウ)と言って、今回のプログラムや、それらに必要なファイルが示されている。
projectを作ったばかりだと、FPGAの型番くらいしか出ていないはず。
このSource Windowに表示されているFileは、単に羅列されているだけではなくて、
親子関係も表している。top階層の下に、子供のFileがズラーっと並んだりしてくる。
さらに、これらのFileをクリックで「選択」か、「非選択」かが、動作に対して意味を持ってくるので注意。
左下の1/4のペインは、Process Window(プロセスウインドウ)といって、人間がISEに対して「この処理をしてよ!」と依頼するためのボタン集。
Source WindowとProcess Windowは上下逆になっているかもしれない。
大まかに言うと、「このファイルにこの処理をしたい」というときに、
Source Windowで「このファイル」に該当するものをシングルclickして選択、
Process Windowで「この処理をしたい」と指令する。
ここで注意が必要なのは、この上下Windowの表示内容は、お互いに関連していて、
選択するFIleによって、ProcessWindowの表示内容がゴロゴロ変わること。
Web上の「ISEの使い方」みたいなサイトに出ているISE画面は、それなりの物をそれなりに選択している時の画面なので、
初心者は、カチカチといろいろ押していると、webサイトの画面表示に戻れなくなること。
「あれー? さっき、あの画面になったのに、どうして今は そのの画面にならないんだろう? あれぇ? あれ〜?」ということが発生する。
このあたり、オブジェクトオリエンテッドな作りなのかも知れないが、初心者にとっては迷うことになりやすい、ISEのわかりにくい部分の1つ。
ちなみに俺は、このお節介が嫌い。「そんなこと誰が頼んだんだよ! オイ!!」

右側の大きなペインは、ソースプログラムを書いたり、状況を見たり、シミュレーションしたり、デバイスに書き込んだりと、これも下側のTABで切り替える。
最下部の横長のWindowは、コンソールウインドウ(Console Window)と言って、
ISEが人間に対して言いたいことを表示してくる。ログみたいな窓。上にドンドンスクロールして行く。

Windows VISTAのように、これを選ぶとあれが変わる、あれを選ぶと画面がコロッと変わる、など、
ISEはお節介が多いので、最初は自分の思い通りの画面にならない。
GUIの直行性が悪いので、系統立てて覚えるまで根気が必要。
そして「FPGAの○○」などISEの画面を貼り付けて操作説明しているサイトは、
職業で毎日ISEを触っているような人が書いているので、
必ずしも初心者にわかりやすく書いておらず、途中画面を端折っていたりするので注意が必要。

793774ワット発電中さん:2009/09/07(月) 06:30:21 ID:jSe4t6jJ
XiのサイトからWebPack落とそうとしたけど、
間違ってFAT32のドライブに突っ込んで2GBで止まったorz
NTFSのドライブを指定してDLしなおしだ。
794774ワット発電中さん:2009/09/07(月) 07:11:58 ID:xZL7n6Zg
www
795774ワット発電中さん:2009/09/07(月) 09:34:30 ID:7e8gq9ye
lzhで圧縮するとどんなでかいファイルでも2GBに圧縮されるので
溜ってたmpegを片っ端から圧縮してオリジナル捨ててから
事態に気付いた漏れがきましたが質問ある?
796774ワット発電中さん:2009/09/07(月) 13:34:41 ID:8bgWx821
その「ゲート」とは、何を持ってゲートとするんでしょうか?
797774ワット発電中さん:2009/09/07(月) 14:26:45 ID:6RHFBnkX
それは既に出ている。
>595 :774ワット発電中さん:2009/08/25(火) 01:25:24 ID:NFNR0agw
> その「ゲート」とは、何を持ってゲートとするんでしょうか?
798774ワット発電中さん:2009/09/07(月) 16:19:02 ID:+9KOfqmm
>797
どこだよ、軽石。
799774ワット発電中さん:2009/09/07(月) 16:35:19 ID:HEiYE8Vb
>>791-792
ありがとうございます。大変参考になりました。
800774ワット発電中さん:2009/09/07(月) 17:45:54 ID:C2uWWJU5
SUZAKUとEDKとImpulseCセットで安く買う方法ない?
801774ワット発電中さん:2009/09/07(月) 19:01:58 ID:Co63ReKr
3入力1出力の組み合わせ回路をfunction文で記述したんだけど、必ず1個のLUTに割り当てる方法ってない?
他の組み合わせ回路と共通項でくくって別のLUTに分割されるとディレイが増えるから防ぎたいんだ。
環境はLattice XP2-5E,Verilog記述。
802774ワット発電中さん:2009/09/08(火) 01:05:59 ID:Kv7ec40s
functionの入力側と出力側をすべてFFにしておくとか。
functionの出力を直接assignやfunctionなんかで比較とか演算しなければFF→LUT→FFになるんじゃない?

でも、構造記述を知りたいんだよね。
803774ワット発電中さん:2009/09/08(火) 01:40:45 ID:urc8vfWb
プリミティブで書いて制約をかければいいんじゃないの。
どう書くかは知らないけど。
804774:2009/09/08(火) 02:22:41 ID:DnK7aNIa
>>775
おそレスだけど、トン。
千石にあれば、気が向いたときに買えるから、良いね。
これって、NIOSとかってのもお勉強できちゃうのかな?
805774ワット発電中さん:2009/09/08(火) 05:11:50 ID:dU7dCJIy
>>801
かなわぬ望み
806774ワット発電中さん:2009/09/08(火) 09:41:44 ID:dRN/jEfS
>>801
アルテラでうところのロジックロックみたいの無いのかな
807774ワット発電中さん:2009/09/08(火) 09:44:06 ID:KA0XTjLR
>>806
あるよ。
たしか有償版でないと使えなかったような気がする。
808774ワット発電中さん:2009/09/08(火) 11:23:47 ID:rzP+Pn83
FPGAで、DDSは作れますか?
32bit分解能、0.001Hz〜50MHz、sin波、矩形波です。
809774ワット発電中さん:2009/09/08(火) 12:07:44 ID:W25NQbnD
810774ワット発電中さん:2009/09/08(火) 14:21:58 ID:rzP+Pn83
>>809
ありがとうございました。
私の探し方が足らなかったようです。すみません。
参考になります。ありがとうございました。
811774ワット発電中さん:2009/09/09(水) 00:06:51 ID:mNv+cMZf
教えてください。
clockに同期したデータが2組あります。
一方が、相手方のデータを取り込みたいとすると、そのままD-FFで刻めばよいのでしょうか?

例えば、マイコンのデータバス内容(遅い)を、FPGA(速い)に取り込みたいときです。
両者のClockは非同期です。

マイコンデータを、マイコンClockにより FPGA内部のD-FF(M)に書込み、
FPGA側では、D-FF(M)出力をそのまま使うのではなくて、
さらにFPGA側Clockにより D-FF(F)に書込み。その出力をFPGA内部で使う。
のような手法に効果があるのか、わからないのです。

人間のSW操作のような信号を取り込むときは、FPGA clockにより一旦D-FFで同期化してから、
使うということを本で読みました。なので、上記の例でもそのように考えました。

宜しくお願いします。

812774ワット発電中さん:2009/09/09(水) 00:09:19 ID:s7/WDv8L
デュアルポートRAMをつかえ
813774ワット発電中さん:2009/09/09(水) 00:16:21 ID:s7/WDv8L
速度比にもよると思うけど、マイコンのクロック自体をFPGAのクロックでラッチして
当然マイコンのデーターラインもラッチしておいて、
FPGA内部でラッチしたクロックとデータで再度ラッチをエミュレートする
っていうてもあるよ
この手が使えないぐらい速度比が近ければ、外付けデュアルポートRAMをつけるか
FPGA固有の機能をなんとか工夫してつかうしかないとおもう。
814774ワット発電中さん:2009/09/09(水) 00:47:57 ID:mNv+cMZf
>>812 >>813
早速のコメント、ありがとうございました。
デュアルポートRAMですか。了解しました。

周波数は、FPGAが40〜50MHz、もう一つが20MHzくらいなので、
FPGA内部で、Clockともどもダブルラッチ?する手法が使えそうですね。
また、FPGAにもDualPortRAMが構成できると思うので、リソースを見て考えたいと思います。
ありがとうございました。

しかし、HDLで書くと(FPGAを使うと)楽ですね。ラッチを1発挿入するのも
数行の記述で済んでしまいます。
ハードウェアでやっていた頃は、ICの配置スペース確保とラッピング線のめんど臭さいものでした。

ありがとうございます。
815774ワット発電中さん:2009/09/09(水) 11:42:10 ID:SpR4CtDa
FIFO だろ JK
816774ワット発電中さん:2009/09/09(水) 11:51:35 ID:GpjbhJSu
FIFOかDPRAMかは用途によりけりだろJK
817774ワット発電中さん:2009/09/09(水) 15:43:23 ID:8Zyv3KF1
書籍のサンプルプログラムで、
ISEからEDKに移行するときに、device設定がmatchしてないとエラー出る。
なにがおかしいんだろう?
818774ワット発電中さん:2009/09/09(水) 22:59:51 ID:5Nnyc2ck
ファイル全部チェックすれば?
819774ワット発電中さん:2009/09/10(木) 16:57:40 ID:ew4WB8Ff
>>815 >>816
女子高生?誰が?
820774ワット発電中さん:2009/09/10(木) 16:58:09 ID:uiM8YvC7
俺が
821774ワット発電中さん:2009/09/10(木) 17:05:22 ID:s4s5psQ0
JKFFのことだろJK
822774ワット発電中さん:2009/09/10(木) 23:49:20 ID:cDeXdPUB
ISEにおいて以下の状態でselが1であった場合、aの値はどうなりますか?

always @( posedge clk ) begin
  a <= 1'b0;
  if ( sel == 1 ) begin
    a <= 1'b1;
  end
end
823774ワット発電中さん:2009/09/11(金) 00:43:42 ID:6V1DOrGb
代入が2箇所にあるので、エラーじゃない?
通るとしたら、結果は不定。

不定、不貞、不逞だーー。
824774ワット発電中さん:2009/09/11(金) 08:21:33 ID:ahKckL2o
>>823
Verilog の仕様書を読んだ方がいい
825774ワット発電中さん:2009/09/11(金) 08:42:57 ID:0y33MpeE
>>822
議論は不毛
826774ワット発電中さん:2009/09/11(金) 10:44:39 ID:kBTAjsZP
で、>>822の 答えは何でしょうか?
827774ワット発電中さん:2009/09/11(金) 11:12:29 ID:jqGnHLJv
>>824
STARCあたりのコーディングガイドを読んだ方がいい
828774ワット発電中さん:2009/09/11(金) 12:16:41 ID:312U1vMh
>>827
出たな、STARC 儲
相変わらず Verilog 1995 でも使ってるのか?

だとしても、不定にはならないはず。
829>>827:2009/09/11(金) 14:08:28 ID:jqGnHLJv
まあ別にSTARCガイドで無くとも良いんだけどね。

自分は不定と言った者では無いが、
不定かどうかはツール解釈次第(バグとかも含めて)
合成系、Sim系、論理等価性チェック系、各社どうか???

そういった書き方は仕事ではご法度ということだ。
覚えなくとも良い書き方だ、とは言っておきたい。

結論的には>>825支持
830774ワット発電中さん:2009/09/11(金) 14:45:40 ID:LGPyyRSD
>>828
どうなるの?
831774ワット発電中さん:2009/09/11(金) 15:07:11 ID:/JbhcHDJ
中国でUSB blasterの互換品を買ってきた
832774ワット発電中さん:2009/09/11(金) 16:33:02 ID:rJK1oScY
>>831
ヒューマンデータの中の人が中国なら1000円くらいで買えるって言ってたけどそんなもん?
Terasicみたいな完全互換品?
833774ワット発電中さん:2009/09/11(金) 17:58:33 ID:o8iwzX/u
1000円でも3500円でも大差ないきがするわ
834774ワット発電中さん:2009/09/11(金) 19:07:08 ID:9iB6hHIx
>>829
バグとか言い出したら、結果オーライとしか言えなくなるだろ。

少なくとも Verilog 2001 では、規定されている。例を挙げてね。
IEEE Std 1364-2001 §5.4.1
835>>829:2009/09/11(金) 20:34:09 ID:6PoK6PDu
>>834
また得意気にw
結果オーライを避ける意図だよ。 そんなの仕事に組み込めるかよ。

Verilog2001で規定されていたとしても仕事レベルには使い難い。
自分以外の他人のも含め、コードの流用・混在開発が有りえる以上、
そんなルール混在は厄介な結果につながるもんだ。

あくまでオイラの着眼点は純粋な言語仕様がどうかではなく、実務上の話だよ。

まあ、それでも使いたいならドウゾ。
一人仕事や流用無く完結するなら構わないんじゃないですかね。

オイラは先にも書いたように
>結論的には>>825支持  だから。
例を挙げるのは貴方がやればいいのだよ、オイラがやる話ではない。


元の質問者へ
今更だけど元々の話題としてはコッチでやればいいと思う。
【Verilog】記述言語で論理設計 Project8【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1233392876/
836774ワット発電中さん:2009/09/11(金) 20:34:53 ID:ejI4V/Ph
Latticeの回路図エディタの
ROMの
OutClockとOutClockEn
って何に使うの?
837774ワット発電中さん:2009/09/11(金) 20:53:21 ID:rJK1oScY
ClockのエッジでAddr番地の値がQに出力される的な動作だと思う
FIFOはそんな感じだった
838774ワット発電中さん:2009/09/11(金) 22:41:55 ID:twcKG7NT
>不定かどうかはツール解釈次第(バグとかも含めて)
>合成系、Sim系、論理等価性チェック系、各社どうか???

こんなトンでも理論ぶち上げといて、規定されてると反論されたらファビョってるシナが要るのはこのスレですか?
839774ワット発電中さん:2009/09/12(土) 00:41:40 ID:q8zIfMsj
>>838は、どう思いますか? >>822問題を。
840774ワット発電中さん:2009/09/12(土) 00:56:03 ID:dH4JB3S4
>>838
きたきたwww
揚げ足とり
で、内容のある貴君の意見を開陳したまえ
841774ワット発電中さん:2009/09/12(土) 01:36:51 ID:fvPM5a6D
軽石は相変わらずだなぁ。
近藤@日湘とも
842774ワット発電中さん:2009/09/12(土) 01:41:22 ID:zUU0vozO
しかし、ファビョるとかシナとか見当違いの方向へ非難しようとするかね。
底が浅いというべきか、むしろ分が悪いことを露呈してるよなあw
まあ限界なんだろう。
843774ワット発電中さん:2009/09/12(土) 01:46:51 ID:aLGygU6t
>>822 みたいな書き方はバグの温床なので普通しないけど、
不定値にはなり得ない。
ツールによっては不定値になる、というのであればそれはツールのバグ。
どういう動作になるかは Verilog 1995 の時代から規定されている。
ってことで >>827 >>829 はダウト。
844>>827,829:2009/09/12(土) 01:55:58 ID:zUU0vozO
>>843

>>827,829はダウトではなく、これまた真なり。

>>822への純粋な回答ではないのは百も承知。
だからこう書いてるだろ。
>そういった書き方は仕事ではご法度ということだ。
>覚えなくとも良い書き方だ、とは言っておきたい。
>結論的には>>825支持

俺は>>829の通りで不定とも言ってない。 よく読め。
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
使えない、使い難い技術だから指摘してるんだよ。

ここFPGAスレだよな?
実際に実装するのが目的指向としてあるよな?

いやはや、なにがダウトだ片腹痛いwww
845774ワット発電中さん:2009/09/12(土) 02:31:31 ID:YjQnLjAk
>>822
ISEでエラーが出ないの?
フリフロが1つ出来るとすれば、aは1かな
言語の詳細については分からないけど、こう書いてはいけない気がする
846774ワット発電中さん:2009/09/12(土) 04:23:17 ID:Ja3xm3hD
仕事ならツールに合わせればいいんじゃないのかな。
ツールを仕様に合わせさせるのって時間かかるでしょ。
847828:2009/09/12(土) 06:56:00 ID:KrX5wg3w
お、何か盛り上がってるねぇ。

STARC 厨は、オレ流で書いてないから議論に値しないって
言ってるんだから気にしなくていいんじゃね?
>>829
みたいな書き方すると叩かれるけどさ。

で、generate とか使わないんだよね。
ステートマシンは独立して書くんだよね。
いいよ、それで。
848774ワット発電中さん:2009/09/12(土) 10:05:55 ID:bYAILb/V
>>847
厨とか言う意味がわからない。
849774ワット発電中さん:2009/09/12(土) 10:46:24 ID:CYSy3JWl
厨・generate ・ステートマシン 今の議題となんら関係ないのに
必死にキーワード提供ですか。

>>842と同類で>>847は話を違う方向へそらす作戦かな。
なかなか頑張るねw
850774ワット発電中さん:2009/09/12(土) 12:25:12 ID:f1CjBZ5u
822は罪だな
851774ワット発電中さん:2009/09/12(土) 13:25:04 ID:mCSkaFq7
>>822は、
always @( posedge clk ) begin  // clkの立ち上がり毎に
  a <= 1'b0;          // a出力=1になる
  if ( sel == 1 ) begin    // 次に、もしsel入力が1なら
    a <= 1'b1;        // a出力=0になる
  end
end
ということで、別に間違っていないのでは?

a=0の後、次のifでa=1になり、次のclockでスグにa=0になるので、
ヒゲがでるかもしれないけど、フィルター通せば良いと思う。
852774ワット発電中さん:2009/09/12(土) 13:26:23 ID:mCSkaFq7
誤爆でした。すみません。
853774ワット発電中さん:2009/09/12(土) 14:27:09 ID:l9UGFkJv
FPGAやCPLDを勉強してみようと思っています。

参考書・参考雑誌(号数が分かれば図書館でバックナンバーを閲覧できます)
実験用基板

これらはどれがお勧めでしょうか?
854774ワット発電中さん:2009/09/12(土) 14:36:25 ID:5PqJh2YR
書籍はたいした数がでているわけではないので、全部買え
基板は本を全部読んだ後で予算と相談して買え
855774ワット発電中さん:2009/09/12(土) 14:44:05 ID:l9UGFkJv
ぜ、全部ですか^-^;)・・・
856774ワット発電中さん:2009/09/12(土) 15:00:03 ID:f1CjBZ5u
どれか一冊でいいよ
ボードと一緒に学習できる環境があれば十分
857774ワット発電中さん:2009/09/12(土) 15:56:07 ID:M5a/bkPU
画像処理にはCPUいるみたいなんでSUZAKUかいました。

どこかにいいサンプルないですかね?
858774ワット発電中さん:2009/09/12(土) 16:40:57 ID:EaJDSS1I
>>857
>画像処理にはCPUいるみたいなんでSUZAKUかいました。
そんなことないと思います。

http://bookweb.kinokuniya.co.jp/htm/4774129151.html
第7章 Impulse Cによる画像エッジ検出
がSUZAKU-Sを使っています。
859774ワット発電中さん:2009/09/12(土) 18:33:21 ID:M5a/bkPU
これもってますが、MicroBlaze使ってますよね?
860774ワット発電中さん:2009/09/12(土) 18:38:18 ID:EaJDSS1I
画像処理はハードで入出力がCPU
861774ワット発電中さん:2009/09/12(土) 22:43:15 ID:q8zIfMsj
HDLは、まぎれもなくソフトです。

Cやアセンブラでプログラム作るのと、何が違う?
半田ごて使わないし、オシロも使わないし。
シミュレーションとChipScopeとPCで仕事ができてしまう。(ホントはダメだよね)
やってる人は、発振器の使い方も知らないし、オシロも持ってない。
これをもってハード技術者とは、何をいわんや。
862774ワット発電中さん:2009/09/12(土) 23:48:32 ID:t5+RlFN5
>>854の家は図書館みたいな所なんだろうな…
少なくともうちの大学の図書館の半棚分が
FPGA、CPLDその関連書でそれでも海外書籍とかは無いみたいなのに…
863774ワット発電中さん:2009/09/13(日) 01:48:35 ID:QWX3Af3l
"HDL"も"C"も、初心者以外はたいてい言語定義や仕様や規格の事を指して言います。
ソフトといったら具体的な処理系やツールを指します。
ワープロやゲームはソフトですが、"HDL"や"C"はソフトじゃありません。
864774ワット発電中さん:2009/09/13(日) 12:00:55 ID:DHvzVxiH
物理的なにモノがなくて、パソコンのみで変更が容易にできる、
これをソフトと言わずに何と呼ぶの?
865774ワット発電中さん:2009/09/13(日) 12:17:32 ID:7BursohW
コンパイラバイナリーか言語仕様かってはなしだろJK-FF
866828:2009/09/13(日) 13:44:39 ID:hyKsB8X4
ワープロがハードだろ、書院とかオアシスとか
ゲームもハードか・・・Wii とか PS とか
867774ワット発電中さん:2009/09/13(日) 14:04:39 ID:UnFwSvEF
アホがまた出てきた
868774ワット発電中さん:2009/09/13(日) 15:46:52 ID:nFiBV/zm
ハード知らなきゃHDL書けないと思うんだけど
869774ワット発電中さん:2009/09/13(日) 16:11:03 ID:fCJ6fUoW
うまく言えるか自信無いけども試みると。。。

特定用途アクセラレータ機能とか
メモリアクセスコントローラとか
キャッシュとか
命令セットとか

そういったハードウェアリソースを直に設計・実装できるのがHDL

ソフトウェアプログラミング言語は、それらハードウェアリソース上で
ふるまうアルゴリズムを設計・実装する言語。

HDLもアルゴリズム設計・実装をもちろんできるけど、
設計生産性が比較すると悪い。

といった違いかな。

HDL=コンピューター記述
SW言語=コンピューティングアルゴリズム記述

とか?
870774ワット発電中さん:2009/09/13(日) 17:33:36 ID:kWfGD+HW
にしても、
「おーい、ちょっとこのLEDの論理を反転してくれー」と言われたとき、

・はんだごてと半田と74HC04とニッパーと..... → ハードウェア
・PCのみ                   → ソフトウェア

というわけでHDLは、ソフトってことですね。
871774ワット発電中さん:2009/09/13(日) 17:54:02 ID:7zajsWPd
>>870
LEDの極性逆にして付け直すのは何屋の仕事ですか?
872774ワット発電中さん:2009/09/13(日) 17:59:00 ID:IG2TMqR0
>>871
極性逆にしても、論理は反転しないと思うので、
たぶん、それは糞営業か、中間代理店の仕事でしょう。
873774ワット発電中さん:2009/09/13(日) 18:12:55 ID:p8vkcJif
なんだかんだ言っても、PC上でHDLだけ触っているのなら、ソフト屋だよな
874774ワット発電中さん:2009/09/13(日) 18:22:08 ID:e/hqY5C6
私はHDLの他にPC上で回路図を書いて設計もしましたが、
現物に触ったのは、HDLでも回路図でも実機検証のときだけでした。

こんな私はソフト屋ですか?
875774ワット発電中さん:2009/09/13(日) 18:33:23 ID:kWfGD+HW
>>873
同意率 300% 

>>874
ソフト屋率 89%ですね。
876774ワット発電中さん:2009/09/13(日) 19:06:27 ID:jI31Pefe
>>874
基板設計はどうしたの?
FP部品は自分で半田付けできるの?
877774ワット発電中さん:2009/09/13(日) 20:33:34 ID:ZGKioRGY
達人レベルになれば仕組みの記述で同等。
878774ワット発電中さん:2009/09/13(日) 20:36:36 ID:w3ydPe2V
LEDが点灯しないのは仕様です。
879774ワット発電中さん:2009/09/13(日) 20:55:17 ID:gneOoj7P
>>871
ふつはハード屋の仕事だな。
ただし、その部品の実装指示をやったのがソフト屋だったらソフト屋の仕事。
880774ワット発電中さん:2009/09/13(日) 21:43:23 ID:kP6czjAh
単体のLEDのハンダ付け直しならならまだいいが、ずいぶん昔の話だが、
ロームから納品された7セグLEDが、パッケージのマーキングとコモン端子の
仕様が逆ってことがあってだな。
881>>869:2009/09/13(日) 21:53:13 ID:Eh+1yhLs
>>869に加筆だけど

受動部品を駆使するようないわゆるハード屋もあるけど、
HDL使いも広義のハード屋だとは思うがねえ。

RTL-HDLだけしかさわらず、使うツールは論理シミュレータだけ
→ソフト屋に近いハード屋

FPGAを駆使する設計までカバー
RTL-HDL+タイミング設計+電源設計配慮+IO設計配慮(ノイズ配慮とかも)
→間違いなくハード屋

こんなんかな。
まあ、あんまり突き詰めても不毛な気もするがね。
882774ワット発電中さん:2009/09/13(日) 23:00:25 ID:+hhOfd0o
自分をハード屋だと思ってる人ってソフト屋を見下してるやつ多いよな
キモチワルイ
883774ワット発電中さん:2009/09/13(日) 23:10:41 ID:w3ydPe2V
大学ではハードが理解できんやつがソフト屋になるからな
884774ワット発電中さん:2009/09/13(日) 23:19:53 ID:baBoFdOU
>>882
そうそう。自称ハード屋さんは一生半田ゴテ握ってろって
885774ワット発電中さん:2009/09/13(日) 23:38:24 ID:BRPkW7Tc
被害妄想がなんだか素敵w

だんだんと本質論ではなく感情論になってくるあたりが、
なんとも当人の限界を現しているね。

この流れはこういうことかな?

HDLはソフトだ

だからHDL屋も俺たちと同じソフト屋だ

だから同一水準であり、エライもなにも無い

これで見下されない(^^)

なんだか勝手な自己メンタルケア目的か。
2chなんかしてないで心療内科に行け。

ちなみに、
オイラはソフト屋さんを見下していない。
ロジック(機能)の設計生産性ではかなわない。
この辺りの話は設計コスト、量産コスト、電力性能、処理性能、市場投入タイミング
とかもろもろで判断すればいい話であって、どっちが上とか無いね。

確かに、心が病んでるので人を見下す人種がいるのは事実だが、
それは職種には関係ない。
886774ワット発電中さん:2009/09/13(日) 23:41:07 ID:+hhOfd0o
>>885
>>885一行目
887774ワット発電中さん:2009/09/13(日) 23:52:22 ID:w3ydPe2V
人を見下すのは、反抗期の次に来る一種の本能なんだという話を
なんかのTV番組で聞いたことがあるよ。
それから成長できない人なのか、まさにその年代にいるのかのどっちかだろう。
888774ワット発電中さん:2009/09/13(日) 23:55:22 ID:IG2TMqR0
仕様や設計とかドキュメント管理、テストだと、ソフト業界の方がノウハウがきちんとしてることも多いね。
ハード屋さんだと、設計書は頭の中とか、動いたらOKとかけっこうある。

組込系ソフトハウスで、5年ほどSEやったのはとても良い経験になった。
もっとも、ソフト屋は、人数多いだけに、仕様検討や設計できない文法がわかる程度のプログラマ程度だと、
どうしようもない奴も多い。自分で勉強する気がないと兵隊は使い捨て業界だし。

>>882
個人的には、ハンダごて握るハード設計で一生食えるなら、それで人生充分だな。
食えないから、HDLもごりごり書かないといけないんだが。

889774ワット発電中さん:2009/09/14(月) 00:01:27 ID:GVre363p
>>888
>食えないから、HDLもごりごり
それは確かに一つの側面としてあるかもね。。。

>>886
>ID:+hhOfd0o
もう無理すんな 
指摘したいことは分かるが、
オイラはいわれの無い差別や見下しはしない、それは決してしない。
だがいわれのあるものは識別するんだよ。
それは決定的に違うから。
890774ワット発電中さん:2009/09/14(月) 00:08:55 ID:MzgkrXWr
うん、わかったから消えな
891774ワット発電中さん:2009/09/14(月) 00:13:22 ID:vgVODVvr
>>890
おやおや、ご機嫌を損ねてしまったようだね
892774ワット発電中さん:2009/09/14(月) 00:13:30 ID:8QpNK/pi
なんだよまだ夏休みおわんねーのかよ。
893774ワット発電中さん:2009/09/14(月) 00:16:03 ID:yUShXtWi
自称ハード屋はHDL使わないの?
CADとか使うの?あ、CADもソフトか^^
ってことはボード設計も紙と鉛筆?
ソフト屋の俺には真似できんね
894774ワット発電中さん:2009/09/14(月) 00:21:41 ID:oWq5RXIG
>>893
その論理だとソフト屋さんはハードを使わないのですか?
895774ワット発電中さん:2009/09/14(月) 00:23:52 ID:yUShXtWi
>>894
さて、どうなんだろうね?
ハード屋とソフト屋を区別したがる人たちに聞いてくだされ
896774ワット発電中さん:2009/09/14(月) 00:27:30 ID:oWq5RXIG
>>895
???
業務上で区分けはあるんじゃないですか。
区別されると何かお困りなのでしょうか。
897774ワット発電中さん:2009/09/14(月) 00:56:06 ID:/jft6JzG
ソフト屋:PCのみで設計から製造まで終わる人たち
ハード屋:実機、測定器などのお世話になる人たち

ネタにマジレスしてもしょうがないが、CADとかは現物を作るものじゃん
もうソフト、HDLは、そう言う現物を見るような物ではなくなってしまったんだ。。。
898774ワット発電中さん:2009/09/14(月) 01:07:21 ID:bJBUJRcF
FPGAのHDLしかやってないなら、ソフト屋でもプログラマでもないだろう。
ただの、FPGAのHDL屋さんだ。
899774ワット発電中さん:2009/09/14(月) 01:07:28 ID:Ly7nHT+z
>>897
なんだか思い込みが、、、

>ハード屋:実機、測定器などのお世話になる人たち

開発終盤だけ切り取ると、そこだけ目だって見えるわな。
ハードもPC使うだろうが。

じゃあソフトは実機計測しなのか?
IT系は知らない話だが、
少なくとも組み込み系は実機デバッグもやるし、
プロトコルアナライザも使うし、
挙動がおかしかったらロジアナやオシロもつかってI2Cバスとかチェックするわな。
あるいはDSP系ソフトエンジニアはスペアナもチェックするし、
オーディオプレシジョンも使う。

オイラの同僚はそうだった。
なんか質の悪いソフトエンジニアを基準に語ってるよね。
またHDL系も同じく。

君の職場環境がよろしくないのは十分分かった。
だが、みなを一緒に語るのはどうかと思うが。

あと総じて感じるのは、
PCに振り回されすぎ、PCPC言ってて本質見えてないよな。
あれも計測器と一緒で 道具。
900774ワット発電中さん:2009/09/14(月) 01:10:45 ID:Ly7nHT+z
>>898
流れはソフト/ハード どっち? という論だけど、
そりゃ細分化さればその通りだね。
細分化すれば全て解決。
アルゴリズム屋、アーキ屋、デジタル屋、アナログ屋、RF屋、、、
901774ワット発電中さん:2009/09/14(月) 02:11:32 ID:P2bPIseV
俺ハード屋でよかった。
メインは物作り。
打ち合わせ、構想、設計、部品手配、基板製作、ケース加工、配線、
動作テスト、ドキュメント作成、納品、請求書発行、修理などフォロー。
で、回路機能実現のためにもやむなくマイコンソフト、FPGAソフトもやる、という感じ。
902774ワット発電中さん:2009/09/14(月) 02:38:57 ID:lJmCWRYH
何が良いかはお好みだ、
各人、好きこそものの上手なれ
903774ワット発電中さん:2009/09/14(月) 08:25:03 ID:C3ngmSOr
不毛すぎるw
904774ワット発電中さん:2009/09/14(月) 08:37:11 ID:7Pl4XSjd
総じてソフト屋は阿呆
そりゃもちろん阿呆なハード屋もいるけど
良くも悪くもソフトは誤魔化しが効いてしまう
ハードは誤魔化せない

905774ワット発電中さん:2009/09/14(月) 09:44:30 ID:wScEt5uq
ハード屋が論理設計ミスった癖に「そういう仕様に変更になった」と言い張るのは良くある事
そのゴマ化しの尻拭いはファーム系ソフト屋に押し付けられるんだ・・・
906774ワット発電中さん:2009/09/14(月) 09:46:59 ID:wB4Rx4m4
>>893を読めば、ソフト屋のレベルが知れるだろ。
893がソフト屋の標準アーキテクチャということでいいじゃないか。

俺の周りにはもっと優秀なソフト屋さんもたくさんいるけどな。
907774ワット発電中さん:2009/09/14(月) 09:54:03 ID:jQwT4cJo
>>905
そうそう、ハードの開発日程が遅れても、製品出荷が早まっても
結局はソフトでなんとかしろってことになる。
だから、ソフト屋には近づかないことにしている。
まあ、彼らは徹夜のできる人たちだし、1週間くらい
泊り込みでも平気らしいから・・・
908774ワット発電中さん:2009/09/14(月) 10:12:24 ID:a9O5MBvR
 ならもっとソフト屋には感謝すべきじゃないのか。
誰だって徹夜は辛いし泊り込みも好きでやってるわけじゃない。
ソフト屋がやらなくなったら、あんたらがやる羽目になるんじゃないのか。
909774ワット発電中さん:2009/09/14(月) 10:14:20 ID:EEQ1dTGJ
一口にソフト屋と言ってしまうと、良くも悪くも裾野がものすご〜〜〜〜く広いからなぁ。
そして上下の差もとっても大きい。
論理合成のツールやコンパイラの処理系書いてる人は、多分ソフト屋だ。
910774ワット発電中さん:2009/09/14(月) 10:20:44 ID:ecHPfm1p
ソフト屋と言ってバカにされる対象はwindowsのGUIしか作れないやつだろ
911774ワット発電中さん:2009/09/14(月) 10:41:52 ID:VwVVZiRK
ということで、HDL書き屋は ソフト屋ということで良いですね。
912774ワット発電中さん:2009/09/14(月) 11:54:04 ID:enBIiPwT
ソフトとハードの垣根が曖昧になってるんや
913774ワット発電中さん:2009/09/14(月) 12:14:25 ID:X/usHiVc
>>911
結論誘導が固定パターンw
あなたの中ではドウゾw
個人の勝手だね

ほんとに不毛だなあ そろそろFPGA話題に戻せる流れにしようよ
まあ、今なにか話題切り出せる材料をオイラは持ってないけど
914774ワット発電中さん:2009/09/14(月) 12:17:57 ID:oWq5RXIG
明日、東京FPGAカンファレンスが開催されますね。
くらいしか自分も話題がないですね。
915774ワット発電中さん:2009/09/14(月) 13:35:37 ID:7Pl4XSjd
>>909
>論理合成のツールやコンパイラの処理系書いてる人は、多分ソフト屋だ。

だけど下の方のソフト屋ではない罠

ハードも判ってないとそういうのは作れない
916774ワット発電中さん:2009/09/14(月) 15:10:11 ID:BSalRzJU
ハードウェアのバグが直せるのが、ハード屋さんって感じがする。
917774ワット発電中さん:2009/09/14(月) 16:01:19 ID:fo83cSVe
>>916
当たり前のことなんだけど、 いいこと言ったw その通りだね。
918774ワット発電中さん:2009/09/14(月) 16:10:37 ID:qpTBAq+1
>>916
オレはソフト屋なんだが、ソフトのバグを直せないソフト屋は一杯いるぞ。
ハードのバグを直せないハード屋は少ないのか?
919774ワット発電中さん:2009/09/14(月) 16:14:44 ID:u2hp8zEc
>>918
うん。めったにいないな
920774ワット発電中さん:2009/09/14(月) 16:17:02 ID:p780as5S
suzakuでエッジ抽出やる方法がわからないね。
サンプルプログラムはあるんだが古いバージョンのEDK・ISEで作られたやつなんだよな。
921774ワット発電中さん:2009/09/14(月) 16:35:57 ID:Dw5VYOV2
8近傍ラプラシアンフィルタ
922774ワット発電中さん:2009/09/14(月) 16:59:30 ID:Dw5VYOV2
>>921
>8近傍ラプラシアンフィルタ
じゃ無いけど
http://www.cqpub.co.jp/DWM/contents/0116/dwm011600860.pdf
やってることは同じで8近傍の重み付けして平均を取ります。
923774ワット発電中さん:2009/09/14(月) 21:31:37 ID:EEQ1dTGJ
>>910
ソフト屋の裾野にはWEB系というのがあってだな、
PHPとかJavaScriptとかで(以下略
924774ワット発電中さん:2009/09/14(月) 22:27:19 ID:OM+ZAqJ8
……ハードのバグもソフトのバグも直せるソフト屋な俺。
ソフト開発は客の要望に応じてファームからWinGUI、制御系、Web系まで幅広くやってるし、
ハードは最初の会社で「ソフトはハードの付属物」的な扱いで総合的にやらされた。
最初はPC9801+I/Oボードを使った機械制御+計測で、ソフトと電気系を一人で作った。
ロジック石を並べてI/Oボードと計測機器のバイナリ出力、ステッピングモータードライバーを繋いだ。
メカだけは別の人が作ったけどな。

その会社、ソフト屋を見下したような扱いが嫌になって辞めたけどな。
それこそチームの尻拭い的待遇を会社の上層部からやられてちゃタマランての。
しかも、ハードが大幅に遅れたのが原因でも、最終的に出荷予定に間に合わなけりゃ、
ハードは完成してるからってソフト屋のせいにされる……
開発序盤は時間あまりまくり、終盤はいくらやっても追いつかねぇ。
設計仕様を頼りに作ったソフトも、ハードのバグのせいでロクに動きやしねぇ。
おまけにハード屋の手がEMI対策やってて手が回らないとかで、俺がそのバグ修正をする羽目に。
何が悲しくてバスラインのすぐそばとかの細いパターンの密集した個所でパターンカットしたり
チップ抵抗だのチップコンデンサだのを相手に手作業でジャンパ飛ばさなあかんねん。
しかも、その修正を施しても当初の仕様から外れるから、ソフトも修正が必要。

そんなわけで、今は仕事はソフトに専念して、ハードは趣味でちまちま弄る程度にしてるよ。
個人でもCPLDとかFPGAとか使って大規模な回路を組めるご時世だしな。
925774ワット発電中さん:2009/09/14(月) 23:19:44 ID:BAR/DRxY
>924

もはやソフト屋ではなくてなんでも屋w。
インターフェース誌100%読みつくせる人だね。
ウラヤマシス。
926774ワット発電中さん:2009/09/14(月) 23:26:37 ID:wB4Rx4m4
まったくソフトが出来ないくせに、ソフトをバカにするハード屋は、同じハード屋からみても腹立つよ。

テストプログラム一つ書けないクセに、IP買ってきて載っけて、動かないのはソフトが悪いとか、
(けっきょく切り分けは俺がして、購入IPのバグをいくつかみつけた)
同期回路もろくに書けないせいか、バスタイミング無視して、CSでアドレスとデータバスをいっせいに
読み書きして、しかも33MhzバスにISAのデバイス無理矢理載せて、Waitもかけやがらねぇの。

それで、年長の先輩の言うことも聞かずに、でかい顔して、あげくの果てはデスマーチで、応援だよ。

いまだにでかい顔して会社に居座ってる。

ま、ドンキやファミレスに溜まってるDQNはじめ、できんやつほど、ほかを見下すな。
927774ワット発電中さん:2009/09/14(月) 23:41:23 ID:x56vtI9q
……ハードのバグもソフトのバグも治せるハード屋な俺。
ソフトは組み込み、win用driver、linux用driver、winアプリ等
ハードはFPGAつかってUSBやらPCI、PCIeやらのボード設計開発
小さい会社だから開発しながらユーザーサポートもする。
で、両方できるのが俺しかいないから
一人でオシロと半田ごてとダウンロードケーブル、VCとISE入れたノートpc担いで
全国津々浦々、週一で出張しつつ仕事の納期はあるから開発もなんて生活を5年程。

開発の仕事に専念したいからって転職。
転職先でどっちにするって聞かれたから
人数足りてないほうを選んでハード屋になったけどテスト用アプリなんかはいまだに自分で書いてる

>>924の人とは逆の道を選んだがリューターでジャンパカットとか0.3mmピッチFPC半田付けとかで
わくわくする俺にとってはいい方向に進んだと思ってるw
928774ワット発電中さん:2009/09/14(月) 23:44:07 ID:/jft6JzG
HDLはハードって言う奴には、年寄りが多い気がする
そりゃ自分たちは、ハードから始めたから、そう見えるのかも知れないが、どう見てもソフト。
電子回路設計のお仕事ですって入った会社なのに。。。
小さな会社ならハードからソフト、HDLまでやれるんだろうけど、ある程度大きくなるとHDLだけの専門職になってしまう

HDLはハードだと言われて、職に就いたのです
しかし、まだハード的仕事に就いたことはありません
計測器なんて回路屋さんが実験室で使っている物しか無いようです
どう見てもソフトです。本当にありがとうございました
929774ワット発電中さん:2009/09/14(月) 23:46:17 ID:QQFVxFg2
HDLはノイマン型でないからハードと言うのはドウヨ?
非ノイマン型のプログラム言語ってみたことないぞ。
930774ワット発電中さん:2009/09/14(月) 23:49:21 ID:oWq5RXIG
よくわからないんだけどHDLの専門職のひとって
このピンは遅くても良いからスルーレートを遅くしよう
このピンは早くしようとか。そういうハード的な
TOPレベルの設定とかってやらないんですか?
931774ワット発電中さん:2009/09/14(月) 23:52:58 ID:ykFPcUOD
>>929
SQLとかPrologとか
932774ワット発電中さん:2009/09/15(火) 00:00:34 ID:/jft6JzG
>>930
そう言うのは、ハード屋さんか、元ハード屋さんのHDL屋のお仕事です
933774ワット発電中さん:2009/09/15(火) 00:01:56 ID:4kbwLTk6
>HDLはハードって言う奴には、年寄りが多い気がする

ハードウェア記述言語 (Hardware description language)なのですよ。
回路図を言語にしただけ。
ソフトに見えるのは、実機,実回路とか時間軸の意識がないのだなぁとおもふ。
各種レポート見てチューニングする領域に入ると、ハードだって事実感できるとおも。
書いただけじゃ動かないからねねぇ。
934774ワット発電中さん:2009/09/15(火) 00:06:24 ID:veqF1Exm
>>932
んじゃモジュールというかIP屋さん?
チップへの配置配線とかはやらないの?
935774ワット発電中さん:2009/09/15(火) 00:09:05 ID:dvyLir/V
HDLも、それだけしかやらない人は、ツール使いだってだけで、
ハード屋とかソフト屋とかいう範疇に入らない気がするな。
ペインターで絵を描く人や、アフターエフェクツで動画編集する人みたいに。
936774ワット発電中さん:2009/09/15(火) 00:12:31 ID:1go0l+pu
そういやSPICEは回路をテキストエディターで書いたりするな。
937774ワット発電中さん:2009/09/15(火) 00:16:28 ID:4kbwLTk6
絵を書く人はイラストレータ、編集する人はエディターとかなんとかエンジニアとか。
純にツール使ってるならオペレータ。

おっきい所だと、記述する人と別に、配置配線とかデバイスの設計ルール気にするインプリ屋さん手のがいるよ。
確かに、この辺だとハード屋って実感持てるだろな。
938774ワット発電中さん:2009/09/15(火) 02:25:38 ID:V5eDv2gb
SPICEとかはレイアウトとリンクしての設計じゃないの?
そこからタイミングライブラリとかアブストラクトなレイアウトのライブラリとか起こすもんでしょ。
939774ワット発電中さん:2009/09/15(火) 02:49:35 ID:gtHdNEhC
>>938
それってスタセル製作を主に言ってるのかな

あとアナログもあるよね、特にMixedSignal/RF系
スケマビュワー(エディタ)だけにたよらず、
ゴリゴリ直にSPICEファイル編集してるもんなアナログエンジニアさんは
940774ワット発電中さん:2009/09/15(火) 03:59:03 ID:ELIPwCug
>>924>>927は、「〜やらされた」とか言ってるけど、
今思うと、それが良かったと思ってるでしょ?
本当のハードもできる人って、そういうもんだと思う。

HDLを書いて、動いたからと言って「俺はハードもやれる」と宣う人とはちがうよね。

ハードウェアのバグを取れる人、これが「ハードもできる人」だと思う。
HDLを含んでソフトウェアでは、ノイズとかは関係ないクリーンな世界で勝負してる。
一方ハードウェアは回路図通りに作っても、ノイズや誘導や環境など、
考慮することが一杯あって、大変な仕事です。
941774ワット発電中さん:2009/09/15(火) 05:04:33 ID:Cp+UkU4i
もう無毛
942774ワット発電中さん:2009/09/15(火) 07:56:35 ID:dvyLir/V
無毛萌え
943774ワット発電中さん:2009/09/15(火) 08:24:07 ID:m0vRcg2K
>>940
>HDLを含んでソフトウェアでは、ノイズとかは関係ないクリーンな世界で勝負してる。

はぁ?
944828:2009/09/15(火) 08:35:41 ID:efxjR6Vs
>>933
“回路図を言語にしただけ”ってのは頂けないな。
まあ、そういう記述をする人もいたけど。
HDL は、構造を書くのではなくて、動作を書くためのモノだと思う。
そういう意味ではソフトに近いと思うけど、まあそれはどっちでもいい。

>>924>>927 みたいな人を大切にしないとね。
でも、そこそこ出来るひとは管理職になっちゃうし。
945774ワット発電中さん:2009/09/15(火) 08:54:05 ID:m0vRcg2K
>>944
管理職になった時点で、けっこう独立する人が多いね。
俺もだが。
946774ワット発電中さん:2009/09/15(火) 12:58:33 ID:vSKHWL+L
独立できるためには、何でも出来ないとだめだろう。
基板設計でパターンの電磁的相互作用の解析から、アナログも含めた回路設計、
HDL、ファームウェア、ドライバ、ホストのアプリ。
Nahi氏は、ドライバ以外はみんなこなすよね?
947774ワット発電中さん:2009/09/15(火) 13:05:09 ID:cc9pIeXI
そうでないと食いっぱぐれる恐れがあるからなあ。
ピンならロジックばっかり受託は難しいだろうね。
HDLだけ、FPGAだけとは、ある程度事業規模がある会社だからかな。
948774ワット発電中さん:2009/09/15(火) 13:55:52 ID:5HSNPhg8
技術がいくらあっても、営業力ないとだめだろ。
949774ワット発電中さん:2009/09/15(火) 14:16:06 ID:cc9pIeXI
そういうこと
950774ワット発電中さん:2009/09/15(火) 14:32:24 ID:ImKovwd/
とある有名サイトでのEDX-002を使った解説ページを見てまねてやってます。dec.vというファイルを作るところで躓いています。
サイトに載っているプログラムをウインドウズのメモを起動して拡張子.Vに変えて見たのですが、ISEで上手く認識されてない気がします。
そもそも拡張子.Vが何なのか?が分らないレベルです。先日上のほうで教えて戴いた書籍が届いたので打開できるかと思いきや、
拡張子が何を意味していてという初歩レベルの記載は無くガッカリでした。少し前に進めたいのですが何方かのアドバイスを戴けないでしょうか?
951774ワット発電中さん:2009/09/15(火) 14:50:56 ID:sShhxuyu
>>950
ISEの入門、チュートリアルを読んだ?
ISEを使うなら、先ずこれをやるべき。
952774ワット発電中さん:2009/09/15(火) 17:11:14 ID:eUaC7wze
>>950
そうそう、最初はホントに「ワケワカラン」でしょ? 僕もそうだったから、気持ちは良くわかる。

ISEは容赦なく英語で物を言ってくるし、Webサイトの説明は「すでに知ってる人への入門ページ」だし、
初心者には敷居の高い業界です。
僕の説明では参考にならないかも知れないけど、少し教えてあげる。

FPGAやCPLDをやる上で、よく使うファイルの拡張子は、だいたい決まってる。3つ。
Verilogの場合
 ・ソースファイル ○○○.v
    ソースファイルというのは、要は、verilogで書いた「プログラムのファイル」
    拡張子のvは、Verilogのv
 ・制約ファイル ○○○.ucf
    User Constraint Fileの略で、「ucfファイル」と呼ばれてる。
    これは、FPGAやCPLDに対して、「おい、ここはこうしてくれよ!」と要望が書かれているファイル。
    LEDの出力は、24番ピンに出力してくれ。とか、
    SW入力は、48番ピンにつないでくれ。とかを、コンパイラーに要求する内容。
    もっと他にもあるけど、最初は関係なしです。
 ・FPGAやCPLDに書き込むファイル
    CPLDに書き込むファイルは、○○○.jed
      JEDECファイルの頭文字。「ジェデックファイル」と読む。
    FPGA用には、2つあって、
      ○○○.bit ビットファイル → FPGAに対して書き込むデータ
      ○○○.mcs mcsファイル   → FPGAの隣に載っているROM(コンフィグレーションROM)
                      に書き込むデータ

  例えば、CPLDなら、ABC.v(ソースファイル)、ABC.ucf(ucfファイル)、ABC.bit(bitファイル)の
  3つがあれば良いです。
  メモ帳で、新規作成でこの3つのファイルを、自分で書いて作るのもアリだけど、初心者には無理。

  で、世の中の人達は、どうしているかと言うと、
  ISEでに自動で生成できる機能があり、みんな、それを使っています。
  しかも、ISEにはテキストエデターも付いているので、メモ帳を使う必要もなくて、
  ISE1つでほとんどできるという仕組み。(わかりにくいメッセージと英語は、我慢して)

  大雑把なイメージは、
  1. ISEを起動(時間がかかるけど、じっと我慢)
  2. FileからNewProjectを選んで、それなりに進めると、○○○.vは、自動で作られる。
    そして、プログラムを書く。
  3. Fioor plan IO....というのをダブルclickして、信号とピン番を連携してSave → ○○○.ucfが自動で作られる。
  4. GenerateProgrammingFile...というのをダブるクリックして、エラーなしなら、○○○.jedが自動で作られる。
  5. iMPACTというボタンを、ダブルclickすると、書込プログラムが起動して、進めていくと、
    めでたくCPLDにプログラムが書き込まれる。

  こんな感じです。どうでしょうか?
953774ワット発電中さん:2009/09/15(火) 18:51:42 ID:/5qzWagN
>>952
>例えば、CPLDなら、ABC.v(ソースファイル)、ABC.ucf(ucfファイル)、ABC.bit(bitファイル)の
>  3つがあれば良いです。
>  メモ帳で、新規作成でこの3つのファイルを、自分で書いて作るのもアリだけど、初心者には無理。
最初の2つは自分で書くけど、bitファイルはツールで生成されます。
954774ワット発電中さん:2009/09/15(火) 18:59:21 ID:eUaC7wze
>>953
フォロー、ありがとうございます。

>>950
誤記訂正
× 例えば、CPLDなら、ABC.v(ソースファイル)、ABC.ucf(ucfファイル)、ABC.bit(bitファイル)の
× 3つがあれば良いです。

○ 例えば、CPLDなら、ABC.v(ソースファイル)、ABC.ucf(ucfファイル)、ABC.jed(JEDECファイル)の
○ 3つがあれば良いです。

すみません。
955774ワット発電中さん:2009/09/15(火) 19:23:29 ID:/5qzWagN
jedファイルは書くの?
>4. GenerateProgrammingFile...というのをダブるクリックして、エラーなしなら、○○○.jedが自動で作られる。
て、書いているじゃないですか。
956774ワット発電中さん:2009/09/15(火) 19:29:47 ID:eUaC7wze
>jedファイルは書くの?
いえ、人間が書くわけではありません。

>FPGAやCPLDをやる上で、よく使うファイルの拡張子は、だいたい決まってる。3つ。
ということで、
よく使うファイルが、この3つということです。
人間が書くファイルと言うわけではないです。
957774ワット発電中さん:2009/09/15(火) 21:07:51 ID:ImKovwd/
>>951-952その他多くの皆様ありがとうございます。
アドバイスを噛み締めながら少しずつ理解してゆきます。
958774ワット発電中さん:2009/09/16(水) 00:04:27 ID:z2pPcRC9
>初心者には敷居の高い業界
ネットから情報を持ってこれるからむしろ低い。
959774ワット発電中さん:2009/09/16(水) 00:30:31 ID:9t3BcHDU
ソフトの使い方はFPGA初心者じゃなくてPC初心者には敷居が高いかも
大抵の解説はPCが普通に使えるのは前提だからね

FPGA初心者には、書き込んで動作確認する環境を安価に構築するのが敷居が高いと思う
960774ワット発電中さん:2009/09/16(水) 00:32:17 ID:CRvMw479
2ヶ月でまだLED点灯しか出来てない自分には耳が痛い・・。
画像処理とかはファイルの入出力がむずいな。あとピン指定。
961774ワット発電中さん:2009/09/16(水) 03:34:29 ID:FGduUs4c
>入出力がむずいな
むずいって何?
日本語か?
962774ワット発電中さん:2009/09/16(水) 05:28:32 ID:7iyE1VOP
DigikeyでSpartan3AN注文しちゃった。
\22,000ぐらいだった。
早く来ないかな。<3
963774ワット発電中さん:2009/09/16(水) 08:33:38 ID:wenrsl8E
>>918-919
ソフト屋がばかにされる理由が判ったよ
964774ワット発電中さん:2009/09/16(水) 10:00:31 ID:Udkt2BWV
ハード屋の場合、「相性問題」とか「ノイズで一瞬誤動作」などと言って、
問題から目を逸らして片付けたりする輩が結構いるね。

ソフト屋も、こういうハード屋を見習わないほうがいい。

ましてや、一緒に仕事をするハメになると、自分はIC電源ピンの供給電圧や
リップル量の確認さえもロクにしていないのに、動かないのはソフトが悪い
などと言われかねない可能性が極めて高い。
965774ワット発電中さん:2009/09/16(水) 10:17:35 ID:1R5p1z8+
相性問題って・・・PCパーツの組み立てじゃないんだから・・・
こういうこというハード屋さんっているの?
ちょっと信じられないな。
966774ワット発電中さん:2009/09/16(水) 10:32:17 ID:wnvynzu+
割と多いよね。試作基板3枚くらい作って、動く組み合わせを渡してくる。
ハード屋は消費電流と発振だけ見て渡してくる配慮と余裕のない人。
ブートローダー入れ始めた途端にバグレポート出すんだけど、
俺の設計に文句つけんのか!って感じで困る。
しばらく前から、ファーム部門のサインがないと回路図出図できないようにしてやったwww

俺の仕事が増えるだけだけど、工期短縮には効いてる。みんなも試せば?
967774ワット発電中さん:2009/09/16(水) 10:37:44 ID:AfaK7aA7
来年から電気設計(ハード)の仕事に就く学生が質問です。
ハード屋さんは自分の設計した基板にテスト用のコード書いてチェックとかしないの?
例えばマイコンとFPGAが乗ってたら、HDLはもちろん簡単なチェック用ソフトをマイコンに焼いてテストするとか。
そういうテストもソフト屋の仕事?
968774ワット発電中さん:2009/09/16(水) 10:40:04 ID:1R5p1z8+
じぶんとこはブートローダとかドライバくらいは
ハード屋さんが簡単なの作って(移植して)動かしてからソフト屋さんに渡してるよ。
と言うかそのくらいしないとハードの初期のデバッグも簡単にできないよね。

もちろん最終的な確認はソフト屋さんが作ったもので確認するけど
969774ワット発電中さん:2009/09/16(水) 11:07:19 ID:BB3Q03K1
相性問題・・・・便利な言葉だ。
970774ワット発電中さん:2009/09/16(水) 11:31:39 ID:guwArHXM
>>967
半分当たりで半分はずれだな。

規模によるけど、普通のハード屋は自分が設計した基板上にあるCPUやFPGAが設計どおり動く事を確認しなければならない。
つまりHDLで書かれたFPGAの動作確認はハード屋がやる。
でも、レジスタの設定とかまでハード屋がやると負担が増えるので、ソフト屋に設定プログラムとかを作ってもらう事はある。
とうぜんだが、CPUが動くかの確認はハード屋がやる。ICEやデバッガーを使えば簡単な動作確認だけならそれほど手間じゃない。
ハード屋がやるソフトウェアよりテストの多くはレジスタのリードライト確認。
専用デバイスを動かす場合の長い呪文はソフト屋にお任せするパターンが多い。
971774ワット発電中さん:2009/09/16(水) 11:58:26 ID:3AmYmKMM
>>967
普通はする。

駄目なハード屋はできない。
でもって、ソフト屋にハザードとかタイミング間違い指摘されると逆切れ。
972774ワット発電中さん:2009/09/16(水) 16:33:22 ID:GgNwWzyD
うちの場合はハード屋の新人がやらされるのの一つが簡単な(ソフト屋から見れば
恥ずかしいぐらい超超簡単な)ハードのテストプログラム作成だな。
973774ワット発電中さん:2009/09/16(水) 19:56:10 ID:hK73NZ0Y
最近でもメモ帳はdec.v.txtになったりする?
974774ワット発電中さん:2009/09/16(水) 20:22:35 ID:39I5ezWN
うん
975774ワット発電中さん:2009/09/16(水) 21:02:10 ID:hK73NZ0Y
そか、さんきゅ。
976774ワット発電中さん:2009/09/16(水) 23:13:25 ID:9t3BcHDU
いやいや、保存するときに全てのファイルにすればtxt付かないよ
977XC5VFX200T-2FFG1738CES:2009/09/17(木) 04:00:24 ID:nYQNosPH
978774ワット発電中さん:2009/09/17(木) 20:56:04 ID:CMeMF+Dr
92xあたりからこのスレじゃないみたいに
いい雰囲気だ、こう行こうぜ。
979774ワット発電中さん:2009/09/17(木) 21:07:11 ID:HVyYcEG3
>>968,970-972
回答ありがとうございます
やっぱりちょっとしたプログラムは書くんですね。
ただ今まで趣味で全部やってきた分、入社して基本はハードだけって縛りに耐えられるか不安
980774ワット発電中さん:2009/09/17(木) 21:53:11 ID:Qoy72QAd
>>979
本当に良い事なら職場の仲間と相談して改善活動としてグループで行動するのがいい。
入社そうそうに組織の垣根を個人の判断で越えるなら組織に有害な人材として排除されてしまうよ。
981774ワット発電中さん:2009/09/17(木) 22:49:41 ID:mPG00PkI
>>979
ハードばかりだったとしても趣味とは違ったものが触れたりするから
意外と面白いことも多いよ。
USBのロゴを取るのは大変だなぁとか。
982774ワット発電中さん:2009/09/18(金) 06:13:54 ID:AWzXHcM/
RTLソースって売っていいの?
983774ワット発電中さん:2009/09/18(金) 07:03:44 ID:x1JGJlii
>>982
何で売っちゃダメなの?コピーなの?

マジレスすると、製品の場合、暗号化したRTLか、
合成後のネットだね。
委託設計ならRTLソースで納品。
984774ワット発電中さん:2009/09/18(金) 22:22:39 ID:N4n+4GeW
2000年代初頭に、暗号化されていないRTLソースのIPを使ったことがある。
985774ワット発電中さん:2009/09/18(金) 22:24:50 ID:mzOWaplS
NDA結んだんじゃね?
986774ワット発電中さん:2009/09/18(金) 22:26:17 ID:vbk74xvG
>>979
大きな会社で大部隊になると、全く書かないこともあるよ
逆に小さな会社や、小規模案件だと、一人で全部やることもある
会社や部署に左右されるから何とも言えないから、入ってみてからだね

でもソフトの仕様書とか見る機会はあるだろうし、ソフト屋と話もすることもあるよ
何やりたいかは知らないけど、ソフトは趣味でやっていけるし、趣味に止めておいた方がいいと思うよ
ソフトって結局は最後になるから、しわ寄せが全部・・・・
987774ワット発電中さん:2009/09/19(土) 04:07:07 ID:Vdq6WnI3

HDL書いてて、少し改造しただけなのに、突然
「○○[31]は、決して使われない。だからcompileの時点で取り除いた」
「○○[30]は、決して使われない。だからcompileの時点で取り除いた」
「○○[29]は、決して使われない。だからcompileの時点で取り除いた」
「○○[28]は、決して使われない。だからcompileの時点で取り除いた」
と 延々とwarningを言う。
はぁ? 理由がわかんない。○○変数はちゃんと記述してあり、右辺にも左辺にもなってる。

半日さんざん考えて、やっとわかった。

状態遷移の変数のbit幅が足らなくなって、○○変数を実行しなくなったから。

おいおい、それなら直接的に「△△の変数の桁が足りませんぜ」と
言ってくれればいいのに。
988774ワット発電中さん:2009/09/19(土) 09:38:31 ID:9L5RuVfl
環境も書かずにそんなこと書いても意味ないけど…
ソースにbit幅を明示的に書いてれば教えてくれたんじゃないのかな
989774ワット発電中さん:2009/09/19(土) 11:55:44 ID:Lprc3rxX
多いのではなくて?
990774ワット発電中さん:2009/09/19(土) 11:55:53 ID:Vdq6WnI3
ISE10.1, Verilog, Windows XPです。
bit幅は、明示しています。 wire [1:0] state;   // 状態遷移カウンタ

あと何か必要でしょうか?
991774ワット発電中さん:2009/09/19(土) 12:05:17 ID:VpbSrCE+
StateCADで書いとけ
992774ワット発電中さん:2009/09/19(土) 12:21:19 ID:hka0vg5/
桁あふれしちゃいかん(=桁が足りない)のか、もともとラップアラウンドを
期待してる(=桁はちょうど足りてる)のか、記述からは分からんのじゃ
ないかね。
993774ワット発電中さん:2009/09/19(土) 12:29:06 ID:9L5RuVfl
桁あふれと言えば
reg [1:0] r;
always @(posedge clk) r <= r+1; //3に張り付く
always @(posedge clk) r[1:0] <= r[1:0] + 2'b1; //3→0に戻る
最初はこれに悩まされたな。
994774ワット発電中さん:2009/09/19(土) 13:12:10 ID:IXqaKjUy
>>993
今も悩んだ方がいいぞ
995774ワット発電中さん:2009/09/19(土) 16:31:58 ID:ovZ1HxYO
130万のLINTなら、なんという答えを出すのかな。
「お前ダメ、全然ダメ。はい、やり直し」とか (^^;
996前スレ950:2009/09/19(土) 21:22:06 ID:8TLm6UBZ
 すみませんやっと.Vファイルと.VHDファイルの違いが分りました。
次に、ISE10.1を使ってDEC.Vというファイルをダブルクリックしてみます。
自分の予想ではソースファイルが開いて書き換えが出来るのだと思うのです。
しかし、「このファイルを開けません DEC.V」と出ます。
素直に理解すると、ISE10.1には.Vファイルのエディターが付いていないから別に用意する必要がある。
という事なのですが、そんな馬鹿な?という思いが80パーセントです。
例えば、ファイルメニュー→ニュープロジェクトでの設定になにか間違いがあるのでしょうか?
どなたかよろしくお願いいたします。
997774ワット発電中さん:2009/09/19(土) 21:23:33 ID:8TLm6UBZ
あっ、すみません、より多くの方に見ていただきたくて次スレに書いたつもりが間違えました。
次スレにもコピペします。お許しください。
998774ワット発電中さん:2009/09/19(土) 21:51:11 ID:BLacIS9S
何かしれんが埋めてしまえ
999774ワット発電中さん:2009/09/19(土) 21:54:01 ID:BLacIS9S
.
1000774ワット発電中さん:2009/09/19(土) 21:56:16 ID:BLacIS9S
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。