【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 10
2ゲット ズサー
1乙
5 :
774ワット発電中さん :2009/02/15(日) 13:18:00 ID:BfV7hQUE
ええーっ! また糞スレたちあげたの? ネタもないし廃刊だろDWとともに。
6 :
774ワット発電中さん :2009/02/15(日) 17:25:00 ID:Iy6ZRl4k
>>5 じゃあお前はさしずめ糞にたかるハエだな(w。
晒しage。
刀、 , ヘ
/´ ̄`ヽ /: : : \_____/: : : : ヽ、
,. -‐┴─‐- <^ヽ、: : : : : : : : : : : : : : : : : : : : : : }
/: : : : : : : : : : : : : :`.ヽl____: : : : : : : : : : : : : : : : : : /
,. -──「`: : : : : : : : : :ヽ: : : : : : : : :\ `ヽ ̄ ̄ ̄ フ: : : : :/
/: :.,.-ァ: : : |: : : : : : : : : :\: : : : :: : : :ヽ \ /: : : :/
 ̄ ̄/: : : : ヽ: : : . . . . . . . . . . .、 \=--: : : :.i / /: : : : :/
/: : ∧: \: : : : : : : : : : ヽ: :\: : : 〃}/ /: : : : :/ 、
. /: : / . : : :! ヽ: : l\_\/: : : : :\: ヽ彡: : | /: : : : :/ |\
/: : ィ: : : : :.i: : | \!___/ ヽ:: : : : : : :\|:.:.:.:/:! ,': : : : / |: : \
/ / !: : : : :.ト‐|- ヽ \: : : : : l::::__:' :/ i: : : : :{ |: : : :.ヽ
l/ |: : :!: : .l: :| \: : : l´r. Y {: : : : :丶_______.ノ: : : : : :}
l: : :l: : :ト、| 、___,ィ ヽ: :| ゝ ノ '.: : : : : : : : : : : : : : : : : : : : : : /
|: : :ト、: |: :ヽ ___,彡 ´ ̄´ ヽl-‐' \: : : : : : : : : : : : : : : : : : イ
!: :从ヽ!ヽ.ハ=≠' , ///// ///u /  ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
V ヽ| }/// r‐'⌒ヽ イ〉、
ヽ、______ー‐‐' ィ´ /:/:7rt‐---、 こ、これは
>>1 乙じゃなくて
ィ幵ノ ./:/:./:.! !: : : : :!`ヽ ポニーテールなんだから
r‐'T¨「 |: | !:.∨:/:./: :| |: : : : .l: : : :\ 変な勘違いしないでよね!
/: : .|: :| !:.!ィ¨¨ヾ、:.:/ !: : : : l: : : : : :.\
勘違いなんかしません。
9 :
◆YMO/ALTERA :2009/02/16(月) 09:57:35 ID:BaBFRHk2 BE:887954764-2BP(1111)
ISE Foundation10.1をダウンロードして、Vista 64bitのPCにインストール
しようとしたんですが、
途中でインストーラに怒られてしまいます。何か問題があるのでしょうか?
↓こんなメッセージです。
http://aikofan.dee.cc/aikoup1/src/f1359.png ちなみに、ISE9.2でも、WebPackでも、同様のメッセージで止まってしまいます。
僕の能力とPCに問題があると思うのですが、何か解決のヒントがあれば、ぜひ
教えてください。
>>10 原因を探ろうという意思があるのなら、「詳細の表示」をしなさいw
>>11 ありがとうございます。
わかりました、明日同じように画像をUPしますね。見てやってください。
ISEが64bitに対応していないのか、Vistaが互換性で拒んだのか、
サッパリです。
10です。
「詳細の表示」の内容をUPしました。
http://aikofan.dee.cc/aikoup1/src/f1361.png その中に書かれているファイル名は、
Virsion、application compatibility、hのダンプリスト
の3つのような感じです。
Virsionの中は、
Windows NT Version 6.0 Build: 6001 Service Pack 1
Product (0x1): Windows Vista (TM) Ultimate
Edition: Ultimate
BuildString: 6001.18145.amd64fre.vistasp1_gdr.080917-1612
Flavor: Multiprocessor Free
Architecture: X64
LCID: 1041
です。
やはり互換性というやつでしょうか。
14 :
774ワット発電中さん :2009/02/18(水) 18:42:10 ID:NM+wRNME
a AND ((b AND c AND NOT d) OR (NOT b AND NOT d)) ↓ a AND ((b AND c) OR NOT b) AND NOT d は合ってますか? もっと簡単?になりますか?
あ (b AND c) OR NOT b ↓ (b OR NOT b) AND (c OR NOT b) ↓ c OR NOT b だから a AND (c OR NOT b) AND NOT d ですかね
( ({a,b,c,d} == 4'b1110) ||({a,b,c,d} == 4'b1000) ||({a,b,c,d} == 4'b1010))
17 :
774ワット発電中さん :2009/02/19(木) 00:52:54 ID:iKfOnAdv
秋葉原でSpartan3やCycloneを買えるところはあるでしょうか? ボードではなくてチップ単体で入手したいのですが、やはり通販でないと無理でしょうか。
>>14 式をどのように書こうが昨今のFPGAでは4入力LUTになるので
"人間にわかりやすい"表現で書いてよい。
論理圧縮できたら4入力LUTよりもっと簡単な回路になることもあるだろう まぁ書き方はどーでもいいのは同意
みなさん LUTって、なんて読みますか? 僕は英語的に「ラット」って読みますが 「ルット」っていう人もいて。
ルックアップテーブル、もしくはエルユーティー。
>>19 論理的に4入力LUTより簡単でも4入力LUTよりは小さくならないでしょうと言うことだと思うが。
Y=A とかなったら?
>>22 いまどきのFPGAの論理合成/配置配線ツールは
4LUTを2LUT×2として使うなんて常套手段なんだが
論理圧縮できるように仕様を作る。
ルッカップテイボー
西海岸では、“ルッ・カッ・テェーボー”だよ 木更津とか袖ヶ浦のことだけど。
FPGAのチップを個人で買ってる人っているの?
いるよ
普通でしょ。
動画サイトなどで、FPGAは何でもできるから マイコンなんてもういらない ぐらいの勢いで書かれているけど、 マイコンだっていいところがあると思う。 FPGAは、デバイス単価が高い。 FPGAは、DIPがないので、試作実験がスグに取りかかれない。 FPGAは、ツールの処理が遅い。なんで半日もかかるの? FPGAは、無料ツールで安いような気がするけど、デバイス規模の制限があり、 中級以上のデバイスには正規版が必要で高い。 FPGAは、殆どの機種で電源に複数の電圧が必要で、回路が面倒。 FPGAは、 FPGAは、 FPGAは、 FPGAは、威張ってる。 FPGAやってる人も、威張ってる。 FPGAをやってる人は「へ〜、マイコンですかぁ」などとマイコンを見下している。 FPGAをやってる人は、シミュレータが通れば完成だと思っている。 FPGAをやってる人は、回路図も読めないソフト設計者なのに、 自分のことを回路設計者とかハード設計者などと言う。
> 動画サイトなどで、FPGAは何でもできるから > マイコンなんてもういらない ぐらいの勢いで書かれているけど、 その動画サイトで書いたらいいとおもう。
>>32 > FPGAは、DIPがないので、試作実験がスグに取りかかれない。
使いたいマイコンに DIP パッケージがなければそれは同じ。
ま、基板起こせば済む話。
> FPGAは、無料ツールで安いような気がするけど、デバイス規模の制限があり、
> 中級以上のデバイスには正規版が必要で高い。
サイズに制限があるのはマイコンも同じ。ただ、マイコンは開発の仕方でカバーできたりする。
> FPGAは、威張ってる。
そうだろうか?
> FPGAやってる人も、威張ってる。
そうかもしれない。
> FPGAをやってる人は「へ〜、マイコンですかぁ」などとマイコンを見下している。
まあそういうヤツは大抵バカ。
> FPGAをやってる人は、シミュレータが通れば完成だと思っている。
んなこたなかろう。まあ合成できるところまでいって半分過ぎたぐらいだな。
> FPGAをやってる人は、回路図も読めないソフト設計者なのに、
> 自分のことを回路設計者とかハード設計者などと言う。
ソフトから転向してきた特定のバカタレをターゲットにしてない?
トラ技には手順のある処理だと回路規模が大きくなるからマイコンのほうが適してるって書いてあった。 けどFPGAはマイコンすら組めちゃうからなぁ。
>FPGAは、殆どの機種で電源に複数の電圧が必要で、回路が面倒。 これは、言えてるな。困ったもんだ。 >FPGAをやってる人は、回路図も読めないソフト設計者なのに、 > 自分のことを回路設計者とかハード設計者などと言う。 お〜、現場の声だな。 FPGAプログラマーは、ソフト屋だからな。 「職業はハードウェアエンジニア」なんて言うやついるが、 決してハード屋ではない。PCいじってるだけ。
>>36 禿同
LSIやFPGA含めて、HDL書いてるのは、完全にプログラマだろ
HDLを回路とか言い張る奴の気が知れない
古い人は論理回路組めるんだろうし、小さな会社ならそれだけと言うわけはないだろうが。
でもまあ、あと5年もして完全にCベース設計になったら、完全にプログラマの領域になるなw
>>36 ,37
時代について行けずHDLが書けない負け組に見える。
>>38 3ヶ月に1度くらいで、数千行のVerilog HDL書いてますが、何か?
FPGAを知れば知るほど、「オレはハード技術者」なんて
おこがましくて言えなくなる。
>3ヶ月に1度くらいで、数千行のVerilog HDL書いてますが、何か? まぁ、自慢できるほどの行数ではないな。 HDL書きはソフト屋っていうのは短絡的すぎ。 回路がわからんとHDL書けないだろ常考
>あと5年もして完全にCベース設計になったら 10年くらい前からそんなことが言われているが・・・ 論理合成できるCは制限が多すぎる。 純粋な演算系くらいしかメリットがない。 ところでハード技術者ってのは、基板パターンを引く人か? 電源回路設計する人か?TTLでマイコン作っちゃう人か? ハード屋とソフト屋で、どっちが偉いとかないんだからさ、 何でプログラマをバカにしてんのか分からん。 小規模なシステムなら両方兼ねる場合もあるし。 ただ言えるのは、ASIC(SoC)に関しては、プログラマの仕事はきつい。
>>41 プログラマを馬鹿にしているんじゃなくて、住んでいる世界が違う
のに同じ人種だと思われることに違和感があるんじゃないか。
確かにHDLしか出来ない(従来の回路設計を知らない)設計者
はハード屋としては極めて限定的なことしか出来ないのは事実だし、
その分デジタル設計部分でのアドバンテージが大きい。
その下には 「デジタル屋は電気さっぱりなのに回路設計を名乗るなんて(アナログ屋)」 とプロセス屋まで延々と続く「〜は限定的なことしかできない」スレが 続いてそうだけどな。
それで良いんじゃないかな。 つまり、”HDL専門のハード屋です”または”HDLメインのハード屋です”ということなら、 異論は出てこないと思う。
ソフト屋 ・ハンダ付けをしない、物をさわらない ・もっぱらPC画面を見て、言語を書いている ・但し回路図入力でFPGAを動かしている人は、特例としてハード屋だと思うな。
CPLD/FPGAで遊ぼうと思ってるんですが、やはりCPLDからいじってみた方がいいですか? それともいきなりFPGAから触り始めても問題ないんでしょうか。 それからXilinxのCPLD/FPGAのテストボードでお勧めがあったら教えてください。
問題ない 好きなの買え
遊ぶなら、無印Spartan3のスタータキットがオヌヌメ 今となっては手に入りにくいけど。 Spartan3E以降はRAMがDDR-SDRAMなので手っ取り早く使うには結構大変。 でも、付属のコンフィグケーブルがSpartan3だとパラレルポート用 Spartan3E以降はオンボードUSBコンフィグ回路付き この違いは大きいかも
最初は、CPLDをオススメしたい。 CPLDを使うことで、HDL言語に慣れ、ツールの使い方に慣れる。
CPLDだから慣れやすいってのは違うと思うなぁ。
しかしHDL使うとすぐ限定ハード屋とかソフト屋ってなるんだな いまさら回路図になんかもどりたくないハード屋だがあほかよと思う 回路図で書けばハード屋とかよっぽど規模の小さい簡単な回路図しか書いてないんだろなと思う
ハード屋だソフト屋だと言ってる時点で駄目すぎだろ
>>51 わかって書いているのかも知れないが規模が小さい=簡単ではないぞ。
上の流れは「分野が違う」ということだ。
分野が違えば常識も違う。違う常識を持ち込まれることに対する警戒感があるんだ。
まあ中には他の分野をバカにしている自称苦労人もいるんだろうが
HDLだと、チップの中は書けても、外側が書けないじゃん。 コンフィグROMの接続間違ってたり、LEDの極性逆だったり 勘弁して欲しい。
たしかにHDLではConfigROMやLED書けないね
>>54 それだから、HDLだけやって「俺はハード設計者だ」などと言っている人は、
「ハード屋じゃなくてソフト屋」って言われるんじゃないの?
HDLは、標準ゲートがたくさん入った「FPGAという部品」を作るための手段。
>>53 規模の小さい簡単な回路図=回路図で書いても苦にならないレベルだぞ。
違う常識を持ち込まれることに対する警戒感というより、毎回この話題ばかりの
ような気がしてあきてきたんだw
>>56 >HDLは、標準ゲートがたくさん入った「FPGAという部品」を作るための手段。
おいおい。
>>46 前スレで出てたけど、これが安くてよさそう
AlteraのCPLDは、FPGA+ROMみたいなものだし、ハードウェアとして遊ぶならいいと思う
http://optimize.ath.cx/shopv2_2/chuumon.html#max2 >>ハード/ソフト屋
ソフト屋:非常に人数が多く一部の優秀な奴以外は使い捨て的人材。毎日会議(レビュー?)をしている。使い回しがきく。
ハード屋:人数が少なく専門の箇所しか良く分からない。使い回しがきかない。
デジタルLSI屋:間違いの許されないソフト屋。浮き沈みが激しい過酷な職業。回路図は読めなくてもいい。
FPGA屋:間違いの許されるデジタルLSI屋。やってることは組み込みSWに近い。
長くなったので短く。
HW:実機をさわる人。新人が即戦力にならない。
SW:仮想環境で仕事する人。新人が即戦力になる。
HDL書くだけなら十分ソフト屋に分類できるだろう。
やってることは特殊なプログラミングな訳けだし、たぶんマイコンのプログラムやってるのと変わらないよ。
もしかして大規模LSIのようにCベース設計になってもハード屋と言うの?
>ソフト屋:非常に人数が多く一部の優秀な奴以外は使い捨て的人材。毎日会議(レビュー?)をしている。 激しく納得。 確かに、ミーティングが多いな。1時間もかかるミーティングを毎日やってる。 1時間もやるとミーティングではなくて会議だよな。 たまにハード屋として、そのミーティングに出席すると、 ソフト屋の人達は、黙って何も言わない。ハード屋のオレが怖いのか?
それは、「あのキモイ奴、関係ないのになんできてんの?」って心の中で不思議がってるからじゃね?
63 :
46 :2009/02/28(土) 17:12:08 ID:fqvD5yno
>>47-49 ,60
色々とありがとうございます。
>>60 のところが安そうなので買ってみて遊んでみようと思います。
グチレベルだが 現在の部長クラスの年代だと、「回路がちゃんと動けば、基板は完成した。」となる。 SWの規模もそれほど大きくは無かった。 今は回路の中身は、半分以上はFPGAの中にあるので、 外側の回路が出来てからの方がデバッグの期間が長い。 SWも規模が大きいから、時間が掛かる。 どうもその辺りの感覚が違いすぎるせいで、部長クラスは 「何で回路が動き出してから、完成までがこんなに長いんだよ! ナニか遊んでいるに決まってる!」と。 動作仕様がキッチリと決まっていてシミュレートもキッチリできる時間が有れば 別だが、現実は動かして確認・・・なんでなぁ orz 机に座って動作検討をしてると「さっさと基板を見ろ!」だしな・・・
>>64 ハード出身の部課長クラスだとそうだよなぁ
まあこれはハード屋全般に言えることだが、あとでアップデートできるソフト(FPGA含む)なんて、すぐに作れる物だと思っている
ついでにソフトウェアに保守性や計画性を要求してくる
そんなの仕様変更が当たり前とかしているソフトウェアに求めても・・・
今やFPGAのプログラムも仕様変更に耐えられなければいけない時代なんだ
ハードやLSI出身で仕様通り間違いのない世界を、共に成長しながらやってきた時代とは違う
大金の動く失敗の出来ない大規模LSIの設計はハードウェアとして扱われる
しかし書き換えられるFPGAはソフトウェアとして、ファームと同様に扱われる
まあ、それでも仕様変更は先にソフトの方にいって、回ってくることは少ないけど・・・
上司に恵まれない連中は哀れよのぅwww
>>65 そろそろ HDL 出身(なんじゃそれ)の人が部課長クラスになる頃だよ。
そうすると最近の高速シリアル伝送周りの技術が理解できなくて
Gbpsの信号をロスのでかいケーブルで何メーターものばそうとするんだ。
きっとそうなる。
最近の技術だと何メートルも伸ばせるんじゃなかったっけ?
まぁ、ケーブルイコライザ付きのデバイスとかいろいろあるし、 何とかなるんじゃない?どうせリファレンス通りにしか作らんのでしょ? 規格でSWRあってもデバイス任せで・・・ 実はパターン設計とかの影響でクリアできていないことが多いけどね・・
はじめまして。二年ほどまえに突然趣味の電子工作に目覚め、今はVHDL勉強中です。 同期設計というのが理解できていません。アドバイスいただけないでしょうか。 FPGAにcpuのipを組み込んだ場合に、クロックに普通は評価基板の水晶か何かから供給される クロックを入れると思うのですが、それでは速過ぎるのでもっと遅くしたい場合はどうする べきでしょうか? 現状クロックをカウンタに入れて、カウンタの適当なビットをクロックとして cpuのipに入れていますがこれは正しくない気がしています。 よろしくお願いします。
>>70 別にカウンタ作っても出来無くないとは思うけど、素直にIP使えばいいんじゃない?
CPUってことは、QuartusかISE使ってるのでしょ
ALTPLLなりDCMなり、折角付いてるのだから使いましょう
そのIPにはイネーブル入力端子は無いのだろうか?
>>41 ほぼ同意だけど
ハード屋がソフト屋を馬鹿にする理由はあるんじゃないかな
ソフトは馬鹿が書いてもなんとなく動いてしまうが
ハードはいいかげんに作ると動かないから
とか
いい加減なハード屋が作ったクソハードで苦労してるソフト屋もいるわけだが。
>>75 その逆もまたありなん。って事で…
「FPGAは何でも出来るブラックボックス。」
ソフトの尻ぬぐい(気を悪くされたらスマン)をさせられた事も多々…。
Ro…何とかの関係でペリフェラル関係がゴソッと入れ替わった時もソフト屋さんは既存仕様のまんまで通そうとしてやり合った嫌な記憶が。
#業種を問われるとなんと答えて良いのか迷うFPGA(ASIC)屋
新宿駅でALTERAの青い手提げ袋を持って歩いてる人を見たけど 展示会かセミナーかなんかやってたのかな
>>65 マスクROMの世代だとアップデートとか考えないんだけどね
>>76 Q.なぜハードが偉いのか?
A.部品を決めるから偉い。
バージョンアップ対応が出来ない完全を求めるものだから、不完全なソフトより偉い。
成果として物が出来ないので、成果が分かりにくい上に、人件費だけで何とかなるものだからw
専門性が薄く、ソフト屋は、どこに行っても替わりのきくソフト屋だから。
またハードの開発終了後に、ソフト開発終了となるから、ソフトの方が分が悪い。
漏れは分かりやすいように、LSIプログラマにしてる
レイアウトとかしないし、電子回路も分からないから、ハードウェア屋と思われても困るw
ソフトなら検証評価用に、C言語やPerlくらいなら使えるから・・・
>>79 ソフト先に作ってそれに合わせてハード作ればいいじゃん。
時代はチェンジだ。
System Verilog的な感じで
>>82 電子回路しか分らない人の発言じゃないよね。
どうでもいいけど、推敲してよ。
すいません、質問があります。 ISE WebPACK を使って CPLD の開発をしたいと思っています。 しかし、使っている OS が Vista Home Premium なので、動くかどうかが心配です。 一応 Live CD の fedora があるのですが、それを使っても動くかどうか分かりません。 メモリに関しては3GBあるのでメモリに関しては大丈夫だと思いますが、どうでしょうか? 分かる人がいたら教えてください。 環境 OS Windows Vista Home Premium 32bit版 メモリ 3GB JTAGインターフェイス Chan-nさんが公開しているものを使用(予定)。 LPTポート PCIから増設。
自分で落として試して見ればいいじゃん。
わざわざレガシーポートを増設しなんでも・・・
>>87 いやでもパラレルポート便利だわ。
USBみたいに100msマテとかワガママなこと言わないし。
どなたか、ISEに詳しい方がいたら、よろしくお願いします。 現在、10.1.03を使っているのですが、 C:\Documents and Settings\ユーザー名\Local Settings\Temp に、ISEが作ってると思われるファイルが、どんどんたまっていきます。 ("xi"のフォルダと、先頭に"xil"が付いたファイル、20GB程度あります) これは、削除しても構わないんでしょうか?
削除して動作に問題ないなら大丈夫なんじゃね?
93 :
774ワット発電中さん :2009/03/11(水) 00:22:11 ID:95Hfio1N
>>85 LPTポート PCIから増設するなら
素直にLPT付きのPCかUSB-JTAGインターフェイスを使え
>>85 NECのサーバー機を買えばパラレルポート付が12800円で買えますよ。
ナイスですね
97 :
774ワット発電中さん :2009/03/11(水) 22:34:23 ID:qr3A67ta
ATOMだとパワー不足ってことないか?
Quartusなら意外と我慢できそうだけど、ISEだとウキーッってなって 地面に叩きつけそう。
輸入する気があるんであれば、XilinxならDIGILENTかAmontec の方がいいんじゃない。 さすがに10.9ドルって訳にはいかないけどな。 Alteraの純正のバイトブラスターでも 使えなかったパソコンがあったんで、パラレルポートは不安を感じるのよね。
なんで2kもしないパラレルポートの増設の為にPC一台組む事考えるんだ? (余れば組む事考えちゃうIYHerはパラレル付きなんて普通にあるだろうし…
>>99 AmontecってJTAGKeyだっけ?
千石の2階に売ってなかったかな。
>>100 パラレルも結構相性あるの知らないだろ
新しいPC組んでパラレルカード挿して、で認識しない。さて、どうしましょ
ISEって重いからなるべくなら最新のPCでやったほうがいいよな
ベストは純正USB-JTAGを用意することだな
makefile最強
104 :
774ワット発電中さん :2009/03/12(木) 04:29:15 ID:wkV3zYgU
>>102 具体的に動かなかったカードの品番は?
俺は動かなかった事無いな。
106 :
774ワット発電中さん :2009/03/12(木) 11:53:16 ID:gFwmOzoK
|●世田谷電器(エアリア) 上祖師谷(AR-U1PR2) (USB - パラレルアダプタ) D-SUB 25ピンのパラレルポートをUSBで引き出せる変換アダプタ。双方向通信対応。
>>104 俺も動いたカードの方が知りたい。
LPT拡張カードに相性あるのは俺も経験済み。
カード名は忘れたが、2年ぐらい前にVirtual PCで拡張カードのLPTが認識されなかった経験がある。
同じようなことが、ISE、クォで起こっても不思議じゃない。
過去スレにISEだったかクォだったか忘れたが、LPTカードに繋げたライタを認識しないってカキコ
あったの覚えている。だから、動作確認するカード情報知りたい。
>>107 2009年03月12日公開 USB-Blasterもどき
公開日って今日か
書き込み済みPICだけじゃなく基板配布もしたら
時給4千円な俺にとっては互換機買った方が安いわ。
時給4000円なおまえは、さもしく高いの安いの言わずに「ぱぁっ」と使って景気をよくしろ。
>>110 が純正USB-Blasterを給付してくれるというので、やって来ました
何故か時給4千円も貰っているのに、純正ではなく互換機を買うとか。 しかもお金はあるのに未だ持っていないとか、よくわからんね。
月給じゃなく時給....
ざっくり時給4000円で計算すると
月給は4000円x8時間x20日=64万
年収は64万x(12+ボーナス4ヶ月)....残業無しでも年収1千万超えるな
>>113 それには激しく同意だな
なにかあったときに「互換機だからか?」って思いたくないな。
オレは個人的に、純正品ってボッタくってるから買いたくないな。 携帯の純正バッテリーとかも。
純正で動かなくて _, ._ 互換で動くボードがあるから困る( ・ω・)
そういう人は、ボードも純正品を使わなきゃ。
>>119 それはマジでやばいだろ。ちゃんと回路見直せw
連投でゴメン。 接続コネクタのそばに、パスコン付けておいた方がいいぞ。
接続コネクタのそばにパスコン?
CPLDさわり始めたんだけど always @(posedge CLK or negedge CLK) これが出来ないのはなぜ
>>124 使用しているチップはなんでしょうか。
デュアルエッジに対応していないチップとか?
どういう記述したいのか不明だけど always @(CLK) じゃダメなのかい?
>>125 MAXII EPM570T100C5Nです。
コンパイル時にエラーになります。
>Error (10239): Verilog HDL Always Construct error at test_counter.vlg(30): event control cannot test for both positive and negative edges of variable "CLK"
>>126 そのように書くとカウントアップしてくれないですね。
>>128 該当チップのデータシートは読んだのでしょうか?
MAXIIはくわしくありませんがデータシートをざっと読むと
ディアルエッジに対応していないようです。
fpがBBSが死んでる?
>>128 >そのように書くとカウントアップしてくれないですね
always @(CLK)
と
always @(posedge CLK or negedge CLK)
の違いを小一時間(ry
always @(CLK) コンパイルできるけどカウンタがインクリメントしない always @(posedge CLK or negedge CLK) コンパイルエラー
水晶発振器が付いていないとか.
>>132 コンパイルエラーが取れても、インクリメントしないんじゃないの?
まず、ソースを晒せと。
module test_counter(OUT);
output [19:0] OUT;
reg [19:0] count;
assign OUT=count;
wire clk;
rc(1,clk); //internal RC_Oscilator
always @ (posedge clk)begin
count<= count+1;
end
endmodule
>>129 が答えのような気がしますが晒しときます。
このソースでならピンに分周クロックが出てきます。
FPGAを使ってフィルタを作りたいのですが、簡単にやる方法は何でしょうか? 調べてわかってきたのは、 ・マトラボ→係数→積和内蔵FPGA (金がかかる) ・ツール内蔵のフィルタ係数算出→積和内蔵FPGA (不明) ・その他
systemCだっけか?あのソフトのように書いたらRTL変換できますよとか言う奴 でもフィルタとかメモリ食いそうな用途だと使いものになってるかな?
要求精度次第。
DSPにはかてない?
>>135 そもそも何がしたいの?
立ち上がり、立ち下がり両方でカウントアップしたいってこと?
ハード的にどういう回路を作ればできるか考えた?
141 :
774ワット発電中さん :2009/03/18(水) 00:23:17 ID:+++8ct6N
>>135 寺にはデュアルエッジ対応のFF内臓はないぞう
>>141 だいたい、デュアルエッジのFFって何?
こうしてみるとか・・・ always @ (posedge clk)begin count<= count+1; end always @ (negedge clk)begin count<= count+1; end
やってはいけない設計例の見本だな。
>>143 なんでcountへの代入が2カ所で行われているんだ?
変だろう。
posedgeだけに収束させる事にして、ディレイ入れたやつとXORとってしまえば
別々のカウンタを用意して コンカレント文脈で加算すれば いいんじゃないか?
148 :
774ワット発電中さん :2009/03/18(水) 09:46:01 ID:d7c7B6hV
どのように同期をとりますか?
>>143 まさか本職じゃないよな。
一つのレジスタに対して、複数のクロックソースはありえない。
>>150 それは、マクロブロックにクロックダブラーが付いているだけであって、
FF自体が両エッジで動くわけじゃないよね。
そもそも、FFのクロック入力の > は、立ち上がりエッジを表してる訳だし。
152 :
135 :2009/03/18(水) 13:06:12 ID:9orBW6Z/
両エッジでトリガ掛けるのって難しいのか
あまり深く考えずにDDRみたいなことをやろうとしてた
>>143 のはそもそもコンパイルできません
十分速いクロックで本来のクロックをサンプリングして前回値とXORとって判別するという方向でいきます
私のFPGAに対する感想は ・電源電圧が複数必要で、投入順序など 注意点が多い ・消費電流が大きく、電池駆動に不向き ・書込回路など特別な回路が必要 ・多くのFPGAでは外部フラッシュROMが必要 ・QFPが少なく、ハードデバッグに手間がかかる ・ツールソフトウェアも、大きく重く、処理も遅い ・FPGAにマイコンを搭載するにしても手間が多く面倒 ・Cでの開発には、環境がまだまだ不十分 ・FPGAをやる人の中には、 「FPGAは万能であり、FPGAがあればマイコンは不要」と思っている人が多い。 ・威張ってる
マイコンでできることはマイコンでやればいいし、 消費電力下げたければ、ASIC起こすなりすればいいんじゃね?
>>153 ・威張ってる
これについて
FPGAを主にやる人のほぼ全ては、自分は選ばれし優秀なエンジニアであり、他種のエンジニアより優秀である
と信じている。そのため、他種のエンジニアを常に軽蔑している。
単なるコンプレックスじゃないの?
>>154 の言うように適材適所でしょ。
>>153 主はソフト屋だと思うけど、そんなにあまり傲慢な人はいないと思うけどね。
」>・FPGAをやる人の中には、
> 「FPGAは万能であり、FPGAがあればマイコンは不要」と思っている人が多い。
まじかな?
単純な処理だったらマイコンにやらせた方が楽な場合が結構あるよ。
マイコン搭載するとロジック削られるから何でもそうしようという流れはないと思う。
なんか見えない敵と戦っているような気がする。
FPGAやってるけど、 >「FPGAは万能であり、FPGAがあればマイコンは不要」と思っている人が多い。 とてもとてもこんなこと思えないな。
けどFFを両エッジで使おうとしたりとか、そんなことやってるからFPGA屋はソフト屋だと言われるんだろうなぁ
>>155 >と信じている。そのため、他種のエンジニアを常に軽蔑している。
まったく、その通りです。
僕の周りにも
「お前には、マイコンがお似合いだよ」とか
「お前は、マイコンでもいじってなさい、ってこった」とか
「へー、25MHzは高速なんだぁ、そっかそっかぁ」とか
という人が何人かいます。
>>157-158 動画サイトでFPGAで絵を映している人に、FPGAマンせーの人が多い気がする。
技術的には、かなりうらやましいんだけど。
じゃあ下手なPC並に早くなった最近のマイコンでなんか凄い物でも作ればいいじゃない。 具体的に何か?と聞かれれば自分で考えろとしか言いようが無いが FPGAは一種のハードの最先端、仮想現実シミュレーションとかが一種のソフトの最先端 マイコンって言うと省電力とか省コストとか小型化とかかな…?それ極めても…ってイメージしか沸かないのも問題だ… まぁFPGA使ってても威張って良いのは、まともなコストパフォーマンス出せる人間だけだと思う ただの機能付きバッファにFPGA使うのはそれこそ無能な似非ハード屋だよ
>>153 >>・FPGAをやる人の中には、
>> 「FPGAは万能であり、FPGAがあればマイコンは不要」と思っている人が多い。
そんなんアホだろ。適材適所を切り分けられないのはエンジニアとして失格。遅くていいけど複雑なシーケンスとかあるし。
>>・威張ってる
あー、うちの周りでは ASIC やってるのが一番威張ってるな。まあやり直しがきかないので威張りたくなる気持ちは分かるけど。
結局自分のやっているところだけしか知らない人は多いからね。 ファームウエアなんかトラブル多いんじゃない? いや、此処はハードでやるべきだろ!いやいやソフトだっつーの・・・とか。
動くもん作ってくれればパン屋でも八百屋でもかまわんよ
まあ、ジャムおじさんに勝てるエンジニアなんかそうはいないだろうな。
>>153 最近のはコアとIOの2電源になってるものが出てる、投入順序も意識しなくていい
Actelや新興ベンダーのSiliconblueは電池駆動を売りにしてる
かな
>>160 20MHz は十分高速だと思うけどなあ。
20MHz 超のクロックで素人が基板作って遊んじゃう、
すごい時代になったもんだと思うよ。
ちなみに、俺もその素人の一人ね。
・威張ってる
>>169 エレクトロニクスの実作業エンジニアだと、こうだろ
LSI屋 > HW屋 > SW屋
奴らは、FPGAはSW屋に入るって事を分かってないんだよ
開発手法のレベルからすれば、LSIとHWの間でも良いかもしれないがw
ジャムおじさんは、動力不明のアンパンで思考するロボを作ったじゃないか
威張ってる威張ってないは知らないけど、 FPGAはSW屋に入るなんてこたーない。
HW屋っていうと、ボード屋さんのことじゃないかな?
>>170 のくくりでいうと、FPGAに書き込むロジックをやるのは
LSI屋になるんじゃない?FPGAもLSIもRTLで設計するわけだし。
それとも、LSI屋ってのは、プロセスの人?
論理式いじってるだけの奴がハード屋名乗るなってことじゃねぇの? そんなこと言い出したらキリがないと思うが。
ハード屋ってLSI/FPGA/ボードなどいろいろやるだろ。 おまいらはやってないの?
やってない。 下請け・派遣管理/工程管理が主業務
管理職乙
なぜ自ら部品になりたがる。
過去にいろいろ経験つんでるなら管理職でもいいが、なにもしらずに管理だけの奴もいるからなー
タイミング解析の勉強を始めました。 御存知の方がいればアドバイスをお願いします。 自作した基板があります。 汎用のデバイスとFPGAを接続しています。 汎用デバイス、FPGA共にタイミングのパラメータは資料に記載されています。 あと不明なのは自作した基板上の配線遅延です。 このパラメータはどの様に考えれば良いのでしょうか? 1,実測する 2,配線長から計算する。 実測するのは気の遠くなる話ですし計算したとしても近似値になります。 近似値でタイミング解析を行い問題なかったとしてもそれで安心して良い物なのでしょうか?
近似値に余裕持った値にすれば良いんじゃないかな?
つ 非同期
1mmで数ピコを気にする動作速度なんすか?
早速のレスありがとうございます。
>>183 余裕というと±5%みたいな感じですよね?
そういう定義の仕方ができるか確認してみます。
>>185 今はとりあえず勉強している段階なので学問的にはどうなるのか?という感じです。
実務ではもちろんそこまでは必要ありません。
ちなみに基板を作られた場合、皆さんはどうされてますか?
1つのインタフェースで、デバイス側とFPGA側の 両方のACスペック図を書いてみて、値を決めるときに 1mmで数ピコ考慮すればよいのではないかと ちなみに、何のツールでSTAします?
188 :
182 :2009/03/20(金) 22:55:09 ID:L4KgPoX1
>>187 使用しているFPGAがALTERAなのでQuartusIIのTimeQuestを使おうと思っています。
業界標準のSDCが云々・・・とサマリに載っています。
>>186 趣味でやるなら測定したい配線の向こう側を解放端にして定在波を乗せて実測する。
仕事でそんな暢気なことをしていたらぼてくりこかされるので 18cm/ns(FR-4) で
ざっくり設計する。どうせ負荷やらドライブ能力のばらつきを考慮に入れたら
正確なタイミング設計は無理なことが多いし、仕事ではそういう設計はなるべくなら
避けるべきですしね。
191 :
774ワット発電中さん :2009/03/21(土) 22:22:58 ID:cSojsFrb
そうだね
まったくね
>どうせ負荷やらドライブ能力のばらつきを考慮に入れたら >正確なタイミング設計は無理なことが多いし、 だったら18cm/ns(FR-4)なんてのも 適当でいいんじゃないの?
仕事ならIBISモデルを使わないのか?
195 :
774ワット発電中さん :2009/03/24(火) 07:10:55 ID:9PJjw/g9
下記のようなアルテラのロゴ入りのメールが来たんだが、リンク先が
http://scpcm.sohnukat.cn/, http://deislzwir.sohnukat.cn/ http://hqxvcpvgz.sohnukat.cn/, http://sbygqnvmc.sohnukat.cn/ http://eppdqcu.sohnukat.cn/, http://uzxyzva.sohnukat.cn/ と怪しいんだけど、これってフィッシング?
アルテラからアドレスが流出したのかな。
>送信者: "Announcements and Updates" <
[email protected] >
>件名: Please, this is important
>
>As a subscriber to the "Product Announcements and Updates" email list, we will
>notify you about new products, events and other updates.
>
>To subscribe or unsubscribe from Altera product announcements and/or enewsletters
>please visit our email subscription center.
>
>Copyright c 1995-2008 Altera Corporation, 101 Innovation Drive, San Jose,
>California 95134, USA
>
>ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS & STRATIX are
>Reg. U.S. Pat. & Tm. Off. and Altera marks in and outside the U.S.
>
>To ensure Altera emails get through to your inbox, please add
[email protected] >to your safe senders list.
FPGA使ってそうなどこかに登録したんじゃないの?
日本のxilinxのサイトに登録したら、商社からメールが来たことがある。 そういうやつじゃねーの?
どう見てもフィッシングだな
>>195 どれも302 Moved Temporarilyでバイアグラ通販ページに飛ばされるんだが・・・
フィッシングと言えるような手の込んだもんではないな。
とりあえず、バイアグラのレポよろしく
201 :
774ワット発電中さん :2009/03/27(金) 21:07:52 ID:kb3eL67Z
あなたがもしも気がつかないうちに心臓に障害が起こっていたとします、バイアグラの使用によって死ぬこともあります。 これはクロロホルムや、トランキライザーなどにも言えることですが興味本位に使うととてもリスクの大きい薬です。 なにかあった時もらった人にも迷惑をかけますからたとえ1/3であろうとやめといたほうがいいですよ。 女の立場からしても明らかに必要ない人がそんなもの使わなくては満足させられないような人は魅力ありません。
202 :
774ワット発電中さん :2009/03/27(金) 21:10:46 ID:kb3eL67Z
健康な人が使ってはいけません。 バイアグラってのは、いわゆる「精力剤」とは違いますからね。 4時間くらい萎えなくなり、細胞が破裂した状態になったり。 病院で「どうしたのこれ」と訊かれて、 「病気でもないのにバイアグラ使ったんです」 と言うのはちょっと恥ずかしいと思いますよ(笑)
>>202 やっぱカッチカチになり過ぎると血行不良とかになって、
組織が壊死したりすんのかな?
羞恥プレイ好きにはたまりませんね
二度と使い物にならなくなるけどピンピンになるのと フニャフニャでも何度でも使えるのとどっちがいいかな
I/Oは10本もあればいいから小さいCPLDてないのかな 通信のインターフェース変換に使いたいんだけども
つ"IGLOO nano"
つ GAL (さすがに古過ぎるか・・・)
CPLDって言ったのは不揮発がいいからでつ 手半田できてこの条件だと流石にないか 高クロックのマイコンでがんばるかなー
>>210 の脳内にしかない
必要な速度とかI/Oロジックレベル種とか具体的なパッケージ等の条件を
示さなきゃ役に立つ回答は得られないと思う
単にI/O 10個の小さいパッケージのCPLDならいくらでもある
というか、大してメーカーないんだから自分で調べりゃ済むだろ。
>>207 最終的には手元に届かなきゃダメでしょ。
そのためには売ってくれる店を探す。
そこのラインナップから適当なものを見出す。
XC9572XLならdigikeyで220円程度。XC9536XLなら110円程度だったかな。 マイコンの補助とか、ちょっとした信号変換には便利な石だわ。 5V torelantとなると品種が限られるのがアレだ。 あとは自分が使える調達先の問題。買えないなら使えないのはどうしよう もないし。
>>214 XC95シリーズは、コスト安と5Vが直接操作できるのがいいんだけど、
電流が大食らいなので、僕は使わなくなってしまった。
だって、clock=0Hzでも電流が100mA以上流れるとか、信じられない。
clock=0Hzで電流0mA、さらに5VトレラントなXPLA3を使っている。
216 :
207 :2009/03/29(日) 15:50:08 ID:U/qRZmkJ
IO電圧は3.3V、コア用に1.8V電源も用意可能 IO本数は予備含めて8本あれば十分 10MHzくらいのシリアル通信に使いたいので特別速度が必要なわけではない あとは手半田可能なパッケージ まだコード書いてないからどのくらいの規模が必要かわからないけど 今MAXIIで遊んでるんだけど少ピン版があればいいなーという感じです
218 :
207 :2009/03/30(月) 18:16:30 ID:7dn0s/fr
IGLOO nanoってFPGAなのに不揮発なんだ… ますますCPLDとFPGAの分類がわからなくなってきた それはともかくなかなか良さそうですね
不揮発メモリ内蔵型FPGAは 電源投入してから機能開始するまでちょっと時間がかかるよ
ROM外付けでも同じじゃね?
コンフィギュレーションメモリからのロードの事を考えてるなら、actelのflashFPGAでは考慮しなくていい。 (普通のFPGAのSRAMをflashメモリで置き換えた構造だから、設定をロードする必要は無い。)
220 :774ワット発電中さん:2009/03/30(月) 22:17:23 ID:AbCWLFwb
不揮発メモリ内蔵型FPGAは
電源投入してから機能開始するまでちょっと時間がかかるよ
221 :774ワット発電中さん:2009/03/31(火) 09:32:22 ID:eopUFUcw
ROM外付けでも同じじゃね?
223 :774ワット発電中さん:2009/03/31(火) 09:42:30 ID:VkW8/65X
>>221 実装面積が小さくなる。
トラ技のCPLD-CQ1を譲り受けたんだけどさ、俺のPCにパラレルポートないんだよね。 これって1P-LPPCI2とかで増設したパラレルポートじゃ駄目?
そいつが8255互換の石を使ってるなら多分使える
>>226 あり。CPLD扱うの初めてだから勉強するよ。
A<FPGAもフラッシュ内蔵になったらCPLDとの違いがあんまり無いね B<フラッシュ内蔵FPGAは起動に時間がかかるよ という流れだな。
>>215 まじで!?そんなに電気食うの?組み合わせ回路だけでも?
苺に売ってる小さいやつを、ポケコン用のアタッチメントに使おうかと
思ってたんだけど、100mA も流れるようじゃダメじゃん。
てか、何個か買っちゃったんだけど…。orz
なぜ買う前にデータシートぐらい読まないの?
寸法とかは見たんだけど、消費電流はどれに書いてるかわからんかった。 Xilinx のデータシートはデバイスごとにまとまってないから見づらい…。
>>231 どこのデーターシートなら見やすいんだwww
234 :
774ワット発電中さん :2009/04/01(水) 13:17:33 ID:VOkIlUF7
ゆとり
>>229 >まじで!?そんなに電気食うの?組み合わせ回路だけでも?
本当さ。俺も最初は信じれなかった。
それまで、74HC74とか ロジックICというものは停止時はほぼ0uAで、
クロック周波数に応じて電流が増えるのは、ごく自然だと思っていた。
しかし、彼の消費電流を見て愕然とした。昔何度か使ったことがあるけど、
電源事情が悪い電池駆動とかだと、9500の静的消費電流だけで、おなか一杯。
Xilinxは好きだし、良く使っているけど、今後も9500シリーズは使わないだろう。
それに比べて、ミカン?レモン?電池の起電力で動作するCoolRunner XPLA3シリーズは
いいよ。本当に電気食わない。
XPLA3は、もともとはPhilips?だったかのCPLDだったんだけど、Xilinxが買収して、
CoolRunnerシリーズに加わった。でもここに来てXilinxは、CoolRunner2を売りたいみたいで、
XPLA3はあんまりカタログにも見かけなくなった。でもちゃんと売っている。
CoolRunner2も食指の伸びないデバイスの1つ。理由は電源電圧。2.5Vなんだぜ。
何が嬉しくて2.5Vなんだ。FPGAと一緒に使いましょってか? それならFPGAだけでいいし。
しかも5Vトレラント入力でもないし。ウリは何? Xilinx製ということか?
2.5Vというのが足かせになって、俺は使ったことは1度しかない。
僕の印象
・XC9500シリーズ 5V電源OK(これは魅力なんだが) 電気大食らい でも安い。
・CoolRunner XPLA3 3.3V電源 5V入力トレラント 電気食わない 価格それなり RSでXCR3256が5000以上する。
・CoolRunner2 2.5V電源(そんな電圧使いにくいぞ) 電気食わない
最近は、アナログ内蔵のFPGAに食指が伸びてる。
>>228 > A<FPGAもフラッシュ内蔵になったらCPLDとの違いがあんまり無いね
> B<フラッシュ内蔵FPGAは起動に時間がかかるよ
C<一部のフラッシュ内蔵FPGAは、即起動可能だよ
停止時、の考え方がロジックICとは違うぞ。
238 :
774ワット発電中さん :2009/04/02(木) 00:00:44 ID:M3tVNlIs
団塊が、わ、わかってねえ・・。
240 :
774ワット発電中さん :2009/04/02(木) 07:31:11 ID:sD22/Ecj
>>237 >停止時、の考え方がロジックICとは違うぞ。
お詳しそうですね。
DC時に、なぜあのように電流がたくさん流れるのか、
わかりましたら教えてください。
男根だろ
DDTって予定通り発売されるの? CQ出版のページ見ても,一向に情報が更新されないんだけど.
DDTって 農薬?
目押し
>>247 楽天ブックスとかにも情報ないし…どうなってるんだか.
DDTに改名して、Latticeの石がおまけにつくのか? FPGAのおまけはXiか寺だけでお腹いっぱいだ。 \Xilinx 10.5G \Altera 7.16G ARMコアのときもいいかげげん辟易してたら、結局廃刊だしな
また改名するんだろうなー
InterfaceとDWMの切り分けが難しくなったから2冊をくっつけてDDTか。
Interfaceは存続だろ
とりあえず,DDTを3冊発注してみた.
>>249 確かにxilinxとalteraの付録号を買ってるから,今回のはまとめ買いするか悩むなw
とりあえず1冊は予約しておいたけど.
追加部品は何が必要だろー
actelの65nmFlashFPGA早く出ねぇかな。
雑誌として続けていくには難しいと思うよ。 Interfaceと被り気味だし。 デバイスも速くなってるし、ツールも良くなってきてるから ある程度の無茶なコードでもそれなりにタイミングはミートするし。 コーディングテクニックというよりどれだけツールを使いこなせるか? っていう話になりそう。 ツールの価格が高すぎて、記事を書いたとしても需要は少ないだろうし。
おまえら、実際仕事でLatticeなんてチョイスすることあるんか? ハナからまったく、対象外だったんだが
GALなら使ってるぜ!
Actelなら良かったのに・・・
DDTの予約は、どこで出来ますか? やっぱり町の本屋さんに予約でしょうか。 Amazon.comでは予約はダメでしょうか。
>>265 ベースボードにFPGAカキコ機付いてるのかな
ついていたらUSB?LPT?のどっちなんだろ
値段からしてUSBか
267 :
774ワット発電中さん :2009/04/09(木) 19:46:00 ID:H6Nyct2l
高いな。
>>266 画像左下に10ピンのピンヘッダがあるのが気になるね
ここからJTAGだったりして
>>263 ディジタル・デザイン・テクノロジ No.1
A4変型判
定価2,980円(税込)
Design Wave Magazine編集部 / 編 ←そーなんだw
ハードがほとんどわからない漏れが2冊予約した。 ごみになるか?こやしになるか?いちかばちか。
たんすのこやし
おまえらなんてひどいことを。 オクの出物にはなるだろ。
>>270 大丈夫、FPGAマイコンで遊そぼうが今回のテーマだからソフト屋も(いやソフト屋方がハード屋より)十分遊べる
そのために,256kBのFPGAマイコンプログラム用SPI-ROM付いてるんだから
ROMまでついてるんだ。前の罪の時はROMがぼったくり価格で売られたりして 安いROMを探して右往左往するやつが多数出たもんだったが・・・
もう付属基盤になんてだまされないんだから! だから純正Spartan3スターターキットかってきたお
>>275 すまんSPI-ROMはオプションだ。FPGAマイコンプログラムROMにでも使えって感じ
でFPGAコンフィグROMはFlashFPGAだから不要
FPGAマイコンの開発環境がどうだか分らんが、うまい具合にマイコン使いこなせたら、
Xi、Alの付録より遊べるかもしれん。
>>276 Spartan3スターターキットってまだ買えるんですか?もしよろしければかった場所を教えてください。
DDT(ダメダメテクノロジー)を1冊注文してきました。土曜日に届くでしょう。
DDTは結局DWMの内容をもっかいやるだけの雑誌みたいだのう。 ・・・でも買っちゃうの!くやしいっ!
もいっかいやるというより明らかにレベル下げてるだろ 季刊でこの内容は薄すぎるわ 内容据え置き、値段だけ倍になったという印象で買うの辞めたよ。 Xiか寺チップなら無理して買ったかもシレンが、ISEもQuartusも正規ユーザなのに、 新規にLatticeのフリーの開発環境インスコするだけでも鬱陶しいんだよな。
次回は買うかどうか悩むけど,今回はとりあえず買っておこうと思ってる ただそういう買い方を大多数がすると,また休刊しちゃうんだろうなw
デアゴステーニみたいに○月号の付録は、5月号付録の基板で○○を学ぶ って感じにすれば良いのに… FPGAは最初の付録でつけてるんだから派生させるだけならいくらでもいけそうだけど…
毎回、チップ部品で、全90巻とか…
ただでさえ記事不足なのに、本誌記事にできるレベルの記事を付録記事にしたら、 ますます本誌がやせ衰えるよw
latticeのページ開けないんだけど漏れだけかな?
俺も開けないw ライセンシングできないとどうにもならないなw
Lattice不人気だな・・・ 安いって理由だけで採用してるって聞いたことあるけど、少量生産だと全く使わないのかな? 漏れはAlteraしか使ったこと無いんだけどw で、DDTのFPGAだけど、比較する場合だいたいフリフロ数かLUT数で比較すればいいのだよね? 5kだと十分多いし、PLLも付いてるしメモリも多いように感じるのだけど間違ってる?
プログラミングケーブル65打ら orz
291 :
774ワット発電中さん :2009/04/11(土) 00:24:10 ID:aPgV13zu
だから高いと言ったのにw
Lattice付録のコンフィグはパラレル?
個人レベルだとデバイスが買えないとどうにもならぬ
>>289 >フリフロ数
フリフロって何?
フリーのフローチャートソフト? フリーのフロントエンドプロセッサのことかな?
お 前 に し か わ か ら な い 変 な 略 語 使 わ ず に、
ち ゃ ん と フリップフロップ とか FF と書け。
>>294 お前以外はミリセコのオーダーで理解出来るから問題無い
エフエフとファイファンみたいなもん? ところで、NIOSで遊びたいのですが、手軽に買えるお勧めのボードありませんか?
RFと書かれると迷うんだよなー。 やはりradio freqに慣れてしまってる。
ふーん じゃぁ、RFはやらない人なんですね。
299 :
774ワット発電中さん :2009/04/11(土) 11:30:28 ID:Uom4aeft
FPGAをはじめようと思うんですが お勧めの本や,サイトがありましたら教えてください
レギュとかボルホロとか、何なんだ最近の言葉の乱れ様は。 きしょい、きもい、むずい はぁ? 何だそりゃ。
>>297 Radio Frequency 以外の RF って例えば何?
フリフロは会社によるかも知れないけど一般的だと思う
会社により一般的って それは一般的とは言わない その会社の中で一般的なだけ
フリフロが一般的でなかったなんて、意外だったな FFやRFみたいなのは言わないけど、フリップフロップじゃ長いから使ってたよ
そんでDDTはどうなのよ
>>306 本としては死んでそうだけど、Lattice入門ならいいのかも
おまけFPGAは他社と比べれば、優秀みたいって感じかな
あとは安いUSB-JTAGがあれば色々遊べるんだけどね
ついでにこれもフラッシュ内蔵FPGA基盤として、完成品売ってくれればいいんだけど。
>>307 >安いUSB-JTAGがあれば色々遊べるんだけどね
そう思うなら、IFかトラ技にUSB-JTAGカキコキおまけ付けろ(DDTだと販売部数の関係で値段下がらんそう)、
ARM付録でUSB-JTAG実現記事ぐらい載せろと
CQ出版にこらアンケートぐらいしろよ。
ARM付録ならネット-JTAGでも良いけどな(すると次号のIF特集基板の販促にもなるだろ)
>本としては死んでそうだけど
いまでもパラレルありPCが普通と信じているCQの本だから仕方ないよ
309 :
774ワット発電中さん :2009/04/11(土) 17:52:07 ID:dwmHlcdT
フリフロ気違う
ハードできない漏れがDDTの陰謀にはまって パラレルJTAGケーブル(これで合ってる?)を作る決心しました。 はぁ、65だらはないだろ。20だらにマケテヨ。
CPUの買い換えを考えています。 ISEって、core2Duoの4Gと、core2Quadの3Gとだったら、どっちが速いですが? 今は、Core2Duo/3Gですが、なんかマルチコアのフルパワーになってないようにみえます。 OSはXPで、メモリなど他の条件は同じ。基本、他のタスクにCPUパワーは食われないとして、 ISE/EDKのコンパイル速度だけの評価でいいのですが。
312 :
774ワット発電中さん :2009/04/12(日) 00:33:27 ID:Dv9kalwY
294は恥ずかしいぞw
ISEに限らず開発マシン組むならシングルスレッド速度を追及するのが吉。 ISEはベースがUnixみたいだから(パス名にスペースが入るとダメとか、 バックエンドがgccぽいとか、TCLとか、配布物がtar+gzだったりとか) 入れるならLinuxのほうがいいとは思うが、Windowsと速度を比較した ことはないな。 WebPACK ならフルインストール版をダウンロードすればLinux版も入って いるようだ。
ISEてマルチプロセッサ非対応なんだっけ? いま使ってないにしても、次のバージョンあたりで対応してくるんじゃ? 来年には16コアとかだし、それで1コアしか使わないCPUぶん回しアプリとかでは 競争に勝てまい。
>>301 register fileね。
私は使わないけど、某社のブロック図で使ってるのよ。
マルチスレッドで処理してるぽいけど 合成とかうちのCore2DuoでCPU使用率90%いく
マルチプロセッサマシン複数台に がんがんジョブを投入した方が早かろう。
なひBlogに財、寺のパラレルJTAGで付録にカキコするソゥト公開されたな
>>316 ISEで?
もしそうなら信じがたいんだが。
10.何かでマルチスレッドサポート云々って宣伝メール来た筈だが、みんなアップデート着たなーぐらいでしか見て無いのかな?
>>316 バージョンはいくつ?
9.1では50を超える事はなかった。
>>318 カキコだけじゃな。
chipscopeは?
>>323 空白のおかげでその下にレスがないとか思った俺は逝ってよし。
スレ汚し失礼したorz
教えてください。 XilinxのUSB式のJTAG DownLoadCableを持っています。 これは、同じJTAGならLatticeとかアルテラとからも使えるのでしょうか? 書き込みソフト(Xilinxで言うiMPACT)は、各社で別々だけど、USB接続という点は一緒だし JTAGという点も一緒なので、行ける気がするんです。 PCには まだXilinxのみで他社のソフトを入れていないので、試せないんです。
_
iMPACTからなら他社の石にも書き込める。
>325 ライバル会社のデバイスをサポートするわけがない 常識的に考えて >iMPACTからなら他社の石にも書き込める。 ↑うそつき
>>325 >USB接続という点は一緒だし
JTAGケーブルの標準プロトコルがあるわけではないので無理です。
ところで
>>318 の言ってたツールだが,xilinxのパラレルのJTAGで書けたよ.
これでlattice純正を買わんで済むねw
>>325 それで使えるSVF playerがあればカキコできるかもしれん
DDTは初心者駆除でおわりかあ。 無味乾燥。
FPGAの初心者はトラ技になるんじゃないかな。
みんなTimeQuestに移行した?
>>336 もう移行したよ。
ところで TimeQuestって何?
ボードコンピュータへ行くとFPGAコンソーシアムの近くで可愛いねぇちゃんでパンフレット配っているぞ。 写真撮影ができないのでうpできないが、明日もやっているので見に行く価値はあるかも? 帰りに秋葉でメイド喫茶に寄ったのは秘密にしておく。
>>338 最近コスプレ系コンパニオン多いよな
不況なのかコンパニオンも少なかったように思えるけど。
>>339 無料なのじゃなきゃ行けない
341 :
774ワット発電中さん :2009/04/18(土) 23:40:34 ID:RGB1wmT7
LatticeのDownLoadCableは日本国内で手に入れるには どこで買えばいいか教えてください ネットで買うとしても国内サイトでどこかありましたら教えてください
Lattice代理店で手に入るって書いてなかったっけ. とりあえず代理店に製品情報表記ないから,問い合わせになるよね.
>>344 心配入らんよ、個人を相手してなかったら、個人を相手にしてくれる
2次だか3次だかの代理店を教えてくれる。ただ値段が上がる可能性がある。
半田ごてすら持っていないソフトウェア方面の人間が、 FPGAの世界に入っていく際に比較的障壁の低いおすすめコースを教えてください。 ノートPC(Vista、パラレルポート無し)環境です。 InterfaceやDDTとかの付録は、一体何を買い足して どのように工作したら使えるようになるのかすら サッパリ分からないレベルです。 多分LEDがいくつか載ってる勉強用セットみたいなのが無難なのだとは思いますが、 何がおすすめか(ボード・参考書籍など)、 それを使ってどの程度の事まで可能か、 さらには雑誌付録を楽しめるようになるには どのようなステップアップが必要かなどの道筋を示して頂けると助かります。 作業(学習?)場所は家なので、機材はありません。
まずは自分専用の半田ゴテを買うんだ! つーか、そういう発想が出てこない時点で先行き暗いな
つシミュレータ
能(脳)が無い奴は金使え 金が無い奴は知恵使え 金が有っても無料が好きな奴は時間使え
>>347 TerrasicのMax II Microなんかどう?
しかし、
>>378 の言うとおり、半田ごて使えないことには、
LEDチカチカ以上進めないというかなんというか・・・
>>347 arduino
>>347 とりあえずDDT読んであげなよw
FPGA超入門ということで,「〜って何ですか?」「どうやって〜ですか?」まで書いてあるし,
必要な部品の実装方法から統合開発環境の使い方まで書いてあるじゃないか!
>つーか、そういう発想が出てこない時点で先行き暗いな
って言われても仕方無いぞw
357 :
347 :2009/04/21(火) 06:51:52 ID:dCOI7C9a
いろいろレスありがとうございます。
半田付けをずっと避け続けるつもりはありません。
そうでないと、やはりLEDチカチカ段階の次に
進めないような気はしていたので。
うまくいかない時の問題点を切り分けられるかどうか、
知識・技術・物資などの面で順番にステップアップしていかないと、
今の自分には厳しいな、と感じているわけです。
>>349 これだと、SPPXP-100などが必要になりませんか?
国内価格で2万5千円ぐらいもするとなると、ちょっと尻込んでしまいます。
>>352 USB接続すればいいだけで1万円とは安いですね。
OSがVistaであるのも、Quartus IIの最新版をwebから入手すればいいのかな。
7seg LEDすらないから、半田ごて使えないとやる事ほんとに無さそうですが。
>>354 なふたひさんのblogとかも眺めてはいる (理解してはいない) のですが、
全く機材持っていない人間があの基板を使うには、
結局何を揃える必要があって、総額いくらぐらいに
なるのかもよく分からないレベルです。
なるべくメジャーなXilinxかAltera環境でも
使い回せる物が欲しいですし。 (安価なら、まぁ別に構いませんが)
>>355 「FPGAトレーニング用学習ベースボード」の超高機能版、みたいなものですか?
はっきりとした価格は分かりませんでしたが、すこぶる高そうですね。
DDT付録基板用のシンプルなものでさえ1万円してるのに。
>>356 うーん。やがては何か接続して制御とかしてみたいですが、
ほんとの序盤では、なんかピンとこないです。すみません。
ダウンロードケーブルとかいうものの自作とか、でしょうか。
家なので、当然オシロなどもありませんし。
優先順位が根本的に間違ってる 「僕プログラムの勉強したいんです!」と言って、PC買う前に VisualC#やらEclipseを入手しようとしているアホのようだ 教材用キットを買う前に ・半田ごて ・ラジオペンチ ・ニッパ ・テスター 等を揃えとけ 余裕があるならオシロスコープやロジアナもあるといい
>>357 馬鹿な上にガタガタうるせえ奴だな。
だいたい手始めの時点でここで質問しなきゃならん時点で
お前がまともにFPGAを習得できる見込みはゼロなんだよ。
1万だろうが100万だろうが無駄な投資になって人を恨むだけだ。
自分で調べて自分で判断しろ。それが高かったら日雇いやってでも買え。
>>357 周辺機能が豊富なボードは高いと思うよ。
半田付けなどの作業を極力避けたいのならお金を惜しまない。
お金が無いなら自分の手を動かす(半田付けなど)
手を動かすのは嫌だお金も使いたくないなら運や人脈を使うとかかな。
それもダメなら諦めるって選択肢もあります。
ま、「電子工作 基本」とかでググりなさいってこった。
>>357 Digi-keyで買えるなら、7500弱。
7500以上だと送料無料、10000未満だと消費税もかからないので、
適当なものと一緒に買うと吉
>>358 太古の昔にはナイコンさんなんてのも(ry
それでも自分で何とかする精神はあったけれどね。
363 :
774ワット発電中さん :2009/04/21(火) 13:51:49 ID:x64vQFbP
>>357 やめとけ。
オマイのようなトーシロが手を出すと、またここで教えて厨房に変身して迷惑かけるだけだからな。
まあそう言ってやるな。 子供は金が無いんだから。 ここでバイトしてでも金用意する行動が起こせないと 俺のようにあらゆる事に行動力の無い人間になる。 本ばっか読んで、この部品であんな事できそう、だけで物作らないw 製作予定の未定なジャンク箱ばかり増殖していく。 とにかくまず早く何か1つでも形にすることだ。 期間を定めて一歩ずつ。 がんがれよ。
365 :
478 :2009/04/21(火) 17:09:56 ID:FoGXTmBS
一番言われたくない奴に言われたw
モニタつきの1万円くらいのデスクトップ中古パソコン買って
DDT+マルツの基板
>>358 のものを買えば安くできるでしょ
FPGAとコンフィグレーションROM(PROM)について教えてください。 FPGAのサイズと異なるサイズのPROMは使用可能でしょうか? たとえば、 容量が1MbitのFPGAに、容量1MbitのPROMは、問題無いと思いますが、 容量が1MbitのFPGAに、容量2MbitのPROMは、使えるのでしょうか? また逆に、容量が1MbitのFPGAに容量0.5MbitのPROMをつないでも、 PROMより小さいプログラムならOKだよ、ということはアリでしょうか? 自分の考えでは、FPGA<PROMなら、いけるのではないかと思っています。 理由は、コンフィグレーションのとき、FPGAがマスタなら、全部書き込んだら DONEをHにすれば、PROMの/CE=Hになるからです。 iMPACTなとで.mcsをPROMに書き込む場合、たとえば 0.2Mbitのプログラムだと、Formatに10秒、書込に20秒、Verifyに3秒かかったとすると、 0.4Mbitのプログラムだと、Formatに20秒、書込に40秒、Verifyに6秒となるのでしょうか、 それとも、プログラムのサイズに関係なくPROM全領域をFormtして、全領域を書き込むから 時間は変わらないのでしょうか。自分の考えでは、いつも同じ時間になるように思います。 一般に、FPGAのPROMは、書き込む前に必ずEraseしないといけないのでしょうか? 24LCxxなどのシリアルEEPROMだと、特にEraseをしなくても書込ができるので、 FPGAのPROMでもそうではないかと思うのです。 以上です。よろしくお願いします。
以上です。よろしくお願いします。とか言われてイライラするのは俺だけじゃないはず
>>369 すみません、「答えてくれるのが当然」のような口調でしたね。すみません。
すみません
>>368 ドキュメント嫁よ。
デバイスによって対応しているPROMのリストがあるよ。
FPGA < PROMであれば問題はない。
逆だとFPGAの初期化が正常に終わらないだけ。
フラッシュロムの特性をちゃんと勉強汁。
フラッシュは黒板と同じと考えればよい。
前に書いたデータを消さないで書き込む事は出来るけど情報としてはゴミになるだけ。
まずはxilinxの日本語マニュアルを一通り目通す事をだな。
>>371 さっそくありがとうございました。
>逆だとFPGAの初期化が正常に終わらないだけ。
この1行で、全部理解できました。ありがとうございます。
>前に書いたデータを消さないで書き込む事は出来るけど情報としてはゴミになるだけ。
これも、わかりやすい例えで、ありがとうございました。紫外線消去のEPROMと同じですね。
iMPACTの□Erase before programmingのチェックを入れずに書き込んで、
ちっとも書けずに、今日1日をつぶしてしまいました。
おかげで、書込の種類とか書込の方法やconfigration用の接続など、よい勉強になりました。
ありがとうございました。スレ汚し、すみませんでした。
>>368 PC自作趣味ユーザーですら、フラッシュROMは書き込む前に消去するって常識なんだが
ハード音痴なのにFPGAをやろうとしている...
さっきから煽ってるだけの奴は何なの
>>373 >24LCxxなどのシリアルEEPROMだと、特にEraseをしなくても書込ができるので、
って言ってるんだからわかってやれよ
>>375 そうなんですけど、
iMPACTの設定に、□Erase before programmingのチェックがあるということは、
消さずに書ける、とも読みとれるわけで。
何のためにあのチェックボックスがあるのか、知りたいです。
常時Eraseで良いわけだし、何だったらチェックボックス自体無くても良いと思うです。
あと、PCの自作はしたことないですが、半田ごてを握って35年、アナログ回路歴も長いです。
>>376 Eraseできるのは、iMPACTだけではない。
>>376 FPGA初心者なのか。
とりあえず「FPGA/PLD設計スタートアップ2009/2010」買って勉強するのがよさげかも?
チェックがあるのは、PROMじゃなくて、直接FPGAに書き込む場合があるからじゃなかったけ?
確認する環境が今ないので良くわからないのでごめんね。
>>376 です。
みなさん、ありがとう。親切ですね。
FPGA/PLD設計スタートアップは、毎号買ってるけど、もう2009/2010出たですか。見てみます。
若い頃ほどではないけど「こうなっているのは、なぜ?」と考えてしまう悪い癖がある。
それを調べていくと、多くの場合はそれまでの歴史というか経緯を調べることになるんだけどね。
結局、調べだしたら時間がかかり、よく仕事が止まってしまう。アホな俺です。
>>377 ありがとうございます。 あ、なるほど。
すでにCPLDの制御でEraseされてるとか、でかいFlashROM領域の一部をFPGAが借りるような場合に
すでに誰かが消しているから、iMPACTが消さなくても良い、ということですか。なるほど。
でも、あえてiMPACTが消しても良いのですよね? だったら、自分の書き込むところは自分で消す、
ということでも良いですよね、きっと。
もっとも消すのに時間がかかるから、すでに消してあるなら、Eraseを省略すれば短時間で済む、
ということだと思いますが。
納得しました。ありがとうございます。
聞いてみて良かったです。ありがとう。
>>368 ろくに回答できない奴ほど言葉尻とらえて文句付けるのが常だから。
「以上です。よろしくお願いします。」って、いいんじゃね?
別に不作法じゃないぞ。
>>369 カルシウム摂れ。
>>379 どの程度のFPGA初心者かわからないけど、
一言で言うと「FPGAは、D-FFとメモリ、そしてメーカ提供のIPの組み合わせ」で出来ている。
・D-FF 同期回路は、これ無しでは組めない。
・メモリ FPGA内部/外部も含めて、メモリ扱いはFPGAのお家芸。
・PLL、DCM、FIFO、LVDSなど、メーカお膳立てのIPは必須。
この3つができれば、FPGAの使い方はマスターも同然。
あとは、計算式や処理手順を、言語で展開することに専念すれば良い。
頑張ってくれ。
FIFOがIPで入ってるのは見たことないぞ。
>>376 全部消去しないで書き加えることもあるだろ。
>>381 最近だと乗算器は当然の様にハードマクロで入っているぢゃん。
それに高級チップだとCPUですらハードマクロで入っているし。
いい時代になったモンだ。 と、呟く老人。
>>382 確かにFIFOがハードマクロで入っているチップは見た事がない。
オレは画像処理系が多いのでFIFOは必須だからハードマクロだったら嬉しいかも。
まあcoregenなどで実に手軽に使えるから問題ないけどね。
ホントは除算器がハードマクロなのが最も嬉しいぞ。
ハードマクロじゃないけど、アクテルのFPGAの中には 内蔵RAMのコントローラーの機能として持ってるのが あるんだぜ?
Virtex4のブロックRAMはハードマクロのFIFOじゃなかったけ? バグありだけど
379です。
>>381 ありがとうございます。大枠と雰囲気が、よくわかりました。
自由に使えるようになるのは、まだ遠い先のことですが、頑張ります。
>>382 >全部消去しないで書き加えることもあるだろ。
いいお話を、ありがとうございます。
書き加える場合とは、それはどのような場面でしょうか?
教えてください。
>>384-385 また素朴な疑問ですが、教えてもらえないでしょうか。
なぜ「マクロ」と呼ぶのでしょうか? 「モジュール」のように思うのですが。
WikiやGoogleで調べて見ましたが、
なぜマクロと言うのかについては、見つけられませんでした。
「ハードマクロ」という言葉がありますし、私も聞いたことがあります。
私の知っていること
・乗算器など機能モジュールを、ソフト的に言語上で実現するのを「ソフトマクロ」と言い、
チップ依存でないので、移植性に優れているが、FPGA内部資源を大量に消費してしまう。
・一方、上記の機能モジュールを、チップ上にハード的に作り込んだ物を「ハードマクロ」という。
ソフトマクロに比べて、高速に動作し、FPGA内部資源を消費しない。
チップ依存なので、移植性は低い。機能変更ができない。(当然ですね)
という内容です。
上記の説明では、マクロという言葉を使わなくても、
モジュールという言葉だけで説明が付くと思うのですが、
なぜ「マクロ」と呼ぶのでしょうか?
何かご存じでしたら、教えてください。
ハードマクロだってFPGAリソースの一種だから 「FPGA内部資源を消費しない」ということはない ただFFとかLUTなどの資源を使わないというだけ
旧来、FPGAが登場する前の時代のLSI設計において 高度な機能を実現する規模が大きい回路をパッケージしたものを マクロセル(略してマクロ)、メガセル、IPセル(略してIP)、コアセル(略してコア) などと呼んでいた。なぜかメガセルだけはメガとは略さなかったな。 FPGAが登場して、 ハード的に組み込まれたIPセルと、FF/LUTを組み合わせて作った概念上のIPを 使い分ける必要が出てきて、ハードとかソフトを頭につけるようになった。 でもな ハードコア とか やばいだろ? それに、ソフトIPの場合は物理的にセルはないのだから セルという言葉をお尻につけるとなんか心理的にモヤモヤする。 そんなこんなでなんとなく ・ハードマクロ vs ソフトマクロ ・ハードIP vs ソフトIP なんて言い方が生き残ったという話
>>387 そうですね。内部資源という言い方はまずかったですね。すみません。
>>389 ありがとうございます。良くわかりました。
>規模が大きい回路をパッケージしたものを
マクロ=大規模という直訳と、ハードマクロのマクロが結びつかなかったのですが、
この文章で、合点がいきました。ありがとうございました。
マイコンなどでは、特別に置かれたハード機能ブロックを
モジュールなどと呼んだりしますので、
FPGAのマクロ(大規模)という呼び方に違和感を感じていました。
両者の源流が異なるためだろうと思います。
このあたり、何かFPGAの歴史みたいなものを、知りたいですね。
(また英語の本になってしまうかも)
>ハードコア とか やばいだろ?
ヤバイけど好きです。ビデオも何本か持って・・・・
ご親切に、どうもありがとうございました。
>>388 ありがとうございました。
>このアプリケーションノートでも読めばわかると思うよ。
うっ!英語。of、to、getの意味が難しいですよねぇ。
日本語なら得意なんですが(^^;
ありがとうございました。
>>391 この業界で英語がキライなどと言っていたら、一瞬で時代から振り落とされるぞ。
無理矢理にでも読んでいれば、そのうちに技術英語など簡単に身につく。
データシートの類って、表とグラフを眺めて、脚注だけは泣きながらでも和訳して理解して、 あとは単語を追うだけでいいんじゃね?
infineon から出てる半導体の本をよく確認しないで買ったら ドイツ語だったんだぜ! タイトルは Halbleiter
395 :
774ワット発電中さん :2009/04/24(金) 00:39:21 ID:f0EdIQdw
例えば、16'h1fffで割り算したいのですが、xilinx iseツールでは、 サポートされていないようでエラーになります。何か良い方法がありましたらお教えください。
16'h1fffで何回引き算できたか数える
16'h2000で割り算しとけ。超高速かつ計算結果は誤差1/60,000以内だ。 これで誤差が大きすぎるなら、計算結果をさらに16'h2000で割った値を足しとけ。 そうすれば計算結果は誤差1/500,000,000,000以内だ。
398 :
774ワット発電中さん :2009/04/24(金) 07:34:00 ID:1R7Q3iIw
>>397 iseにて下記のような割り算の記述すると
wire [15:0]data;
parameter a = 16'h1fff;
assign out = data / a;
下記のエラーになります。
ERROR:Xst:867 - "GAIN.v" line 29: Operator / is only supported when the second operand is a power of 2.
どうせ答えは0〜16に収まるんだからさ。
エラーメッセージの意味が分からないのか? あと、合成ガイドか、XSTガイドっていうマニュアルがあるからそれを読んだらいい
>>398 >2番目のオペランドが2のパワーであるときにだけ、
オペレータ/はサポートされます。
つまり、シフトしかでけまへんと。
power of 2 ってのが、何だかわからん。 数字の2に何か特別な力があるのか。
一般的にpower(x,y)っていったらx^yじゃまいか? 脊髄反射なので前後は見ていない。
一般的に powerと言えば、電源、電力、力じゃないのか?
アルティマのメルマガでルネのH8SがIP化されたってさ: > ルネサス テクノロジはご愛顧いただいておりますH8Sマイクロコントローラの > CPU 部分をコア化しました。 Renecになった今、V850/78K/SH/H8/M/Rと溺れ死ぬほど資産を抱えて どうするのか見物?SHもまたIP化頑張るのかな。
powerが指数ってくらい常識だろ
パワーとくればフラップ
>>407 品種多いから統廃合するだろな。生産しなくなるのはIP化して儲ける
>>408 ゆとりだからしょうがないよ。
辞書で調べることすらが出来ない。調べることは出来ても、指数・累乗って言葉知らない。
指数・累乗が大学院レベルの言葉になって、だいぶたつんじゃね。
しかし、日電ルネサスになったら、78K/H8/M16 と全部サポートしてくのかね?
8080エミュレーションモードが搭載されます
ルネのマイコンページの地図はすでに過密状態で頭クラクラするんだけど、 こんな感じになるのかな? - SH - とりあえず安泰? - M32R - フェードアウトする運命? - SH-tiny/R32C/M32C/H8SX/V850/RX600 - ワケワカンネ。RXに収束?無理? - M16C/H8S/78K - ここはMかHかな・・・ - R8/H8/78K - ワケワカンネ。 - 720/740 - このへんよくわからん。 まあスレチだからこのへんでやめとこう。
分野は違うけどオレ個人的には、ホンダと日産が合併して、一大メーカーになって欲しい。
>>410 IP購入者よりIPの方が多かったりしたら悲惨だなw
IPはいっそ無料公開して、ARM/MIPSの切り崩しと上位互換品(実チップ)の 導入推進用の尖兵として使ってもいいんでは。IP専業と違ってそれくらい 資産の量に余裕がありそだ>ルネック
CQ出版のBLANCAに付属のM32R(ネットリスト提供)みたいに?
418 :
774ワット発電中さん :2009/04/27(月) 09:08:46 ID:6GyV10dO
>>395 をひをひ、そんなのcoregenの除算器でイッパツぢゃねぇ〜か。
421 :
774ワット発電中さん :2009/05/05(火) 01:58:29 ID:fg8SHaU6
422 :
774ワット発電中さん :2009/05/05(火) 15:13:18 ID:iG2xuTPb
ゴミだな
ガラクタ市でももっとまともなもん売ってるぞ
XilinxのimpactでPROMのデータをつくりたいと考えています。 コマンドラインからimpactをバッチモードで動作させたいのですが、cmdファイルに 記述するパラメータの詳細が書かれている資料はどこにあるでしょうか。 調べたのですが見つかりませんでした。 以上、よろしくお願いいたします。
426 :
774ワット発電中さん :2009/05/10(日) 17:31:17 ID:EED9EIGo
ISEのテキストエディタのフォント設定はなにがお勧め? いまはCourier Newなんだが、なんか日本語だけ表示が大きいので気持ち悪い MSゴシックにしてみても、なんか変だし、半角空白と半角英数の幅が違う
>>426 MSゴシックでダメなら、MeiryoKeConsole
残念なのは0に斜線入りでないことぐらいかな
429 :
774ワット発電中さん :2009/05/10(日) 18:59:31 ID:EED9EIGo
>>427 フォントがなかったんでぐぐってやってみました。
なんか、表示ががたがたになります。評判よさそうなので期待してたんですが残念
なんでだろう?PCのせい?
ここまで書いて、"ClearType Tuner"を発見。ためしにやってみたら、あまりの違いに驚いた。なんだこれ。
がたがただったのがめっちゃきれいになった。
しばらくこれでいってみます。いいの紹介してくれてありがとう
>>428 P付きはずれるんで使いにくいです。
>>426 TerminalとかSystemとか。
エディタでカンマとピリオドの区別が付きにくいときにはPなしのMS 明朝かな。
ISEで日本語なんか使わないだろ? JK
ISEというよりHDLで日本語使わないだろ
コメントでガシガシ日本語書きまくってます。
ソースに日本語コメントを使ってISEで開いたらソースがボロボロになってしまった事がある。 それいらいコメントにも日本語をつこうたらあかんちゅう事になった。
ちゃんと英語でコメント付けるんならいいけど、 それに時間をかけるのももったいないから 日本語でこそっと書いた方がいい。 まあ、コメントなしで読めるようなコードが一番 いいのだがね。
VisualStudio持ってればConsolas
日本語でこそっが一番あいまいでいらないコメントだったりするw
HDLコメントに、日本語バリバリつかって書いています。 やっぱり日本語はいいです。わかりやすいし、動作説明もわかりやすく書けます。 慣れない英語でコメント書いて自慢している人がいますが、そんなのは得策ではありません。 1年後の自分が そのソースを見て赤面するか、意味不明の日本語に慌てるのが関の山です。 そんな無理しなくていいです。日本語で書けるのですから、バリバリ日本語で行きましょう。
× 意味不明の日本語に慌てるのが関の山です。 ○ 意味不明の英語に慌てるのが関の山です。
>>439 その調子でソース中に意味不明コメントを書き込んでるんだろうな
慣れない英語でコメント書くのは自慢にならないが
慣れた英語で書くなら問題ないだろう?
問題は英語に慣れてない
>>438
まあ、英語が得意とか言ってる奴って、日本語が微妙だったりするから好きなほうで書けば良いんじゃね。
僕も日本語でコメントを書く口です。
>>438 と違うのは、ISEのエディターは使わず、外部エディターを使っています。
Xilinxの開発環境は、まだまだ2byte圏に対して対応が悪いです。
これから伸びていくのは2byte圏だというのに、です。
中華の人は普通にpin1yin1で書くよ 日本語もローマ字で書けばいいんじゃないの?
職場の方針でコメントは英語のみなんだよなぁ。外人さんもいるし。 まぁ英語話す人はネイティブかそうじゃないか問わずブロークンなイングリッシュに寛容なので気にしない。 コメントが意味不明なのは考えものだが...
ブロークンは良くても機械翻訳はだめだったり?
>>443 というか、UTF-8?
>>444 日本語に慣れてない人にはローマ字でも仮名漢字でも読みやすさが変わらないんだろうな。
削除履歴を残すように不要なコードとコメントを残すように言われる時がつらい。 最初はいいけど、仕様が大きく変わって変更点が多くなると生きているソースと使われないソースの比率が大きくなるとソースが醜くなるので勘弁して欲しい。
今時ソースに修正履歴を残せだなんて馬鹿の骨頂だな。 そんなマネージャーは首にしたほうが良いよ。
そういう時はファイル変えて残しておけばいいんじゃないの
( ゚∀゚)o彡゚ Sub-ver-sion! Sub-ver-sion!
cvsもsvnも、いまいち。 個人的には、pvcsが好きだな。
コメントは英語、識別子は長々としたローマ字。
>>441 のように「オレは英語に慣れてる」って言うやつがいるんだけど、
日本人で、日本に住んでいて、99%以上が日本語の環境にいるのに、
英語のほうが表現力が高いとか言ったら、それはおかしいだろう。
いい格好をするなって感じだね。
コメントは日本語で書くべきだ。
ところでVHDL, Verilogなどは2バイト文字って仕様でみとめてたっけ!?
457 :
774ワット発電中さん :2009/05/12(火) 07:57:00 ID:o+BA9C3q
実はFPGAが書き込みエラーらしく突然以前のプログラムになってしまったんだが? 原因はグランドからのノイズだとか言ってるが??? FPGA屋は書き込みのミス、物知りは近くにガンマ線かなんかの発生源があるからとか出鱈目いってる。 USB給電だからグランド関係ないと思うんだが??? ある日、突然前のプログラムになちゃったひといない?
最近は Doxygen 形式でコメント付けてるなあ。
本当に前のプログラムになったんなら FPGA屋が言うように書き込みミスだろ
世の中のミスの大半は人為的ミスだからな
VHDLとC言語って似てますか?
日本語よりは似ています
VHDLはAdaに似てるよ Cより型が強くて窮屈 だがそこがいい
PascalもAda影響下でしたっけ。 同じ感じですね。 コード量多いけど、安心できる進行。 でもVerilogマスターから言わせると、 そんなん未熟な人間の言い訳だろ? だって。 まあそうだけど。 でもポカミス極端になくせるのは評価していい言語だと思うんだけどね。
俺もなんで Verilog なんてあいまいな HDL が普及したのかと思う。 always で組み合わせ回路書くと、reg 宣言が wire になると知ったときは 目玉が転げ落ちそうだった。 もちろん、ソフト方面の言語は Pascal が好きです。
>reg 宣言が wire になる それはオイラも思った。 「なに笑えないギャグ言ってんの?」 とかオモータ。
alwaysの中で組み合わせ回路は書いてはいけません。 組み合わせ回路は、always外で、assignで書きます。 alwaysの中で組み合わせ回路を書くのは、約束違反です。
PascalもAdaもALGOLの系列だろう。 動作の検証や保障を目指した点も含めてHDLとAdaはよく似てると思う。
>>467 そうだとしたら、そういうのを弾かないのがVerilogで、弾くのがVHDLだね。
もう、logic で宣言して always_comb で書こうぜ。
文法に合わせるんじゃない。 ツールに合わせてあげるんだよw
474 :
774ワット発電中さん :2009/05/12(火) 22:22:25 ID:jTfhE8FL
>>467 マジで、それをやるヤツがいて困る。
assign c_d=a+b;
always @(posedge clk)
c<=c_d;
とか。
always @(posedge clk)
c<=a+b;
じゃダメなのかと小一時間(ry
>>464 >でもポカミス極端になくせるのは評価していい言語だと思うんだけどね。
それは良く聞くね。
ポカミス防止に僕は、いつも `default_nettype noneを忘れずに付けている。
これによって、wireも必ず記述する必要があるものの、間違いは減った。
しかし、VHDLはタイプ量が多いので、覚えようと言う気になれない。
先頭の3行?のおまじない書くのは面倒だし、
(7 downto 0)でしたっけ? これは[7:0]で十分だ思うんだけど。
ソースコードというのは書く回数より読む回数のほうが多いんだから タイプ数を減らすより可読性を優先するのはアリだろう つーか頭のいいエディタ使えばいいだけ emacsのvhdlモードでも使っとけ
>>475 確か3ステートの信号のシミュレーションで、遅延を正確に
反映させるには assign では無理だったと思う。
Veritak の人が解説してたかな。
合成はしらん。
479 :
774ワット発電中さん :2009/05/13(水) 10:06:48 ID:Eg3SSv0X
漏れも Verilog習いたての頃、先生に regは FFの意味じゃね−ぞ!って教わった
480 :
774ワット発電中さん :2009/05/13(水) 11:11:40 ID:locZgT1p
>>479 ほほう、とすると、regは何ですかね?
ずっとFFだと思ってる俺。
レジスタ=フィリップフロップじゃないだろ
やあフィリップ、ご機嫌いかが?
それが、なんか朝からパタパタして落ち着かないんだよ
そんなときは,これだよ!これを使うと一発さ!!
らっちい
すみません、教えてください。 「ラッパー」あるいは「ラッパーファイル」とは何のことでしょうか?
Verilog でラッチってかけるんだっけ?
ヤベ、脱臼しそうになった。
>>490 ありがとうございました。なんだか頭クラクラしてきました。
>>487 ありがとうございました。今週末、客先で説明(発表?)をするので、これでなんとかなりそうです。
助かりました。あとは、呉羽化学か旭化成を調査してみます。
492 :
774ワット発電中さん :2009/05/13(水) 23:36:22 ID:O28VE+Hc
>>488 むしろ、勝手にラッチが出来ちゃうことがあって困るんだ。
らっち らっちゅ らっちょ
ラッチの5段活用 未然 ラッチらない 連用 ラッチります 終止 ラッチるとき 仮定 ラッチれば 命令 ラッチろ
ラッチって要は拉致だな
ラッチニダ
ラッチ〜 ヤケドするところだったよ。
ラッチ〜 生きてるだけで丸儲け
らっち鳥
よーし、パパラッチしちゃうぞ
>>502 トランスペアレントラッチですね。わかります。
♪僕の名前はラッチ!。僕の名前はフリップ。 二人合わせてFFだ〜。君と僕とでFFだ。
505 :
金正 男 :2009/05/15(金) 06:39:05 ID:x8zyggxf
昨日 組み込み展 行っただすよ。 ごそごそ人居たけど関係者だけだっただす。 ざいりんくす は出展なし。 後は何やっていいかわからん溝鼠どもがうようよでした。 会場行って餌蒔くとぞろぞろ付いて来るぞ、きっと。 ぶれえめんの嘘つき 会場へ集合!!!!!
素人の質問ですみません。 signal bitdata :std_logic; signal bytedata :std_logic_vector(7 downto 0); の時に bitdata <=bytedata(4 downto 4); としたいのですが、型変換ってどうすればよいでしょうか。
507 :
506 :2009/05/15(金) 08:01:35 ID:QV5KQ6yU
解決しました。
bitdata <= bytedata(4); でよかろう。
ありがとう。久しぶりにやったら忘れてました。
CPLDやFPGAの規模を表すのに、いろんな単位があるけど、こんな認識で合ってる? ・ロジックエレメント≒ロジックセル≒マクロセル≒CLB ・等価ゲート数 単に「ゲート数」と書いてあった場合はどっちを指すのだろうか。
CPLDのマクロセルはレジスタ数相当で、積和項は別カウント。 等価ゲート数に比較してレジスタの比率が少ない。 出力端子やレジスタの量でリソースが決まる感じ。 FPGAは1LUTにだいたい1つはレジスタがあるから、等価ゲート数に 比較してレジスタの比率が多い。組み合わせ回路(LUT)の量で リソースが決まる感じ。 メーカがゲート数と書いたときはだいたい等価ゲート数じゃね。 どのくらい意味のある数字かわからんけど。
isewebpack11.1を使ってるのだけど cpldで、タイミングの重ならないクロックを2系統用意して それぞれのクロックの立ち上がりでデータの流れる方向を変える事って出来る? 具体的には process(x,y) begin if x='1' and x'event then r0<=in0; r1<=in1; r2<=in2; r3<=in3; elseif x='1' and y'event then r0<=r2; r1<=r3; end if; end process; xのエッジでレジスタにロードして yのエッジでレジスタ間のコピーをしたいのだけどエラーになってしまいます。
elseif x='1' and y'event then ^^^
514 :
774ワット発電中さん :2009/05/18(月) 21:36:32 ID:GP0smKZl
>>514 > 「どのメーカーのFPGAでも、CPLDでも、USB経由のJTAGで
> 書き込みできる万能ライタ」をリリースすることに決定しました。
> 価格は、ケース付きで1万円を切る値段で頑張ろうと思います。
> ケースなしの基板のみ(バルク品)なら8000円代を目指します。
ほお。期待していいのかね。
その分ソフトでとるよー!!だったりしてw
517 :
774ワット発電中さん :2009/05/18(月) 22:43:01 ID:l4ZwzOoV
実際これぐらいの値段なら、自作のパラレルケーブルよりよっぽどいいな
添付ソフトは評価版です。有償アップグレードすると・・・・
>>516-517 基板のみ:8999円
ケース付き:9999円
送料:5000円(中国より送付)
ソフトコマンドプロンプト版:10000円
ソフトwin版(開発予定):20000円以上
>トラ技78Kマイコンで使われたuPD78F0730を中心に、バスバッファなどを一つの基板に載せた構成になっています。 書き込みは遅そうだな
521 :
た :2009/05/18(月) 23:19:34 ID:v1/quNS5
少し待てば俺がタダツールばらまくけどw
マジ?送料もタダ? ハイスピードUSBでおながいしまつ
523 :
た :2009/05/18(月) 23:31:48 ID:v1/quNS5
>>521 Nは未踏卒業で、そして技術士なんだぞ
未踏卒業で技術士となると有言実行だろうからな
そうしないと、未踏卒業、技術士の名を汚すことになるよな。
その点、たは未だに未踏入学すら出来てないんだから、汚す名もないから
有言絶対実行せずの口先だけで良いよな
ハードもただだよね、期待してるよw
>>519 中の人?
送料5000円洒落にならんぞ。
メーカーのライターと互換性ないのであれば趣味のライターでしかない。
ソフト込みで約2万は高すぐる。
普通はハードにソフト代込みで売るだろう。
>>521 おお、期待しているよ。
最後には安くて使いやすい物が残る。
がんばってくれや。
ハイスピードはFT2232Hの安定供給次第かな。 DigiKeyはだいたい一ヶ月待ちくらいっぽい。
>>523 わかりますた。
メール便送料160円は払いまつ。
529 :
た :2009/05/18(月) 23:52:36 ID:v1/quNS5
>>524 うん、俺天才じゃないし、税金貰ってないしw
>>526 中の人じゃない。
>>519 は俺の妄想
これ趣味のライターだろ
仕事なら純正のライターぐらい買うだろからな
>>529 たに税金やるなんて税金の無駄使いの一番良い例じゃないのか
税金をやる場合、人を見てそして提案内容だろうからな。
たの場合、提案内容以前に人の部分に問題ありだろうからな。
>>530 互換性がなくて、ソフト込みで2万円超えたら普通は買わないよね。
xilinxは3万円だせば純正が買える。Alteraは純正は高いが、terasicだったら1万ちょっとで純正と同じのが買える。
>>527 直接FTDIから買えば、すぐ届くよ。
オレはmini module を買った。
買っただけだけど。orz
>>527 デジ鍵に発注したら、品質トラブルで1〜2ヶ月リードタイムが
必要って連絡北
535 :
た :2009/05/19(火) 03:30:50 ID:X7zWGhui
>>536 FT2232Hのデバイス単体売りはまだみたいね。
FT2232Dとか他のデバイスは売っているから
そのうち扱いはすると思うよ。
>>537 さんきゅ。mini moduleはリファレンス用に買ってあるよ。
>>534 ども。リードタイムページで納期確認してると、4/25→5/21→6/22とか何度か伸びてるだよね。
品薄のせいと思ってたけど、そのあたりが原因なのかもね。
541 :
774ワット発電中さん :2009/05/19(火) 19:26:46 ID:A8+OCAtp
パラレルケーブルは速いから好き。 デバッグで何度も書き換えするのにUSB-シリアル変換なんか使う気にならないね。 今ならP4-2Gくらいのレガシーマシンなんて中古で5000円位で買えるじゃん 開発環境をそっちに移行したほうが何倍も幸せだと思うな。 オレはWindows7でUSB2フルスピードの開発環境が構築できるようになるまで レガシーポートでやり過ごすよ。
すなおにUSB直結ライター買えばすむんじゃね?
544 :
た :2009/05/19(火) 20:40:50 ID:X7zWGhui
>>541 せっかく「パラレルケーブルは速いから好き」で稼いだ時間も、
P4-2Gでは開発ツールが重くて時間がかかって、残念だね。
>>544 た、なんでお前がここにいるんだ? ここFPGA・PLDスレなんだが
たはFPGA/PLDなんかやってるんか?
547 :
774ワット発電中さん :2009/05/19(火) 22:11:51 ID:pNqLKjLw
FT2232H を使ってみた。 synchronus 245 モードを使おうと思ったんだけど、VCD では使えないみたい。orz ただの245モードでがまんするか…
FTDIの話とFPGA/PLDってどう結びついているん? FTDIので自前のUSBのFPGA/PLDダウンローダーを作ろうってがんばってるんか
>>541 1kgくらいのモバイルノートで使いたいからUSBに限る
550 :
774ワット発電中さん :2009/05/20(水) 00:50:17 ID:8S8gk9Vk
vaiouにラトックのプリンタカード挿してwebpack使ってるよ。
551 :
た :2009/05/20(水) 02:27:40 ID:8S8PTVFW
忘れた頃にリリースするから、よろよろ。
>>546 FPGA/CPLDは使ってるよん。
質問さしてください。 XilinxのChipScopeProの、core inserterを勉強中なのですが、 1. chipscopeの動作は、次のような理解で良いでしょうか? ・概略は、ロジックアナライザをFPGAの内部に置くイメージである。 ・使う予定のトリガ信号、表示させたい波形信号、クロック信号を、 ChipScopeにより「接続データファイルCDC」として生成、その情報をISEに渡す。 ・ISEでインプリメントを実行する。ここでは目的のユーザー回路の他に、 先ほどの情報を元にロジックアナライザ回路を追加してインプリメントされる。 ・再度ChipScopeを起動し、ロジアナを含んだbitファイルをFPGAに書き込み、 トリガ信号条件をFPGAに送信し、トリガ発生を待つ。 ・トリガ信号のand or などの条件回路は、FPGAの空き資源を使用して生成する。 ・FPGA内部信号でトリガ条件が成立すると、登録したclock周期で波形信号をサンプルし、 FPGAの中の、空いているFFやメモリに順次書き込んで行く。 ・決められたサンプル数の捕捉を終了すると、JTAG経由でPCに記録データを転送する。 ・PCでは、送られてきたデータを元に波形を描画する。 2. トリガ信号は、接続情報作成時に登録した信号の組み合わせでなければならず、 「あの信号も条件に入れたい」と思ったら、再度設定とインプリメントからやり直す。 3. 毎回インプリメントするのは、仕方ないのでしょうか? トリガ信号や測定信号を変えるたびに、毎度インプリメントし直しですが、 これはこういうものなので仕方がないことで、みなさんプロの人も 毎回インプリメントしている、と考えれば良いでしょうか。 4. PCがえらく遅く感じます。 測定中でもないのに、chipscopeを起動すると、PCがえらく遅くなるのですが、 こういうものでしょうか? 5. Core Inserterモードでは、波形観測できるのは、任意の1ファィル内のみであり、 別ファイルのあの信号が見たい、と願っても、それはできないという 理解で良いでしょうか? 6. デバッグ終了後、挿入したCoreを取り外すと思いますが、 取り外しても動作はまちがいなくOKなのでしょうか? 取り外したことで別の問題が発生するのを懸念して、 取り外さずに埋め込んだままは、何か問題があるでしょうか? 7. 余談っぽいですが、Coreを入れることができないほど一杯一杯な場合のデバッグは、 どのようにするのでしょうか? 規模の大きいデバイス+ChipScopeでテストしてOKが得られても、 小さいデバイスに戻したときに、完全にOKかどうかというのは、疑問だと思うのですが。
chipscopeは面倒だけど、しかたないでしょう。 必要な資源をちゃんと見極めれば回数は減らせます。 大変だけど、仕事だからがんばる。 メモリを食うので、メモリサイズが小さいとつらいみたいです。 最低でもCore2でメモリ2GBは欲しいですね。 ディレイタイミングとかギリギリの場合、はずす事で配線が楽になるのでおおむね問題はないですが、ごくまれに厳しいタイミングでNGのとなる場合も起こりえます。 そうなったらコンパイルをやり直して、問題のない配線を探せばよいだけ。 いっぱいでCoreが入らない場合には、デバイスをでかいのに変えてデバッグするか、論理確認に不要なモジュールを取り外して必要な部分だけデバッグするしかないです。 大きなデバイスでOKであれば、普通は小さいサイズでもOKです。 もしもそれで動かなければ論理にミスがあるか、タイミング指定が不十分なだけ。 一般的に論理に問題なければ、どのデバイスを使おうと問題なくうごく。 動かなくなるのは、信号レベルや、配線遅延などのタイミング問題。 chipscopeで確認できるのは論理レベルの確認。配線遅延などはコンパイラーの吐き出すメッセージをちゃんと読め。
Tiも日本の研究所閉鎖で、もう日本のエレクトロニクスダメボだな。 解雇された100人どこいくんだろ?
NECえれ
TIはそもそも日本起源じゃないし
アナログ部隊は残ってるんじゃないの?デジタルは全滅らしいけど
tiってfpgaやってた?
チィ
>>553 552です。ご指導ありがとうございました。仕事が忙しくて、お礼が遅くなりました。すみません。
>chipscopeは面倒だけど、しかたないでしょう。
やはりそうですか。運用で逃げるしかなさそうですね。了解です。
>最低でもCore2でメモリ2GBは欲しいですね。
はい、そう思って、Core2Duoの4GBでやっています。CPU負荷は全然高くないんですが、
何か裏でポーリングでもしているかのようにChipScopeの動作だけが重いんです。
>一般的に論理に問題なければ、どのデバイスを使おうと問題なくうごく。
この言葉を聞いて安心できました。とても深みのある言葉で、何かうれしいです。
すみません、あと少し教えてください。2つあります。
1つ目は、
ChipScopeAnalyzerの波形窓の、信号名の入れ替えはどのようにすればよいのでしょうか?
例えばdata[11:0]というのがあったとき、波形窓の信号名表示部分には、上から順にSORTされて
左の図のように表示されます。ところがこれだと表示radixを16進や16進にすると
/data_0 間違った値が表示されます。実はこれに半日悩みました。
/data_1 そこで、<10><11>をまとめて選択し、ドラッグして<9>の下に持っていきたいのですが、
/data_10 それができないんです。
/data_11 複数選択が原因かと思い、1つだけ移動でもダメでした。
/data_2 ドラッグ中は、挿入点を示す灰色の線もちゃんと表示されるのに、です。
/data_3 ソフトはどれがLSB、MSBなのか知っているはずだから、順番バラバラでも
(続く) 正しく表示できると思うのですが。一方、Bus束同士なら上下自在に移動できる。
なぜbitではダメなのかよくわかりません。
2つ目は、
上記信号名の表示で、先頭になぜか「/」(スラッシュ)が付きますが、これを無くすことは
できないでしょうか? 通常、回路図などで負論理記号として/を付けているため、ここに/が付くと
そのように勘違いしてしまうのです。勝手に変な文字をつけて欲しくないと思っています。
> 一般的に論理に問題なければ、どのデバイスを使おうと問題なくうごく。 > 動かなくなるのは、信号レベルや、配線遅延などのタイミング問題。 間違っちゃいないけど、開発工数のほとんどは以下の2つだから ・タイミング調整 (タイミング制約を満足するまで終わらない) ・動作検証 (テストベクタ作成) 正しいロジックだけあっても、開発のスタート地点に立っただけ
>>561 うらやましいよ。楽な設計できて。
おいらのやっている開発だとこんな感じかな?
・仕様検討、論理設計。
・シミュレーションデバッグ
・実機デバッグ。
実機でバグが出ると対応策を検討するので振り出しに戻る。バグが出るとそれを見るけるだけでえらく時間がかかるので1/3〜1/2はデバッグに取られるね。
自己完結するロジックだとシミュレーションであらかた洗い出しが出来るけど、相手の素性が怪しい時には実機でためして初めて見つかる仕様の不備とかがある。
タイミング調整はスピードが速いとか、使用率が高すぎるなどの条件が厳しくなると何度もやらせるので時間がかかるのがつらいよね。
でも、帰宅前に設定して、朝出勤したら10パターンは出来上がっているので、その中でよさそうなのを試していけば時間は掛かるけど、夜中小人さんにやらせればOK。
テストベクターはたいした事ないモジュールとかでも、やってみると意外と時間がかかる。
いきなり実機で試したくなるけど、シミュレーションをきっちりやって確認してから実機で確認する方が早い時が多いね。
「正しいロジックだけあっても、開発のスタート地点に立っただけ 」 う〜ん、いい言葉ですね。座右の銘にしよう。
564 :
774ワット発電中さん :2009/05/22(金) 14:25:29 ID:9GvRwnrz
>>552 おいおい、そんな事は代理店のサポートに聞けよ。
いちいち書くことでもない
>>563 そうかなあー
なんか、説得力のないええかっこしい に感じる面も。
>>562 一つのモジュール設計担当?
機能設計、機能シミレーションとかしない?
>>567 うんにゃ。小さいデバイスだと一人で全部やる。
でかいと他人に任せたり、まかされたりしているよ。
詳細は省いていた。
長すぎるとxxxxまで読んだと言われるからね。
モジュール設計(機能設計?)をする時にはもっと細かく指示している。
・モジュールの機能を決める。
・入出力信号の決定
・入力信号のタイミング仕様まとめ
・出力信号タイミング設計。
・コーディング。
・シミュレーションデバッグ
四面楚歌で設備もなくていきずまってからが開発だ。
乗算器を使った乗算は何クロックくらい使うのでしょうか? また、除算は何クロックくらいでしょうか?
>>571 ものによる。
としか、いいようがない。
ビット数によるし、速度優先か、回路規模優先か、設計によるね。 最近のFPGAなら、乗算器入ってるし。 除算は、アルゴリズムがいろいろあるから考えてみたら?
575 :
571 :2009/05/23(土) 00:54:18 ID:hiGGSHlN
ありがとうございます。 ビット数は固定小数点16ビットとして考えたいです。 速度優先なら10クロックくらい、 規模優先なら20クロックくらいでいけますか? だいたいのオーダーでかまいません。
正確には、ゼロクロックじゃね?
XilinxのFPGAだけど、 *乗算を使いすぎて、ハードマクロ乗算器が足りなくて合成できない場合 自動的にあふれた乗算器をロジックで合成してくれるようにする方法があったら教えてください。
乗算器がなければ、普通に掛け算回路を作ってくると思うが。 ダメなら、もっと賢いツールを使う。Synplifyとか、DC Ultraとか。
>>578 悩む前に試せばええやん。
うまくできないなら、デバイス、ISEバージョン、コンパイルのエラーとか出せ。ボケ
>>571 Virtex-5 FPGA XtremeDSP ユーザー ガイド (日本語版)
P.60 あたりを見て幸せになれるの。
582 :
571 :2009/05/23(土) 12:45:23 ID:hiGGSHlN
質問させてください。 "ERROR:Xst:2037 - Unit <stdcfg1>: Several tristates on signal <data<3>> are sharing the same enable signal <N1>" XST7での解決法は分かったのですが、現在利用しているwebpack11.1での解決方法をご存じの方いますか?
584 :
た :2009/05/23(土) 15:17:04 ID:xN7J4a0S
>>543 ADBUS4 アサートするようにしたよ。
ちとスレチで恐縮だけど、知ってる人は試してみてね。
そろそろSVFに対応する準備を始めるわ。
例のJTAGロジアナも付けるからよろよろ。
>>584 FPGAメーカー純正ソフトから使えないのか
ともかくNの後追い乙だな
586 :
た :2009/05/23(土) 17:33:17 ID:xN7J4a0S
ここでいう本人って誰なの?
589 :
た :2009/05/24(日) 00:22:35 ID:FhhqCyUY
>>590 応募は、ぜんぜんすごくない・・。
まぁ未踏自体もそうだけど。
お金が絡むからなー。
593 :
た :2009/05/24(日) 01:14:57 ID:FhhqCyUY
594 :
590 :2009/05/24(日) 01:21:42 ID:nz8eCxSz
>>591 あ・・・、ダメだったんですね・・・
失礼しました m(_ _)m
>>592 そうなんですか??
何とかJTAGとかソフトイーサ(だったっけ?)が雑誌とかで
取り上げられたのを見て、日本ってすごい!って誇りに思えました。
>>593 でもその志がすごいです。
これからもがんばってください!
595 :
た :2009/05/24(日) 02:00:12 ID:FhhqCyUY
たってFPGAもできるの? すげー 尊敬する
SVFやJTAGロジアナなんて簡単にできるものはいらん。 別のことにリソース集中してくれ。
598 :
774ワット発電中さん :2009/05/24(日) 21:05:58 ID:TCUzWTs/
余裕が無いあの人は2ちゃんする暇も無いよ
ちゃんちゃん
600 :
578 :2009/05/26(火) 21:18:21 ID:1Wld5fRd
>>580 何回も試しとるわ。ボケ。
お前こそ経験無いから答えられないだけやろ。ボケ。
ちゃんちゃん
603 :
578 :2009/05/26(火) 22:38:28 ID:1Wld5fRd
馬鹿が阿呆に向かってボケと言っている。
| ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄| | つぎでぼけて!! | |_________| ∧∧ || ( ゚д゚)|| / づΦ
606 :
た :2009/05/26(火) 23:24:28 ID:3rNFrasL
ね、みんなNのブログ読んでみてよw
ddtのlattice付録基板で遊んでます。 isplever basic(ただでつかえるやつ)の新しいやつ(7.2sp1)が 4月半ばに出たようですが、これはvhdlとverilogの混在ができるか どうかわかりませんか? いちおうネットリスト?というのを別につくれば混在できてるのですが、 ちょっとめんどうくさいので
609 :
た :2009/05/27(水) 00:01:23 ID:CYpiBaLE
>>608 どの程度、演算速度が必要なのかによって
対策方法は違うんじゃないかなー
わかんね。
610 :
た :2009/05/27(水) 00:10:45 ID:CYpiBaLE
ところで、XilinxとかAlteraのパラレルポートアダプタの
回路図って、どこ見たらいい? あと、J-Linkとかも
パラレルポートに繋ぐタイプのものがあると思うんだけど、
SVFに対応するのにデバドラ書こうと思ってるんだけど、
知ってる人、おしえてくだされ。
>>578 それってさ、同時に乗算しなきゃダメなの?あと、どの
程度のクロック数までなら許容できる?
Xilinxは昔作ったことがある
612 :
た :2009/05/27(水) 00:26:30 ID:CYpiBaLE
>>611 SVFもそうだけど、手持ちのXilinxとかAlteraのアダプタで
JTAG-ICEも使えたら便利だろーと。 デバドラ側でそれなりに
実装しとけば、複数のアダプタに対応できると思うし。
614 :
た :2009/05/27(水) 00:35:52 ID:CYpiBaLE
>>613 感謝!
できたらフリーで公開するので使ってみてくだされ。
>>614 だいたい最近のPCはLPTないんだからLPT接続なんていらんよ。
要るのはUSB接続の奴
USB接続最優先でその後オマケでLPT接続で良いよ
LPTの場合拡張カードで増設したのなんかはドライバやソフトがよくないと
動作しないのあるし。会社で仮想ソフトのVPCやVMwareで拡張LPT認識しなくて
わざわざ古いLPTオンボPCを使う羽目になったことある。
パラレルも対応の方向ということでうれしいです:daiice
ボケ連呼は千葉の荒らしと勘違いされるからやめたほうが良い。
618 :
578 :2009/05/27(水) 08:49:16 ID:DTK4trB0
>>610 単機能の処理で足りなくなったという話ではなくて、
複数の機能ブロックでの話なので、できれば同時に乗算したい。
あと時分割多重してもいいけどFFが増えるのは避けたいし、
使用できるクロックが限られてるのでこれ以上の時分割多重は困難。
ちなみに学生レベルの規模ではないです。
現状はロジックで掛け算器を書いて、一部をそれと入れ替えてしのいでるが、
ちょっとわずらわしいので、自動で割り当ててくれないのかなと、聞いてみた。
619 :
た :2009/05/27(水) 09:53:47 ID:h0aupctF
>>618 俺が思い付くレベルのことは一通りやってるんだね。
そうだろうと思ってたけどw
俺は降参。
役に立たなくてスマソ
何やってるか知らんけど、乗算器を多用しない方法 考えたほうが早いんじゃねぇの?
オレだったらとりあえずラッパーかませて簡単に割合を変えられるようにするな
626 :
578 :2009/05/27(水) 23:10:36 ID:DTK4trB0
やっぱ方法はないのか。皆レスさんくす。
>>620 FPGAの制限のために、製品のアルゴリズムを変えるってのはおかしいでしょ。
FPGAに実装することが最終目的じゃないわけで。
>>624 合成前に何かしらのスクリプトで置換処理するってこと。
最悪これしかないね。
とりあえず、俺のことをボケ、低脳呼ばわりしたヤツでてこい
FPGAは何を使ってるか書かないとわかんないだろ、低脳
FPGAに実装することが最終目的ではないってどういう意味なんだろ??
ASIC屋が検証用につかってんじゃないの?そんなことも書かないで周りをボケ呼ばわりだからな、本当低脳だよね
>FPGAの制限のために、製品のアルゴリズムを変えるってのはおかしいでしょ。 >FPGAに実装することが最終目的じゃないわけで。 とはいえ実装できなければ先には進めないわけで、他に変更できる規模の大きなデバイスが 無いのなら妥協する事も必要じゃないかな。 今後、大規模デバイスが出たときには、乗算器を実装しなおした方が良いとコメントでも 残しておけば、その時に変更できるよ。
>>629 ボケ呼ばわりしたのは
>>580 だ。
そして、周りをボケ呼ばわりした覚えはまったくない。ちゃんと日本語勉強してからかかってこい。低脳。
ちなみにvirtex5の最上位FPGA。でわかるのか自称有能君。
>>630 そう。
>>618 で言ってるようにロジック化して先に進んでます。
>>629 自分ではあれで十分自分の状況・課題が他人に伝わると思ってるんだよ
でも、他の奴はさっぱりそれらがつかめない。
低脳って相手にちゃんと分りやすく伝えること出来ないからしょうがない。
>>631 >>580 はデバイス、ISEバージョンとか出せ(情報不足だろ、その程度ぐらい質問時に出さんようじゃボケじゃ)
で、
>>627 が再度デバイスなにかと聞かれてようやくデバイス名だけは出す。
これじゃボケといわれてもしょうがないぞ
ある意味
>>580 は人を見る目があるってことだな
まあ傍からみてたら売られた喧嘩は買うぜってみたいなのは ちと見苦しいわね。
635 :
578 :2009/05/28(木) 00:59:43 ID:saIZW/XF
中には推測してレスしてくれた人もいるが、
その推測すらできない、そして心の狭いやつが多いのね。
推測できない無能さを棚に上げて。。
もう少し、やわらかい頭になったほうがいいよ。
もしかして、それでエンジニアとかやってたりする?ないか。
そもそも、デバイス、バージョン依存の問題ではなさそうなことくらい分からんかね。
>>632 >>633 自演乙
,,, .__ 旦旦旦 |. ● | (,,・∀・) お茶はいかがでつか〜  ̄ ̄| ( O┬O 〜〜 ◎-ヽJ┴◎ キコキコ
そうやって食いつくから煽られるんだろ 荒れるからやめろ
638 :
た :2009/05/28(木) 01:09:20 ID:ziLsMOtX
>>635 ASIC屋さんは大変だね。
論理合成に時間はかかるし、失敗ヤラカしちゃうと
PCBどころの騒ぎじゃなくなるし。 ソフト屋からあーだの
こーだのクダらない質問への返事で時間取られるし。
がんばってくだされ。
透明あぼ〜んしたら気にならなくなったっはっは
>>635 こんなところでこんな時間に相談するだけで十分ボケているのがよくわかる。
質問者のレベルもピンきりなので、とりあえず環境とか詳しく説明してもらわないとレスする側も無駄な時間が掛かるのよ。
以前どっかの質問で、ISEは最新ですと言っていたが、後でバージョンを聞い正したらひとつ古いバージョンだtった。
しかもSPが当たっていない状態で使っていたというお粗末な話があった。
本人はちゃんとしているようでもできていない人が多いよ。
まあ、5日もウダウダやってる時点で十分低能だな。
>>624 Win32(C)ライブラリ)にうすーいラッパかけてC++から使えるようにしたのがMFC
ってな使い方をする
644 :
た :2009/05/28(木) 09:33:12 ID:kDno01ks
>>640 それは俺じゃないし。
お前さんが切羽詰まってるのは理解してやるけど、やり方がフェアじゃないね。
まー、お前さん自慢のJTAGロジアナは簡単にできるから、取り込ませてもらうけどね。
おれならFPGA変えるな。 ぎりぎりで設計したら後々仕様変更も出来なくなってしまって困るんでないかい?
578は本当に失礼な低能だなあ
やっぱボケボケ言うのは千葉の荒らしと同じレベルだったか・・・
>>645 一番でかいの使って入らないって言っていなかった?
もっともどのデバイスを使っているかまでは書いていなかったらから、とりあえずLXを選択しただけのアホかも?
ターゲットによってはデバイスを変更した方が効率が良い場合もある。
無意味にでかいのを1個選ぶより、機能分割した方が効率よく設計できる場合もあるでよ。
>>644 見えない敵と戦うのは無意味だから止めた方がいいよ。
ここに書いているのが本人かどうかはわからないんだから、無視するのが大人の対応だよ。(本人でなかったらすまん)
良い物を出していけばそのうち追い越せるだろうからがんばって対応デバイスとか増やしていけばよい。
出来ると書くよりも、出来る物を出してから「簡単だった」と書いたほうがかっこいいぞ。
Nだって万能ではない。
自社製のプログラマーは最初金属筐体で発売したら、ショートとかあるから、プラスチック製の方が適切だという指摘を受けていた。
今ではプラスチックにしてデバッグとかやりやすくなっている。
649 :
た :2009/05/28(木) 12:58:04 ID:kDno01ks
誰でも彼でも全部Nに見えちゃうの?いよいよ妄想が激しくなってきたな。 >見えない敵 100人くらいいそうだな。 こいつ、いろんなスレ荒らしまくってきたから。
651 :
た :2009/05/28(木) 13:44:55 ID:kDno01ks
>>650 期待した結論が導き出せて満足みたいね。
スレチなのでこの話はこれくらいにしときましょう。
まじだとすればまさにキティ。 でも「た」は本人じゃない可能性が高いと思われる。 多分グデグデからなにややって、上に行こうとすると妬む電脳禿げ爺だろう。 何か作って配布とか始めるとすぐにいちゃもんつける馬鹿が沸く。 見えない敵は無視すりゃいいんだよ。 反応すれば真の敵にとってはうれしくてしょうがない。 目の前に現れたら、ぶん殴ればいい。 もちろん物理的攻撃はやばいので、精神的にダメージを与えてやればok。 そういえば昔とある掲示板で自作自演やった馬鹿がいたね。 表示はされていないけど、IPを簡単に見ることが出来る事がわかったらあわてて消してくれと管理者に泣きを入れていたよ。
関係ないけど何で同じリンクを2つも貼るの?
大事なことなので2度言いました。
>>651 自分に文句をいうのは全てNになるって、すごい妄想粘着なんだな
>578も完敗。だいたい、コテって基地印だしな
た、1日何回くらい、このスレ来ている?
■ おすすめ2ちゃんねる 開発中。。。 by FOX ★
このスレを見ている人はこんなスレも見ています。(ver 0.20)
【swine flu】新型インフルエンザ総合スレPart35 [新型感染症]
量子コンピュータ 3qubit [物理]
【未踏IT】未踏ソフトウェア24【IPA】 [プログラマー] <=== これはたの功績か
【W500】ThinkPad Wシリーズ Part1【W700】 [ノートPC]
657 :
た :2009/05/28(木) 19:24:06 ID:ziLsMOtX
>>652 キティでもしんかんせんでも何でもいいよ。
>>656 巡回走らせてるから結構来てると思うよ。
もうNの話はいいよ。 スレチだから迷惑掛けるし。
コテ付けているからって基地っつーのはねえ
まぁ概ね当てはまるからねぇ
(Xilinx Answer 31618) Virtex-5 - FIFO18 でパックすると RAMB18 が期待どおりに機能しない この場合のパックとは何のことでしょうか? 教えてください。
FPGA内の回路パターンは、BRAMとFIFOが隣り合うようレイアウトされている。 そこで直近のBRAMとFIFOを接続(packed together)すると Bポートからうまく読み出せない不具合が発生する。 回避策は 直近じゃない遠くのBRAMとFIFOを接続して使う。 もちろん配線遅延が大きくなるが動かないよりましだろう。
10年以上FPGAを触ってるけど本当難しいねぇ。 最近は勉強すればしただけ底なし沼に嵌まっていく感じがする。
>>663 10年ならまだいいですよ、1年前からの僕なんてサッパリです。
>>661 そんな現象が起きるとは知らなかった。
やっぱ、2chは役に立つな。ありがとう 661。
Vir5 使ってるけどスカスカだから
当分関係なさそうだけど。
のんびりできるのは今のうちw
667 :
774ワット発電中さん :2009/06/03(水) 17:02:23 ID:LuA2ASmL
ISE11が出たけど、ISE10の頃から自動的にDVDを送ってこなくなった。 ISE10はWEBで申し込めば送られてきたけど、ISE11はそれを申し込む画面が無くなった様に思う。 もしかして、何処かに隠れているだけ? すまん、DVDを申し込むページのURLを教えてくり。 あっ、お試し版じゃなくって、正規版ね。
MAX2使ってるけど32bit加算を使うと凄い勢いでロジセルが消費されてく… 今のロジセル使用状況がこんな感じで効率悪そうに見えるんだけど、 書き方を工夫すればLUTとFFを一つのロジセルにまとめられるもの? LUT-Only LCs 211 Register-Only LCs 164 LUT/Register LCs 89
>>667 商社に電話して送ってもらえ。
確かに6GBとかあるとダウンロードも大変だ。
670 :
774ワット発電中さん :2009/06/03(水) 21:08:42 ID:fkF0Rm6U
でた!6Gバイト!! (昔の大容量ファミコンカセット風
もはやザイの管理能力を超えた容量 被害者続出かw
なんで、そんなにデカインだ?
クソどうでもいい動画でも入ってんじゃないのかと思わせるようなサイズだな
実は中にQuartus2もバンドルされていて、 実はコソーリバックグラウンドで配置敗戦やらせてたりとかwww
>>675 はははははははは、笑ったぁ。
はははは。
おもしろかったよ。ありがとう。
なんでFPGAの開発ツールってやたら重いんだろうな 新しいFPGAが出来たとしても論理合成のアルゴリズムは大して変わらないと思うんだが。
678 :
667 :2009/06/04(木) 15:42:53 ID:E5HxWL8x
>>670 ありがとう! まさか評価版のダウンロードから入るとは...盲点だった。
なにはともあれ、6GBのダウンロードをしたよ。
いやぁ〜疲れた...って別に待ってただけなんで疲れてないけど。
容量にも唖然としたけど、Windows版なのに、なんで tar 圧縮なんだ!?
おまえは、うにっくす野郎かぁ〜!
とにかく、最近のツールは、ヘンだ。
679 :
774ワット発電中さん :2009/06/04(木) 15:56:18 ID:4AGXRlHG
tarでリカバリーレコードとかついていないから解凍に失敗した俺に謝れ
FPGA屋なんだからFPGA使った論理合成アクセラレータでも作ればいいのにな。
GPGPUでアクセラレーションならともかく、FPGAベースでは高くついてしょうがないな。
最新のAlteraとXilinxの開発ツールってどっちが動作重いの? Xilinx、巨大だが意外と動作は軽い?
どっちも大して重くない
巨大だと文句言いたいが、世界中の人がコレでやっていると思うと、 「ま、いーか」とあきらめて、6GBをDownLoadした。 ISE10.1のDVDも注文したが、こちらは2週間程度。送料だけ2600円くらいかかった。
>>680 . .... ..: : :: :: ::: :::::: ::::::::::: * 。+ ゚ + ・
∧ ∧. _::::。・._、_ ゚ ・
/:彡ミ゛ヽ;)(m,_)‐-(<_,` )-、 * ひとつ、お客様の方で研究なさっては?
/ :::/:: ヽ、ヽ、 ::iー-、 .i ゚ + 石はいくらでも提供させていただきますよ。
/ :::/;;: ヽ ヽ ::l ゝ ,n _i l
 ̄ ̄ ̄(_,ノ  ̄ ̄ ̄ヽ、_ノ ̄ ̄E_ )__ノ ̄
>>682 昔はコンパイラ掛けるとコーヒーのむ時間が取れたのになぁ。
社長が効率化のためと称してクワッドコアPC導入して休憩時間が減った。
早く仕事を仕上げて呑みに行けっていう社長の優しさなんだよ 感謝してやれ
>>686 いまのバージョンてマルチコアの効果あるの?
>>681 合成はストリーム処理じゃないから無理だろう。
フィッターのほんの一部ぐらいじゃないか。
コンパイル中にも他にやれることあるだろ、さぼるなよ
スクワットですね。 あたなのムキムキ筋肉を見ればわかります。
ザワザワザワザワザワ…
えー 大変なんすから本当に えー
昔のCPUから最新のCPUに・・ってことかな。 久々にPCを買い換えたら3倍ぐらい早くなって感動したことがあったけど 結局すぐ慣れるよねw
でも、速いものは速いからいいじゃん
>>694 大丈夫、ソフトもバージョンアップですぐに重くなるから
たぶんアプリの肥大化、速度低下は、怠慢の積み重ねだろうなあ。 やっつけ実装(もちろん効率悪い) → 動いてるから触るな → 新機能やっつけ実装 → 動いてるから(ry …
どっかのOSと一緒だな
DDTの初心者セミナーさりげなく好評だな
やっぱ、 Linux版とWin32版とWin64版と入っててデカイのかなあ?
>>700 >DDTの初心者セミナーさりげなく好評だな
ホント? 開催の10日くらい前にCQからメールが来てたよ。
「参加しませんか?」って。
セミナーがCQの社員で埋まってたりしてね…はは ところで皆、LEDチカチカの関門は突破したか!?
今日の報道2001でAsusの特集組んでたな。 社屋も立派だったし、福利厚生も充実してて、一見いい会社風に見えたけど。 上限無しの残業と、残業代一切出ないってのは、70年代の日本の会社よりひどいこき使われ方してるのな。 そうじゃなきゃ、新チップの発売に合わせてあれだけ迅速に製品投入できんわな。 社員はたのしそーに仕事してて、悲壮感は一切なかったな。 こういう長時間勤務が日本でも当たり前だった頃、そういうやりかたはアンフェアだと欧米からいわれたんだよな。 サムソンあたりもこんな働かせ方してるんじゃないのか? そろそろ日本がやられた同じことを少なくともチョンにはやり返してやる時期じゃないのか?
>>704 >上限無しの残業と、残業代一切出ない
いまの日本も多くはそうだよ。
あなたの周りにいないのは、
似たような人としか知り合わないからで、
そのことはしょうがないけど、
それが、日本の標準じゃない。
>>705 >>上限無しの残業と、残業代一切出ない
>いまの日本も多くはそうだよ。
お前労働基準法のかけらもしらんか?
一部上場の電気メーカで管理職以外でこんなことすりゃたちまち責任者は逮捕だ。
自分が、コンプライアンス無視の下請け糞会社でコキ使われてるからって日本の常識をしらず何戯言ほざいてんのこのバカ
>>706 社員代表と会社が合意すれば何時間でも労働できるよ。
双方の合意があれば労基法は無視しても大丈夫になってる。
見合うだけもらえてればいいけどね
>>704 釣られてあげるけど、ASUSは台湾だし、どちらかと言えば味方だよ
昔の各社でチップセット作っていた頃と比べれば、今の開発は全然辛くないよ
リファレンスデザインで、ほぼ問題ないというか、それを推奨なのだからね
サムソンは実力主義の怖い会社だよ。たぶんキーエンスみたいなもん
早く潰れればいいのだけど、人件費も安いし、このまま生き残りそうで鬱だ
>>705 大手とその子孫あたりは、厳しくなってきているからね
PCの起動で出退勤時間を記録していたりするしね
>704や>706は、大手電子系なのだろうね
(他業種になれば、労働基準法なんて無いようなのも沢山あるしね)
>>707 >社員代表と会社が合意すれば何時間でも労働できるよ。
>双方の合意があれば労基法は無視しても大丈夫になってる。
おまえマジであほだろ。最低労働賃金と労働時間を労組と雇用者側の合意だけで決められるとでもおもってんのか糞野郎
いずれも労働基準法を満足せずに決定なんてできるかあほ。中学校から行き直せ。
>710 でも実際のトコ、取り締まりがザル状態なので、 一部の大企業を除けば労働基準法は守られていない。 大企業のくせに抜け穴探しに力を入れてるっぽい企業さえあったりする。 偽装派遣とか、偽装請負とか、いろいろ問題になってるのもその一環じゃないか。 そういう状況なので、日本の労働市場は時給換算で言って、先進国中最下位クラス。 労働時間の長さと人口の多さゆえに、先進国中でも上位に位置する経済力があるが、 時給換算では、かなり寒い状態にある。
ずばり、日本は能力の低さを長時間労働でカバーしているってことか 外国でもスタートアップ企業の従業員は日本以上にWork hardだが、 それ以外は管理職じゃないのはよほどのことないと定時退社が普通だからな 日本は下のものが働いて上層部は働かないって普通だよな
>>706 >一部上場の電気メーカ
が
>日本の常識
ではないよ。
>何戯言ほざいてんのこのバカ
あまり、人にそういうこと言うもんじゃないよ。
しかし、残業代が出ても使い道がないんだよな それで体壊して・・・ってのもあるし アフターケアがないと大変
>>714 おまえのようなアホにはちゃーんと言わねぇとわからねぇだろ。なぁバカたれ
ザイとテラ、納期をきちっと守ってくれるのはどちらのメーカーですか?
>>716 一部上場、従業員数 万超えてる「大企業」だが、「ザル」だぞ。
休日出勤含めて、残業時間150時間越え、とかザラだし。
「残業代一切出ない」事は無いが。
効率が悪いのは、認めるがなぁ。
>>718 稼働日22日/月、
毎日5時間 × 22 + 土曜10時間出勤 × 4 = 150時間ぐらいでしょうか。
出来ないから残業が増えるのか、出来る人に集中するから残業が増えるのか。 まぁ、状況は職場によっていろいろ。
721 :
774ワット発電中さん :2009/06/08(月) 07:58:24 ID:p0yYQo2g
営業が勝手に無理な線表に書き換えてくるから残業
722 :
774ワット発電中さん :2009/06/08(月) 07:59:32 ID:p0yYQo2g
>>719 9時始業18時終業23時終電だと、だいたいそれぐらいになる
>>718 社畜いっぱいの、基地外会社って感じだな
そんなに働いているなら、お前の事業部の業績超良いんだろ
ウチの月報はウソばっかり(全部定時で記入)。 それとは別に金の勘定のため、実働時間も DB に入力するようになってるから、 一応そのウソの月報と実働時間と給与明細は証拠として置いてある。 訴えたときにどこまで効力があるかわからんけど。
725 :
774ワット発電中さん :2009/06/08(月) 09:58:50 ID:gIDefZvL
>>724 実際には労働対価を受け取っているのだから文句は無いよな?
オレなんかサービス残業だぞ。 どーだ、スゲーだろ。
新横浜の独立系商社、アメリカツウジャパンって所でテラ、ザイの見積りが異常なまでに安かった。 在庫商社と言う事で供給には問題がないらしい。
宣伝しろって言われたの?
>>725 受け取ってないから証拠を残してるんじゃんよ。
>>728 >金の勘定のため、実働時間も DB に入力
残業代じゃないならなんの金の勘定?
730 :
774ワット発電中さん :2009/06/08(月) 16:54:28 ID:p0yYQo2g
給料には反映されないけど、請求金額には反映するんだよ
そうそう。w
交通費実費請求 ビジネスクラスで請求してエコノミーで乗る
735 :
718 :2009/06/09(火) 01:11:37 ID:M6jj/fEJ
>>719 >>722 そんな感じ。
24時終業&土曜もフルタイム=9時から24時だと、
6*22+15*4=192時間になりますな。これに日曜を加えると、200時間を超えます。
>>723 それが、赤なのさ。
こんだけ社畜が居るから赤で済んでる説もある。居なきゃ終わってる。
後は、営業費用が異様に高いとか・・・赤字受注でも、営業のマージンはキッチリ
抜いてから、こっちに渡すとか。
さらに上層部が最近は、「FPGAは、何でも出来る魔法の小箱」と勘違いしてるのと、
その割には、「開発は基板が出来て、電気的に動けば80%は終わったようなもんだ」て
昔の感覚があるんで、泣けてくる。
あとは、大幅な機能変更なのに、
「ソース内のどこかの変数を 1→4と換えれば、完了」
とでも思ってるじゃなかろ〜かぁぁ、て感じ。
>>734 ばーか!労働裁量制は、はじめから平均残業分が給料に上乗せされてんだ。
んなことも知らずにアホかお前はよ。
なんで労働のあり方を騙るスレになってるんだ?
738 :
774ワット発電中さん :2009/06/09(火) 10:18:08 ID:uQQQaJrO
平均残業時間と実態の残業時間が乖離してても 時間内に作業を終わらせない低脳なので自主的な判断で残業しています っていう建前 実態と共に労働基準監督局に持って行って騙された!って叫んで来ればいいと思うよ
>>736 そうか、知ってたんだ。だったらいいよ、気にしないで。
お前、明日から自営になれよ
ザイリンクスのEDKが付いてるキット(もしくはEDK単体)って何が一番安いのかな? 1800なんちゃらDSPってのは見つけたけど595ドルとか… スパルタン3EスターターキットについてたEDKの30日体験版である程度楽しめたから\1万前後なら買いたいんだけど…
>>735 残業時間は、会社次第なんだな
100時間超える残業を連続でやってはダメとかは無いの?
土日来たら代休とれとかさ(そんなの絶対、無理なのに、毎回言われる・・・
後半の話は、どこもそんなもんだろ・・・
ついでに言うとソフトも簡単に直ると思ってるんだよな
ハードは時間もないのに、超細かいところまで突っ込まれるけどw
>>741 Xilinxで一番安いのはcoolrunnerじゃなかろうか。
ロジックはあんまり入らないけどね。
いや、そもそも、違法云々と、 現実は違うってことを知らない人がいるようだ。 世間知らずなのは平和なんだろうが、 言葉遣いが、もうなんとも恥ずかしい。
言葉遣いは悪いわ、調べないわ決め付けるわで最悪だな
>>743 まず最初に
EDKが欲しいんで有って、何かのスターターキットを買おうとしているわけではないんだ。
今3Eスターターキットは持ってるんだけど、マイクロブレイズを使った開発をするために必要なEDKの体験版の期限が切れた。
EDKだけで買えるかな?と思ったら6万もするより大きなデバイスのスターターキットにくっ付いてる。
もっと安い(EDKの付いてる)パッケージは無いかな?と
747 :
774ワット発電中さん :2009/06/10(水) 02:21:03 ID:OV5wkyBS
XilinxのISEの使い方で教えてください。 ucfのピン固定制約についてです。 状況はこんな感じです。ISEは10.1を使っています。 1)一通りHDLを記述してコンパイルも通りましたので、PACEを起動してピン固定制約を書き込んでucfを作成します。 実際に動作させてみたところ、デバッグ用にピンを追加したくなりました。 2)そこでソースに戻り、出力線を追加してソース保存。 3)ここで再びPACEを起動すると、表計算のようなマス目に1)のきに記入した信号とピン番号の他に、今回2)で追加した信号名が追加されているので、 希望するピンを記入し、ucfを保存します。 4)実機でデバッグして、OKになりましたので、2)で追加したデバッグ用のピンを削除したいと思います。 5)ソース上のデバッグ用信号を削除してソースを保存。Synthesizeを通します。 6)ucf修正のために、再度PACEを起動します。 ところが、この期に及んでPACEは「知らないピン制約があるからイヤ」と言って、起動してくれません。 そこで質問です。 a)上記の場合、どのようにしたらPACEが起動しますでしょうか? b)上記のようにPACEが起動しない場合、どのようにしてucfを修正するのでしょうか? どうしていいのかわからないので、今はucfをテキストエディターで開いて、 削除した信号を消しています。そうするとPACEも起動してくれます。 c)そもそもucfファイルは、どのようにして作成するのでしょうか? テキストエディタで1から打つ方法もあると思いますが、PACEだとバス配線を<1> <2>とか数字で教えてくれるので、 とても便利なのですが。
テキストのucfが最強なので内容をテキストで消さないと 前に進まない 消して、それからPACEにはいれるのでは? UCFは、よそにBACKUPよろしく
>>747 >ucfをテキストエディターで開いて、削除した信号を消し
オレも全く同じ方法でやっている。
UCFは、TEXT editorでやるのが正しいらしいよ。
>>744 >いや、そもそも、違法云々と、
>現実は違うってことを知らない人がいるようだ。
>世間知らずなのは平和なんだろうが、
>言葉遣いが、もうなんとも恥ずかしい。
>>745 >言葉遣いは悪いわ、調べないわ決め付けるわで最悪だな
プププ罵倒された三ちゃん企業勤務必死の弁解
ところがどっこい、無職でした
>>744 世間知らずはお前だろうがバカタレ
よっぽど糞会社なんだろうなコイツ。つーか電機労連にも加盟してない糞会社か?
勤務時間と給与は最低条件が明記されたれっきとした労使間の契約だ
それをないがしろにした就業規則が成立するとでも思ってんのか低脳
ただただ長時間労働時間提供するしか能のないお前みたいなアホをわんさか雇用してるブラック派遣業を
世の中のスタンダードみたいに思うなよ。このウジ虫低能野郎
>>745 >言葉遣いは悪いわ、調べないわ決め付けるわで最悪だな
ハァ?スタンダードになり得る会社勤務だからな。俺様は。
ごちゃごちゃ寝言ほざいてると契約打ち切ってやるぞ糞外注
お前らみたいな低能にベストマッチングの言葉をちゃーんとチョイスして相手してやってることをありがたく思え
射殺でもされにせいぜい繁華街で刃物でも振り回しこいゴミ。
電機労連系のまともな会社は水曜日はノー残業デー。
>>744 ,745は糞会社決定だな。
ってか、仕事ないから帰れってさ
>>752 つーか、お前何様?
りんきおうへんって言葉知ってるか?知らないよな〜
だっせースタンダード(笑)も形無しだな、ここまで来ると
よし、そんな現実を知らないお子ちゃまのテメーの考えを俺様がことごとく論破してやるから待ってろよ
どーでもいいけど、電機連合加盟してる会社は圧倒的少数だよ。 しかし、これが連合クオリティですか・・。すごいね。
>>752 は脳内なんだよ。
そっと見放しておいて吉。
>>747 PACEを自由に開くには、
プロジェクトマネージャーでISEを開いてからPACEを開くのではなく
スタートのISEでアクセサリーの方からPACEを開いて
どのフォルダーのUCFから開いたら読めるか?
確認してみてください
759 :
746 :2009/06/10(水) 23:10:18 ID:Epv7gJcD
自称一流企業談義は、FPGAの開発業務なんてやってる時点で中小なんだからどうでも良いよ。 結局の所FPGAの販売してる方が勝ち組みなんだろ。大元なんだから そんなことより誰か安いEDKの購入方法教えてよ とりあえず別PCに使ってない分の体験版入れてもう一月やってまってる。
>>759 価格的に見たら、
EDK > PIC
なのがお分かりになりません?
>>759 大企業だと、LSIの試作とか、デモ専用の開発とかもあるのよ
EDKは諦めてお金出すべき
762 :
759 :2009/06/11(木) 00:06:30 ID:0oIXbQyz
>>760 その選択肢は自分にとって不可能です。
高速回路の基板設計出来ませんし、DDR2のシーケンスも理解してません。
それでもPLBバス理解出来てれば、全部EDK任せにしておけばどうにかなるんで…
それこそ今PICに戻ってもLEDチカチカですよ
763 :
759 :2009/06/11(木) 00:13:08 ID:nhotQUac
>>761 やはり
MicroBlaze プロセッサ開発キット? Spartan-3A DSP 1800A FPGA Edition (DO-SD1800A-EDK-DK-UNI-G) $595
ってやつを最初に買えという事なの?(Spartan3A限定とかじゃないよね?)
ザイリンクスからリンクしてる商社っぽい所もEDK単体での見積もりページがないし…
>>763 問い合わせればEDKの単体の見積もりくらいすぐよこすと思うよ。
そのうち、何年かしたら(ひょっとしたら、十何年かしたら) だんだんと、労働時間の規制、残業時間規制が厳しくなっていくだろう。 いずれ罰則が付くこともあるんじゃないか。 なんせ、長時間労働の風習が様々な副作用、悪影響をもたらし始めているのも事実なんだから。 少子化対策や介護負担からの共倒れ等々、深刻な影響をもたらしつつあるものが少なからずある。 まあ、当分は現状維持、このままだろうけども。
>>763 会社で使うんなら普通にEDKを買うなり。
取引のXilinx代理店にEDKいくらなりともしもしするなり。
でも、最後の文はなんかXilinxの取引代理店ないって感じだが
ないなら、Xilinxか1次代理店に俺様の御用の代理店教えれと
もしもしするなり
767 :
759 :2009/06/11(木) 00:25:42 ID:nhotQUac
>>764 EDK単体ってのも有るのかな?明日ちょっと電話してみる。
回答してくれた人サンクス
>>767 EDKの体験版がついている評価ボード買ったとき
製品版のEDKのチラシとか入ってなかった?
自分はよく「優待販売!」ってチラシをよくみかけてた。
>>768 今のにはついてるのかも?自分のは5年くらい前に買ったって言ってる
放置プレイされてた3Eスターターボードだけどそれらしいのは見当たらなかった
>>769 もしかしたら自分のやつは買ったときにたまたまキャンペーン期間中とかだったのかな。
まあなんにせよ見積もり依頼すればすぐ返答がくると思うよ。
>>759 EDK単体で買うよりボード付きで買った方が安いと思うよ。
以前ChipScopeを買ったときに単体だと20万だけどPLDボード(7000円)を買えばキャンペーン価格適用で10万円と言われた。
だから合計で107000円ね。
本当はV5のボード(10万位?)がキャンペーン対象なんだけど、
自社製のV5ボードを作ってるのにX製のV5ボードなんていらないと文句言ったら担当営業が裏技を教えてくれたよ。
772 :
771 :2009/06/11(木) 00:54:43 ID:grMDDWBU
肝心な事が抜けちゃった。 ボードは必要なくても595ドルのキットを買うのが最安だと思う。って事ね。
>>772 Xilinxもそうなんかねぇ。
Alteraも、ボード付きキャンペーンの方が、ツールだけで考えて、お得な事が多いんだよな。
edkって、バージョンアップのたびに毎年買い換えないといけないの? ボードおまけの優待で 9.2買ったんだけど、10にあげられない?
771 そういうのがISE11.1から無くなったみたいで仰天してる 保守というのもない 見積とってびっくり
1年は保守契約できるはずだから、買った次期次第では無料のバージョンアップを受けられるはずだよ。 保守期間中にリリースされたバージョンはずっと使える。 ま、普通良くあるパターン。 サポート延長は幾らなんだろうか?いつも必要(使用するISEのバージョン指定があって)になってからサポート延長購入しているから記憶にない。 alteraなんかはサポート延長が正規品購入とほぼ同じだったので、こちらも延長した事一度もないな。
>>775 えっ、11.1より売り切りになったってこと?
EDKのキャンペーンは、去年の9月で終わりましたとのこと 3か月ライセンスは、まだあるらしい
教えてください。 ホビー用途の電子工作でCPLDを扱いたくなりました。(単なる興味本位です) USBで CPLD にプログラム(書込み?)したいのですが、比較的手軽で お安い物はありますか? (秋月の FT2232D 通販コード M-02990) + α程度でできるものなのでしょうか? マイコンのIN/OUTを助ける簡単な論理回路(エンコーダ/デコーダ?)等をソフト的に作れるのか等に 興味がでてきて、調べています。(XC9536-15VQ44Cとか安そうだったし) 一応、全くの電子回路はじめてで、以下のことは、できたつもりです。 ・AVR用ライタをネットにある回路図をから作った / ATTINY2313 でLEDピコピコ ・AVR AT90USB162 で USBキーボードっぽいものを見よう見まねで ・ヒューマンデータのEDX-002(XC2S15-5VQG100C) 評価ボードで LED ピコピコ
お勧めはオプティマイズのカメレオンUSB FX2だな。 初期投資が4980円と高いが、USBから書き込めるし、プログラムを組めたらデータ吸出しまでできる。 一度購入したらしばらく楽しめる事は間違いないよ。 CPLDがお望みのxc9536じゃないけど、それよりももっと複雑な事ができるよ。
それはマイコンだから
782 :
774ワット発電中さん :2009/06/12(金) 08:35:52 ID:xrVCKOrQ
USBまわりを制御するマイコンと、CPLDが載っているボードだろ 値段の割りには遊び倒せると思うよ
トラ技78kでウェブでただでダウンロードして使えるのはlattice xp2だけでは? >783
785 :
783 :2009/06/13(土) 01:01:38 ID:USuO2wZL
Lattice XP2以外はMITOUJTAG体験版がないと使えないっぽいね。スマソ。
>>783 トラ技78Kマイコン付のバックナンバーもう売ってないよ
>>785 今月だか、先月だかのトラ技に、impactからネイティブに
使えるようにするって記事が載ってたはずだが。
>>788 よくあるご質問
Q iMPACTやQualtus、ispLeverなどの純正ツールから認識させられますか?
A できません。技術的には可能なのかもしれませんが・・・
orz
791 :
779 :2009/06/13(土) 10:36:00 ID:tSn4uw8U
皆さんありがとう。こっちも、経験無いけど調べてみた。
>>780 カメレオンUSB FX2は、CPLDの評価キットみたいなものですね。
USB - マイコン(?) - CPLD の順になってて、それで何か組むんだったら、それはそれでいいですね。
また、カメレオン USB FX (?) だと CPLD の書込みという例もでているようでした。
(今 ホビーで AVRの知識もってるので、出来たら USB - マイコン 部分は AVR のまま行きたかったり)
>>783 USB-Blaster もどき面白いですね。ただ、PIC環境もってないのでつらいかな。
(783さん、もしかして高専の学生さん?)
>>788 調べてたら J-Writer に行きつきました。ライタを作るために苦労(トライ&エラー)することを考えたら
貴重な土日の作業時間を確保する意味でも、8000円程度だったら買ってもいいかも。
(今日の夜中2時に、勢いでポチろうとしたら、売り切れ…(涙))
>>783-789 トラ技78k基板のWriter について ありがとう。トラ技78k基板のバックナンバーはないみたいで、
互換品ないか探してました。 789 さんの回路図は参考になりそうです。
また、なひたふさんの記事を見てたら、 5V な CPLD にはそのまま繋げそうな気分になってきました。
近くのマルツいって78f0730をさがしてみます。
なんか、JTAG とかの回路とかに、ちょっと詳しくなったような気がする。(気がするだけ)
FPGAで32ビット千ワードぐらいのプログラムが組めますか?
>>790 各ツールがJTAG部分をDLLに切り出してれば互換DLLに差し替えて
なんとかできたりするんだけどね。。。
iMpactならCableServerを作るって手段もありますよね。
Quartusだとコンパイル時に同時にsvf生成させられるからsvf扱えるライタならなんでもいいんだけどな
QuartusだとSpartan使えないからな。
>>792 楽勝。
どのくらいまで出来るかは
BlockRAMの容量で判断。
画像処理をするFPGA回路をVHDLで書こうと思っているのですが、 どのようにシミュレーション検証すればよいか困っています。 ModelSimなんかは時間軸に細かく波形を表示できますが、画像処理のシミュレーションなので、 やはり結果は画像データで見たいです。 どのようにして回路が出力する画像を確認すれば良いでしょうか??
テキストファイルに画素データを吐き出してから、 外部でスクリプト使って画像ファイルに変換してる。
液晶に出す
画像処理するような回路で、画像全部出すほどシミュするのってきつくないか?
そこら辺は、まあ、アイコン程度の大きさでやってるから
803 :
798 :2009/06/14(日) 06:24:04 ID:8VuxSrdA
>>799 なるほど!
テキストデータになりさえすれば、あとはフォーマットを見て、変換するツールを使えば
絵にできますね。
>>800 開発キットに液晶画面やVGA出力があるので、それらを利用することも考えたのですが、
PowerPCを使う必要があり、当方は組み込みプログラミング経験がまったくないので断念しました(汗)
>>801 >>802 さんの方針のように、小さな画像で試すことから考えています。
ご助言、ありがとうございました! m(_ _)m
>>794 それが、トラ技に載ってたやつでは?
CQがファイルを配布してるんじゃないかな。
>>795 impactは、SVFプレーヤーを搭載してるから、impactからネイティブに
使えるケーブルは確かに便利。
impactからネイティブに使えるサードパーティ製USBケーブルってあるのか?
806 :
798 :2009/06/17(水) 00:23:07 ID:YhCUIOMx
すみません、シミュレーション結果をテキストの形式にして出力したいのですが、 そのやり方が分かりません。 ModelSimにはそれらしい項目が見当たりませんでした。 どうすればテキスト形式のシミュレーション結果が得られるでしょうか??
本を読もうな。 テキストで出したいときは、自分で指示を書いてやらないといけない。 そうすると、コンソールに文字でズラズラと書いてくれる。
$fopen と $fdisplay。Verilog なら。
>>806 $readmemh/$writemeh
810 :
798 :2009/06/17(水) 00:56:24 ID:YhCUIOMx
>>809 $writememh は、Verilog の仕様にはないけどね
>>783 USB-Blasterもどき
手書きの配線図のピンがズレてるな。
ま、プログラムリストを読めば修正できるからいいや。
>>812 どこがずれている?
ちゃんと書かれているようにみえるけどね。
>>805 cableserverを使うのは、ネイティブに入らないの?
>>813 上から順にピンが割り振られてるとすれば、
8番ピンから、ずれてる。
VSSは8番ピンだが、9番になってる。
まあ、間違うことはないけど。
作ってみたら、ちゃんと動作したよ。
>>815 thx
HPのまま作ったらダメだけど、普通配置検討の時にミスに気がつくね。
指摘の通りに作ったらプログラムを修正してもうごいかないぞ。
>>812 は糞虫という事が判明。
818 :
774ワット発電中さん :2009/06/18(木) 15:25:05 ID:nS50QyCN
そもそもオレのPICライタが、LPTしか使えないんだが・・・orz
>>817 豪華な評価ボードだな。それががメーカー希望価格:USD $149.00って超安くね
回路図直してきた まさか回路図がずれているとは…ごめんなさい 何件か製作報告を貰っていたけどみんな気づかずに直していたのかな
>>820 本人光臨乙であります。
回路図でまじめに見るのはポートBのJTAG制御ピン。
ほかのピンはシンボルを自分で作っていると無意識のうちに補正されたんだろう。
良い物を作って、公開してくれてありがとうね。
ターゲットとのレベルコンバートの処理をちゃんとやれば安心して使えるようになると思われるので、後で作って波形とか確認してみるわ。
レベルコンバートつければ良いのは解っているけど 趣味人が数個単位で入手できるレベルコンバートIC(5V<=>1.8V以下)ってあるの? あったら入手先と品名教えてね、みんな。
レベルコンバータ使うなら基板とケースをおこしてくれw
>>820 回路図は、フリーハンドでいいけど、
PICのピンの付け根の部分に、1、2、3・・・・と全部にピン番号を書かないから
そういうことになるんだよ。
製作する時にもわかりにくいだろうに。
まあまあ、ここは公開してくれてる事に感謝して、 ピン番号は要望と言う事で。
USBはビットパタパタとの相性が悪いからなぁ・・・
非同期入出力前提のソフトだと辛いよね。
830 :
774ワット発電中さん :2009/06/19(金) 17:24:37 ID:g6ldgggu
>> 製作する時にもわかりにくいだろうに。 たかだか28ピンのICに何言ってんだボケと心の中で思って、顔は笑顔はげ
>>827 IOアドレスまでエミュレートするのか。すごい。
FX2は持ってるけど時間ないからそのうち試してみようかな。
>>830 それは違うと思います。
ピンの多少にかかわらず、ピン番号は必要だと思います。
配線作業中は配線に集中するべきで、
回路図上のピン番号を数えることは配線中にやるべきことではないと思います。
>>832 たしかにピン番号はあったほうがいい。
しかし、それをやると結構手間が掛かるのも事実だ。
素人にそこまで望むのは厳しいと思う。
断言するなら自分でなにか作ってネットにアップしてからそのせりふを言っていいと思う。
なにもしないで文句だけ言うのは恥ずかしい事だと思う。
すまんが俺は配線作業中にピン番号を何度も数えるぞ。
作業としては電源関係を配線、次に配線が重ならないように順番はピン番号の順番と一致していないけどこれっておかしい?
ためしにお互いに今回の基板作って比べて見るか?
>>833 >素人にそこまで望む
素人だから、じゃないかなあ?
>なにもしないで文句だけ言う
単なるコメント、私見であって、文句じぁないでしょこれ。
自分とは違う人の意見を聞くのは悪くないと思うが。
聞いた後に自己判断すりゃいいだけで。
>>832 まあまあ、その位にしておけ。
それだけ言えば、
>>820 も次回からはピン番号を入れるだろうからさ。
>>833 >素人にそこまで望むのは厳しいと思う。
相手に正確な情報を渡すのに、素人玄人、ピンの多い少ないも関係ないと思うけどね。
>すまんが俺は配線作業中にピン番号を何度も数えるぞ。
それは変でない? 数え間違いが起こるでしょう。
例えば「IC5の1,2,3,4,5,6,7,8、8ピンと、IC9の1,2,3,4,5,6、6ピンをつなぐ」のようになるけど、
「IC5の8ピンと、、、、、あれっ?IC9の何ピンだったっけ? えーっとIC9の1,2,3,4,5、5ピンか」
ということになってしまう。(IC9のピン番が前者と後者で違っていることに気づいてね)
多少でも賢い人は、最初にIC5-8pin、IC9-6pinとわかった段階で、メモするよね。
そうすれば、例え忘れても、そのメモを見れば「IC5-8pin、IC9-6pin」とわかるし、間違えない。
だったら、始めから回路図のICの横にピン番が入っていればいいわけだ。
相手に正しく情報を伝えるということは、
とりも直さず、読み手が間違えないような工夫がしてあるべきなんだよね。
次回からの
>>820 に期待したいと思う。
>>833 >順番はピン番号の順番と一致していないけどこれっておかしい?
全然おかしくないよ。全く持って普通です。
1pinから配線しなければならない理由はないよ。逆にその方が変。
もしかして、1pinから順番に配線するからICにピン番号振って無くてもいい、と思っていた?
それは違うよ。配線する人の都合でどこから初めてもいい。
オレの場合は、まず電源+パスコン、その後信号線だけど、意味のある固まりで配線していく。
LEDへの8本、スイッチへの5本、RS232への4本とか。そのように配線していくと、
回路図のミスまで発見することもあるよ。
情報の足りない回路図を見てしまうと
「おいおい、他も大丈夫か? この回路図」と思ってしまう。
どこぞの製品でもなんでもないのにどうでもええわ
>>837 製品であるかどうかの問題でもないよね。
趣味だろうが製品だろうが、
ちゃんと配線した物は動くし、テキトーなものは動かないよね。
回路図のせいで荒れてしまっているようでスマソ。
書いてあるように後でちゃんとした回路図をCADで(もちろんピン番号も)書くつもり。
多忙だけど少しでも早く公開してCPLDやFPGAの入門の敷居を下げたかったんだ。
PIC扱える環境さえあればとてつもない安さで開発環境を用意できるわけだから。
>>824 そこまでするなら互換品買ったほうが速度面でも有利だし手っ取り早いと思う。
少なくとも自分の中では1000円以下で実現できることに重きを置いている。
840 :
774ワット発電中さん :2009/06/20(土) 16:28:18 ID:5rgE6N+2
実体図のjpgだとしても、こんなに要らんだろw
>>839 気にすんな!
でも、絵でも文字でも手書きってその人の個性みたいなのが出て面白いよな
>>839 オレからも「気にすんな!」
ただ、ピン番ぐらいちゃんと書けよ!
そうすれば事故は未然に防げる
Spartan 3E Starter Kitを買った人いたら これに乗ってるDDR SDRAM何に使ったか教えてください
>>845 メモリ以外につかえるのか?
ひょっとしてそれAD,DAコンバータとして使えたりするのか
乱数発生器とかに使えるとか使えないとか
>>845 パッケージを削ってイメージセンサーに。
>>850 48MHzの水晶つけただけで何もしないで放置していた
FR60基板についに日の目がー
ImpulseCって良いの? 使用法もよくわからんけど。
>>851 おいらはこれから水晶とコネクタ買ってくるw
854 :
774ワット発電中さん :2009/06/22(月) 12:46:50 ID:5T+qv/iB
まてまて、ファーム準備中じゃないかw
855 :
774ワット発電中さん :2009/06/22(月) 14:32:58 ID:LWGVR0i1
書いてないなら自分で書けば言いじゃん
>>850 関係はないよ。コンタクトすらとってない。というか初めて見た。
USB-Blasterがimpactからも使えるというのはなかなか便利そうだ。
>>850 それ、USB-Blasterでchipscope使えるのかな
>>856 じゃ、たまたま同じ時期に思いついただけか。
元ネタのFX2からの派生かな。
ヒューマンは、データ取っただけで、これを商品化するつもりは
ないんだろうか。
あれは売れないよ。 商標権とかちょっとやばい。 しかも基板設計して販売するとなるとあるていどの価格になっちゃうのでメリットはない。 ヒューマンとしては自社のFPGAボードが売れる方がありがたい。 実際、簡単なお試しとかやるなら、自作よりFPGAがすでに乗っている基板を買った方が開発効率はぜんぜん良い。 最近開発のペースが上がってきているようなきがするんので、仕事で使う可能性のある人は時々アクセスすると良いと思う。 個人で値段が高くてあきらめている人は、アウトレット品をチェックする為に時々見るといいぞ。 前の世代の基板が格安で販売される時がある。
話さえぎって済みません。教えてください。 FPGAにマイコンを組み込む場合の話です。Xilinxで言うMicroBlazeなどです。 この場合、マイコンのRAMはBlockRAMに取られると思うのですが、 プログラムROMは、どこに取られるのでしょうか? マイコンのプログラム自体はFPGA外部のConfigrationROMに置かれていると思うので、 FPGA電源が入ると、このConfigrationROM上のプログラムがFPGAに読み込まれるのだと予想します。 ということは、プログラムはFPGA内部の、やはりBlockRAMに置かれるのでしょうか? あるいは、プログラムフェッチのたびにConfigrationROMを読みに来る、ということはないですよね。 もし、プログラムもRAMもFPGA内部のBlockRAMに置かれるということだと、 どちらもRAMなので、外部から何某かのノイズが混入したとき、 書き換わってしまうのではないでしょうか? あるいは、「そう言うことが起きないように、 Xilinxがちゃんと対策していて、保証されている」とかでしょうか?
でもそのマイコンの回路自体もRAMに書かれてるようなもんなんだよ?
ですよね。 なので何某かの外部ノイズでRAMの内容=マイコンの配線?が 変わってしまわないかと思うんです。 一方、通常のマイコンは、プログラムはROMなので、その点は助かっているのだろうと考えています。
チップにカミナリが落ちると壊れるよ
コンフィグに失敗するときも多々あるしな
ROMもRAMも飛び得るけど ロジックも飛べるんだぜ
ディジタル・デザイン・テクノロジ 2009年 05月号 を買うとFPGAがある程度わかりますか?
電子は急には曲がれないって言うからな
電子さんは通り抜けフープ使ってトンネル効果までやってのける そこにしびれる あこがれる
最近のマイコンはちょっとしたやつでもI&Dキャッシュ(メモリ)付いてるくらいだし、 気にしなくていいんじゃね? FのSPARCとか、ItaniumとかはキャッシュにECC付いてたり、 命令再発行したり、壊れたブロック縮退させたりと、えらいスゲエが、 そういうのは微細化プロセスの先端いってて弱いのと、 ミッションクリティカルな用途だからだろうね。
そうすると FPGA組み込みマイコンより、 通常のマイコンのほうが信頼性が高いということで良いでしょうか?
「ソフトエラー」でググって見れば? 「微細化」も追加した方がイイかな?
はるかに高い。 てか、FPGAマイコンは趣味のオマケ程度と思え 基本的に通常のFPGAは信頼性をほとんど要求しない用途しか使えない
なんか激しく微妙な…
FPGAってそんなにファジーなんですか
車にFPGA使うようになったのって、ほんとに最近だぞ。 CPLDでさえ使うの嫌がる。 コスト要因も大きいけどな。
FR60-JTAGきたね
車にFPGAだったら車を買わない
ゲーセンのシステムでも使われてる 古いのは故障が多いみたいだが
でもデザインウェーブマガジンや ニコニコ動画や ユーチューブなんかでは、 FPGA最強、FPGAは万能、FPGAがあればマイコンはもう要らないぐらいの勢いなのですが それをどのように理解すればよいのでしょうか?
FPGAは万能、ではないと思うなら、その分野を知らない人が集っていると思えばいい。
>>880 万能ではないよ。
たんに適材適所という意味で、特定の分野では最強かもしれないが。
火星探査機に使われてるCPUはZ80だったかな。
ニコニコでFPGAが最強だって言われてることとFPGA入りの車には乗りたくないって発言は 別に矛盾しているようには思えないなぁ
せっかく壮大な話題になっているところを申し訳ないが。
>>859 ヒューに限らず、苺もオプも、さんざんBB互換ケーブル売りまくってるんだし、
純正品と似たケースに入れて、純正品と詐称して売ったらアウトでも、互換品
として売るのは法律上の問題もないのでは?
それに、自家製BBを使ってきた人たちが、永久に純正USB-blasterなんて買わ
ないとすれば、激安品が自家製BBユーザの受け皿になるのを寺も歓迎と思われ。
安いcpuに変えて、基板も小さく作れば、BB最安の980円以下が期待できるしね。
アルテラって、昔マイコンを作ってなかったですか?
アマチュアがそれなりの規模の回路を設計するにはFPGA一択だから、 そりゃ最強でしょうよ。良くも悪くも量産コストや信頼性を 気にする必要がないってのも大きい。
試作品作るのにCNC最強だよねって話とプレス加工の量産品は安いよねって話は別って言う話
ということは、FPGA内に作るマイコンは、 量産では使用されていないということでしょうか? ザイリンクスや販売店では それ用の講習まで開いているので、 バリバリに使用されていると思っていましたが。
ASIC になるんじゃないの? ASIC 化前に FPGA で試作というのもよくある。
非常に狭い世界ではそれなりに使われているよ。 狭すぎてあまり公にならない。(藁) しかも、やっていくと色々と問題が出てくる。 情報を流しても自社にとって利益がないので、社内にその手の情報はとどまってしまう。 個人で公開している人も居るけど人一人の指で足りるくらいしかないはずだよ。 もちろん代理店などはツールやデバイスを売りたいので、情報は流しているけど、現場で流れる情報ほどではない。 おっと、だれかドアをノックしてくる。 とりあえず今日はここまで。
何事もバランス。 外乱が少ないような場所なら量産品として使われるだろうけど、 安全基準が高いような場合などは、極力避けると。 そもそも、コスト高なんで、100万とか量産するなら、 普通はASICにするんじゃないか。
FPGAってそんなに外来ノイズに弱いとは思わないけどな。
FPGAじゃないけど、スミソニアンに展示されていたブラックボックスには XILINXのCPLDが使われててびっくりした記憶がw
外乱に弱いというより、「データも化けるがロジックも化ける」というのが設計として 何となく怖がられているだけだろう。統計的データはあるんだろうか…
量産車にFPGA使うとか、日程の組み方だめすぎだろ。
大規模FPGAのconfigration時間が1秒くらいかかります。 長すぎます。車では、もうエンジンかかってますよ→のんびりFPGAさん。
Virtex5の330なんて使ったら、車両価格が2倍になっちゃうよ
spartanが出てきて安くなったとは言え、 本当に数が出るならASICより高い事に変わりはないからねぇ。 汎用品のARMプロセッサだって\1000切ってるのにFPGAでマイコンとか言われても、というだけの事。
1000円台のFPGAはあるからマイコン+周辺回路の置き換えにはならんかな
>>902 現状ではFPGAの内部コントロール用マイコンプラスアルファがいいところで、
システム用のマイコンは専用(ARM,SHなんかを)使うんじゃないか
ADが外付けっになるのは痛い
車なんか設計したことないから解らんけど、 仮にFPGA使うとしたらエンジンコントロール用かアクティブサス用の DSPの代わりぐらいじゃなかろうかと思うんだけどどんなもんだろ?
量産寸前とかまでいじりたい設定にちょろっと使うのかな
>>899 それはリセットなしで同じデータを保持し続けた場合じゃない?
ってことは、いちパワーサイクルでのエラーレートは0なのかも。
なんかFPGAはまだまだ ということはわかりました。
車のECUのマイコンって何が使われてるの? やっぱりPIC?
耐熱何度から何度位まで使えるの?
ケータイの基地局ではFPGAが大量に使われているらしい。 ソフトコア・プロセッサが使われているかどうかは知らん。 量産規模が小さく、製品単価が高く、運用中でも仕様変更があるという まさにFPGA向きの条件がそろっている。 それに、エラーで止まっても専門家の保守運用でカバー出来るから 自動車用ほど信頼性を確保しなくても構わない。
>>907 多分、SHなんかの設計時点で1000円弱のチップだとおもう。
>>909 基地局はソフトコアはさすがに使わないんじゃないかな?
電話回線かなんかでアップデートするためにはCPUが必要だし、
アップデートにこけたら現場まで行くはめになるんで、
蒸発しないCPUと何らかのROMが必要になるよ。
FPGAは暗号のENC/DECとかに使うんじゃなかろうか?
暗号は不定長データーのビット演算ばっかりだからFPGA向きですよ。
あーあ、だめだこりゃ
>>909 ,911
少々昔話だけど、
3G携帯では実験試作段階からFPGAバンバン使いまくりですたよ。
(おおよそ12年くらい昔時点からかな?、FOMAとか名前すら無く、スペック定まって無い頃)
初期の試作では10K200/250Aだったかな?
そういうのが腐るほど実装されてて、
FPGA1個100万とかいう驚愕の実験機もあったとか。
その後、商用試作、商用機も各社バンバン使ってる。
ただし、一部ASIC化もあるみたいだけど。
ちなみに自分はATM交換やその関連パケット処理とかに実装するのに関わった。
とりあえず、
>>909 指摘の通りだし、人命に関わらない用途ならば、
ぜんぜんOKよ。
時々コンフィグでコケるんは、
大抵デバイス不具合でなくて、ボード設計(回路設計、タイミング設計)が可笑しいから。
しかしシングルイベントアップセットとかソフトエラーって、
40nm世代とかからは、それなりの頻度で発生したりすんのかな?
パッケージ側には金属板あるから、ボード側でも銅ベタパターンで覆えば、
シールドにならないかな?
そういうのがトレンドになったりしてwww
Core i7ではしょっちゅう謎のブルースクリーン…つー話は聞かないから あまり問題になるようなものでもないだろう
GNDバウンスとかも恐いし。 FPGAは低電圧・大電流・高電源精度が要求されるから、 半導体デバイスとしてはじゃじゃ馬だよな。
>>911 通信基地局周りは、PPCの独壇場のような気がするな。
通信コア載ってるやつ。
FPGAは各カード類のバスコントロールや、いろんなルックアップテーブルに使われてる。
暗号化は専用デバイス使ってる。
車(ECU)はSH2とか国産MIPS系多いね。CAN載ってるやつ。
多分SH系だと思うんだけど車載用に温度環境とかが広げられたチップが 出ているみたいだよ。
レーダーとかは何使ってるのかな?
ISE DESIGN SUITE 11.2
で、なんだかLatticeから車載用途認定されたとかいうXP2の話題がうんぬん(ry
Lは生き残れるのか?
Lは最近復活したとおもた
悪рフ65nFlashFPGAていつなんだろう。。。 楽しみに待ってるんだが。
(何故かMODチップでの採用例が多い)Actelのことも 思い出して下さい…。
Actelは軍事や宇宙で使われているんじゃなかったっけ? 車載用も出したね。 >924 QimondaのFABが閉じたから難しいんじゃないかな。
>>926 65nmはUMCとやるんじゃないのか?
もうテープアウトしたって話だが。
929 :
774ワット発電中さん :2009/06/26(金) 11:21:02 ID:7FAR8117
なんというか、素人がよってたかって無知を披露する場所に成り下がったのが残念でならない。 しかし、これで一般大衆の認識がどの程度なのか良く理解できた。
匿名掲示板なんてこんなものよ! さすがにニコニコとか出てきた時は吹いたが
931 :
774ワット発電中さん :2009/06/26(金) 11:59:04 ID:WQOL550X
一般大衆はFPGAなんて知らんだろ
ただのあおりだろうから、無視しとけ。 そのうち来なくなる。
>>929 は、あー言うだけで、実際の意見を言わない。
つまり知らないってこってす。
♪もういくつ寝るとスパルタン6 ♪早〜く来い来い スパルタン6
Spartan-6 FPGA SP601 評価キット $295 高いな....今回は安いstarter kitでないのか で、11.2落とした?
VHDLって、何でもかんでも ( ) の括弧を使うんでしょうか? Verilogは、data[15:0] などと ( ) と使い分けますので、見易いんですが。
当時のVHDLをデザインした人の勤務先のキーボードには "[" と "]" が無かったんだからしょうがない。
そうなんですか。それなら了解しました。 ネズミがかじったんでしょうかね。
昔は全ての端末が多様な括弧に対応してたわけじゃないんだよ。 C言語とかも"{","}"の無い端末のための識別子用意されてるし。
ANSI-Cで導入されて大顰蹙買ったよな
ALGOLやPascalには、小文字の打てない端末のために 金物表現という、おやこんな時間に孫が泣いておる
943 :
774ワット発電中さん :2009/06/27(土) 12:11:01 ID:lyUjRMXC
>鰐淵晴子 この名前が出てくるって事は、ワシより20は上の世代だな。
FPGA(スレーブ側)をpc104に接続したいのですが、レベル変換(3.3⇔5V)等 でお勧めの方法があれば教えてください m(_ _)m データビット部はやっぱり双方向のバストランシーバで繋ぐしか ないのでしょうか? アドレス等は片方向で接続するとして。 すみません、素人が趣味で作るレベルなんで、なるべく簡単確実な 方法を教えていただけたらと思います。 (ちなみに、実装は自分で手半田します)
948 :
774ワット発電中さん :2009/06/28(日) 00:57:34 ID:Q+/M4Qn6
>>946 回路規模が大きくないなら、5V 動作の CPLD という手もあるけど、
5V トレラントのFPGAでもいいと思うよ。
CPLDは3.3V動作でも5Vトレラントなやつが多いから単なるレベル変換バッファ として叩き込んでしまうのも一手かなぁ PLCCならソケット使えばユニバーサル基板に実装できるし.
951 :
◆YMO/ALTERA :2009/06/28(日) 10:04:00 ID:pzDYdMoS BE:369981252-DIA(111500)
チト、どころの話じゃねーだろ
お尋ねします。 明日までにFPGAを含んだ機器のざっくり量産見積もりを依頼されました。 ここ数年、ソフト系仕事ばかりで、回路見積もりから遠ざかっていて困っています。 (さらに社内のFPGA周りがわかる人が退職したばかり)。 いろいろ調査したところ、Virtex-5シリーズの中のFXTが第一候補かな?と考えているのですが、今の量産コストはいくらぐらいなのでしょうか?(1000個ロットで200米ドルぐらい?) Spartan-6あたりも気になるのですが、ちょっとゲート数が大きくなりそうなので採用に躊躇します。 なお、高級機器なので、Virtex利用によるコスト増は問題ないと考えてます。
>>954 そんなの見積もりとれば良いだけの話じゃ?ここで聞くような話じゃないと思うが。
Virtexっても安いもので1〜2万、高いので100万とか普通だからな。
Virtex-5が第一候補にあがるのにSpartan-6が視野に入って来るって、どんな用途だろう??
量産見積を月曜提出なのに日曜までほかっておくのが笑える。 俺そっくりだ。orz
みなさんありがとうございます。
>>955 >>956 今日中に数字出さなきゃいけないので、ダメ元できいてみます。
>>957 ピンキリなので、なかなか難しいですね。
>>958 一言で言えば・・・シビアな速度が要求される映像の特殊加工+αです。
処理をSpartan-6と他のマイコン組み合わせてやるか、Virtex-5で全部やってしまうか、迷いどころ。
>>959 今日の朝メール来たときに読んでいれば・・・と悔やむばかり。
さっき帰宅して愕然としました。
他にも重い作業がいくつか入っていたので今日は徹夜です。
あっ↑は954です。
Digikeyで引くとそれなりに見積もれると思っているだけど FPGAは扱ってるかな?
なんで日曜日に仕事するの?
なんで日曜がやすみなの?
土日は休みだよ
DigikeyでVirtex-5FXTを引くと、5万〜19万までいろいろ。
967 :
774ワット発電中さん :2009/06/28(日) 21:47:19 ID:o1Bqoy+8
>>949 ,950,951,953
アドバイス、ありがとうございます。
使用するFPGAの型番は決まってて、変更できないんです。
2電源双方向バススイッチも検討してるんですが、高いのと
あまり売ってないのとでどうも・・・。
CPLDをバスIFにするのは面白そうですね。
ちょっと検討してみます!
>>962 売ってますよ?
そして、意外と安いw
>>954 >なお、高級機器なので、Virtex利用によるコスト増は問題ないと考えてます。
釣り乙
量産って何台作る気なんだろう。
マジレスすると金曜日見積もり(?)で月曜日回答なんて事依頼する会社は普通ない。
しかも量産時の見積もりなんてのは直近に同様の見積もりをした所でないとできるわけない。
こんな短期間での見積もりに要求されるのは開発費用と日程、簡単な回路仕様くらいだろう。
FPGAに詳しい社員がいないだったら受注しても苦労するだけだろうから高めの見積もりして断るようにするのが吉。
検討を祈っているよ。
>>968 この不況に葱しょった鴨逃がすなんて
>FPGAに詳しい社員がいないだったら受注しても苦労する
そういう時は孫に丸投げして金稼ぐって常識
ドカタ産業の電子業界じゃどこもやっているだろ
スタンダード君が沸いてきそうな勢いだな
972 :
774ワット発電中さん :2009/06/28(日) 23:54:06 ID:7qkg2uRo
罪 寺 埒 悪 四天王なのか?
量産は量産、試作じゃないものはみな量産、年1台でも量産さ。
>年1台でも量産 どこの業界の話? そんなのは量産とは言わんぞ。 試作じゃないのなら”製品”とは呼べるだろうけどな。
なにマジレスしちゃってんの
年一台が量産じゃ都合が悪い人なんだろ。 ご愁傷様です。
心に余裕がないからだろ
978 :
973 :2009/06/29(月) 13:26:57 ID:90ikMzQT
>>974 毎年一台ずつ100年間の生産契約締結してます。
すみません。教えてください。 XilixnのISEで、 ・warningメッセージで、WARNING:Par:288 - The signal AAA has no load. PAR will not attempt to route this signal. などと、「PAR」という言葉が出てきます。 このPARとは、何でしょうか? あるいは何の略なのでしょう? ・ISE11が、もう11.2ですが、すでに使っている方はいらっしゃいますか? 10.1で上記PARのWarinigを調べると、11.1で修正されています、と書いてあるので 11.2ならwarinigも減るのかな?と思ったのです。
>>979 社会人じゃなく学生だよね
まず、新人学生のための質問講義を受けて単位取得するように
もう入学して4ヶ月経つんだから、早くよい質問の仕方の講義受けたほうが良いよ
そうしないと、講義でちゃんと質問で出来ないのに講義を受け続けることになるよ
上としたの関連性は?上の警告はISEのどのバージョン?9.1?
で使ってない10.1で調べたの?そして11.2で警告がなくなるか知りたいの?
なんだこいつは
馬鹿だろ
オレも PAR って表現は違和感あった。P&Rって書いて欲しい。
>>983 いやいや、普通にPlace&Routeだろ。いやPlacer&Routerがより正しいのかな
PARを知らんでISE使っているっていかにも新入学生だな
signal AAA has no loadなら、PARは何もしないよな
俺は4ヶ月に違和感が
>>979 PARとはバーディーより一打多いスコアです
-3 -4 -5 Xはゴルフと一緒か。
979です。
みなさん、ありがとうございました。
Place & Route で PAR なんですね。ありがとうございました。
配置配線と言う言葉も知っていますし、それをPlace and routeと言うことも知っていました。
が、andまで省略語の中に入るとは思いませんでした。
僕も
>>983 の感覚と同じでP&Rがしっくり来ると思います。
あと、ISEは10.1を使っています。
11.2は、まだ誰も使っていないようですね。
いろいろと、どうもありがとうございました。
Cool'n'QuietはCnQだしな
MnQ
992 :
954 :2009/06/30(火) 15:52:09 ID:8lcsoGMP
954です。
どうにか見積りや計画を提出できました。
お礼遅くなりましたが、皆様ありがとうございました。
ただ、ざっくりした価格なので今後が不安なため、防衛策は練っておこうと思います。
>>968 社内からの無茶な要求でした。
力関係弱いところにいるので断ることも出来ず。
>>971 どっちかというと弱い孫受け会社です。
FPGAができる技術者なんて日本に何人もいないんだから もっといばっていい
みんなシリコンバレーにいるよ
いいなー 早くFPGAできるようになりたいなー
例えば、何ができるようになったら、どんな風に出来るようになったら、 1人前のFPGA技術者と名乗ってもいいでしょうか? やっぱり、動作概要を聞いただけで、ゲート数が見積もれて、 頭の中でデバイスも選定できてしまう、そこまで行かないとダメでしょうか?
FPGAってC言語で開発できるようになるの?? それなら待とうかな
一人前というのは、周囲に迷惑をかけず、自分で判断、交渉が出来、結果責任が負えるようになった時。 設計なんぞ出来て当たり前。
999 :
774ワット発電中さん :2009/06/30(火) 17:10:35 ID:p3bwEhEc
999ぬるぽ
1000ぬるぽ
1001 :
1001 :
Over 1000 Thread このスレッドは1000を超えました。 もう書けないので、新しいスレッドを立ててくださいです。。。