【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 05

このエントリーをはてなブックマークに追加
1774ワット発電中さん
ようやくSpartan-3E登場

前スレ
04 http://science4.2ch.net/test/read.cgi/denki/1129771211/
03 http://science4.2ch.net/test/read.cgi/denki/1119984464/
02 http://science3.2ch.net/test/read.cgi/denki/1104330395/
01 http://science3.2ch.net/test/read.cgi/denki/1081231226/

ALTERAデータシート
ttp://www.altera.co.jp/literature/lit-index_j.html
ALTERA知識データベース
ttp://www.altera.co.jp/support/kdb/spt-search_kdb_j.html

XILINXデーターシート
ttp://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp?iLanguageID=2
XILINXアンサーサーチ
ttp://www.xilinx.co.jp/support/searchtd.htm
LE数比較
ttp://www.origin.xilinx.com/products/silicon_solutions/fpgas/product_tables.htm

Lattice
ttp://www.latticesemi.co.jp/products/fpga/index.html
Actel
ttp://www.actel.com/intl/japan/products/fpga/proasicplus/index.htm
quicklogic
ttp://www.quicklogic.co.jp/products_fpga.htm

OpenSources
ttp://www.opencores.org/

某社の宣伝
ttp://www.synopsys.co.jp/today_tomorrow/60/tt60_datapath.html
ttp://www.infohobby.jp/
ttp://natrium42.com/projects/jtag.html
ttp://members.at.infoseek.co.jp/x1resource/xilinx/sp3/sp3stkit.htm
ttp://www.altera.co.jp/education/demonstrations/tools/cubic-cyclonium/onl-cubic-cyclonium.html
ttp://www.parallax.com/detail.asp?product_id=60003
ttp://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
ttp://www.altera.co.jp/products/devkits/kit-dev_platforms_partner.jsp

SDCC / Nios
ttp://sdcc.sourceforge.net/
ttp://japanese.sugawara-systems.com/opencores.htm
ttp://www.altera.co.jp/products/devkits/altera/kit-nios_eval_1C12.html

【Verilog】記述言語で論理設計 Project3【VHDL】
http://science4.2ch.net/test/read.cgi/denki/1123173110/
Design Wave magazine について語るスレ
http://science4.2ch.net/test/read.cgi/denki/1119033095/
【アナる日記】DWM1月号付録で実験したぜぃ【夢オチ】
http://science4.2ch.net/test/read.cgi/denki/1108190169/
オープン開発でゲーム向けコンピュータを作るスレ 注:---復活した模様---
http://science4.2ch.net/test/read.cgi/denki/1124621751/

********MSXの話題はスレ違いです。こちらへ誘導されてください。********
[レトロ] MSXに関する話題はここだ [懐古趣味]
http://science4.2ch.net/test/read.cgi/denki/1120122219/

---- 他所の掲示板の話題をここに振ってるひとへ ----
技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。
2774ワット発電中さん:2006/02/14(火) 01:16:42 ID:Xr5h7dAK
ようやく Spartan-3E Starter Kit 登場

でした。スマソ orz
3774ワット発電中さん:2006/02/14(火) 04:39:52 ID:JbXKeS85
>>1
4774ワット発電中さん:2006/02/14(火) 18:25:34 ID:VhHp2aet
>1 乙です
スパルタンイイ!(*゜∀゜)=3ハァハァ (;゜∀゜)=3ハァハァキット使ってみたよ
5774ワット発電中さん:2006/02/14(火) 23:20:57 ID:bbeN84Ki
買いたいけど もう予算が無い。

おーい、どっかの部署、予算クレや。

てか、買ってオレんとこもってこい。
6電脳師:2006/02/15(水) 09:37:39 ID:wrpHFDEQ
CPLDを自作の書き込み器でライトしようと
http://science4.2ch.net/test/read.cgi/denki/1139471101/52
みたいなのを意味なく企んでまつが
書き込みに関するデーターシートがないようで寂しいです。

でもライトは出来るもののプログラミングつうか回路の表現とかの
アルゴリズム作るの大変(面白)そう。

何か似たものぎぼん。
7774ワット発電中さん:2006/02/15(水) 10:33:44 ID:HxnKZUBB
>>6
CPLDのプログラミング手段の資料について探してるの?
イマイチ何を聞いているのかわからん・・・
ザイならアプリケーションノートがサイトにころがってるよ。
86:2006/02/15(水) 10:46:40 ID:wrpHFDEQ
ハード的な書き込みチャートとかっス。

豚なんかはシリアルでライトする方法がプログラミングとかいうデーターシートでDLできるけど、
MAXUのとかは公開されてなかったような‥
9774ワット発電中さん:2006/02/15(水) 10:58:45 ID:HxnKZUBB
>>8
豚ってなにかよくわからん。
MAXIIもアプリケーションノート公開されてると思う。
というか基本的に他のデバイスと同じじゃないの。
106:2006/02/15(水) 11:04:41 ID:wrpHFDEQ
ありぐゎとうごぜーやす。がんばってみます。

豚はPIC(G)っス。
11774ワット発電中さん:2006/02/15(水) 11:05:13 ID:HxnKZUBB
他のデバイスってのはアルテラのMAXII以外のデバイスって意味です。
12774ワット発電中さん:2006/02/15(水) 11:07:01 ID:HxnKZUBB
>>10
普通に書けないの?
136:2006/02/15(水) 11:35:24 ID:wrpHFDEQ
はぃっ、すまへん。

ところでMAXUデバイスハンドブックの3―9にある
『外部ハードウェアによるプログラミング』ってのがそれかな?

PCないから今クグれない。。。
アローン型ライタ作りたい‥
14774ワット発電中さん:2006/02/15(水) 11:43:10 ID:HxnKZUBB
>>13
11-13あたりでしょう。これのソースを読めば良いと思う。
ttps://www.altera.com/support/software/download/programming/jam/dnl-player.jsp
156:2006/02/15(水) 11:59:07 ID:wrpHFDEQ
ほんとにありがとうこぜーやす。

ガッコでプリントしてきます。
166:2006/02/15(水) 12:01:37 ID:wrpHFDEQ
ほんとにありがとうこぜーやす。

ガッコでプリントしてきます。
意味なくヘンな物作るネタを新スレ時に書いて申し訳ございませんでした
17774ワット発電中さん:2006/02/15(水) 18:06:55 ID:qxd4Zyzh
いいんジャマイカ
18774ワット発電中さん:2006/02/15(水) 23:47:16 ID:q/qawNvo
FPGAのしくみ調べてるんだけど、
ルックアップ・テーブルについて分かりやすく解説してるサイトとか無いかな?
19774ワット発電中さん:2006/02/15(水) 23:53:17 ID:2kPHAXMH
>>18
アドレス4ビット、データ1ビットのROMじゃ。
それで分かんなければ諦めれ
20774ワット発電中さん:2006/02/16(木) 00:18:34 ID:cFn52k8x
>>19
おお、速レスdです!

  …諦めます orz
21774ワット発電中さん:2006/02/16(木) 00:32:05 ID:gEMnem8b
いまどきのFPGAのLUTはROMじゃなくてSRAMで実装されとる
22774ワット発電中さん:2006/02/16(木) 00:46:46 ID:cFn52k8x
諦めきれずにググってました
>>19
http://www.mtl.t.u-tokyo.ac.jp/~sakai/ronri/ronri12.pdf
の「9.記憶回路」の図で言うところのデコーダへの入力が4ビットで
一語長が1ビットってことでしょうか?

>>21 SRAMの場合は同じ図では、メモリの中がFFになってて、FPGAへ回路データ書き込み時にFFへ書き込み→回路完成後はROMとして振舞うイメージでしょうか?
23774ワット発電中さん:2006/02/16(木) 07:52:53 ID:xSZD2Mn+
>>22
そのとおり。
仕組み的にはRAMだけど、電源投入時に値が設定されて(コンフィグ)動作中はROMとして振る舞う。
実際にはRAMとして使う場合もあるんだけどね。
24774ワット発電中さん:2006/02/16(木) 07:57:25 ID:xSZD2Mn+
ああ、JTAGの場合もあるから電源投入時というのは不正確だ。
開発中はJTAGっていう端子でPCにつないで何度でも書き換えできて、
実際に製品などで使う場合は電源投入時にフラッシュなどから初期化される。
25774ワット発電中さん:2006/02/16(木) 10:41:04 ID:gEMnem8b
いまのFPGAでLUTにSRAMを使う理由は単純 SRAMが一番速いから
前世紀のFPGAにはLUTにフローティングゲート使ったものもあったよ
26774ワット発電中さん:2006/02/16(木) 11:57:43 ID:j4iJyEoV
>>19
速度は?
2722:2006/02/16(木) 23:03:53 ID:cFn52k8x
>>ALL
レスありがとうございます
突然、「概要調べてプレゼンしてよ」…とか言われたけど、何とかなりそうです
本当にありがとうございました m(_ _)m
28774ワット発電中さん:2006/02/17(金) 14:49:07 ID:JYXl251e
久々にテラの代理店に電話したら「お掛けになった番号は・・」
いや、パルテックからはいろいろメールきてたんだけど、
取引先の代理店が行方不明になるとは・・・w
新しい代理店が決まるまで時間掛かるかぁ。
RSの在庫品で済ませるか.w
29774ワット発電中さん:2006/02/17(金) 18:19:07 ID:+FlXUcuB
ISE8.1にSP2が出てた。で入れてみて6.3のをリビルドしてみたが結構よさげ
でも実機でテストしてないからなー
30774ワット発電中さん:2006/02/17(金) 18:34:26 ID:6yiY6KUP
時間がなくて、もらったSpartan-3E Sample Pack全然触ってません・・・すみません・・・
31774ワット発電中さん:2006/02/17(金) 21:03:19 ID:PX1WeEDU
俺にクレよー
某代理店に問い合わせたら、3E Starter kitの見積りが返ってきたよ

まぁ、買うつもりだったからいいんだけど
32774ワット発電中さん:2006/02/18(土) 01:22:36 ID:LE9GWtZU
勉強用に学習ボードってのを買ったらACEX1Kだった。
そろそろ覚えてきたし、新しいのほしいなぁ。
infohobbyのNiosIIキットCycronの2万円台のを買うか、
奮発してCycronIIの8万円前後のを買うか、
悩むなぁ・・・。
4倍の違いがLEとかの数の違いなんだろうけど、
LEが多けりゃ性能がいいって考えていいんだろうか・・・。
33774ワット発電中さん:2006/02/18(土) 09:06:16 ID:vy8gPcih
LE数が大きいデバイスは大きい回路でも入るといえるが
動作速度や消費電力の面では性能低下。もちろんコストも大。
34774ワット発電中さん:2006/02/18(土) 21:45:17 ID:LE9GWtZU
>>33
なるほど。

35774ワット発電中さん:2006/02/19(日) 03:20:58 ID:sgQRgDgW
>>29
時々起動出来なくなるバグとか、
ルールチェックで0行目がどーのこーのというエラーメッセージとか
そのたもろもろものバグは少しはへった?.w
36774ワット発電中さん:2006/02/19(日) 08:44:27 ID:3vlW2ThL
>>32 インフォホビーには、たしか中古もあったと思う
37774ワット発電中さん:2006/02/19(日) 10:36:24 ID:hejMi0+E
38sage:2006/02/19(日) 12:20:00 ID:rZaimMPz
>>35
その現象は出なかったけど、終了できなくなったりはしました。
今回からCoreGenもWebPackに付いたので有料版との差がまた少なくなりましたね。
39774ワット発電中さん:2006/02/19(日) 12:25:46 ID:vk6B/XFu
GAL22V10をverilogで記述したいのだがLatticeの無償ツールで可能?
40774ワット発電中さん:2006/02/20(月) 13:44:31 ID:APmEis1A
>>39
可能
41774ワット発電中さん:2006/02/20(月) 17:14:05 ID:LmzvRbpq
そうかのう、
可能かのう〜
(´・(ェ)・`)
ところで、クマーは 元気かのう?
42774ワット発電中さん:2006/02/21(火) 22:38:40 ID:v6VreLXk
おまいらトラ技4月号買う?
何に使うのか微妙だが。
43774ワット発電中さん:2006/02/22(水) 09:27:45 ID:1SqJDctP
Spartan-3のコンフィギュレーションについて初歩的な質問。

マスターモードではCCLKってFPGA本体から出るわけでしょ?そのクロック
のもとは、どっかから入れるの?それとも内部で発生?外付けの
クロックソースはGCLK0とかに繋いであっても、まだコンフィグされてないから
使えないし、あと、CORレジスターで、CCLKの周波数まで選べる所を見ると、
内部で作ってるのかなあと思ったり。

詳しい人、教えてください。ども。
44774ワット発電中さん:2006/02/22(水) 09:36:25 ID:CVpntxFg
>>43
高橋名人を連れてきて16連射させろ
45774ワット発電中さん:2006/02/22(水) 19:04:29 ID:fBMSOhzu
>>39
なんか凄いこと考えてるナー。
GAL22なら回路図だと思うが・・
46774ワット発電中さん:2006/02/22(水) 19:46:46 ID:1XaQvKmZ
>>45
GAL程度なら、ABELで書くケースは多いんだけどね。Verilogかあ。
47774ワット発電中さん:2006/02/22(水) 20:15:26 ID:YTyGHO+w
>>43
日本語マニュアルには、
内部オシレーターが6MHz(開始時)で動作しているとあるな。
48774ワット発電中さん:2006/02/22(水) 20:54:31 ID:6+ocEgpL
>>39を読んだとき、GALと等価な回路を
Verilogで書くという意味かと思ってしまった
49774ワット発電中さん:2006/02/22(水) 21:42:01 ID:pboxSeUJ
>>43
クロックソースが不要の内部オシレータだよ。
設定次第で確か30MHzくらいになるんだけど、
ロジックでも使わせてくれって思うな
まあ、そんなにまともなクロックじゃないんだろうけど
50774ワット発電中さん:2006/02/23(木) 01:08:44 ID:Umntkw2O
>>46
ほぼ同じ記述が可能だから別に無問題でしょ。
趣味のレベルだわ。
5143:2006/02/23(木) 07:31:07 ID:eIFTWOMq
>>47,>>49どうもありがとうございました。
やっぱ内部オシレータでしたか。
コンフィギュレーション時に使うだけだからいい加減なクロックなんでしょうね。
マニュアルをもっとよく読んで見ます。
52774ワット発電中さん:2006/02/23(木) 22:48:09 ID:AoAYOL1n
>>39
まぁ合成はできると思うが、悪いこと言わないからやめとけ。
前に16V8で3bitのステートマシン組もうとしたら、見事に6bit、1hotに再合成されたからな。
ソースはVHDLだったが、サポートに聞いたらこの回路規模じゃ入りませんって言いやがった。
ABELで書き直したら、楽勝で入って orz
...もしかしたら組み合わせ回路なら行けるかも。うまくいったら報告よろ。
53774ワット発電中さん:2006/02/23(木) 23:10:17 ID:QOE3J8kt
GAL使うならPALASMが一番でしょ。
54774ワット発電中さん:2006/02/23(木) 23:26:12 ID:AoAYOL1n
>>53
PALASMじゃ、Latticeのツールが合成してくれないと思うが?
知ってる言語で書けるのが一番なんだよな。
55774ワット発電中さん:2006/02/24(金) 00:16:32 ID:xSqWe8dL
確かにLatticeのツールはPALASMダメだろうね。
でも、本物のPALASMがフリーになってるよ。

まあ好みの問題なんだが、
凝った事が出来ない分すっきりしてていいんですわ、これが。
56774ワット発電中さん:2006/02/24(金) 03:06:25 ID:AXCIxmW0
俺は、GALだとABELが一番好みかな。
22V10は、すげー重宝した。
57774ワット発電中さん:2006/02/24(金) 10:19:56 ID:B67hVrbx
ISE8.1i webpack、色々機能が使えるようになって便利なんだけど
projectが壊れやすくなったみたいですね。

使っているうちにTop Moduleの選択が出来なくなったり、
Assign Packege Pinsを選んでもPACEが起動しなくなったりしました。
自分だけでなく隣で仕事してる人も同じようにおかしくなってprojectを
作り直したら治りました。

projectファイル(xxxx.ise)のサイズが7.1iと比べて100倍になったのが
原因なんでしょうか?
7.1iのprojectを8.1iにコンバートしたら4k→435kになりました。
15個ファイルをAddしたprojectはサイズが9Mになってました。

隣の人はQuartus II でも似たようなものだよと言って、バックアップを
頻繁にとれば問題ないと言い切ってましたが…
58774ワット発電中さん:2006/02/24(金) 10:36:32 ID:Ow5bQa1j
>>57

Win窓以外のOSも似たようなもんなのカナ?
59774ワット発電中さん:2006/02/24(金) 13:03:36 ID:n1wXj/4M
GAL互換のPeelってのがあるんだけど
ツールやライターもGAL用が使えるんですかね?
60774ワット発電中さん:2006/02/24(金) 21:22:07 ID:ErRcOZa6
peelは、18CV8が便利だったなあ。
61774ワット発電中さん:2006/02/24(金) 21:35:16 ID:6dSPzBXA
65nmはいつでるの?
アノラティスでさえ90nm発表したんだから(PW鴨しんないが)はやく財か寺が出さなきゃ、FPGAリーダの名折れだがゃ千駄ヶ谷
62774ワット発電中さん:2006/02/24(金) 22:49:20 ID:xSqWe8dL
>>59
PEELはGAL用のツールじゃ処理できないだろ、多分。
PALで18V8ってのが有ったから、
PALASM使えばJEDEC作るまでは出来るかも知らんが・・・
書き込みはユニバーサルプログラマが無いと無理だと思う。
63774ワット発電中さん:2006/02/25(土) 00:01:23 ID:3iqSNBmJ
データIOのユニバーサルプログラマが買えなくて、PALを変更するたびに
取引先に焼かせてもらいに行ったなあ。
Latticeのispが出たときは本当に嬉しかったよなあ。
64774ワット発電中さん:2006/02/25(土) 01:43:16 ID:ReTSIPlK
>>57
一日1回は新規プロジェクト作り直して、データをコピーしてた。
今回の仕事はもう終わったけど。次回からはもう・・・以下略。
65774ワット発電中さん:2006/02/25(土) 11:11:59 ID:vjww/IAj
初心者ですが質問です。
FPGAを使ってボード設計するとき、I/Oピンの位置とそれにアサインする
ロジックの関係を考えて、部品の配置を決めた方がいいんですか?
それとも、Map、Place、Routeの段階で好きなI/Oピンを選べるから
どうでもいいのですか?

今作ってるのが、ユーザーI/Oをほぼ使いきってしまう設計なのですが、
ボードが出来てから、「論理設計は出来たけど内部配線の引き回しの限界に
ぶつかって、使えるピンに制限が出てくる」、なんてことになる心配って
あります?
66774ワット発電中さん:2006/02/25(土) 11:56:42 ID:Gw6+ehKP
ありますた orz
67774ワット発電中さん:2006/02/25(土) 18:18:31 ID:5nRHnv2G
66
各メーカとも最新のデバイス使え
そうすれば心配は無用 物理的な問題がなければ100%使いきっても問題になるこたぁーない
物理的な問題とは?
専用機能のピンに専用機能いがいの割り当てを行うなど厨房ミスを指す
68774ワット発電中さん:2006/02/25(土) 19:42:12 ID:HLxE2Ss2
>>67
おねがいですから日本語で話してください
69774ワット発電中さん:2006/02/25(土) 21:02:21 ID:kz2fpPUQ
アルティマのワークショップに先月行ってきたがいいな。
パルテックのは微妙だった。
アルティマは行く価値があるとおもう。
70774ワット発電中さん:2006/02/25(土) 21:28:35 ID:vO3vnOid
最新のデバイスは昔に比べてピンアサインの自由度が高くなっているが
それでも配線リソースが無限にあるわけではないのでやっぱり限界はあるぞ
7167:2006/02/26(日) 02:50:21 ID:essg2mXv
>>68
黙ってろ小僧
ヌッコロすぞ
72774ワット発電中さん:2006/02/26(日) 11:08:14 ID:1jTkcu1U
>>71
荒らすな馬鹿
73774ワット発電中さん:2006/02/26(日) 16:11:26 ID:3yUBIEEi
初心者ですが質問です。
FPGAって、コンフィギュレーションROMからデータ抜き取って
解析したり、単純に複製したりって事は可能なんですか?
74774ワット発電中さん:2006/02/26(日) 16:12:36 ID:4taAJP+U
複製は可能
解析はやりたければやってください
75774ワット発電中さん:2006/02/26(日) 20:21:03 ID:3yUBIEEi
コンフィグROMのコピー対策って何かありますか?

やっぱり企業だと、ライバルの製品バラして
コンフィグROMの中身解析やってるんですかね?
さすがに複製はやんないだろーけど。

手製の解析ツールがあったりして。
76774ワット発電中さん:2006/02/26(日) 20:27:38 ID:iN0wC72M
解析してる暇があったら作ったほうが早くね?
77774ワット発電中さん:2006/02/26(日) 20:40:29 ID:mq8NOOMb
>>75
いまどきのFPGAは暗号化によるデッドコピー防止機能を備えてる。
たとえばザイリンクスだと、コンフィグROMにトリプルDESで暗号化したデータを
入れておき、デバイス内のレジスタにその鍵を入れておく。
ただし鍵が消えないようにデバイスにバッテリをつないでおく必要がある。
万一バッテリ切れなどで鍵を消失したらもうコンフィグはできなくなる
78774ワット発電中さん:2006/02/26(日) 22:05:19 ID:3yUBIEEi
>>76
そんなもんですかね。

>>77
やっぱりそういう機能あるんですね。
もしかして、今時は暗号化するの当たり前なんですか?
でも、鍵消失対策とかでコストアップになりそう。

某国あたりでコピー製品作ってそうで気になってます。
79774ワット発電中さん:2006/02/26(日) 23:34:16 ID:cUyde4D7
初心者ですが質問です。
来月のトラ技付録のMAXUって、2004年4月号付録のR8CマイコンでRS232-JTAG変換できるらしいんですが、他のデバイス(例えば財のFPGAとか)でも可能でしょうか?
http://www.nahitech.com/et2005-trg0604.html
http://www.cqpub.co.jp/toragi/Images/TR_CPLD03.pdf
80774ワット発電中さん:2006/02/27(月) 00:21:12 ID:KOZA/eKb
>>79
技術的には可能だろうけど不可能にしたのが載るんだろうな。
81774ワット発電中さん:2006/02/27(月) 00:38:17 ID:xI/0LhEc
元々のMITOUJTAG自体はSVF再生で
デバイス書き込みしてるみたいだから、
Xilinxにも使えるだろ。多分な。
82774ワット発電中さん:2006/02/27(月) 01:24:25 ID:YiYhxiFm
2004年4月号付録って・・・
手に入るのかいな。
83774ワット発電中さん:2006/02/27(月) 01:27:57 ID:xI/0LhEc
もしかして>>79はR8Cの代わりをXiのデバイスでやりたいのか?
>>82を見て読み直してみたら解らなくなった・・・
84774ワット発電中さん:2006/02/27(月) 01:30:08 ID:YiYhxiFm
すまん。
来月のMAXII付録楽しみにしてるが、2004年4月の付録が必要とか言われてもな・・・。
俺はモッテナイヨ。

ちなみに>>79の質問の意図は分からない。
85774ワット発電中さん:2006/02/27(月) 02:02:36 ID:cieAhmb9
>>79です
知識無いうえに説明が足りなくてすみません

自宅にDesignWaveの05年1月号付録のSpartan-3ボードが手付かずのまま放置されているので
これもR8C基盤使って 
http://www.nahitech.com/images/mjtrg3.jpg
みたいに回路データ書き込めたらいいな…とか考えてた次第です


あと、千石の2階に04年4月号付録と同じようなR8C基盤が売ってました
3千ちょいしたけど…
86774ワット発電中さん:2006/02/27(月) 19:32:32 ID:u2yIxtnJ
>>75
基板も含めて丸々複製ってのはどっかの国でやってそうだが、
解析やる香具師の対策なんて考えた事無い。
小規模の CPLD くらいなら気合で解析できなくもないと思うが、
FPGAの解析なんてまともな単位の時間で可能なものなのか?
87774ワット発電中さん:2006/02/27(月) 21:02:50 ID:xI/0LhEc
>>85
そゆことか。
それなら>>81の通りだ。
ただ、DWMの基板はJTAG端子が2.5V系になってるから、
レベル変換回路が多分必要。
その基板専門のスレで話題になってたはず。
他には、なひたふ氏のサイトとかも参考になるかもな。
88774ワット発電中さん:2006/02/27(月) 22:21:10 ID:cieAhmb9
>>87
ありがとうございます。 ようやくウチのスパルタンが日の目を見れそうです
あと、言葉足らずでなレスでお騒がせしてすみませんでした OTL
89774ワット発電中さん:2006/02/27(月) 22:37:51 ID:GveBlt0q
>>86
それこそ、どっかの国は人海戦術でやってるかも。


90774ワット発電中さん:2006/02/28(火) 00:09:50 ID:t87f5Sud
他社に「コピーしたい」と思われるような製品を一度でいいからつくってみたい・・
91774ワット発電中さん:2006/02/28(火) 00:28:26 ID:FKN9NQ+g
他社に「読みたくない」と思われるような製品にならないように注意しようぜ・・・
実際多い。
自分もあんま人のこと居えないが。
気をつけよう。
92774ワット発電中さん:2006/02/28(火) 01:07:20 ID:CQngyPAd
AlteraもXilinxも米国本社には
しっかり逆アセンブラがあるんじゃないか?
ツールやデバイスの開発段階では必要になるだろうから。
まあ外部には絶対秘密だろうけど。
93774ワット発電中さん:2006/02/28(火) 07:10:21 ID:MLTl9t3/
さすがにconfigデータからHDLまでは戻せないだろうけど
ネットリストを出すのは簡単にできるだろうな

どこかのセミナーで聞いたけどSHARPの事例だったかな
FPGAの側にCPLDを置いて、動作中にFPGAとCPLDがハンドシェイク通信する
そしてCPLDから期待する返事が返ってこなかったらFPGAは動作を停止する
これによってシステムのデッドコピーを防ぐって手法があるよ
FPGAのROMをコピーするのは簡単だけどCPLDは困難って考え方だな
94774ワット発電中さん:2006/03/01(水) 01:40:54 ID:383ql1if
今月末でパルの技術サイト閉鎖だなと言ってみる32LE
95774ワット発電中さん:2006/03/01(水) 23:32:44 ID:jU86rZJD
>>93
なるほど、S/KEYなどのワンタイムパスワードの応用ですね。
んー乱数生成をうまくやらないと破られちゃいますね。
96774ワット発電中さん:2006/03/02(木) 07:09:07 ID:kX5M09YL
S/KEYみたいな実装もありとは思うがおおげさだな
普通に内部状態がある一方向関数でOKなんじゃないの?
97774ワット発電中さん:2006/03/07(火) 17:44:43 ID:CKuf+lIE
おい、悪のFUS1ONってツカイモノになるのか?
PSoCキラーになりえる?
98774ワット発電中さん:2006/03/07(火) 17:45:29 ID:CKuf+lIE
高速PIC実現くらいしか使えない?
99電脳師:2006/03/10(金) 14:44:58 ID:335aG63a
>6みたいにパソコン無しでMAXUを書き込むのを調べたけど
結局チャートみたいな詳しいのまでは公開されていなかったみたいだった。

あと
http://science4.2ch.net/test/read.cgi/denki/1110411248/838
みたいなのとかで拍車がかかった。

でもセル単位で弄れたら最適化とかをやったりして面白そう。
ハッキング癖あってついそーゆーアフォ考えてしまう。
まぁ、HDLのマクロはアセンブラみたいに最適の意味ないかもしれないけど。

でもやっぱ舐めるようにセルの書き込みからやるみたいなことしてみたい。
ホントに公開されてないのかな?
100774ワット発電中さん:2006/03/10(金) 15:29:11 ID:UL4tLx/4
100geto
101774ワット発電中さん:2006/03/10(金) 18:49:09 ID:xpVqU3Gp
>>99
書き込みルーチンのサンプルソースは公開されてるよ。
J-Runnerって名前だったと思う。
QuartusIIで生成されたプログラミングデータを使うから、
個々の内部セルをいじれる訳じゃないけどね。
10299:2006/03/10(金) 19:07:15 ID:335aG63a
おお、すごい。
もしかして>6周辺でお世話になった方?

またヘンな質問に丁寧にトンです。
頑張ってアローン型の書き込み機作ってみます。
103774ワット発電中さん:2006/03/10(金) 22:41:57 ID:az50RqRS
最適化といえばMUXF5,MUXCY,XORCY
104774ワット発電中さん:2006/03/11(土) 01:12:06 ID:C1cpavEI
ModelSimXEをQuartusII WebEditionで使えた人いる?
起動さえままならないが・・・
105774ワット発電中さん:2006/03/13(月) 21:52:13 ID:nfe+9VdP
:::::::::::/           ヽ::::::::::::
:::::::::::|  ば  じ  き  i::::::::::::
:::::::::::.ゝ か   つ   み  ノ:::::::::::
:::::::::::/  だ  に  は イ:::::::::::::
:::::  |  な。       ゙i  ::::::
   \_         ,,-'
――--、..,ヽ__  _,,-''
:::::::,-‐、,‐、ヽ. )ノ      _,,...-
:::::_|/ 。|。ヽ|-i、      ∠_:::::::::
/. ` ' ● ' ニ 、     ,-、ヽ|:::::::::
ニ __l___ノ     |・ | |, -、::
/ ̄ _  | i     ゚r ー'  6 |::
|( ̄`'  )/ / ,..    i     '-
`ー---―' / '(__ )   ヽ 、
====( i)==::::/      ,/ニニニ
:/     ヽ:::i       /;;;;;;;;;;;;;;;;
106774ワット発電中さん:2006/03/13(月) 21:54:12 ID:nfe+9VdP
         ./     ,. '                    '、   ノ
 か ム ぜ お |   /                      ',  / は こ
 た リ っ<<104l /    ,   i :i、  |、           ゙, ノ'  っ う
 つ ム た ち ヽ./     /! ./l  lヽ :i ',           i`)  き な
 む リ .い .ゃ ./!   /|:! | ./ |  ! ヽ l ヽ           ! l  り っ
 り ム ム ん / | /!//''|l‐=/、 ! l ,ゝ- ‐‐ヽ、        | |  言 た
 よ リ .リ に (, l, :l.|: /_ ァテゝ、ヽ !   ヽテ = 、ヽ       ! l  わ ら
  !!.ム よ 東 l . :!|:!:|, i` .}{ i゙!  `   ´ }.{; 'ィヾ,.  ∧    :} /.  せ
.   リ . 大 ,ゝ ! ! :i  '' "´,      ‐'='' ´ | i`:}    / /  て
      は (.   / ',   `          i ヒ/  /ソ ゝ.  も
         > /人 | 、  ヤ‐ヽ       ,イ  l /!/  _ ゝ  ら
\      _ /  '   ':, ヽ.  ' ‐ '     ,/ /,r, |i' |'   ` ).  う
  'レ'⌒´         ゝ,  ` 、    ,. '  _// ‐‐ 、     ム  わ
              //    >:t' ,. '´ /'   _>-‐- 、,_ヽ
            // /ノ,._'´ 丿 iヽ    , '´      `
          /   - ' /-) _' i'  ノ‐ ' ヽ、 '
107774ワット発電中さん:2006/03/14(火) 00:35:18 ID:OsQR85eR
もう、FPGAなんかどうでもいいや。
108774ワット発電中さん:2006/03/14(火) 00:41:00 ID:GtaAvQMl
>>107
まあそう言いなさんな。
明日・本日・夢がある。
大きくなったらパイロットになりたかった、事もある。
でもFPGA、やります
本気だして考えてみようぜ、俺たちのこと
109774ワット発電中さん:2006/03/14(火) 01:25:40 ID:cp3tv7ut
FPGAだけでA/D構成してデータ測定するような記事ってありました?
110774ワット発電中さん:2006/03/15(水) 00:02:10 ID:dABQAD52
ありますか?・ありませんか?
111774ワット発電中さん:2006/03/15(水) 23:07:05 ID:Nfzu46nA
ムリムリムリよ
カタツムリよ
112774ワット発電中さん:2006/03/15(水) 23:47:17 ID:Mkav/3q7
>>109
数ヶ月前にあったよ。本屋で立ち読みしただけ(w
113電脳師:2006/03/16(木) 00:44:30 ID:4CECs3nu
あと予告にある音声だのビデオ信号とかのはどうなってるんだ?

PLDならそれくらいやらなきゃと期待してるが‥
114774ワット発電中さん:2006/03/16(木) 16:16:36 ID:44CjuxrD
トラ技買いました。CPLDついてますた。遊んでみます。
115774ワット発電中さん:2006/03/17(金) 03:33:27 ID:APn8KqMi
だれか、罪のゑBパックとそのサービスパックダウンロードした状態のファイルをDVDに
焼いて送っては喪ら縁だろか?
たいした謝礼払えませんが800円でお願いしたいのだが
116774ワット発電中さん:2006/03/17(金) 03:50:53 ID:q1luuJPl
>115
それをやったら違法。
無償でダウンロードできるからといって、フリーソフトじゃない。
117774ワット発電中さん:2006/03/17(金) 03:55:41 ID:APn8KqMi
>それをやったら違法。

それはちと違う。
罪との契約違反を罪が責める可能性があるだけ。
118774ワット発電中さん:2006/03/17(金) 03:58:09 ID:APn8KqMi
キーを教えろというのとは違う。罪が知る由はない。
200時間掛かってでも落とせりゃいいけど、200時間コネクションが取れるとも思えん。
119774ワット発電中さん:2006/03/17(金) 04:10:55 ID:c/be5jAn
なんで秋月はALTERAのCPLD扱ってないんだ………
120774ワット発電中さん:2006/03/17(金) 04:18:57 ID:q1luuJPl
そうだな。違法じゃなくて、契約違反だ。
しかし、知る由がなければやってもいいと勘違いしている馬鹿にはFPGAを弄る資格はない。
WebPACKのDVDが欲しければXIなり代理店に注文すればいいじゃないか。
タダでくれるだろ。
121774ワット発電中さん:2006/03/17(金) 04:48:38 ID:APn8KqMi
>タダでくれるだろ。

暖かいところに居るやつにはそうかもしれんな。
自称自営業という他称無職にはそもそも構ってくれないよ。

>知る由がなければやってもいいと勘違いしている馬鹿
君が、友人知人に同様の相談をされてもそう答えるのなら、立派な事だが
ともだち居ないだろな。
122774ワット発電中さん:2006/03/17(金) 04:52:44 ID:c/be5jAn
ID:APn8KqMi必死だね
123774ワット発電中さん:2006/03/17(金) 06:47:17 ID:eAqbDNaF
>>121
代理店の担当者と仲良くなれ。

んで、こちらからも代理店に協力できることがないかよく考えろ。
例えば同じ回路をアルテラの同規模のデバイスに入れたときの
パフォーマンスの比較とか、限界近くで使ったときおかしい動きを
したら詳細なレポートとか、代理店がほしがっている情報をこちら
から出せ。

担当者も人間だ。頭ごなしに言っても動いてくれないよ。
124774ワット発電中さん:2006/03/17(金) 07:52:30 ID:YgGRPUIB
>>知る由がなければやってもいいと勘違いしている馬鹿
>君が、友人知人に同様の相談をされてもそう答えるのなら、立派な事だが
>ともだち居ないだろな。

自営業していて、この程度のことをしてくれる知り合いもいない人が
言うこととは思えないな。インターネットで簡単にダウンできるソフトの
入手に苦労するような人が仕事できているのなら、そのほうが感心するな。
125774ワット発電中さん:2006/03/17(金) 07:55:29 ID:UZ++BQFu
4月に8.2iになるらしいけど、そしたらまたここに「焼いてくれ〜」って書き込むのかね
126774ワット発電中さん:2006/03/17(金) 08:32:47 ID:I5hq0UGt
おまえら寄ってたかって玩具にしすぎ。手加減してやれ。
APn8KqMiだって、ここでマジで「焼いて送っては喪ら縁だろか」なんて書いている
わけでもなし。(2chで知り合った相手に送り先晒すわけにもいかんだろう)

それはともかく、ブロードバンドで繋がっていない人には辛い世の中になっているん
だろうな、とは思う。
127774ワット発電中さん:2006/03/17(金) 08:37:57 ID:A35mi2Vq
>>117
版権を持つ者の許可なく著作物をDVDに焼いたら著作権違反で刑事罰だ
それとは別に民事裁判も起こされる可能性もある

つまり違法かつ犯罪かつ損害賠償の対象
128774ワット発電中さん:2006/03/17(金) 11:06:45 ID:ueWZsNnm
>版権を持つ者の許可なく著作物をDVDに焼いたら著作権違反で刑事罰だ

こんな法律はない。
版権を持つ者の許可なくデクリプションしたら違法
暗号化されてないものを勝手にDVDにコピーするのは何も違法行為じゃない。
エンクリプションのかかってないCDを個人が家庭で楽しむためにDVD-Rにコピーしようが
HDDにコピーしようがそんなもんはまったく違法行為とは言えない。
例えば、ソフトのパスワードにしても不特定多数に公開するのは違法性を問われても、
顔見知りに教えるのは違法行為とは言えない。
顔見知りの知り合いに、自転車を貸しても自転車メーカが文句言えないのと同じだ。
129電脳師:2006/03/17(金) 11:40:36 ID:6kXe9KZ0
>顔見知りの知り合いに、自転車を貸しても自転車メーカが文句言えないのと同じ

顔見知りでない不特定の人に本を貸しても著者は文句が言えない‥
ならいいが出版社に質問が来ると漏れが教授しに行かなくちゃいけないのは勘弁して欲しい。

相手にはとりあえず「お買い求め有り難うござい‥」と言うと
「いえ、図書館から借りたんですが」というのが多かった。

んでも、個人より全国のそーゆーとこに納めたからあれだけ印税がきてよかったが‥
130774ワット発電中さん:2006/03/17(金) 11:44:27 ID:A35mi2Vq
>>128は 顔見知りの知り合いも家庭の一員なのか? ずいぶん大家族なんだね
2chで呼びかけて知り合った人も家庭の一員と言いたいわけだな
131774ワット発電中さん:2006/03/17(金) 12:04:37 ID:7VsNAYfS
ハゲチビデブ紅葉手の小杉必死だな
132774ワット発電中さん:2006/03/17(金) 12:27:46 ID:c/be5jAn
人類皆兄弟だからコピーして渡しあっても問題ないとか言いそうだなw
133774ワット発電中さん:2006/03/17(金) 12:28:14 ID:ueWZsNnm
>>130
何も知らんようだが、
裁判ではソフトを貸した人間が、顔見知りかどうかが争点になる。
不特定多数にソフトを公開することが著作権の侵害だ。
考えてみろ。
自宅でホームシアター設備こしらえたってんで、友人呼んで映画見せることが
犯罪になるとでも思ってるんかマヌケが。
134774ワット発電中さん:2006/03/17(金) 12:30:49 ID:ueWZsNnm
バカが文句言ってるうちにwbc日本進出だ
135774ワット発電中さん:2006/03/17(金) 12:31:15 ID:c/be5jAn
必死になって論点をずらしてるなw
136774ワット発電中さん:2006/03/17(金) 12:32:43 ID:A35mi2Vq
勝手に貸し借りの問題に矮小化してるが
DVDに焼いて郵送する と 貸し借り は全然違うだろ?
137774ワット発電中さん:2006/03/17(金) 12:40:13 ID:ueWZsNnm
だれがコピーして誰か分からん他人にDVDを手渡すといってるよ。
自分で買ったエンクリプションされてないソフトをバックアップのためにコピーして
手元に置いといてなにがわるい。少なくともUnixの管理者は昔からHDD上にそのまま
リッピングしてコピーしておいた。
あと知り合いに、パッケージごとソフトを貸して何が悪い。その知り合いはどんな
印刷された取り説があったか知りたいそうだ。それをコピーするのかどうかは貸した
本人は関知しない。
138774ワット発電中さん:2006/03/17(金) 12:44:22 ID:A35mi2Vq
>>115が言ってますがそれが何か?
> だれか、罪のゑBパックとそのサービスパックダウンロードした状態の
> ファイルをDVDに焼いて送っては喪ら縁だろか?
> たいした謝礼払えませんが800円でお願いしたいのだが
139774ワット発電中さん:2006/03/17(金) 13:14:14 ID:Ez4+MGeI
>>133
確かに「普通の感覚は」そうだけどね。その感覚が裁判で通ると思ったら大間違い。
私的使用に含まれるのは「家族」もしくはこれに準ずるという解釈が確立してしまっ
ている。顔見知りかどうかは関係なく、相当に狭い範囲でとらえているよね。
(文句があるなら法曹界に言ってね。僕もおかしいとは思ってるけど)
なので、
> 自宅でホームシアター設備こしらえたってんで、友人呼んで映画見せる
は認められない(上映にあたる)と解釈され、裁判になった場合はアウト。
(想定集で良く出てくる例なので、覚えちゃったよw)
ただし、現在にいたるまで、このような事例で立件された人はいない。あくまで、裁判
になったらアウトというだけ。

>>137
> あと知り合いに、パッケージごとソフトを貸して何が悪い。
その知り合いが、違法行為をすること(コピーすること)を予見していればアウト。
ちなみに、印刷された取り説をコピーしたらもちろんアウト。ついでに、契約に
貸与を認めない旨が書いてあるので、これにも触れる可能性がありグレー。

やっぱ、法律家の考えている世界と一般生活って一致してないやね。でも、自分の
思い込みだけで、罵倒するのもどうかと思うよ。
140774ワット発電中さん:2006/03/17(金) 13:34:42 ID:ueWZsNnm
>>139
>ただし、現在にいたるまで、このような事例で立件された人はいない。あくまで、裁判

立件されてないのにお前が何を判断してる。
著作権者と契約もしてないのに不特定多数に閲覧させるのは著作権の侵害だが、
友人に見せる聞かせることにそれが問題あるなら、
オーディオ雑誌のお宅訪問記事は全て著作権侵害になるがな。
これで立件できるはずもないし、それをすることで著作権者の方が世論的にも不利になるからできないんだ。
もうちょっとまともなレスしろ。

>>139
契約はあくまでもインストールする際の契約事項だ。こういうのはある意味一方的な主張で
契約にならない。駐車罰金1万円と同じ。
これも、個人ベースで購入ソフトの知り合いへの貸与で告発された事例なんかない。

まぁ、自らの権利に自主規制かけてれば自分は損するだけ。そろそろ、著作権者の権利主張ばかりが
まかり通る時代ではなくなりつつある。
141774ワット発電中さん:2006/03/17(金) 13:43:24 ID:rWlgWdoA
>駐車罰金1万円と同じ。

1万円なら通用するが、100万円だと通用しない。
142774ワット発電中さん:2006/03/17(金) 13:46:03 ID:rWlgWdoA
>自宅でホームシアター設備こしらえたってんで、友人呼んで映画見せることが
>犯罪になるとでも思ってるんかマヌケが。

5人が限度って知ってる?
同じ屋根の下で寝起きしているという判断基準もある。
動画についてはDVD再生やVCRでの録画・複製についてはとても厳しいよ。

143774ワット発電中さん:2006/03/17(金) 13:51:13 ID:c/be5jAn
ID:ueWZsNnm必死だなw
144774ワット発電中さん:2006/03/17(金) 13:52:11 ID:rWlgWdoA
しかし、このスレの連中って冷たいな。
罪のサーバーはレジューム非対応だから、ダウンロードに掛かる時間切れることなく
接続できないとアウト。

ブロードバンドにしてないような奴が自営の資格ないとか言う奴までいるんだな。
NTTがなんでブロードバンド普及に頑張っているかシッテッカ?
加入電話を無くすためだよ。加入権を半額にはしてみたものの、反発の強さも再認識した
からね。IP電話に切り替えて加入権消滅を推進している。

ブロードバンドでなくたって、サンマイクロなんかだとレジューム対応だから時間さえ
掛ければ何GBだろうと落とせる。
145774ワット発電中さん:2006/03/17(金) 13:56:05 ID:c/be5jAn
>>144
つ[ネットカフェ]
146774ワット発電中さん:2006/03/17(金) 14:07:31 ID:Ez4+MGeI
>>140
> 立件されてないのにお前が何を判断してる。

判断したの僕じゃないしw

> それをすることで著作権者の方が世論的にも不利になるからできないんだ。

それは、君の思い込み。

> これも、個人ベースで購入ソフトの知り合いへの貸与で告発された事例なんかない。

こちらについては、現在係争中の案件がありますが?

俺様的な常識 = 法律や法解釈 だったら、よかったんだけどねw
147774ワット発電中さん:2006/03/17(金) 14:20:50 ID:ueWZsNnm
>>146

>それは、君の思い込み。

それはお前の無知

>こちらについては、現在係争中の案件がありますが?

あるというならソース示せや。
そういうのは恐らく友人への貸与じゃなく金銭とって商売にしてたとか、
会社ぐるみでコピーを業務に使ってたような事例だろうが。
友人に、パッケージソフトそのまま渡してそれで立件された事例なんて聞いたことがないね。
繰り返しになるが

あるというなら裁判事例のソース示せ
148774ワット発電中さん:2006/03/17(金) 14:23:30 ID:ueWZsNnm
>> ID:rWlgWdoA よ
頻繁に上げてるが迷惑行為であること理解してるのかお前
迷惑行為はアク禁対象だ。

2chのルールも理解できない奴が著作権とは片腹痛いわ。
149774ワット発電中さん:2006/03/17(金) 14:29:42 ID:+RghCHfo
スレから外れた話題を延々続けてるのも迷惑だとオモ
150774ワット発電中さん:2006/03/17(金) 15:07:20 ID:QakePtMG
いまどきADSL無い場所ってよほどだよな プゲラ
151774ワット発電中さん:2006/03/17(金) 16:06:33 ID:q1luuJPl
てゆーか、代理店に電話して送ってもらえばいいじゃん。
どんな零細事業者でも、WebPACKでも、ISEの評価版でもタダで送ってもらえるだろ。

それとも、住所・氏名・会社名を出せないほどヤバイ人なのか?
152774ワット発電中さん:2006/03/17(金) 16:40:05 ID:u0Qs5nmZ
>どんな零細事業者でも、WebPACKでも、ISEの評価版でもタダで送ってもらえるだろ。

パラレルケーブルを売っていただく為にどれだけ惨めな思いをしたことか。
お前ら、飼い犬にはわかるまい。
153飼い犬:2006/03/17(金) 17:01:46 ID:A35mi2Vq
パラレルケーブルなんて既製品の入手が面倒だったら
サクっと自作するからその手の惨めな思いは想像つかないな

いくら野良犬エンジニアでもそのくらいできるだろ?
154774ワット発電中さん:2006/03/17(金) 17:09:32 ID:MXQy5FuN
まあ u0Qs5nmZ はまともじゃないわな
155774ワット発電中さん:2006/03/17(金) 17:27:57 ID:u0Qs5nmZ
4は自作できねーだろ。ボケ
156飼い犬:2006/03/17(金) 17:48:03 ID:A35mi2Vq
PC4って公開されてる情報だけで十分中身の想像がつくから作れそうなものだけど?
確かに、実機があれば互換機作るの簡単だけど、実機がないところから
完全互換なものを作るのは難しいかもな。

つーか PC4って本家ザイリンクスのサイトでネット通販してるだろ。
これが買えないって、もしかしてクレジットカード作れない属性なのか?
157774ワット発電中さん:2006/03/17(金) 19:04:53 ID:u0Qs5nmZ
自称自営業なんて、世間様からは無職扱いだ。
158774ワット発電中さん:2006/03/17(金) 19:14:22 ID:u0Qs5nmZ
>確かに、実機があれば互換機作るの簡単だけど、

やってみれ。ボケやろうが。
CPLDなんだから実機あったってピーコできねーんだよ。
全ての動作状態をオシロで観察して、ステートマシンを推理しながら試作比較を
繰り返して、やっとモドキができるかどうかってところだろ。
そんな事に掛ける手間に何の意味もない。
159774ワット発電中さん:2006/03/17(金) 19:15:23 ID:UaShjycE
その自称自営業のおかげで大手企業の開発部門は楽をしているという現実があるのだが
160774ワット発電中さん:2006/03/17(金) 19:25:25 ID:1JY6KbnF
貧弱な通信環境しか用意できないような奴は、
74シリーズ&手半田で遊んでなさいってことでいいと思うよ
161774ワット発電中さん:2006/03/17(金) 19:45:31 ID:Lpyh0bFP
>>159

   大  正  解
162774ワット発電中さん:2006/03/17(金) 20:51:00 ID:ia1z0Scb
小杉ハゲチビデブ紅葉手
163159:2006/03/17(金) 21:32:15 ID:UaShjycE
>>161
わあい、賛同者がいた!やっぱりそうだよね! ね! ね!
164774ワット発電中さん:2006/03/17(金) 21:34:03 ID:sc8FQa8W
こんばんは。
FATAL_ERROR:Xst:Portability/export/Port_Main.h:127:1.13
このエラーの対処法をご存知の方いませんか?
ソフト:XSE 6.3i
OS:WinXP Pro
165774ワット発電中さん:2006/03/17(金) 21:43:59 ID:T5S7sqcm
>u0Qs5nmZ
そろそろこいつのあだ名決めるか
166774ワット発電中さん:2006/03/17(金) 21:58:31 ID:T5S7sqcm
>頻繁に上げてるが迷惑行為であること理解してるのかお前
>迷惑行為はアク禁対象だ。
>2chのルールも理解できない奴が著作権とは片腹痛いわ。
167774ワット発電中さん:2006/03/17(金) 22:02:55 ID:1o4V4qMA
>>165
ウホ でよいとオモ
168774ワット発電中さん:2006/03/18(土) 00:47:57 ID:9kMZpb5N
webinstall なら、選べて、サービスパックも自動で拾うしレジューム機能もあるって?
手動解凍してsetup.exe起動したら動いたけど、落とす分量が1.8GB!

ざっと400時間 電話代64000円か(鬱
169774ワット発電中さん:2006/03/18(土) 02:06:59 ID:/JSzewBO
>>166

以前から疑問なんですが、
(いや、ホントに疑問なんです、別に喧嘩売ってるわけではないんで許してください)

アゲることがどうして迷惑行為になるんでしょうか?
(ホントに素朴な疑問なんで怒らないでください)
170774ワット発電中さん:2006/03/18(土) 02:15:55 ID:9kMZpb5N
>169
世の中には基地害が居るってことだ。気にするな。
171774ワット発電中さん:2006/03/18(土) 02:53:09 ID:wtK5iw1t
>>168
ネットカフェいってダウンロードしてDVD-Rに焼きましょう。

最近のネットカフェってほんと凄いね、シャワーつきとかだし。
172774ワット発電中さん:2006/03/18(土) 03:09:15 ID:TkVZcC89
>ざっと400時間 電話代64000円か(鬱

電話代?いまどきダイアルアップ?アホかお前
いっぺん死ね。
こいつバカだ。ネットワーク環境変えるオツムもないらしい。
173774ワット発電中さん:2006/03/18(土) 03:10:12 ID:TkVZcC89
まぁ会社もリストラしたくなるような人材だな(大藁
174774ワット発電中さん:2006/03/18(土) 03:28:03 ID:9kMZpb5N
>171
ネットカフェって、エロサイト見ながらチンコしこしこして、精液ついた手でKBやマウス
触ってるんでしょ?
ドライブだってCD-Rが精精で、それもロクにメンテしていないから書けるかどうか
判らなくて、しかもメディアを店内で買わなければダメなんでしょ?

さらに、他の客とのトラブルが絶えず(音がうるさいの息がくさいの屁こいたの回線使いすぎるだのタバコのケムがウザイまで)

その上、入店する際に免許とかのピーコ取られるんでしょ?

一時間300円たって、実際いくらになるのか怖すぎです。
(飲み物頼まなきゃダメとか、フリードリンクだけどサンドイッチ食わなきゃだめとかイロイロるようですね)
175774ワット発電中さん:2006/03/18(土) 03:31:46 ID:9kMZpb5N
>172
高速モデムつかってまつ 28.8Kbps! メガヘルツの輸入物(リダイヤル制限ナシ!)
176774ワット発電中さん:2006/03/18(土) 03:32:53 ID:1yyB4YCu
どうでもいい変なレスおおいでつね。
177774ワット発電中さん:2006/03/18(土) 03:53:24 ID:wtK5iw1t
全国ネットカフェ・まんが喫茶一覧 ナビ マップ
http://www.cafeman.jp/
178774ワット発電中さん:2006/03/18(土) 04:24:02 ID:9kMZpb5N
>177
設備がさっぱりわからん。
入会金取られるみたいだね。
179774ワット発電中さん:2006/03/18(土) 06:52:55 ID:iv/E8mgu
ちょっと古いニュースだけど、
ttp://internet.watch.impress.co.jp/cda/news/2005/06/13/7980.html
この時点だと、けっこう繋がってない地域があったんだね。
今はどれぐらいなんでしょ。
180774ワット発電中さん:2006/03/18(土) 11:21:17 ID:CXWjWWPc
>>174

 自動改札のタッチするとこにべちょ塗りしてあったりすると凹む

 ATMの金入れる所は超凹んで係員呼んだら図書カードくれた
181774ワット発電中さん:2006/03/18(土) 12:09:58 ID:4V9/TXDq
ネットカフェくらいいっぺん行ってみりゃいいじゃん(;´Д`)
ガキンチョじゃあるまいし。
182774ワット発電中さん:2006/03/18(土) 14:12:00 ID:3MTeTrO6
webinstall がレジューム機能ありってのも嘘だった。
回線きれたら止まったママ。
再起動すると最初からやり直し。

>181
自動車や原付の免許持っていないんだよ。
183774ワット発電中さん:2006/03/18(土) 14:19:27 ID:quXGqeMl
キャッシュフォルダのサイズが小さいとそうなる
ダウンロードしたいファイルより十分大きなサイズを設定しておくが吉
サイズに余裕がないなら先に空にしておく
184774ワット発電中さん:2006/03/18(土) 14:40:57 ID:mvFmRObH
setup.exeを起動するとダウンロード用フォルダを空にしろと言われるよ。
200MB入っているがそこからの継続する術ななさそう。
185774ワット発電中さん:2006/03/18(土) 14:52:41 ID:mvFmRObH
ネットカフェってペンシルビルの中階にあることが多いね。
ヤクザとかのビルも結構有りそうだね。
下の階から火がでたらお陀仏だね。
186774ワット発電中さん:2006/03/18(土) 15:35:39 ID:nd5GJQ6P
だからさ。
自営で仕事してんだろ?
なんで光ひっぱって、IP電話にして通信費節約とか、
新聞代節約とか考えないかな?
いろんなスペックや資料入手するにしても、企業勤めしてればなんとかなるが、
自分で探さにゃならんのになんでダイアルアップなんだ?そっちの方が損だろ今時。
elektrodaなんかも知らんのだろな?
そもそも、なんでターゲットがweb版なんだ?そんなんじゃプロが使うのに不自由するだろ。
まぁ、ここではあんまり言わんが。

187774ワット発電中さん:2006/03/18(土) 15:48:26 ID:CXWjWWPc
いまどきダイヤルアップって石器時代だよな あげ
188774ワット発電中さん:2006/03/18(土) 18:10:47 ID:8aQ3pie6
サービスパックだとか、アップデートだとか、ワカンネー
189774ワット発電中さん:2006/03/19(日) 03:10:04 ID:J+nWMcrj
>>165
馬鹿で十分
190774ワット発電中さん:2006/03/19(日) 05:12:38 ID:aNemVTsL
>>165
社会不適合者で十分
191774ワット発電中さん:2006/03/19(日) 11:42:48 ID:MWMMaD0s
>>165

駅から徒歩七分
192774ワット発電中さん:2006/03/19(日) 12:18:57 ID:JrVu+qGP
>>165
背中まで45分
193774ワット発電中さん:2006/03/19(日) 12:23:25 ID:MWMMaD0s
背中まで45分 の検索結果 約 45,700 件中 1 - 50 件目 (0.25 秒)
194774ワット発電中さん:2006/03/19(日) 15:37:12 ID:bWT22Abs
生理すると、シングルファイルのサーバーは実はレジュームできる。
サービスパックとかは実はFTPサーバーにある。

ってことで総計1GBも落とせばすみそうです。見積もり100時間。
テレホでも2週間で終わる勘定だ。
195774ワット発電中さん:2006/03/19(日) 16:20:05 ID:QjdKKuK2
だから、光引けといっとるだろうが。
何回もキサマの糞環境の話を蒸し返すなヴぉけ
196774ワット発電中さん:2006/03/19(日) 16:53:14 ID:bWT22Abs
賃貸の集合住宅では無理。
それ以前にそもそも金が無い。
197774ワット発電中さん:2006/03/19(日) 17:00:11 ID:9xJZ96rg
>>195
おまえの家に招待してやれ。
198774ワット発電中さん:2006/03/19(日) 17:21:27 ID:Juhbgj6u
金がないことを誇られても困るんだがなw
199774ワット発電中さん:2006/03/19(日) 21:35:41 ID:4n6DuFjM
金がない相手とは取引しない/できない
それは資本主義だろうが共産主義だろうが同じなんだな

うだうだ言ってないでまずは金をつくれ
200774ワット発電中さん:2006/03/19(日) 23:21:32 ID:bWT22Abs
貧乏人が金をつくることは出来ません。
日々の生活に終われているので、貯蓄ができません。
生活保護貰えば、貯蓄は可能な経済状況となりますが、貯蓄すると全部取られますから
結局貯蓄できません。

何か経済活動を開始しようとするなら、その種銭は、実際問題50万円くらいはないと
どうにも動けません。
201774ワット発電中さん:2006/03/19(日) 23:23:56 ID:g+32DgiO
ゆーせんのシャッチョさん、
光はいいから金をくれ
202774ワット発電中さん:2006/03/19(日) 23:42:41 ID:4n6DuFjM
贅沢言わずにまずはコンビニとか貸ビデオ屋とか居酒屋でバイトしろよ
そしたら50万くらいすぐ貯まるだろ
203774ワット発電中さん:2006/03/20(月) 00:30:16 ID:40EEjc+S
対人恐怖症の根っからの理系の爺に接客業なんか務まると思うか?
204774ワット発電中さん:2006/03/20(月) 02:36:17 ID:Gaav3WMQ
つ「頑固親父」
205774ワット発電中さん:2006/03/20(月) 03:23:58 ID:ef+4qPft
>>200
おまいの金がないのは,
なるべくしてなった結果だと確信したよ
206774ワット発電中さん:2006/03/20(月) 06:37:03 ID:qrouMWRh
賃貸の集合住宅でも、大家と交渉すれば導入できる例を
俺は少なくとも3つ知ってるのだがね。
207774ワット発電中さん:2006/03/20(月) 07:07:20 ID:eFX4JvY9
大家と交渉できる程度の対人コミュニケーション能力があったら
代理店にディスクを送らせるくらい余裕のはずだ

対人恐怖症って罪深い病気だな
208774ワット発電中さん:2006/03/20(月) 09:54:24 ID:WO7R7elg
例えばマンションでもフロアが下の場合とか一戸建て契約ってできないのか?
俺とこは一戸建てだが、人の乗るクレーンを持ってきて、電柱からササーっと引いてた。
引き込みは"壁に穴を開けたい"と言われたが、クーラーのダクト穴から引いてくれと強硬にお願いした。
クーラーのダクト穴にはパテが詰まってるのだが、細長い棒のようなものを突き刺して難なく完了。
これならマンションとかでもベランダの手すりとクーラーダクト穴を使えば、建物に手を加えることなく
工事完了すると思うのだが?
マンション契約とかの兼ね合いがるから嫌がるのかな?
209774ワット発電中さん:2006/03/20(月) 09:54:51 ID:AIC0TICw
代理店に冷たくされることって、本当にあるか?
自営業だろうと、零細だろうと、個人事業者だろうと、学生だろうと、
会社名(や学校名)と住所と電話番号と名前と何をやってるかを伝えれば、
ちゃんと対応してくれるだろ。
定年退職後の無職だって、適当な個人事業者にしておけばいいし。
代理店にもよるだろうけど、3つあたれば1つは返事がもらえるはず。

それを、冷たくされたとか無視されたって奴は、伝えるべきことを
個人情報だからとか屁理屈こねて、伝えなかったんじゃない?

そりゃ、XILINXもALTERAも、匿名の名無しさんには無償評価ツールを使わせたくないわな。
こちらの情報を提供せずに、タダでくれ、っていうほうがおこがましい。
以前、XのWebサイトに、ちゃんと住所氏名などを正しく入れたら、海外からCD-ROMを送ってくれたぞ。

誰にでもCD-ROMを送ってくれるとおもうけど、
こういう素人が→ http://science4.2ch.net/test/read.cgi/denki/1110411248/838
いちばん、嫌なタイプだと思う。
俺が代理店なら、即効で電話切る。
ツールを使わせてほしければ、ちゃんとこちらの情報を出すのが、社会人としてのマナーだろう。
210774ワット発電中さん:2006/03/20(月) 10:41:28 ID:WDjR0rOU
相手選んで電話切れるなんて、うらやましいな
211774ワット発電中さん:2006/03/20(月) 11:01:57 ID:AIC0TICw
客「あのー、XILINXのチップと、評価版CDをほしいんですが・・・」
代「有難うございます。御社名をお伺いしたいのですが」
客「ええと、あの、個人なので」
代「ご安心くださいうちでは個人のお客様でもお取引させて頂いております。
それでは、お名前と、○○と、・・○○とをお伺いしたいのですが」
客「ちょっとそれはいいたくないんですけど・・」
代「折り返しおかけ直しいたしますので、少々お待ち下さい」
212774ワット発電中さん:2006/03/20(月) 11:12:02 ID:h/YZ0ksM
ハゲは接客業に向いているの?
213774ワット発電中さん:2006/03/20(月) 11:25:38 ID:WDjR0rOU
即効で切ってないじゃんw
214774ワット発電中さん:2006/03/20(月) 12:32:51 ID:AIC0TICw
うっせーハゲ! ガチャン
215774ワット発電中さん:2006/03/20(月) 13:22:08 ID:40EEjc+S
おい、罪のEDKってなんですか? 無料で使えるの? 意味あるの?
216774ワット発電中さん:2006/03/20(月) 13:30:47 ID:40EEjc+S
>213
そーゆー判りやすい事はやらないよ。代理店。
いや、以前はそーだったし、今だって国産メーカーなんかそんなもんだ。

電話とかメールとかではその都度、テキトーな回答がくる。
けど行動は全然別。見積もり書もらったって、金額と品名以外のことは全部反故にされる。
これって「ウチを使ってくれるな!」ってメッセージだよな。

クレームつけるにしても証拠がないわけだよ。
217774ワット発電中さん:2006/03/20(月) 13:38:42 ID:WDjR0rOU
>>216
おれもフツーそうだと思うんだけど、>>209とかは電話口でガチャンしてそうだったから、
単に職場としてうらやましかったんだよ
218774ワット発電中さん:2006/03/20(月) 13:40:58 ID:WDjR0rOU
EDKってサンプルボードをフラッシュからコンフィグ起動させるのに必要なんだっけか
219774ワット発電中さん:2006/03/20(月) 13:42:35 ID:AIC0TICw
1ヶ月とかの期限付きバージョンなら、無料で送ってもらえたと思うが。
展示会とか、こまめに回ればきっといいことあるよ。
その結果、なんだかEDK7の評価版が手元にある。使ったことないけど。

別に個人でも学生でも購入できるよ。
2次代理店に回される可能性はあるけど、ちゃんと購入できるよ。
そんなに高くならないし、納期も同じようなもの。
要は、人との会話ができて、意思をちゃんと伝えられれば、それでOK。
でも、千●とか秋●みたいな通販とは違って、相手はプロ相手の商売だから、態度は悪いかも。
こちらも素人っぽさを見せないことが大事。
220774ワット発電中さん:2006/03/20(月) 14:08:38 ID:WO7R7elg
前にある代理店に個人名でメールで問い合わせしたら、
バカ女が、XX万円ですが購入できますか?なんて失礼極まりないメールよこしやがった。
後日会社名を名乗って、そのバカ女に謝りにこさせてやった。そいつの上司と一緒に来たんだが、
そのメールを打ち出して持っていって、その上司に、
これを外部に送信する許可したのかどうかネチネチ突っ込んでやった。
代理店なんてのはゴミしか修飾しないし、権威主義的性格そのものだぜ。
221774ワット発電中さん:2006/03/20(月) 14:15:44 ID:40EEjc+S
目の前にあるのに、そんな対応ですか。
222774ワット発電中さん:2006/03/20(月) 14:18:42 ID:40EEjc+S
218,219
ありがと。漏れには無用らしい。

223774ワット発電中さん:2006/03/20(月) 14:30:22 ID:AIC0TICw
素人っぽさを見せたんじゃないか?
個人名とはいえど、玄人っぽいメールで問い合わせないと駄目だろ。
まあ、有名な会社の人だけどメールの文章は中学生みたい、って人って多いからね。

しかし、呼び出してネチネチやるなんて、社会人としてのマナーに欠けるな。
FPGAってのは、なんてゆーか、セレブのみにゆるされた崇高な回路設計だろ。
224774ワット発電中さん:2006/03/20(月) 14:36:25 ID:WO7R7elg
>>223
イヤなら拒否すればいいんだよ。別に来る必要はない。
じゃ。そうしてどーなるかを先方が判断しただけ。
一体どこがマナー違反だ?アホが。
225774ワット発電中さん:2006/03/20(月) 16:11:27 ID:40EEjc+S
結局 mig sysgen accelDSP は 別料金か?
226774ワット発電中さん:2006/03/20(月) 19:29:47 ID:eFX4JvY9
EDKってVirtex2Pro/4に載ってるPowerPCのソフト開発環境だろ?
227774ワット発電中さん:2006/03/20(月) 20:21:53 ID:40EEjc+S
え゛ だったらホスイ。
最低幾らかかるんだろか
228774ワット発電中さん:2006/03/20(月) 20:28:25 ID:40EEjc+S
なんかメインは MicroBlaze みたいだね。
229774ワット発電中さん:2006/03/20(月) 20:33:43 ID:eFX4JvY9
値段はあってないようなもの
年度末はよくキャンペーンと称して安売りしてるから代理店に聞いてみな

キャンペーンの例
http://ppg.teldevice.co.jp/m_campaigm/bundle/index.htm
230774ワット発電中さん:2006/03/20(月) 22:37:54 ID:OVHPPisN
>>164
まだいますか?
今日僕もそのエラーがでたので代理店聞いてみます。
ちなみにISE8.1 WinXP SP2
231774ワット発電中さん:2006/03/20(月) 23:25:47 ID:FC/EVSG7
>165
粗珍で十分
232774ワット発電中さん:2006/03/21(火) 03:02:23 ID:c33qZp7G
>>220

おまえか!
女子社員辞めましたよ。
233774ワット発電中さん:2006/03/21(火) 10:19:17 ID:fG+Gh6Sz
四月に8.2iってマジ?
ちょいと前に8.1iになったばかりのような希ガス
これって製品版買った場合、バージョンアップとかできるのかな?
234774ワット発電中さん:2006/03/21(火) 10:29:40 ID:oFmzfyV/
保守期間内ならバージョンアップできるよ
235774ワット発電中さん:2006/03/21(火) 14:08:22 ID:ZH03on80
>>220
わざわざ個人名でメールしたくせに、会社の権威に頼るなんて最低だな。

>>233
マジかどうかは知らないけど、実際つかっていて不便なところとか
不具合とかが目立つので、バージョンアップは歓迎です。
(更なる不具合が出そうな気もするが…)

それに出たのは昨年末だからマイナーバージョンとしては時期的に
それほど急ってこともないのでは?
236774ワット発電中さん:2006/03/21(火) 22:38:08 ID:fG+Gh6Sz
>>>220
>わざわざ個人名でメールしたくせに、会社の権威に頼るなんて最低だな。
ふん。
個人なら糞みそに応対して、大企業なら尻尾振るような応対するほうがよっぽどカスだ
237774ワット発電中さん:2006/03/21(火) 22:56:44 ID:Vdbdkz3I
個人でも普通に対応してくれるよ。
よほど幼稚っぽい言葉遣いでメールなり電話したんじゃね
238774ワット発電中さん:2006/03/21(火) 23:17:36 ID:16S2c5r/
カスだとか、呼びつけただとか、怖い人だね。
たかだかそんなことで呼びつけるなんて、たとえ思ってはいても実行するなんて
とても考えられない。こういう人にカスだとか言われても、あんたに言われたくないなあ、
って思うよなあ。
まあ、穏やかにいこうよ。
239774ワット発電中さん:2006/03/21(火) 23:54:01 ID:Uw/i21yQ
代理店は、タクシー運転手となんら変わらん。
コツコツ小さい仕事を積み重ねるなんて気は全くない。
どんどん減りつづける一方のドカンと大きな仕事を求めつづけている。

タクシーなんか、増えすぎて商売にならん!なんて言ってるけどさ、県道とかで見てても
流しのタクシーなんか全然見ないもんね。
駅の近くとかにたむろして昼寝しているだよ。
240774ワット発電中さん:2006/03/22(水) 00:58:27 ID:uAma/oiV
春休みだからと言って遊びすぎだよ。
241774ワット発電中さん:2006/03/22(水) 10:27:30 ID:OoD8MjKn
>>223
禿銅
242774ワット発電中さん:2006/03/22(水) 18:39:41 ID:kvUz9IYc
罪に、日本の国内代理店を全部契約解除汁! と進言すっか。
243774ワット発電中さん:2006/03/23(木) 00:31:04 ID:p7is/DhP
日本の商習慣が崩壊して、あらゆる商品の中間経費がなくなってるのに、
海外製の電子デバイスだけはいまだに糞代理店経由だからな。
自社で、日本法人立ち上げて、販売すればいいじゃん。
できてすぐのベンチャーならむりかもしれんが、Xiや寺ぐらいならできるだろ。
244774ワット発電中さん:2006/03/23(木) 02:52:15 ID:G6T3nbX4
いや、日本法人すらいらないはずだよ。
国内に製造現場が無いんだからさ。
アマチュアや零細事業者あいてなら、アメリカからの通販で十分対応可能。
245774ワット発電中さん:2006/03/23(木) 03:49:58 ID:6LZk78qU
実際XIの方は代理店なんか経由しなくても買えますが
ALの方は買えませんね
246774ワット発電中さん:2006/03/23(木) 04:09:56 ID:G6T3nbX4
零細事業者やアマチュアがこれからアルテラを始める事にどんなメリットがあるだろうか?(反語)
247774ワット発電中さん:2006/03/23(木) 07:07:07 ID:3Ouc1rVd
>>244
>国内に製造現場が無いんだからさ。
これはどういう意味?
FPGAそのものの製造現場が日本国内にない?
エプソンとか富士通の工場で作っているものもあったんじゃないかと。
FPGAを使った大企業/中小零細企業の製品の製造現場が日本国内にない?
いくらなんでもそんなことはないしなあ。
248774ワット発電中さん:2006/03/23(木) 09:35:31 ID:OZb46x6S
>>244
誰がアマチュアの話をしとんねん。
日本法人がなければ、デバイスそのものに不備があった場合でも、
国内法がまったく適用できない。装置メーカとしては泣き寝入りだ。
確かに一台だけなら、装置メーカ側で想定される全ての試験を実施して
100%責任を負うのは別に構わないが量産の場合、個々の部品メーカ
の全数の試験なんか到底できない。日本法人は必須だ。
249230:2006/03/23(木) 15:58:47 ID:pw4xaP4h
>>164
内部エラーだから対応策わかんない、って回答もらったよ
orz
250774ワット発電中さん:2006/03/23(木) 18:46:24 ID:/Hy9vvfG
>248
生産国の現地法人同士でやってもらえばいいだろ。
実際、現状の日本法人なんかあったて、パーツの欠陥で問題おこしたところで賠償される可能性ないじゃん。

それ以前に日本国内に生産現場なんかネー
251774ワット発電中さん:2006/03/23(木) 20:35:45 ID:OZb46x6S
>パーツの欠陥で問題おこしたところで賠償される可能性ないじゃん。
はぁ?
ユーザは装置メーカに賠償を求めるだろうが、装置メーカはパーツメーカに対して賠償請求できる。
なんで日本国内に生産現場が必要なんだ。そんなもんは一切必要ない。
日本法人さえあれば、そこが日本国内販売品に対して責任を負うんだ。
252774ワット発電中さん:2006/03/23(木) 20:37:54 ID:OZb46x6S
日本法人を持ってない小さい会社はその代わりに代理店なり商社なりが責任を負う。
253774ワット発電中さん:2006/03/23(木) 21:57:03 ID:B+LgrMwq
コピーガードいじったりするのが燃えそう。
254774ワット発電中さん:2006/03/23(木) 23:20:16 ID:5V/GfCNE
>>220
まぁ新人は、どこでもそんなもんさ。
謝りに来ただけいいじゃん。

某代理店のセミナーとか行くと、タダ版しか使ってないくせに文句ばっか垂れてる個人とか多いしな。
むかつく理由もわからんでもない。

255774ワット発電中さん:2006/03/24(金) 01:23:17 ID:Svur396Y
そろそろレベルの低い代理店話は終わりにしないか?
春だから仕方がない部分もあるが流石にいい加減うんざり。
256774ワット発電中さん:2006/03/24(金) 01:50:28 ID:JA8+5asi
定期的に現れるんだよね代理店ネタ。
団塊様はしつこくて逝かん。
257774ワット発電中さん:2006/03/24(金) 02:00:49 ID:2sVUY9em
QuartusII5.1SP2が出たからうpしろよ。
258774ワット発電中さん:2006/03/24(金) 12:05:36 ID:ql94tv1g
春だからじゃないだろ。
プロだったら、代理店に話が及んで当然だ。
おあそびでやってるならウザイと思うだろうが、
彼らと付き合う上でここで書かれた情報は有益だ。
259774ワット発電中さん:2006/03/24(金) 15:32:07 ID:/0o3CWpF
ところで、皆さんはFPGAの中だけ設計してます?
自分は基板全体を設計してるんですが、XilinxのSpartan-3やVirtexの
電源のパスコンは悩むところです。

xapp623を読むと、Vccauxは1ピンに1個、VccintとVccioはゲートの
使用率やIOの使用率に応じて算出となっています。
でも、これだとパスコンてんこ盛りになってしまいますよね。
パターン設計の担当からも減らしてくれと言われます。

皆さんはどうしています?
260774ワット発電中さん:2006/03/24(金) 15:54:21 ID:/ucQRKyG
これ以上は減らせません。
パスコン減らさないと業者変えるぞ。もっと経済設計を心がけてくれ。

0.1μのパスコン10個をまとめて1μの1個にしろと、チーフコンサルタントからFAXが・・



261774ワット発電中さん:2006/03/24(金) 16:02:20 ID:M2iHnAhr
その有害なチーフコンサルタントにどれくらい金を払っているの?
262774ワット発電中さん:2006/03/24(金) 16:53:44 ID:I0Mk+V98
>>260
仕事中に吹いちゃったじゃねーかよw
ネタでしょ?
263774ワット発電中さん:2006/03/24(金) 18:04:10 ID:vxGR0jAy
>>259
その資料の意味するところを理解できないのか?

パーツが誤動作しようとも、補償なんかしませんよ。って意思表示だよ。
現地法人があれば賠償してもらえるとか言ってる馬鹿がいたけど、そんな気は
全くないことの証明でもある。

意地で指示どおりにパスコン入れとくんだな。万一の奇跡に備えて。
264774ワット発電中さん:2006/03/24(金) 22:10:41 ID:HmZrWNwd
>賠償してもらえるとか言ってる馬鹿

ギ ャ ハ ハ ハ ハ ハ 
コイツアホだ。
賠償してもらえるだってよ、マヌケ。
訴訟起こすんだよ。バカ。

相手が確定してなければ訴訟を起こすこともできんだろが
そんな基本もわからんのか。

カ   ス
265774ワット発電中さん:2006/03/24(金) 22:31:59 ID:R47UR8S1
>>260
その発想は無かった。
266774ワット発電中さん:2006/03/24(金) 22:44:13 ID:XHv80ft8
>訴訟起こすんだよ。バカ。

起こすだけなら出来るけど、目的はなんだろ。
うさばらし?

賠償もくてきじゃないんだおね
267774ワット発電中さん:2006/03/24(金) 22:47:29 ID:2T28QO97
まあバカとかマヌケとかカスとか書いて来る人はスルー推奨
268774ワット発電中さん:2006/03/24(金) 22:54:05 ID:oYyJj9W/
貴様らまとめてRoHs指令違反だ。よって製造中止。
ぎゃはははははははははははははははははははは
(^O^)








みろ!FPGAヲタがゴミのようだ!!
269774ワット発電中さん:2006/03/24(金) 23:06:03 ID:/0o3CWpF
なんつーか、二言目には補償だとか賠償だとか…技術者としてどうかと思うぞ。
不必要とは言わんが、技術的な話題が出ないというのは、どういうことよ。

で、パスコンというと0.1μFが魔法のお札のように使われてるみたいだけど
うちの場合、FPGAには0.01μFと0.1μFなどいくつか組み合わせて使ってます。

でも、ムラタのチップセキセラ、F特のグラフが揃ってないから、この組み合わせで
カバーできてるのかいまいち分からないんですよね。
それとも自分が探しきれていないだけで、データあったりします?
270774ワット発電中さん:2006/03/24(金) 23:08:04 ID:cRTNnfcY
>>260
FAX使ってコンサルティングしてくるのか。
271774ワット発電中さん:2006/03/24(金) 23:25:17 ID:YNqGTuza
>>270
ヒント:パスコン→鉄筋に読み替えろ
272774ワット発電中さん:2006/03/24(金) 23:28:00 ID:tHEPnkTB
キティちゃんキモス
273774ワット発電中さん:2006/03/24(金) 23:48:33 ID:g2kru1ku
>269
MCSILでは足りないということ?
中身はホームページのデータ集よりは充実してるけど。
ttp://www.murata.co.jp/designlib/mcsil.html

FPGAは0.01μ + 1μかなぁ。むしろ0.1μは出番ない。
274774ワット発電中さん:2006/03/25(土) 02:26:08 ID:EA3bDj4b
四層にすれば済む話じゃないのか?
275774ワット発電中さん:2006/03/25(土) 03:27:58 ID:gMxoxBdO
>四層にすれば済む話じゃないのか?

ば〜か!

あーあ。
276774ワット発電中さん:2006/03/25(土) 08:22:54 ID:IgGa1bcR
>>ID:EA3bDj4b
有害チーフコンサル 降臨!!

ふるえるぞ無知! もえつきるほど有害!!
277774ワット発電中さん:2006/03/25(土) 10:06:30 ID:ARmP833m
うちは1500ピンクラスだけど、0.01uF(0603)、0.1uF(1005)、1.0uF(1608)です。
とりあえず、1ピン一本とかで回路図書いて、基板屋さんと管面見ながら
調整しています。

一回パスコン決めちゃうと、同じデバイスなら次の基板「だいたい同じ感じで」
って指示してる。

容量毎に大きさかえるのは、そうしないと実装屋さんが「間違って実装しても
わからないよ〜」って言ってくるからです。
278774ワット発電中さん:2006/03/25(土) 11:00:10 ID:E9+0cC/J
全面ボールで20グリッドを越すパッケージデバイスを四層でなぁ。
真ん中の方にあるI/Oは使うのを諦めろってか。(w
279774ワット発電中さん:2006/03/25(土) 13:45:04 ID:gMxoxBdO
>278
なにいってんの。ジャンパー飛ばすだけですよ。
280774ワット発電中さん:2006/03/25(土) 21:09:23 ID:RQ3v8Jog
両面にBGAあれば配線楽だよな
281774ワット発電中さん:2006/03/26(日) 01:09:58 ID:YzwtBbQm
90日で作れて、一ヶ月くらいの間に30人くらいに3000円で売れそうな
スパルタン3s50のアプリってなんかない?
282774ワット発電中さん:2006/03/26(日) 02:31:48 ID:dJhAc4ID
ロジアナくらいなら作れるんで内科医?
283774ワット発電中さん:2006/03/26(日) 02:47:43 ID:/qxVmH7C
時節柄、新人向けのデジタルテスター自作キット
8bitのADと液晶とスイッチ類付けて、原価1000円くらいに納まるかな?
284774ワット発電中さん:2006/03/26(日) 05:13:01 ID:AZviFipa
>>283
液晶だけで700〜1000円する………。

PIC16F88か16F877Aの10bitADを8bitだけ使って、100円電卓のキーをスイッチICで叩けば安くいけるかも?
285774ワット発電中さん:2006/03/26(日) 14:53:51 ID:XvAk6R5p
>>284

それいただき
286774ワット発電中さん:2006/03/26(日) 15:23:57 ID:jc7l+7ZG
それならPGAといっしょになってしまう。
ブラインドスルーホールで、中間層から引き出すからおいしいのに
287774ワット発電中さん:2006/03/26(日) 15:56:51 ID:czKlOdMy
>ブラインドスルーホールで、中間層から引き出すからおいしいのに

つまり、経年劣化でパターンが断線して動作しなくなるが、そんなの
X線写真でもとって解析しなけりゃバレないから、売上増進!

288774ワット発電中さん:2006/03/26(日) 16:17:40 ID:+Mg9xYAx
ブラインドViaが一般的じゃないのか?
どの辺りで流行ってる言い方なんだろう?>ブラインドスルーホール
289774ワット発電中さん:2006/03/26(日) 21:54:27 ID:L4vSmRF5
>>287
経年劣化ではない。タイマーだ。
290774ワット発電中さん:2006/03/26(日) 22:03:03 ID:mxWIO1Qx
糞ニー大麻だな
ブゲラ

ところで、







池田大作が死んだそうだな 夜勤が言ってた
後継者争いが激化しそうだ。
だが、創は遺憾崎
291774ワット発電中さん:2006/03/27(月) 00:03:54 ID:XbPf45P/
それはめでたいと思って新聞社のネット記事見たけど載ってないぞ。
小篠綾子のお悔やみだけだ。

糞 宗 教 と 朝 鮮 総 連に 破 防 法 を 適 用 し ろ !
292774ワット発電中さん:2006/03/27(月) 01:18:35 ID:DR48bT/h
死去 池田大作 の検索結果のうち 日本語のページ 約 15,800 件中 1 - 10 件目 (0.35 秒)
293774ワット発電中さん:2006/03/27(月) 01:19:43 ID:DR48bT/h
検索して判ったけど、このオサーン何度も死んでるな。
294774ワット発電中さん:2006/03/27(月) 01:33:34 ID:ZGA/AhFS
クローンを実践してる宗教団体が日本にあるって聞いたような、聞かないような・・・
295774ワット発電中さん:2006/03/27(月) 03:05:32 ID:d8nHYuk1
うほっ、3人目どころではないのか
296774ワット発電中さん:2006/03/27(月) 03:14:11 ID:4K29AyUS
私が死んでも代わりはいるもの
297774ワット発電中さん:2006/03/27(月) 06:50:58 ID:JWGRo2jb
そろそろ日本の象徴にもクローン技術を導入するよ
元号変えるだけでも経済的損失でかいからね
298774ワット発電中さん:2006/03/27(月) 06:53:39 ID:mw7LL0LR
それなら象徴廃止がいいな
299774ワット発電中さん:2006/03/27(月) 13:04:31 ID:4Zn3VanW
ザイのISE8.1を使ってるんだけど、たまに下位モジュールがないというエラーが出て
インプリメントができなくなる。
ちゃんと下位モジュールはあるし、ちょっと前までちゃんとインプリメントできていたのに・・・。
その都度新しいプロジェクト作り直してもとの回路ファイルをコピーするとインプリメントできるようになるんだけど、結構頻繁に起こるので、めんどくさい。
もっと簡単な対策方法ってない?
300774ワット発電中さん:2006/03/27(月) 13:09:46 ID:RMbI25tI
8.1iが8.1iSP33位になるまで待つか7.1iに戻る
301774ワット発電中さん:2006/03/27(月) 13:28:43 ID:4K29AyUS
罪のゑ部パックはVupの度に不具合入れまくりだなー
未だに7.1使わないと怖くてやってれん
302774ワット発電中さん:2006/03/27(月) 14:43:38 ID:ETVpTo4C
俺なんかISE6だ。Spa3Eが開発できない。使わないからいいけど。
303774ワット発電中さん:2006/03/27(月) 16:11:44 ID:gpSuMLts
Spa3Eいいね
代理店通さずに買えればもっといい
304774ワット発電中さん:2006/03/27(月) 17:35:04 ID:kl4z+9S4
Digikeyとかじゃ
まだ扱ってないん?>スパルたん3E
305774ワット発電中さん:2006/03/27(月) 18:14:56 ID:nX9+uiwb
>>299
左上のツリーから、エラーになるファイルをいったん削除、
再度Addで追加すればOK。
306774ワット発電中さん:2006/03/27(月) 21:26:58 ID:RMbI25tI
Sun、UltraSPARC T1プロセッサの設計情報を無償公開

今後、無償のVerilogシミュレータや、組み込みデバイス向け
シングルコアCPUがサードパーティーから提供される予定。

うほっ!いい無償公開!
やらないか?
307774ワット発電中さん:2006/03/27(月) 21:31:02 ID:mw7LL0LR
キタ━━━(゚∀゚)━━━!!!!
オリジナルSPARC、作るよ〜
308電脳師:2006/03/28(火) 00:31:50 ID:qgNNhSS+
>306
ぼぐもやりたい、貼ってくらはい
309774ワット発電中さん:2006/03/28(火) 01:59:04 ID:aS1iowAb
わしらが入手可能なチップに入るのかのう・・・
310774ワット発電中さん:2006/03/28(火) 02:28:26 ID:glwLbiO9
2MくらいのFPGAなら入るんじゃね?
311774ワット発電中さん:2006/03/28(火) 12:12:43 ID:hLvzjWEx
PARC位にすれば入るんじゃね?
312774ワット発電中さん:2006/03/28(火) 14:57:51 ID:i75nZKpP
突然の書き込みで申し訳ございません。

ALTERA APEX EP20K160EQC240-2X
で1.8V-0V の制御信号を出力する事は可能でしょうか?
単純に電源1.8Vまで落とすと、出力させる事ができません。
QUARTUS2 Ver.5.1を使用しています。
他デバイスでは、電源を落とさずに出力振幅を変える方法が
あった気がするのですが…
ご存知の方がいらっしゃれば、教えていただけませんでしょうか?
313774ワット発電中さん:2006/03/28(火) 15:18:34 ID:KQSf5m8K
>>305
サンクス。
今日のところはまだ症状が出て無いけど
出たら試してみる。感謝。
314774ワット発電中さん:2006/03/28(火) 15:33:07 ID:zt+zW7tX
>>312
いまいち言ってることがわからん
特にここ
>単純に電源1.8Vまで落とすと、出力させる事ができません。

APEX20KEファミリなんだからそもそもコア電圧は1.8Vだよな。
I/O電圧も3.3V 2.5V 1.8Vが選択できるぞ。

それともI/O用電源を3.3Vか2.5Vにしたまま1.8Vを出力したいのか?
そりゃ無理だろ。抵抗で分圧でもすればいいんでないの??
315774ワット発電中さん:2006/03/28(火) 16:49:21 ID:hLvzjWEx
なんで日本語が不自由なんだろう?

316774ワット発電中さん:2006/03/28(火) 18:07:51 ID:OjRL/k9z
チョンだから〜
317774ワット発電中さん:2006/03/28(火) 18:48:22 ID:golBH0qx
>>312
>電源を落とさずに出力振幅を変える方法が
えっ? VCCIOと関係無く出力電圧可変できるような FPGA があるの?
どこのメーカーのどんなFPGAか、まじで教えてくれ。
318774ワット発電中さん:2006/03/28(火) 20:37:28 ID:AkKTxzCa
0-1.8Vの可変ならPWMでやれば出来そうだが、
どうもそういうことで悩んでるわけではなさそうだなw
319774ワット発電中さん:2006/03/28(火) 21:51:52 ID:g1p8MgKj
>>312
まずは「電源を落とさずに出力振幅を変える方法があった気がする」
の事実をきちんと確認するところからですな。
趣味じゃなくて仕事なんだろうし。

安直に出力電圧を変えたければ、I/Oをオープンドレイン設定にして
プルアップ先の電圧をスイッチで切り替えるという方法もある。
320774ワット発電中さん:2006/03/28(火) 23:31:23 ID:aNb7FdVm
>>319
それじゃ、速度がでねぇべさ・・・・。
321774ワット発電中さん:2006/03/28(火) 23:46:08 ID:SG00JF7U
>>320
元レスが具体的なスピードに言及していないのだから。
322774ワット発電中さん:2006/03/31(金) 00:37:01 ID:xHcOjZ51
>321
んだな。。。
323774ワット発電中さん:2006/03/31(金) 00:37:59 ID:xHcOjZ51
ISE8.2いつでんだ?
324774ワット発電中さん:2006/03/31(金) 14:09:59 ID:DGROfsXg
パルでもDVDでのWebPACK提供始まったね。
ttp://www.paltek.co.jp/newsletter/2006/03/0301_ise.htm

8.2になるのを待ってからの方がいいのかな?
前にDVDに焼いてくれとかここで言っていた人はダメもとでパルに頼んでみたらどうだろう。
325774ワット発電中さん:2006/03/31(金) 14:31:57 ID:RvHyNesS
>前にDVDに焼いてくれとかここで言っていた人はダメもとでパルに頼んでみたらどうだろう。

サーバーがレジュームに対応していたので、一月かかりで落としたよ。
326774ワット発電中さん:2006/03/31(金) 23:09:42 ID:MvmQRJdy
今日、秋葉原のイーガレージというお店? で、インフォホビーのNios CPUボードが展示してあった。
なんか、まだ開店準備中のような雰囲気でったが モーター回してデモボードがあり面白かった。
シーケンサボードのデモもやっていて、なんか異色なお店? て感じ。
ベンチャーを集めているみたい。 
327774ワット発電中さん:2006/03/31(金) 23:25:17 ID:MvmQRJdy
2度目の書込みです イーガレージのページ有った
http://egarage.shop2.makeshop.jp/
何かこれから初める模様?
328774ワット発電中さん:2006/03/31(金) 23:34:57 ID:ATLanK7m
スゲー
責任回避、権利主張、相手への制約 こんな規約同意できるわけねーっつの。
329774ワット発電中さん:2006/03/32(土) 02:23:38 ID:ImZKeRvR
>>323
バージョンアップしたらバグが減るのか、
バージョンアップしたらバグが増えるのか、
それが問題だ・・・
330774ワット発電中さん:2006/03/32(土) 05:09:50 ID:1C72M1Jl
機能が増えればバグは確実に増える
331774ワット発電中さん:2006/03/32(土) 09:05:22 ID:RsEGGI5q
>>328
パーツショップはどこもそんなもんじゃないの?
一方的な有利不利がある契約とか約束事ははなから無効なんじゃなかったかな?
332774ワット発電中さん:2006/03/32(土) 15:02:48 ID:nwMsc1Ja
エーガレってフリーズ150%の変な臭教団体みたいなの
333774ワット発電中さん:2006/03/32(土) 22:59:27 ID:xgyMPWb6
>>329
アルテラに乗り換えるのが確実(w
334774ワット発電中さん:2006/04/05(水) 12:25:58 ID:EiMumiU6
東京エレクトロンからこんなの着ました。

 ■ザイリンクス Spartan-3Eスタータキット販売開始しました。■
 ──────────────────────────────────

 開発ソフトウェア・プログラミング用USBケーブル・評価ボード・日本語マニュアル付
  
    -Spartan-3E 50万システムゲート(XC3S500E-4FG320C)搭載
    -FPGAプログラミング用USBケーブル
    -ユーザガイドハンドブック(日本語)
    -サンプルリソース 回路
    -電源アダプタ: 100-240V、50/60Hz*
    -無料のデザインツール ISE WebPACK 8.1i DVD付き
   
    HW-SPAR3E-SK-J \18,000(税込:\18,900)
    HW-SPAR3E-EDK  \33,000(税込:\34,650) EDK付き

今回は見送りかなぁ。前のあんまし使ってないし。

#某工場長の書き込みが活性化しそうな悪寒。
335774ワット発電中さん:2006/04/05(水) 13:05:16 ID:Ya/Xggct
閾値超えてるので買わない
買っても若欄のでネタになんない
336774ワット発電中さん:2006/04/05(水) 18:03:06 ID:Qry1a9e4
> 今回は見送りかなぁ。前のあんまし使ってないし

僕も同じ
337774ワット発電中さん:2006/04/05(水) 21:42:43 ID:E5rQ3k+g
基板がでかいんだよなぁ。
朱雀を見習え。
338774ワット発電中さん:2006/04/06(木) 00:26:38 ID:weYhPkC+
LEDチカチカだけじゃもったいないよな
339 ◆YMO/ALTERA :2006/04/06(木) 00:28:19 ID:SXog6Si8
ここで訊くのは擦れ違いと思いつつあえて訊く教えて呉
適切な擦れがあったら紹介を。

PC-FPGA基板間で、以下の要件を満たすインタフェイスを教えてちょ。
今使ってるのは1S10 場合によっては2Cあたりで基板起こすかも。

・ホスト側の細工が最小限(ホストドライバを牡蠣起こす必要がない)
・ターゲット側の実装が容易。論理だけで済めば超ラッキー
 小サイズの小亀基板で安いのがあれば…
・帯域が100MB/s以上絞り出せること。レイテンシはある程度可。

本命はGbE。安価なPHYモジュールがあればいいのだけど。
次点はUSB2.0ターゲットコントローラ3連装。ホストが重くてしにそう?

裏の本命は、素直にPCI接続でターゲットコントローラを実装すること!
・・・ヒマがないよう。
340774ワット発電中さん:2006/04/06(木) 01:42:41 ID:f2m1Ye9Z
>>339
HDDの振りしてSATA接続とかは?
データ転送も、ファイルとして扱えるし。
341774ワット発電中さん:2006/04/06(木) 11:48:03 ID:U0mWwxGr
GbEでやる場合 通信はUDP決めうちパケットを投げるつもり?
まじめにTCPを話させるには相当重いCPUが要るよ

裏の本命につっこみ
32bit/33MHzPCI接続で100MB/secは厳しいよ。
確かに理論値は133MB/secだけど実際は100MB/sec切ると考えた方がいい。
80MB/secぐらいでもいいのなら、PLXなりQuickPCIなり
既存のバスマスタコントローラを使うのが楽だと思う。
カード→ホストのデバイスドライバを書くのも
既存のコントローラなら雛形があるから楽。
342774ワット発電中さん:2006/04/06(木) 12:09:22 ID:OtjB1VGZ
新しい鳥探し機?
343774ワット発電中さん:2006/04/06(木) 15:18:10 ID:0L7RzDWP
X限定になるけど、PCI 64bit/66MHz+ロケットI/Oかな。

PCI XとかExpressはやったことがないので難易度はわからん。
344774ワット発電中さん:2006/04/07(金) 17:50:49 ID:0YPho+Vv
1394でいいやんけ
345774ワット発電中さん:2006/04/07(金) 18:55:17 ID:PjF4uDTt
>>339
鳥屋には知人にPCIの神様がいるだろ。
彼にキケ
346774ワット発電中さん:2006/04/08(土) 23:49:38 ID:mxQZ30a8
さざえさんの、イクラちゃん??
最近彼元気かな〜??
347774ワット発電中さん:2006/04/09(日) 00:12:19 ID:nAY16n8c
348774ワット発電中さん:2006/04/09(日) 01:25:46 ID:yjdJgPaS
>>339
PCI expressとかxauiとかかな
349774ワット発電中さん:2006/04/09(日) 02:20:33 ID:94/bhwIl
>>339
剤のアプリケーションノートを見る限り、LINUX+100メガイーサの
組み合わせをよく見るyo。

>>346
なにかしらんが、MPUだかDSPだかのASICを作ってるらしい。

鳥屋とタラちゃん、知り合いなの?
350774ワット発電中さん:2006/04/09(日) 03:58:55 ID:dET7HiYk
タラの芽の天ぷらサイコー
351774ワット発電中さん:2006/04/09(日) 07:58:00 ID:bkJmvhpP
>>349
FastEtherじゃ帯域が理論値でも12.5MB/sしか出ないから前提条件にミートしないじゃん
352 ◆YMO/ALTERA :2006/04/09(日) 08:16:08 ID:AU+Ai7jb
朝帰りの折れが来ますたよ

みんなありがとう。
一部にはお察しのとおり、DESという時代遅れの暗号エンコーダを
超高速で回すクラッキングツールで遊んでおります。へへ。
ずっとH/Wとは無関係の職場で働いてたのだけど、
H/W F/W ともに手がけてる職場に派遣で潜り込んで、
あれこれ経験を積んでる最中。こら、そこ、ノウハウを盗むゆーなー

師匠と話してて、VitesseのPHYはどーよ? との話も聞きつつ、
本業でWDMドライバもメンテしてるので、重い腰を上げて
PCIホストドライバ書いてみようかと。もちろんバスマスタ前提。

書き忘れてたのだけど、ホスト側にあまりCPUパワーを喰わせない
実装が好ましい。というのは、ホストも目一杯CPUをブン回す用途なので。

アイディアは止めどなく出てくるけど、手頃なデバイスが入手できないし
そもそも、稼業が火つきまくりで本業(笑)に手が回らない…
今日も昼には起きないと…うへへ
353774ワット発電中さん:2006/04/09(日) 08:30:23 ID:bkJmvhpP
>>352
そういう用途なら汎用FPGAじゃなくてipflexのDNAみたいな
DSP処理に特化したものの方がいいかもな 電力/処理能力の点で有利だぞ
354 ◆YMO/ALTERA :2006/04/09(日) 13:47:40 ID:AU+Ai7jb
起きた。ううう

>>353
Ipflexはとても興味ある。こないだI/F誌だかで紹介記事があったのを
読んだきりだけど、もしオンサイトで比較生成(つまり論理をその場で
生成・利用)が出来るんだったらすごく使い道が出てくるので、ぜひ。

今回搭載するエンコーダは論理演算とLUTの塊、すなわちモロ
FPGA向きで、いわゆるDSPアーキテクチャよりもむしろ
V4FXのよーなプロセッサコア内蔵FPGAの方が適してるかも。

電力は、現在利用してる1S10でも、システム全体でたぶん10Wそこらなので
同じ事をx86プロセッサでやるよりも電力効率が数百倍かな。

じつは評価用にV4FXのアレ借りてるんだけど手つかず棚の肥やし
ううう
355774ワット発電中さん:2006/04/10(月) 22:51:04 ID:b5NbONG7
時代はアナログFPGAじゃん♪
デジタルはモウふるい。いただけないよ。しかし。
水戸黄門じゃないけど、「助さん、角さん、も〜う、いいでしょう」
356774ワット発電中さん:2006/04/11(火) 00:06:17 ID:1GYijIEQ
低レベルな質問でスマソ。
テラのMAXUって、5Vは掛けられないよね?
でも今月トラ技のテラの広告に、5VOKみたいな表がでてるんだけど・・
これってミスプリ?
よりによってMAXUがおまけで付く号でミスプリとは・・・
357774ワット発電中さん:2006/04/11(火) 00:18:49 ID:XaKJlFkv
I/O入力の絶対最大定格は4.6V。だけど、
http://www.altera.co.jp/literature/hb/max2/max2_mii51008_j.pdf
の4ページの注意書きに、
「MAX II デバイスは、EPM1270 およびEPM2210 デバイスに外部抵抗および内
 部PCI クランプ・ダイオードを使用して、5.0 V 耐圧を実現できます。」

付録のEPM240にはダイオードが入っていない。
もっと大きいデバイスならできるってことでしょう。
358356:2006/04/11(火) 00:59:49 ID:1GYijIEQ
>>357
お、サンキュー。
359774ワット発電中さん:2006/04/11(火) 11:17:58 ID:gk1IBLUk
>>356は5Vトレラントを知らないのかな?
360774ワット発電中さん:2006/04/11(火) 21:30:56 ID:sL23e/XO
外部抵抗必須っての、めんどくさくね?
MAX3000あたりだと、3.3V電源で5V回路直結できたでしょ?

・・・記憶違いかな。
361774ワット発電中さん:2006/04/11(火) 22:03:31 ID:obvAWPya
>>360
双方向は無理。
362774ワット発電中さん:2006/04/11(火) 22:04:51 ID:obvAWPya
低レベルな質問すら、受け付けなくなったのかよ。
寺および、寺の代理店はよ〜お!あぁ?
まじめに仕事しろやゴルァ!
363774ワット発電中さん:2006/04/11(火) 22:07:41 ID:O1yGrZG7
代理店なんかあてにしないで、代理店のせいにしないで、まじめに仕事しろやゴルァ!

>低レベルな質問

ちなみに何?
364774ワット発電中さん:2006/04/11(火) 22:17:43 ID:obvAWPya
Quartus2でInternal Errorが解消しない。
対策ありますか?
365774ワット発電中さん:2006/04/11(火) 22:20:41 ID:obvAWPya
あと、Cycloneの1C20F400で、PLL2個同時使用すると
片方が動かなくなる。基板の問題かとおもって同じ基板で
片方づつ使用するデザインをダウンロードすると、それぞれ
は正常に動く。どうすりゃいいだよ?
366774ワット発電中さん:2006/04/11(火) 22:23:36 ID:obvAWPya
あと、もういっこ。
CycloneでHot Swapしてるんだけど、たまにぶっ壊れる。
確か、Hot  Swapに対応しているはずだよな?
どうなってんだと、小一時間といつめたい!
367774ワット発電中さん:2006/04/11(火) 23:02:35 ID:obvAWPya
ダウソケーブル(BB2)が、またぶっ壊れた。
もう、3本めだぜ。いい加減に1000回!
USBB高杉 5マソもしやがんの。。。
368774ワット発電中さん:2006/04/11(火) 23:51:12 ID:f067VJDl
何でも人のせいにするのはいかがな物かと。
10年位使ってるけどBB-MV現役ですよ。
壊れた事ないし。
最近はUSB-Blasterだけど。

あとPLLの件も基板の問題では?
2個同時だと電源が弱い為に動かなくなるとかね。

InternalErrorは何ともしがたい。
RTLの書き方を変える(余り大きいブロックを作らないとか)
SPを当てるとか、バージョンダウンしてみるとか。
369774ワット発電中さん:2006/04/12(水) 00:15:26 ID:aOeHidDr
ByteBlasterは、自分で直しましょう..って2か、中どうなってんだろ。
PCのFG取れてないと電源フィルタのYコンのせいで50Vぐらい出る。
うちの場合は、それでEPC2がよく壊れた。装置と共通のFGとってから無事故。

>PLL2個同時使用すると動かなくなる

"動かなくなる"が?だけど(出力なし?ジッタ?)

VCCA_PLLだっけ?電源どうなってます?
COREと共通だと高率でこけます。
RCで分離するか、フィルタを。
逆にフィルタの先のパスコンが少なく...とか。


>Hot Swapしてるんだけど、たまにぶっ壊れる。

Config中のI/OPinの状態どうでしょう?
Strong Lだとバスファイト...なんてのもありました。
全力でバックプレーンドライブすると電源Pad死にそう。





370774ワット発電中さん:2006/04/12(水) 00:21:31 ID:2iVGbZyx
クランプダイオードを生かしてるのも危険だね
信号線から電源へのルートができる
371774ワット発電中さん:2006/04/12(水) 10:45:42 ID:Kaqy/Ecu
低レベルがFPGAやってんじゃねーよ
372質問主:2006/04/12(水) 12:31:42 ID:/CBXK1fy
皆さん回答tnks!

そして371氏ねカス
373774ワット発電中さん:2006/04/12(水) 12:43:55 ID:mJKLQPAh
下げろカス
374774ワット発電中さん:2006/04/12(水) 12:57:18 ID:UYXWP9zp
>>371

カルさん乙です
カルさんのXC9564とかはFPGAじゃなくてCPLDです。
そういう時代なんです。
375774ワット発電中さん:2006/04/12(水) 15:27:20 ID:QNfSBgO5
軽いのいる?
376774ワット発電中さん:2006/04/12(水) 15:58:16 ID:0xQAMnEf
クランプダイオードや抵抗をつけるのと、
レベル変換ICを実装するのと、どっちが手っ取り早いかだね(w
377774ワット発電中さん:2006/04/13(木) 03:55:06 ID:O6WuzR9R
FPGAやる奴の方が低レベルな気がするお。
パソコンでカタカタ記述してメーカー提供のツールでデバッグ・・・。
全然クリエイティブじゃないただの生産者じゃんー。

やっぱ時代はアナログ高周波、これだね。
378774ワット発電中さん:2006/04/13(木) 04:08:32 ID:c3ZznGsV
やりたいことが実現できるなら,
簡単なほうがいいに決まってるw
379774ワット発電中さん:2006/04/13(木) 05:14:47 ID:iGDQz7N+
Cでロジックを記述するって、なんのメリットがあるのかと思ったら、
プログラムの重い部分をハード化して高速処理する
という活用があったんね。
初めて気づいたよ。
(やっよ終わった。寝よ・・・)
380774ワット発電中さん:2006/04/13(木) 07:24:29 ID:xT8TY8mf
>>379
検証の簡単化
という側面もあるジャマイカ?

漏れはうんちゃらCとかには興味ねーがな
381774ワット発電中さん:2006/04/13(木) 07:47:29 ID:/BEKd2+8
ちがうよ
HDL書けるデジタル土方がほとんどいない状況でCなら書ける土方を活用するためだよ
HDLを書けるエンジニアの人件費はCしか書けないエンジニアよりずっと高くつくのだ
382774ワット発電中さん:2006/04/13(木) 11:31:28 ID:zSY2dSVc
>>381
確かに、1人月単価、下手すると倍以上違うもんな・・・

純ソフト屋にHDLは書けるだろうか、って話しは(会社で)たまに上がるし。
383774ワット発電中さん:2006/04/13(木) 15:49:38 ID:G4nro2rB
そもそも「純ソフト屋」をどういう意味で言ってるのかな
「HDLを書けない香具師」のことを言ってるんだろ?
384774ワット発電中さん:2006/04/13(木) 16:30:48 ID:7ICcz2pu
そうですね。今のところ、>>380と、>>381が、業界が求めている
事ですね。

>>379の言っているとりあえずCで書いて、あとからHWとSWに振り分ける
てのもいっぱい研究されているけど、EDAのお金を握っている人から見ると
人月とかわかりやすい形での工数の削減のほうが重要だと思う。
385774ワット発電中さん:2006/04/13(木) 19:08:33 ID:RFmjzTq1
いや、Cで純ソフト書いたら勝手にHDLが生成されるのが理想っていう意味じゃないんかと
386774ワット発電中さん:2006/04/13(木) 19:29:53 ID:/BEKd2+8
Cで書いたZ80エミュレータのコードをつっこむと
Z80のRTLが合成される夢のツールを期待してるんだろうな
387 ◆YMO/ALTERA :2006/04/13(木) 23:24:25 ID:dDPo9max
いまファーム屋だけど
HDL屋にクラスチェンジしたくなったぞ!

…業務未経験でもおkの職場はいずこ…
388774ワット発電中さん:2006/04/13(木) 23:58:17 ID:WJaMEQU/
友達が spartan3 のスターターキット買ったときに
CPLD基板 ( XC2-XL ) とか言うのセットになってて、
いらないらしくて、もらってしまったんですが、

僕もこれを何に使って良いのか分かりません。

よくわからないんですが、メモリも乗ってないようだし、
シリアルも付いてないみたいだし、この基板単体だと、
なにしていいのか分かんないですね。

なにすればいいんでしょうね。
389774ワット発電中さん:2006/04/14(金) 00:02:32 ID:uQVd3hUK
388
イラネ
390774ワット発電中さん:2006/04/14(金) 00:07:53 ID:BgAJA9eY
>>388
ヤフオクに出品する。
391774ワット発電中さん:2006/04/14(金) 00:38:05 ID:Vv60y6km
俺は未経験でも取ってもらった。がんがれ。

>>388
メモリを作ってみたりシリアルを作ってみたりするのさ。
いっそのことシリアルメモリを作ってしまうがいいさ。

>純ソフト屋
FFを知らない人。
392774ワット発電中さん:2006/04/14(金) 01:33:36 ID:7/YryaYo
ある意味DQもFFっぽい。
393774ワット発電中さん:2006/04/14(金) 04:50:29 ID:m6yS1GEp
ドラクエとファイナルファンタジーですか
394774ワット発電中さん:2006/04/14(金) 06:59:50 ID:EQUfC4NW
どこぞのスレにも書いてあったが
「純ソフト屋」=「順序回路を書けないひと」
でFA?
395774ワット発電中さん:2006/04/14(金) 07:07:47 ID:ApiZ2nY0
純ソフト屋にとって順序回路はむしろ理解しやすいんじゃないかな
いわゆるフローチャートや状態遷移図で表現できる挙動だし

全ブロックがコンカレントに並列動作してる事の方が直感しにくいかも
タイムシェアリングでもマルチスレッドでもなく同時に動作する
396774ワット発電中さん:2006/04/14(金) 10:49:50 ID:UXG1GI8s
純ソフト屋ってオームの法則を知らない奴だろ
ロジック回路組める組めないに関係なく、電気屋は自分はハード屋だって自認しているものさ
397774ワット発電中さん:2006/04/14(金) 12:11:35 ID:Rl5yFeJ9
ソフト屋でド・モルガンの法則を知らん香具師がいたのには驚いた
398774ワット発電中さん:2006/04/14(金) 12:28:38 ID:ApiZ2nY0
    大 ← 抽象度 → 小
1.コンピュータソフト >>> 2.デジタル回路 > 3.アナログ回路 >>>> 4.電磁気学
(不等号の数はギャップの大きさを表す)

純ソフト屋は1で LSI回路設計屋は2
ハード屋は2から3ぐらいか?
4まで精通してる技術者は少数

↓生半可なハード屋にありがちの誤解
・すべての電磁気現象は、回路理論のアナロジー(コンデンサとコイルとか)で
 表現できると思ってる。
399774ワット発電中さん:2006/04/14(金) 12:34:51 ID:QlqhgGFN
>>397
俺のまわりには普通にいるよ。とくに若い奴ら。
教えようとしても興味が無いらしい。
奴らの言い分は「知らなくてもソフトは組める」だそうだ。
400774ワット発電中さん:2006/04/14(金) 15:42:31 ID:Ted485n1
知らない若い奴がお前より出来るからって僻むなよ
401774ワット発電中さん:2006/04/14(金) 23:52:25 ID:s/dDqCxk
漏れはこうだと思た

    大 ← 抽象度 → 小
1.電磁気学 >>>>>> 2.デジタル回路 >>>> 3.コンピュータソフト > 4.アナログ回路
402774ワット発電中さん:2006/04/15(土) 00:29:19 ID:6cj+uBIM
ソフト屋でも学生時代に電磁気とかアナログ回路勉強するもんじゃないの?
403774ワット発電中さん:2006/04/15(土) 00:48:42 ID:nWFcg0mu
パソコン使ったことありますくらいのレベルで業界にはいってる人、
多い気がする…
404774ワット発電中さん:2006/04/15(土) 01:12:49 ID:zZpYmtCO
勉強するのと習得するのの差がね、こうね。
工学部出でも「勉強はまったくしてません!遊んでました!」って
新入社員歓迎会の時に胸を張っていわれちゃったりなんかして。

酒の場=無礼講

と、すれ違いになりそうなので話題を変えよう

みなさん、FPGA設計の補助ツールでなにか使ってる?
漏れはPeggyつかってる。
405774ワット発電中さん:2006/04/15(土) 07:16:55 ID:DMjX8lFZ
>>402
学生が勉強したレベルで飯が食えるなら、人材育成コストなんて不要になるんだが・・・。
406774ワット発電中さん:2006/04/15(土) 07:17:47 ID:DMjX8lFZ
>>404
あ、ゴメン(w)
407774ワット発電中さん:2006/04/15(土) 11:23:08 ID:ZxjhQMFn
大学時代物理学科だから、電磁気学は普通に勉強しているけど、
アナログ回路は全然組めない僕がきましたよ。

>>404
おれもPeggy
あとはVeritak、Cygwinくらいかな。メインで使っていうるのは。
grepだけ秀丸

ちょっとしたスクリプトはperl
408 ◆YMO/ALTERA :2006/04/15(土) 11:33:15 ID:85YPYryl
emacs の verilog-mode.el だな。
いつのまにか登録制ダウンロードになっちゃってる…
409774ワット発電中さん:2006/04/15(土) 16:46:01 ID:RYktBLjk
>>404
漏れはFPGAで遊んでたけど、それじゃだめ?
410774ワット発電中さん:2006/04/15(土) 16:47:30 ID:RYktBLjk
>>407
おまえのその分類方法おかしくないか?
411774ワット発電中さん:2006/04/15(土) 16:48:33 ID:Q33Kfob+
>>408
http://www.veripool.com/verilog-mode.html
↑ここからダウンロードできます
412774ワット発電中さん:2006/04/15(土) 17:09:27 ID:nWFcg0mu
漢らしくviです
413774ワット発電中さん:2006/04/15(土) 21:15:15 ID:/vok8XwD
>>401
電磁気学は、この世の見かけを全て説明する偉大な学問である。

414774ワット発電中さん:2006/04/15(土) 21:26:28 ID:99tqU8U3
>>401の基準は抽象度というより
基本原理に還元した場合の簡単さって尺度じゃないか?
たしかに電磁気学はマクスウェルの4つの式まで還元できるから
美しいくらいシンプルだよな。

でも、アナログ回路の動作原理がシンプルに還元できないからといっても
回路理論が物理現象を大胆に近似した理論体系であることは間違いないよ。
むしろ都合よく近似した代償として美しい基本原理というものが出てこないんだよ。
415774ワット発電中さん:2006/04/16(日) 21:19:46 ID:HQhW3XDt
ぷるぐらまの俺理論を聞いたら、物事の因果関係を全く逆に捕らえていたりすることがあったっけ
まあ人による訳だがなー
バカチンな物理科卒も見たことがあるし
416774ワット発電中さん:2006/04/17(月) 03:23:32 ID:cEhBkFtq
アプリがバグでハングアップしてるのに
OSを定期的に再起動してれば直るとか逝ってる香具師もいるからなぁ
417774ワット発電中さん:2006/04/17(月) 08:05:00 ID:Rmwj/VZ6
だからWindowsは駄目なんだLinuxじゃなきゃ駄目なんだやっぱりDebianなんだという香具師もでてくる
アプリの問題だとわかるとオープンソースじゃないから駄目なんだと言い出す
もう勘弁してくれ
418774ワット発電中さん:2006/04/17(月) 12:15:10 ID:6J7YS7rs
Linuxにだって致命的なバグはあるし
オープンソースだからって必ずしも良いものが出来るとは限らない
Netscapeの失敗が好例

結局は品質管理が大事なんだよね
で、MSのそれは糞ってことだけは確実
419774ワット発電中さん:2006/04/17(月) 12:26:02 ID:j8jcm/Dj
オープンソースの意味をソースリストの公開と思ってるヴァカハケーン
420774ワット発電中さん:2006/04/17(月) 12:58:11 ID:Xm0PVR78
昔I/Oとかマイコンとかの雑誌でダンプリスト掲載してたけど
あれもオープンソースだったのか
421774ワット発電中さん:2006/04/17(月) 13:00:37 ID:g7UG0r67
16進ダンプリスト(with縦横チェックサム)のどこがソースだというのだ?
422774ワット発電中さん:2006/04/17(月) 13:02:01 ID:hw0uq4z7
BASICマガジンのはソースリストだったなぁw
423774ワット発電中さん:2006/04/17(月) 13:40:00 ID:j5GHCvoT
>Netscapeの失敗が好例
何を言っている?
狐さんが頑張っているだろが。

418は何も判っていないボケだってことは間違いない。

注:判っていない範囲:日本語の言葉の意味。日本語の文章の意味。
  オープンソースの概念
  ソフト製品における製品の良し悪しの判断基準
  品質管理の概念

M$の品質管理のレベルは紛れも無く世界1である。

MSは結局糞! という意味ならそれは正しいが、日本語の文章としてダメ。
“それ”が結局を指すような解釈は成り立たない。
424774ワット発電中さん:2006/04/17(月) 14:45:49 ID:cA5TtQww
なんとかなるものです。(^^;
投稿日 12月4日(土)18時56分 投稿者 軽石
実力が無いから公開しないというのはもったいないですよ。##自分で出せよ##
完璧な物を作ろうと思ったら何時までたってもできやしません。(^-^)「なぜか胸をはる軽石です」##できてないしな。##
今ある物でやっちゃえばいいんです。
不足している部分なんかは訪れた人に指摘してもらった少しづつ完成していけばよいのではないでしょうか?##人の話聞かんだろうがおまい##
ある日いきなり立派な城ができるよりも最初は地面に杭を打ちつけただけでもいいから##意味わからん##
そこでお店を開く事によってお客さんから勉強させてもらえるじゃないですか!##学習しないアホもおる。おまいだ。##
軽石ふぁくとりぃも今だコンテンツはスカスカですが、皆さんの応援(?)をもらって軽石のモチベーションを引き上げているような気がします。##見てるほうは、一方的に吸い取られる。
多分今のGOさんが考えているやり方だと何時までたっても完成できない(気力を維持するのが難しい)と思います。##よく言うぜ##
軽石@ビックマウスなので、大きな事を言う割りには何も出来ていません。(^-^)##その通り##
が、軽石ふぁくとりぃが無かったらもっと何も出来ていないと思います。(--;##変わらんと思う。今もなにもない。コピペばかり##

今はちょっと自宅でゆっくりと進める事は出来ない状態ですが、##どういう状況だ?録りためたアニメ消化の為?##
今の情況が収まったらいろいろやってみたい事(やらないとみんなに責められるかも?)が溜まっています。##責めてます。聞いてます?##
おかげで疲れていますが、体は元気です。##頭はどうだ?心はどうだ?##
仕事で辛くても日誌へのレスで元気が出ます。##blog炎上が趣味か。ふざけとる##
なんとかしようという気力が沸いてきます。##苦情楽しむとは最低だな##
失敗のデータだって他の人に取っては貴重な情報になります。(出した本人は恥ずかしいけど)##ふぁくとりぃの存在が失敗例だ##
体調が良くないとネガティブな思考しかできなくなって悪い方向へしか行かなくなります。##K石的無学習ポジ志向はどうかと思う##
そんな時にはみんなでわいわいやるのが一番だと思います。##お宅のは苦情だって##
容赦ない突っ込みで追い込まれる時もありますが、何もしないで生きていくより、辛いかもしれないけど、生きがいのある人生の方が楽しいと思います。##苦情を生きがいにとは...##
多分今の情況だとWebが無かったら多分軽石は灰になっているかもしれません。(--; ##ま、Webでしか存在できない人格だわな。##
燃え尽きる人もけっこういるみたいですのマイペースで少しづつ進めて行けばいつかはゴールに辿り着けますよ。(本人が諦めない限り)##無間地獄##
425774ワット発電中さん:2006/04/17(月) 16:33:51 ID:3LhpOIxY
426774ワット発電中さん:2006/04/17(月) 17:23:36 ID:cA5TtQww
  ______
 |          | http://www.bulldog.co.jp/ ブルドックソース株式会社 東京都
 |          | http://www.kagome.co.jp/ カゴメ株式会社 愛知県
 |          | http://www.otafuku.co.jp/ オタフクソース株式会社 広島県
 |_____|
 |./ ̄ ̄ ̄\.| http://www.kikkoman.co.jp/ キッコーマン株式会社 千葉県
 ||  ∧_∧ .|| http://www.oliversauce.com/オリバーソース株式会社 兵庫県
 || ( ´∀` )...|| http://www.sky-net.or.jp/toshi/ カープソース 広島県
 || mona-dog..|| http://www.maruki-su.com/ 株式会社 川上酢店 愛知県
 ||         .|| http://www.kozima.co.jp/ 小島食品製造株式会社 愛知県
 || モナドック .|| http://www.komi.co.jp/ コーミ株式会社 愛知県
 ||  中 濃  .|| http://www.sankyohikari.co.jp/ サンキョーヒカリ 愛知県
 || ソ ー ス...|| http://www.junmaru.co.jp/ 株式会社 純正食品マルシマ 広島県
 ||JAS 500ml || http://www.papaya-sauce.co.jp/ パパヤソース本舗 大洋産業株式会社 京都府
 ||_________|| http://www.takahashisauce.com/ 高橋ソース株式会社 埼玉県
 |_______.|| http://www.choko.co.jp/ チョーコー醤油株式会社 長崎県
427774ワット発電中さん:2006/04/17(月) 21:05:03 ID:oLcI6TlF
いまから、アルテラのFPGAを使用する予定なんですが
開発装置とか、石を購入したことがありません。
日本アルテラで直接購入できますか?
それとも、どこか代理店からかうしかないの?
428774ワット発電中さん:2006/04/17(月) 21:29:10 ID:PKhnonr/
聞く前に行動汁。
普通は代理店経由でないと駄目だよ。
ボードの上に載った状態とかだったらWebで販売している所はいくつかある。
とりあえず>>1のURLを全部見ろ。
429774ワット発電中さん:2006/04/17(月) 23:19:10 ID:YnBsW/b4
評価ボードをクレってALTERAに電話したら、代理店紹介してもらえるよ

その様子だと学生さんかな
430774ワット発電中さん:2006/04/17(月) 23:21:26 ID:YHIr+mkA
ヤフオクでDWMのバックナンバー買えばいいじゃん。
431774ワット発電中さん:2006/04/17(月) 23:49:27 ID:jBIQkgYH
軽石ふぁくとりぃにきいてみそ。
432774ワット発電中さん:2006/04/18(火) 00:14:07 ID:1eS5aZA6
最近なぜかKるさん人気急上昇!(パラシュート無し)
433774ワット発電中さん:2006/04/18(火) 00:56:31 ID:zArK7/Hv
代理店タライ回しにされて泣きながら通販で買った俺様が来ましたヨ
434774ワット発電中さん:2006/04/18(火) 01:00:30 ID:2wPpWZa9
PICのスレで、リアルワールドKるさんの正体が...だから?

http://science4.2ch.net/test/read.cgi/denki/1139471101/l50
の630あたりから。

2006年から、Kるさんは生まれ変わったのだ!
とりあえず掲示板で聞いてみたら?
http://karuishi.servehttp.com/

こて半じゃなきゃだめ、フリーメールはだめとか、書いてないルールがいろいろあります。
435774ワット発電中さん:2006/04/18(火) 04:03:17 ID:+JYFltjm
Xilinxの方がネト通販してる所が多くないかと印象でカキコ
436774ワット発電中さん:2006/04/18(火) 09:09:35 ID:2sZS0xnK
>>427
>どこか代理店からかうしかないの?

どこかの代理店をからかうのかと思った orz
437774ワット発電中さん:2006/04/18(火) 16:08:57 ID:HbBq21hI
>>436
ワロス(w

>>427
法人ならRSコンポーネンツ。

でも初心者なら実験基板(デバイス実装済み)の方が便利かもよ。
ヒューマンデータ(トラ技に広告でてる)は
そこそこ安い基板があってお勧め。
438774ワット発電中さん:2006/04/18(火) 19:26:46 ID:DBFw+lbj
日湘ってどこだよ? 

439774ワット発電中さん:2006/04/18(火) 19:55:41 ID:pIffd5fT
辻堂だろ > 日湘テクニカ
440774ワット発電中さん:2006/04/18(火) 22:37:18 ID:5JI0qwt0
禿堂
441774ワット発電中さん:2006/04/18(火) 22:52:59 ID:hVi6lLK9
根岸線...大船で東海道線...でねと
ふぁくとりぃ唯一の製品、ちんけな500ケーブルで、Kのリアル住所が手に入ると。
安いもんです。
442774ワット発電中さん:2006/04/18(火) 23:31:48 ID:sExPm1ok
バサロさんからじきじきに出入り禁止食らったみたいね。
年末のごみ播き&撒いたごみにごみ付着契機に。
443774ワット発電中さん:2006/04/19(水) 00:15:25 ID:CX8zjVBy
自作自演バレバレだしね。
もうやめちくれ。
444774ワット発電中さん:2006/04/19(水) 01:41:48 ID:CX8zjVBy
ヒューマンデータについで、FPGAインフォメーションも終わりかなぁ。
Kが現れるところ、みんな閉鎖だなぁ....。
ま、いいけど。

なんだよこれ...

> みーこのエッチな出会い / みーこのクリトリス (06/04/18(Tue) 22:55) #2631
> ModelSim XEV Starterに関して / ryu (06/04/07(Fri) 18:45) #2569
> 無料でハメ撮り動画をダウンロード! / [_Webjo_] (06/04/17(Mon) 21:35) #2628
> Title / jienq (06/04/17(Mon) 00:18) #2624
> Title / zuzpd (06/04/17(Mon) 00:18) #2623
> D-Spece / 深田共子 (06/04/16(Sun) 20:01) #2622
> WALKING / 本庄まなみ (06/04/15(Sat) 23:37) #2621
> 今日会える直アドっ娘 / ビバ!佳代子 (06/04/15(Sat) 20:29) #2620
> 出会い喫茶 / 出会い喫茶 (06/04/15(Sat) 19:28) #2619
> 人気集結出会い情報 / みーこ (06/04/15(Sat) 10:22) #2617

唯一の質問も...

> ModelSim XEV Starterに関して
> ModelSim XE V Starter 6.0dを使っているのですが、勝手に終了してしまいます。
> 無料版だから勝手に終わってしまうのでしょうか?

PICの神のところも閉鎖みたいね。
445774ワット発電中さん:2006/04/19(水) 01:51:00 ID:EuIZ9Q9P
>>444
> 無料版だから勝手に終わってしまうのでしょうか?
ワロタ
446774ワット発電中さん:2006/04/19(水) 02:12:32 ID:ALnl8mI1
のこるはこのスレだけか!
447774ワット発電中さん:2006/04/19(水) 10:56:16 ID:Os/IR+0T
> D-Spece / 深田共子 (06/04/16(Sun) 20:01) #2622

字が違う
448774ワット発電中さん:2006/04/19(水) 17:05:23 ID:4riHnvOv
日照てくにかゴチュウ

御社の軽石氏は、その軽薄な言動において数々のネットコミュニティを崩壊させていいます。
これは御社の意図するところなのかどうかお答えください。


回答こない。。。。
449774ワット発電中さん:2006/04/19(水) 21:57:34 ID:UTQTwauo
乙!
なひたふさんも一度死んだんだよね。

最近もゴミトラックバックして苦情が来て速攻削除とか。

軽薄ってよりは軽率。RENESASの広告読んだ人だけURLも公開しちまうし、めんどくさがってRESET入れないし、まともに物作れんのかこのシト。
450774ワット発電中さん:2006/04/19(水) 22:37:05 ID:wrH5cEKj
>444 要はKより馬鹿だからだろ?Kにつぶされるなんて末代までの恥。
451774ワット発電中さん:2006/04/19(水) 23:12:30 ID:ALnl8mI1
PCIアクセスって結構大変なのかなぁ
DWみたら、ちょっといいなと思って作ってみたくなった。
本読んでるとなんとかコーディングはできそうな気がしないまでもないけど、
Windowsのソフト部分のほうが難しそうだ。

基板はそいえばMAX2のキットがPCIコネクタだったよねぇ
452774ワット発電中さん:2006/04/19(水) 23:19:18 ID:4riHnvOv
PCIアクセスなんて大変。
カードバスにすれば多少緩和される。
16ビットにすればもっと緩和される。
453774ワット発電中さん:2006/04/19(水) 23:20:15 ID:4riHnvOv
"ゴチュウ" には突っ込んでくんないの?
454774ワット発電中さん:2006/04/19(水) 23:25:44 ID:ALnl8mI1
USBとPCIどっちが楽なんだろう。
455774ワット発電中さん:2006/04/19(水) 23:55:15 ID:h1wGmeZz
PICの方が楽。

>要はKより馬鹿だからだろ?

1.Kが書き込むと”まあ、なんてレベルの低い板なの?”一見さんは思う。
2.すぐマナー教室に持ち込む。
3.やったことないことを想像で書く。
4.Kの誤りを訂正しようと、話題がずれてくる。
5.ゴミにはゴミが付きやすい。

456774ワット発電中さん:2006/04/19(水) 23:56:03 ID:h1wGmeZz
>"ゴチュウ" には突っ込んでくんないの?

すいません、喪中なので。
457774ワット発電中さん:2006/04/20(木) 00:01:25 ID:jpJD9mdD
PCIは、バースト転送サポートしなければ回路はなんとかなると思う。
問題はソフトだなー。
458774ワット発電中さん:2006/04/20(木) 00:34:14 ID:RpiyqZuH
ISAが一番簡単
459774ワット発電中さん:2006/04/20(木) 00:35:17 ID:yr3oCqzJ
バスマスタ動作させるかどうかでも大きな差が出る > PCI
460774ワット発電中さん:2006/04/20(木) 00:39:36 ID:DQdBBRP7
つ「MS-DOS」
461774ワット発電中さん:2006/04/20(木) 02:25:16 ID:WSSpoR8v
なんか心配になってきた。

御中:おんちゅう  ゴチュウではない。

462774ワット発電中さん:2006/04/20(木) 07:17:35 ID:i2i/JXr6
5V PCIに直結できるFPGAってどんなものがあるんでしょうか。

パソコンのPCIっていまでもたいていは5Vですよね?実はその認識が間違っている?
463774ワット発電中さん:2006/04/20(木) 08:22:47 ID:yr3oCqzJ
まちがってない PCのPCIバスは5VトレラントI/Oが必須
PCI2.2以前のマザーボードだと3.3V電源すらオプショナル
464774ワット発電中さん:2006/04/20(木) 15:01:22 ID:9j+TrnXp
ISAって非同期じゃなかったっけ(適当)
そもそもISA乗ってるマザーのほうが今やレアになっちゃったね

バーストなしでやってみようかなぁ。ソフト、まじどうしよう。
465774ワット発電中さん:2006/04/20(木) 18:59:18 ID:hKRwGQ3w
ISAはクロック関係ないからね。
指摘の通りマザーが全滅。

ちなみに、アドテックとかいうところが、PCIボード開発用ツールなるものを販売してる。
専用インターフェイスLSI+ソフト一式。

だがマニュアル見ると、これならFPGAでPCI回路組んだ方が早いと思えるほどLSIの仕様が複雑(w
466774ワット発電中さん:2006/04/20(木) 19:41:36 ID:dhIehqNZ
そんな難しくないから素直にFPGAで組んだら…
467774ワット発電中さん:2006/04/20(木) 21:01:57 ID:yr3oCqzJ
ターゲットアクセスのみ
パーストアクセスなし (つーかターゲットアクセスでバーストしても遅いから無駄)
だったら簡単だ

がんばれ
468774ワット発電中さん:2006/04/20(木) 21:12:10 ID:dhIehqNZ
マスターだって難しくないぞ
がんがれ〜
469774ワット発電中さん:2006/04/20(木) 22:20:05 ID:MIvoUnzd
んでも昔トラ技で連載してた「標準ロジックでPCIカードを作る(うろ覚え)」で
コンフィグサイクルにもバーストアクセスされるからダメポ、という話があったから
バースト無しは無理かも。
470774ワット発電中さん:2006/04/20(木) 23:01:29 ID:dhIehqNZ
つ[Disconnect]
471774ワット発電中さん:2006/04/20(木) 23:45:49 ID:F+LJXX1O
このスレで分担して作ってみるか?
一度作っておけば、あとあと使え・・・
ないか、もうpci−exの時代だね。
472774ワット発電中さん:2006/04/21(金) 00:50:49 ID:pF2EvFpX
いまバスコマンドみてたんだけど、コンフィグレーションサイクルはバーストなさそう。
分担して作るの楽しそうだねぇ

PCI-Expressはコーディングできたとしても基板つくれそうにないんだけどw
FPGA的にはいまだからPCIぐらいで丁度よくね?マザーもジャンクでうなってるし
473774ワット発電中さん:2006/04/21(金) 00:58:49 ID:DBY1vbtk
僕赤ちゃんなんだけどVerilogてどうなんですか?
474774ワット発電中さん:2006/04/21(金) 01:24:14 ID:12vdmLf7
>>472
バーストなさそうに見えるけど…
Config, I/O, Memoryのリード/ライトは、全てFRAME#, IRDY#, TRDY#信号で
行なわれ、空間によってバーストはだめぽ規定されてないはず
結局はM/B上のチップセット次第では?
>>469さんの書き込んだ記事、おいらも読んだことあるし

真面目にDisconnect/Retry/Target-Abortを実装したほうが…
475774ワット発電中さん:2006/04/21(金) 01:47:44 ID:pF2EvFpX
そかー。じゃあ今読んでる本がダウトなのかな。
#改訂新版 PCIバス&PCI-Xバスの徹底研究 P38,39

なんかコーディングしたくなってきたなぁ
でもテストベンチ書きたくないなぁw
476sage:2006/04/21(金) 01:50:08 ID:Q78lLZVl
渋谷で飲んでいるタラちゃんからの回答

>コンフィグレーションサイクルでもバースト転送を行うことはべつに
>悪いわけじゃなくて,応答できなければDisconnectするだけ。
>Adaptecの2940とかは0x40以降の空間にレジスタを持っていて
>この空間にバースト転送してデータFillしたり出来たよ.
>CardBUSのデバイスも0x40以降にレジスタを抱えているものが多いよ.

>注意すべきはInterrupt空間.リードするだけでステータスフラグ
>が消えるような16550系はキケンだね.

だそうだ。
477476:2006/04/21(金) 01:51:33 ID:Q78lLZVl
それよりもSERR#がアサートされる原因ってなんだろう。
まえうちの技術部が設計した基板でFPGAを変えると
ホストブリッジからSERR*通知がでていたんだが。

スパ2Eにしたら直ったんで、あまり調査していないんだ。
478774ワット発電中さん:2006/04/21(金) 02:14:50 ID:pF2EvFpX
そうなんですか。ふむふむ。

そのときって

FRM ADR CBE IRD TRD DVS
H X X H H H
0 Adr CoW H H H
0 D01 0x0 0 0 0
0 D02 0x0 0 0 0
0 D03 0x0 0 0 0
0 : : : : :
1 Dnn 0x0 0 0 0
Z Z Z 1 1 1

CoW:コンフィグレーションライト 0xB

みたいにくるって理解でいいのかな
479774ワット発電中さん:2006/04/21(金) 02:29:13 ID:12vdmLf7
>>477
パリティ辺りのマージン不足なんじゃない?
情報が少なすぎてこれしか言えない…orz
480774ワット発電中さん:2006/04/21(金) 02:44:04 ID:12vdmLf7
>>478
マスタ/ターゲットともに0-Waitで動く場合は、図のような感じですが…
ウェイト動作もあるので、0-Wait決め打ちで設計はだめぽ
481774ワット発電中さん:2006/04/21(金) 08:18:53 ID:9jtRs/9T
いまだに TRDYXが重くて33MHzでまわらねー なんて夢を見るよ
現在のデバイスなら楽勝なんだけどね
482774ワット発電中さん:2006/04/21(金) 09:57:30 ID:RI3zq+ZW
初心者です。ご容赦を。

Apprication:Quartus2 ver.5.0 web edition
Deveice:APEX EP20K160EQC240-2X
使用言語:Verilog

Analysis & Synthesisまで通りますが
Fitterの5%で下記のエラーが出て、コンパイルができません。

Error: Project requires 42 Embedded System Blocks for RAM, but the selected device can contain only 40 ESBs
Error: Project requires 42 Embedded System Blocks for RAM, CAM and product-term logic, but the selected device can contain only 40 ESBs
Error: Can't fit design in device

.vファイルの記述方法で問題がありそうな様子ですが、
原因が分かりません。
(Verilogで記述を増やしていったところ、突如生じたエラー)
思い当たる方がいらっしゃれば教えていただけないでしょうか?
厚かましいお願いですが、よろしくお願いいたします。
483774ワット発電中さん:2006/04/21(金) 11:43:08 ID:c+cTwur3
>>482
初心者なんて免罪符出してきて何のつもりだか
エラーが出るたびに聞くつもり満々ってことか?
そのメッセージを翻訳エンジンにでもかけてよく考えてみろ
484774ワット発電中さん:2006/04/21(金) 12:13:51 ID:KVjQo4dn
>厚かましいお願いですが、よろしくお願いいたします

軽*先生なみに厚かましいです。
エラーメッセージそのものです。
ESBの意味あたりから調べてみて。QuartusのHelpで。
485774ワット発電中さん:2006/04/21(金) 12:48:59 ID:PSXvAxJT
>>482
悩む必要はない。原因はおまいが、増やした記述にある。
増やした所をけずればエラーは消える。


486774ワット発電中さん:2006/04/21(金) 13:01:13 ID:34ky6QY7
問い「40円持って42円のメモリを買いに行ったけどお前には売れないと言われました。何故ですか?」
答え「幼稚園からやりなおせ」
487774ワット発電中さん:2006/04/21(金) 15:24:20 ID:ZHF0UklB
>>482

Xに乗り換えろ
488774ワット発電中さん:2006/04/21(金) 15:38:21 ID:12vdmLf7
>>482
メッセージも読まないなら、開発から手を引いたほうがいいと思うよ
489774ワット発電中さん:2006/04/21(金) 16:02:30 ID:HIpS9tam
>>482
Stratix2 180を使えばいいと思いますよ。
490774ワット発電中さん:2006/04/21(金) 18:14:15 ID:sbQk7cel
問い「40円持って40円のメモリを買いに行ったけどお前には売れないと言われました。何故ですか?」
491774ワット発電中さん:2006/04/21(金) 18:23:28 ID:PSXvAxJT
在庫切れ
492774ワット発電中さん:2006/04/21(金) 19:11:36 ID:pepkyImj
物品税がかかる
493774ワット発電中さん:2006/04/21(金) 19:27:23 ID:3G/GrXxG
代理店から買えってこったろ
494774ワット発電中さん:2006/04/21(金) 19:45:04 ID:DBY1vbtk
お疲れ様です>>473はやっていみありますか?
495774ワット発電中さん:2006/04/21(金) 20:29:38 ID:1cE59h6m
厚木の委員会氏ね
496774ワット発電中さん:2006/04/22(土) 01:55:52 ID:G4eSSwEY
>>490
輸入禁止品
497774ワット発電中さん:2006/04/22(土) 07:42:26 ID:pREVcKjA
未成年だから売れないに決まってるだろ
498774ワット発電中さん:2006/04/22(土) 08:39:02 ID:5PXy9XtM
月産数とかロット単位数とかライフの長さの見込みを示さないからだろ
499774ワット発電中さん:2006/04/22(土) 22:47:28 ID:XYfxQsy4
一個だけ買った上で初心者の質問を次々とかますから?
500774ワット発電中さん:2006/04/23(日) 01:04:34 ID:VCIyY88X
おい、教えろ

http://radio.s56.xrea.com/radio/src/radio0689.jpg

このあとどーすりゃいいんだ? WEBパックの製品IDってなんなの?

やっと都合1GBのダウンロードが終わったんだけどさ、インスコできねーよ。
501774ワット発電中さん:2006/04/23(日) 01:43:25 ID:zDayy8oP
座位のページで登録した?
502774ワット発電中さん:2006/04/23(日) 02:45:39 ID:6CFx/Zcn
なんの? ログインはできる状態でつ。
503774ワット発電中さん:2006/04/23(日) 02:48:32 ID:6CFx/Zcn
在からのメールには

製品 ID: MWW123456789
登録 ID: Not Applicable

こんなんしか書いてありません。
504774ワット発電中さん:2006/04/23(日) 02:53:06 ID:rXZqFA+x
書いてあるまんまじゃん。
505774ワット発電中さん:2006/04/23(日) 03:28:16 ID:6CFx/Zcn
この製品IDでは撥ねられるよ。
506774ワット発電中さん:2006/04/23(日) 06:02:07 ID:rXZqFA+x
登録IDでNot Applicableって書いてあるの読めない?
つっかダウンロードしてある8.1のを調べたけど、Welcome飛ばすし。
507774ワット発電中さん:2006/04/23(日) 13:40:23 ID:O/MtxKjL
>つっかダウンロードしてある8.1のを調べたけど、Welcome飛ばすし。
kwsk
508774ワット発電中さん:2006/04/23(日) 14:29:59 ID:IrfurGtF
無料で見たい放題!
http://www.eroroot.com/GD/
509774ワット発電中さん:2006/04/24(月) 00:55:55 ID:NQcgNK0L
無料で合成し放題!

とかはないのかね>>508
510774ワット発電中さん:2006/04/24(月) 00:57:57 ID:l+3iHiQn
無料で合体し放題!

とかはないのかね>>508
511774ワット発電中さん:2006/04/24(月) 01:05:53 ID:UsMru1c+
>>510
時々忘れ去られる事なんだが、人口の約半分は女性が占めるんだそうだ
512774ワット発電中さん:2006/04/24(月) 01:07:38 ID:TlEaUqIw
でも、平均以上の人は、人口の25%なんだよ。
513774ワット発電中さん:2006/04/24(月) 01:15:58 ID:NQcgNK0L
半分もいるなんて都市伝説だよ

精々5%でしょ
514774ワット発電中さん:2006/04/24(月) 01:25:44 ID:UsMru1c+
つまり>>510がウホッに転向すれば(ry
515774ワット発電中さん:2006/04/24(月) 01:31:53 ID:NQcgNK0L
自分以外の人が全員対象になるバイのほうがマジオススメ。
自分だけ対称になるナルシストも捨てがたい。
516774ワット発電中さん:2006/04/24(月) 01:34:23 ID:W1xlB5Mt
>>512
スルドイ  人口の半分が女性 さらにその半分が「平均以上」そらそうだわな
男女合わせれば、平均以上の人はなんと50%も居るのか! 選び放題じゃまいか
517774ワット発電中さん:2006/04/24(月) 01:37:57 ID:UsMru1c+
待て、>>510が平均以上を望んでいるとはどこにも書いていないぞ!
518774ワット発電中さん:2006/04/24(月) 02:00:40 ID:xOckpvRN
つか、許容される減衰率が3dBなのか6dBなのか書いてないぞ。
519774ワット発電中さん:2006/04/24(月) 04:48:03 ID:Aqu76ckw
平均以下のヤツラも半分いるわけだし(w
520516:2006/04/24(月) 06:00:07 ID:W1xlB5Mt
>人口の半分が女性 さらにその半分が「平均以上」そらそうだわな

算数音痴かよ・・・逝ってきます (T-T)
521774ワット発電中さん:2006/04/24(月) 06:58:34 ID:ntwhrIey
>半分が「平均以上」

↑ここはダウト
522510:2006/04/24(月) 07:04:31 ID:l+3iHiQn
(´-`).。oO(なんかもりあがってますね…)
523774ワット発電中さん:2006/04/24(月) 13:25:39 ID:51G11wAQ
まあ、段階的な数値化ができないから、半分が平均以上って言うのは、あながち数学的にも正しいぜ。
なにせ良否判定の2値だけだからな
524774ワット発電中さん:2006/04/24(月) 14:45:41 ID:N6eBBg5x
優,良,可,不可,問題外に判別できるんじゃね?
525774ワット発電中さん:2006/04/24(月) 22:07:29 ID:TZFFpzxL
> 優,良,可,不可
このへんは、実際問題として、人によって結構判断が別れる。
526774ワット発電中さん:2006/04/24(月) 22:53:46 ID:210RS/fs
私ぐらいの年齢になると「優」と「良」しか
いなくなってしまうぞ
527774ワット発電中さん:2006/04/24(月) 23:02:42 ID:TlEaUqIw
>>526
えっ幼女?
528774ワット発電中さん:2006/04/24(月) 23:07:18 ID:210RS/fs
正直言うと娘(中3)の友達は
100%「優」だぞ。
話題が危なくなって来たなw
529774ワット発電中さん:2006/04/24(月) 23:50:54 ID:NQcgNK0L
20才未満なんぞ100%不可だ。
530774ワット発電中さん:2006/04/25(火) 04:49:57 ID:CuLOpHvy
軽石 2004/05/10 (月) 15:13
一つの疑問は1行にして貰えるとありがたいです。

> ALTERAの資料はよく目にしますが、どうしてALTERAのFPGAは高価なの?

値段が高いのは高性能だからです。
GOさんはなにを持って性能が良いとお思いでしょうか?
一般にALTERAはロジックが大きいデバイスが高性能となります。
xilinxなんかよりも大きなデバイスを取り扱っています。(ここが高性能という所)

で、1チップですべてをやろうとするとなぜかアルテラになってしまうケースが多いです。
おかげで高性能=高価格となってしまうので個人でアルテラに手を出す人が少ないだけです。
あとアルテラはPLL内蔵しているのもありますね。


> 本当に性能がXILINXのよりいいの? ABELの学習はやるべきなの?

私はVHDLを覚えておけばとりあえずは十分だと思います。

> どこで、アマチュアはALETRA−FPGAが買えるのでしょうか? 

日本の元締めは2社、パルテックとアルティマです。
(ここに相談すれば紹介して貰えます)
小売だとヒューマンデータさん辺りだと取り寄せてもらえると思います。
531774ワット発電中さん:2006/04/25(火) 08:27:24 ID:xfS6idTF
こんなこと書く回答者がいるから、評判落ちて一時閉鎖になるんだよね。荒れるし、なひたふ。
軽いし@にっ湘は、PIC界から出てこないで下さい。

成分分析 Ver0.1によると...



軽石ふぁくとりぃの日誌の成分解析結果 :

軽石ふぁくとりぃの日誌の97%は毒物で出来ています。
軽石ふぁくとりぃの日誌の3%は勢いで出来ています。

専用スレがあります
http://science4.2ch.net/test/read.cgi/denki/1145621928/l50


532774ワット発電中さん:2006/04/25(火) 12:11:42 ID:Ygfpt1NS
ケロ石先生、すごい見識だなぁ。
恥ずかしげもなく,...。
読んでて怒りすら覚えるわ。
あの日何とかって会社、大丈夫かw
533774ワット発電中さん:2006/04/25(火) 19:18:35 ID:tU0KPwGw
534774ワット発電中さん:2006/04/25(火) 23:33:57 ID:Zpqz2HQi
ぐぐれば何とかなるものです(>o<)...と。
535774ワット発電中さん:2006/04/27(木) 00:57:28 ID:frsoTiz6
FPGAインフォメーションBBSの"がっつ"さんて、ちょっと頑張ってる軽さんですか?


□投稿者/ がっつ 一般人(7回)-(2006/04/11(Tue) 08:40:18)

パソコンの性能はどうでしょうか?
私は、性能の悪い(CPUが遅く、メモリが少ない)パソコンで勝手に落ちた経験があります。
噂によると、メモリが多いと落ちにくいと聞いたことがあります。
さらに、波形ウィンドウを表示させると、処理が重くなり落ちやすい感じです。
536774ワット発電中さん:2006/04/28(金) 00:05:07 ID:M4HbKAD6
■1205 / inTopicNo.2)  Re[1]: 割り算の方法
□投稿者/ 軽石 一般人(37回)-(2005/07/15(Fri) 13:26:55)
こんにちは良心の人さん
>アドバイスを行いたいなら、まずは御自身で実際に試されてみては如何でしょうか。
いやー、そこまで暇じゃないんですよ。
できるかもしれないというあいまいなレスはいけないのではないでしょうか?(^^;
こんな事こそやってあげてはいかがでしょうか?
基本のアルゴリズムはすぐに思いついていますが、文章で書くと結構面倒だったので省略しています。
状況がはっきりしていないので中途半端なレスなってしまいました。
▲▼■
□投稿者/ 良心の人 一般人(5回)-(2005/07/15(Fri) 13:56:46)
こんにちは軽石さん。
> いやー、そこまで暇じゃないんですよ。
> できるかもしれないというあいまいなレスはいけないのではないでしょうか?(^^;
これまでのレスを見ると、具体的な内容や技術的なことではなく
ネット上のマナーや一般的についてのアドバイスが多い傾向が見られます。
できるはずという推測や、本を読めとか質問の仕方とかマナー論ではなく、
ご自身のこれまでの経験や検証に基づいたレスを書いてあげた方が 役に立つと思いますよ。
▲▼■
□投稿者/ 軽石 一般人(39回)-(2005/07/15(Fri) 18:39:39)
> ネット上のマナーや一般的についてのアドバイスが多い傾向が見られます。
という風に見えるかもしれませんが、具体的なアドバイスもやっていない事はないはずです。
私の突っ込みから他の方がレスされて完結するパターンが多いのでそうなっているように見えているのだと思います。
暇がありましたらご確認ください。
> できるはずという推測や、本を読めとか質問の仕方とかマナー論ではなく、
過去の質問を読めば判ると思いますが、質問が曖昧すぎてレスする側の負担が大きいケースが多いと思います。
早く解決する為に必要な情報を引き出すための書き込みが目についているのだと思います。
推測が多いというご指摘については、掲示板の書き込む場合、直前に実施した事意外は「・・・できるはず」と書くパターンが多いのでそう見えるのかもしれません。
環境が変わったり、記憶違いがあるといけないので、以前経験していても推測のような書き方になってしまいます。
良心の人さんのように確認してレスできればよいのですが、そこまでの時間がないのでごめんなさい。
537774ワット発電中さん:2006/04/28(金) 01:56:33 ID:FrpnoLpx
538774ワット発電中さん:2006/04/28(金) 03:36:08 ID:4RcaLEyE
ここは他掲示板の軽荒らしを晒すスレ?
539774ワット発電中さん:2006/04/28(金) 08:25:25 ID:zExd1e93
夢日記について語るスレです。
540774ワット発電中さん:2006/04/28(金) 11:48:10 ID:1OOAKQh/
バトルが始まりそうな悪寒
541774ワット発電中さん:2006/04/28(金) 12:17:00 ID:COJtY76O
軽石ってまったく圏外だったんだけど、この書き込みを見て”負の好感度”が上がったよ。
探したらなひたふさんにchanさんの掲示板に...あるわあるは。不快発言。

本人のblogも時々苦情が書かれるけど、のらりくらり。〜かもしれません、〜みたいです。って自分のことだろ。

手段があるなら、軽は排斥したいね。ぜひとも。
542774ワット発電中さん:2006/04/28(金) 12:18:30 ID:COJtY76O
7: (軽の)不適切な発言を管理人が放置:技術者に重篤なPTSD。
543774ワット発電中さん:2006/04/28(金) 12:26:04 ID:COJtY76O
ヲチすれあんのね。

http://science4.2ch.net/test/read.cgi/denki/1145621928/l50

ああ、日何とかのやつと同一人物だったのか...
544軽石ヲタ、ウゼェェェェ:2006/04/28(金) 21:57:25 ID:cyU63NNE
FPGAの話はどうした?
無関係なレスは迷惑千万!
545774ワット発電中さん:2006/04/28(金) 22:05:47 ID:M4HbKAD6
>>544

そう言うあんたは....w

で、最近BGAパッケージばっかなんだけど、この手のやつを1品ほいほい実装してくれる所ってありますか?
リワーク系はたくさん見つかるんだけど、ちょっと高いんよね。
LVDSとかSDIとか扱うと、QFPとかはむりっぽだし。
546774ワット発電中さん:2006/04/28(金) 22:07:15 ID:eEwdnfjy
鼻血が止まりません。誰か助けて
547774ワット発電中さん:2006/04/28(金) 22:19:18 ID:BNywZrPa
>>545
幾らだといいのかな?
548774ワット発電中さん:2006/04/28(金) 22:40:13 ID:M4HbKAD6
5K-10K円くらいかなぁ。ビデオカードぐらい乗っかってる感じで。
240PinQFPだと3000円、BGA780Pinで3万とか言われた。
正直、相場ってわからないなぁ。
549774ワット発電中さん:2006/04/29(土) 13:07:48 ID:HxWhIx2p
1〜3マソくらいする気がする。
550774ワット発電中さん:2006/04/29(土) 14:13:15 ID:AU3Npm0w
そうだよね。リワーク機高いし。
551774ワット発電中さん:2006/04/29(土) 18:49:22 ID:5ZiyQqiN
串とプロバイダを規制するといいよ。
BBSトップには規制理由を明記。
552774ワット発電中さん:2006/05/02(火) 01:31:53 ID:OpC1PRL7

はじめてアルテラのFPGAをさわってみようと思って、データシートを読みました。
すると、書き込み(コンヒグレーション)方法が何種類もあるみたいなんですが
アルテラ使いの人はみなさんどれを使って書き込んでいるのでしょうか。

あと、コンヒグレーショんROMのデータシートが欲しいのですが
ありてらサイト(日本)を見回しましたが見つからないようです
どこに行けばダウンロードできるでしょうか。EPCSxxという型番です。

553774ワット発電中さん:2006/05/02(火) 04:41:57 ID:fy7Y+p6Z
PCからByteblasterMV使う時はJTAGかPS(PassiveSerial)
コンフィグROMからならAS(ActiveSerial)かPS
なんじゃね?

サイトにコンフィグハンドブックてのがpdfであると思うから目を通すといいよ
554774ワット発電中さん:2006/05/02(火) 19:17:51 ID:woCTw2zw
質問させて頂きたいのですが、
spartan3e に乗ってる 128Mビット パラレルFlash
っていうのは具体的にどうパラレルなんですか?

128Mのメモリを複数のブロックに分割して、それぞれのブロックに
同時にアクセスできるってことなんでしょうか?
555774ワット発電中さん:2006/05/02(火) 23:16:56 ID:/WYmAcBF
文盲と低学歴は来るな!
556774ワット発電中さん:2006/05/03(水) 00:18:15 ID:oq7tAUfw
( ´∀`)<オマエモナー
557socket774:2006/05/03(水) 01:34:08 ID:7sXv4Yb7
>>552
Stratix(GX含)の場合、
シグナルタップとコンフィグROM書きはJTAGポートから、電源立ち上げ時はEPC16などからPSまたはFPPで、
ファームで書く場合はPPAモードで「*.rbf」をコンパイラで作ってべた書き、など色々。

コンフィグレーションROMデータシートは下のサイトのVolume2以降を参照
http://www.altera.co.jp/literature/lit-config.jsp
余談だがコンフィグの回路をちょっと弄くると
全く同じPLDデータをコンフィグROMから複数以上のPLDに書く事も可能。
558774ワット発電中さん:2006/05/03(水) 15:29:53 ID:TU+sF55m
>>553 >>557
どうもありがとうございてました。
よくわかりました。教えて頂いたページを読んで問題は解決しました。

あと、すみませんが電源(vccio)について教えてください。
サイクロン2をPLLで内部200-300MHzくらいで使おうと思っているのですが
どのくらい電流が流れるものでしょうか。
1Aくらい、3Aくらい...の3.3Vのアダプターってあるでしょうか。
手元に9Vのラジカセのアダプターがあるんですが、これを3端子で
3.3Vに変換しても大丈夫でしょうか? 以前どこかで3端子が熱くなるという話が
あったので放熱もいるですよね。
秋葉には毎週行くので、秋月かどこかで良いアダプターがあったら
買おうか迷っています。
559774ワット発電中さん:2006/05/03(水) 16:40:30 ID:TT7LMbb2
>>558
秋月に3.3V2AのACアダプタが\600で売ってると思う
560774ワット発電中さん:2006/05/03(水) 17:13:36 ID:TU+sF55m
ありがとうございます。2Aで足りるでしょうか? なんか大飯ぐらいな感じが。
 
561774ワット発電中さん:2006/05/03(水) 18:15:43 ID:TcQ0r2+Z

> 手元に9Vのラジカセのアダプターがあるんですが、これを3端子で
> 3.3Vに変換しても大丈夫でしょうか?

最近の新人達だと、こういう手持ちの部材でなんとかしよう、って発想が
でてこないな。

>558
火事とか怪我に注意して、いろいろやってみればいいんじゃないかな。
上手くいかなかったら、「何故」っていうのをしっかり調べれば、良い
エンジニアになれると思うよ。

消費電力についてはドキュメントあるからめんどくさがらず読んでみよう。
562774ワット発電中さん:2006/05/03(水) 19:25:00 ID:TU+sF55m
>>561
ありがとうございます。
では、ラジカセ(受信機だったかな)のアダプターに、
LM380(5Aとれるやつ)で3.3Vを変換してやってみます。
ドキュメントをいろいろと見てみたのですが、
ブート時には大電流が流れると書いてあるけど、
何Aかは次回のデータシートに掲載予定とかいって
書いてないんです。

またVCCIOは3.3Vみたいですが、VCCINTという電源がいるみたいで
これが1.2Vのようです。1.2Vの3端子リギュリータが見つかりません。
これって1.25Vではいけないのでしょうか。1.25Vだと同じLM380で
抵抗なしにすると変換できるみたいです。サイクローン2のデータシート
ではmaxが1.25Vになっているので壊れないかなと思ています。
ダイオード2本を直列にすると1.2V(0.6V×2)になると本にかいでありましたので
それで動けばいいです。明日秋葉に行って部品買ってこようとおもいます。


563774ワット発電中さん:2006/05/04(木) 02:01:12 ID:EN5Zl4XU
Vf=2.1VのLED用意してVCCIOからVCCINTの間に入ぅぁ
564774ワット発電中さん:2006/05/04(木) 02:35:28 ID:Y1u/Pw+q
>>563
Iintが20mA以下で済むとは思えないんだがw
565774ワット発電中さん:2006/05/04(木) 04:17:16 ID:xmCET1wZ
複数の電圧を入れるときの順序とかタイミングとかがありそうだが
566774ワット発電中さん:2006/05/04(木) 09:26:31 ID:RbmomRYN
1.2Vのレギュレータってなかなかありませんね。
LM317系は最低が1.25Vだし。
私はLD1117xx12を買ってますが皆さん何をお使いなんでしょうか。

567774ワット発電中さん:2006/05/04(木) 14:08:51 ID:emQAQx/D
低電圧電源は大電流になりがちだから
シリーズレギュレータ使うよりよりDCDCコンバータの方が一般的
568774ワット発電中さん:2006/05/04(木) 17:43:08 ID:ys4/6rMl
そうねー
最近のFPGAはDC/DC使うことが増えてきた
569774ワット発電中さん:2006/05/04(木) 17:48:53 ID:Q3y/6Xd4

>>566
たくさん流せる1.2Vの3端子レギュレータは、なかなか無いですね。
STにL6932(2A)がありますが入手性よくないしね。
>>567
大電流と言ってもどのくらい流れるもんなんでしょうか?
2Aも要らないと思いますがどうでしょう。
570774ワット発電中さん:2006/05/04(木) 18:16:38 ID:Y1u/Pw+q
>>569
まぁ普通にFPGA1個だけってことは少ないと思うよ
同期整流式のDCDCでLTとかマキシムがトラ技あたりに広告出てると思うけど
大体10A以上,下手すると30A前後とかのアプリケーションに使える
奴が出てるんじゃないかな
571774ワット発電中さん:2006/05/04(木) 18:19:40 ID:3V2D4gTu
>>569
ウェブ消費電力ツール
ttp://www.xilinx.co.jp/products/design_resources/design_tool/grouping/power_tools.htm
というのがあるので、色々試してみるといいですよ。

2A近く食って、Tjが80℃いかないって本当かいな
572774ワット発電中さん:2006/05/04(木) 19:33:57 ID:Q3y/6Xd4
>>570
複数のデバイスに1個のDCDCより、ローカルにそれぞれ置いた方がいいと思う。
ので、デバイス1個あたりの消費電流(Vccio)はそれほど多くないとふんでいます。
消費電力計算ツールも目明日にはなるけど、どんなもんかと。
573774ワット発電中さん:2006/05/04(木) 19:54:56 ID:Y1u/Pw+q
>>572
実装やコストに余裕があればいいけどねw
574774ワット発電中さん:2006/05/04(木) 22:08:30 ID:Q3y/6Xd4

ちなみにBGAのFPGAにはたくさんの電源ピンがありますけど、
ここに付けるパスコンって、みなさんどうしてますか?
・VCCIO1個ごとに1個付ける
・VCCIO2個ごとに1個付ける
・VCCIO5個ごとに1個付ける
1005にしても、1個に1個つけるとFPGAの裏側ってパスコンだらけですよね。
配線が出せるのかしら。
どうしてますか?
575774ワット発電中さん:2006/05/04(木) 22:20:49 ID:KNia679b
>>574
ミルフィーユのような多層基盤を使ってます。
576774ワット発電中さん:2006/05/04(木) 22:41:00 ID:Q3y/6Xd4

千葉ミルフィーユですね。
ウチの近所だったりする。
577774ワット発電中さん:2006/05/05(金) 00:25:47 ID:6VnI2Wqd
>>574
サンプルの基板を見ると贅沢に使われているね。

少なくして問題になるといやなので、できるだけ多く取り付けるように依頼している。
BGAのサイズによるけど、うちは6層以上でやっているよ。
製造側からは4層にして安くしろといわれたがパターン引けなかったので6層で勘弁してもらった。
578774ワット発電中さん:2006/05/05(金) 01:38:29 ID:GbHTpnwX
>>574

基本は1本に1個、無理でも2本に1個は死守してます。
遅いけどパラレルには1005の1uF抱かせたり、早ーいLVDSやPLLには0.001付けたり。
FBGAでもピン間に収まるので、内層から引き出しで配線は何とか。
ちなみに684?とか780Pinの話でした。
579774ワット発電中さん:2006/05/05(金) 02:47:06 ID:M/68B8mo

なるほど、たくさん付けてますね。
でも、BGAの裏面はバイヤがたくさん来るので、
BGAの裏面ズバリには置けずに、周囲を囲うように四角くならんでいる基板を
見たことがあります。これだとせっかくのパスコンも効果台無しだと思うけど
どうでしょう。

>>578
780ピンともなるとVCCIOやVCCINTが多いので、BGAの裏面に
もろにパスコンを置くと大変な事になりません?
あと、パスコンがつながるピン番号を回路図中で明記してますか?
多くの回路図では、VCCINTを全部つないだところに、パスコンを
ハシゴのように記入してありますが、どのピンとどのピンにつなぐ指示は
書いてないですよね。「なるべくまんべんなく配置して!」とか依頼するのでしょうか?
580774ワット発電中さん:2006/05/05(金) 03:10:39 ID:oSdIjTbd
>どのピンとどのピンにつなぐ指示は
その辺って外注の基板屋に出しているならその担当の部署やレベルにもよるよね。
大手の会社へ対応する部隊はそれなりのスペシャリストがいるみたいだけど、
オイラみたいな中小の会社だと事細かく指示とか必要だったり。
出来上がった図案みてがっかりとか・・

581774ワット発電中さん:2006/05/05(金) 09:50:41 ID:gOHrriNj
>>580
うちは、FPGAの直下だけ1/4か1/8だけ、信号の引きだし+パスコンの引き回しを
見せてもらってますよ。
そうするとお互い後戻りがなくて楽。
582578:2006/05/05(金) 09:59:08 ID:GbHTpnwX
たしかに、中央はパスコンだけです。
ピンそばに置かなければならない部品とすると終端抵抗ですが、幸いALTERA Stratix&IIなので、デバイス内蔵で救われています。

>パスコンがつながるピン番号を回路図中で明記してますか?

してないですね。1Pinに付き1個程度配置願います。って感じです。
幸い、お付き合いしてるアートワーク屋さんがすごくデキる人なので、しなくてもやってくれるんですけど。

>周囲を囲うように四角くならんでいる基板

確かに見ますね。あんまり電気食わなければあれでもいいんでしょうけど...。
あと、パッケージによっては中抜け(中央にピンなし)もあるし。
積層セラミックコンデンサなプリプレグがあるとすごく素敵なんですけど。

しかし、BGAは配線チェックの”精神力”消耗が激しく。一発でパーですからね。
一応保険の予備ピン仕込んでおきますが。
何とかならんかなぁ、配線ミス対策。
583774ワット発電中さん:2006/05/06(土) 08:49:07 ID:iouzJUGZ
>>567
かなり遅レスですが、以前LT1963A(LT)を使いました。 外部抵抗で1.21Vから使えます。
入手性は問題ありませんでした。
Cyclonが1個だけだったから良かったのですが、大規模デバイスになったら厳しいんでしょうね。
584583:2006/05/06(土) 09:34:04 ID:iouzJUGZ
アンカーミス
>>567 では無く >>566でした。
スレ汚し失礼致しました。
585774ワット発電中さん:2006/05/06(土) 18:02:42 ID:ipz9gLN8
>一応保険の予備ピン仕込んでおきますが。
>何とかならんかなぁ、配線ミス対策。

そのテクニックkwsk!!!!
586774ワット発電中さん:2006/05/06(土) 19:05:33 ID:ptMmkcPY
最近のは回路図設計から基板へのパターン配線まで一括パソコンで処理してるから、配線ミスなんて無いと思ってた。
587774ワット発電中さん:2006/05/06(土) 21:41:22 ID:JVLMoH1L
回路図設計やアートワークを人間なしで作っているパソコン萌え
588774ワット発電中さん:2006/05/06(土) 22:27:20 ID:HufgONIN
っ[小人さん]
589774ワット発電中さん:2006/05/07(日) 03:10:21 ID:tcaaLlbf
>>585
FPGAに余りのピンがあるときにそれをチェックピンとして外に出しておき、
必要に応じてそこからジャンパをして他へつなぐということでしょ?
全ピン使っている場合には それが出来ないんですよ。
590774ワット発電中さん:2006/05/07(日) 03:11:15 ID:nkz2zQf8
ネットリストでは間違って無くてもヒューマンエラーが有るからな。
どんなに忙しかろーと、時間が無くとも出図前の検図は大事なんだよな・・・
591774ワット発電中さん:2006/05/07(日) 09:14:22 ID:sqaXwWcz
CoolRunner-IIの IO/クロック兼用ピン をGCKとして使用する場合、

入力するクロックの電圧は、I/Oバンクの電圧に依存するのでしょうか?

それともコア電圧(1.8V)でよいのでしょうか?
592774ワット発電中さん:2006/05/07(日) 12:21:16 ID:p7vns3kI
>>最近のは回路図設計から基板へのパターン配線まで一括パソコンで処理してるから

回路図設計の所ね。こればっかしはヒューマンエラーが発生しがち。
LVDSピンのそばに他の信号置けないとか、落とし穴もあるし。

>全ピン使っている場合には 

ガクブルですね....そういう時。ボクはとりあえずbusにソコーリと偽プルアップとダンピング入れてます。
最悪の時は8bitアクセスにしてもらって、空いた8pinを使うとかそんな感じです。。
593774ワット発電中さん:2006/05/07(日) 14:01:22 ID:clNa9j9h
>>591
そういうのはメーカーにきちんと聞いたほうがいいよ
594774ワット発電中さん:2006/05/07(日) 14:18:33 ID:2C8aiZbG
データシート読めば書いてあることをいちいちメーカに聞くのも迷惑な話だろ
せめて代理店にしとけ
595774ワット発電中さん:2006/05/07(日) 15:22:54 ID:p7vns3kI
>>591

そういうことはここで聞くがよろし。
初心者のために立ち上げたんだそうだ。

http://d.hatena.ne.jp/KaruishiFactory
http://karuishi.servehttp.com//
596774ワット発電中さん:2006/05/07(日) 16:57:36 ID:oDmEovNH
>>595
思ったんだが「ため」にはならん罠
597774ワット発電中さん:2006/05/07(日) 17:05:37 ID:p7vns3kI
"自分の為"だからねw
598774ワット発電中さん:2006/05/07(日) 17:42:29 ID:JpUyS3Bk
ttp://zip.2chan.net/3/futaba.php?res=452760
ttp://zip.2chan.net/3/src/1146981942945.jpg

みてくれ哲夫!
俺にも回路設計できたよ
つか、もう二三冊買っとくべきだった
MAXだけ取り替えできるかな

相互リンク
599774ワット発電中さん:2006/05/09(火) 14:21:37 ID:ha4tyqU1
材から無視されるようになってしまった。
メール送っても応答無し。
WEBから登録とかしても、使えるようにならない。
600774ワット発電中さん:2006/05/09(火) 14:32:02 ID:ET/whT4q

そういうことはここで聞くがよろし。


http://d.hatena.ne.jp/KaruishiFactory
http://karuishi.servehttp.com//
601774ワット発電中さん:2006/05/09(火) 19:51:31 ID:xdQobcdX
>>600
だからそういう地雷を(ry
602774ワット発電中さん:2006/05/10(水) 00:08:59 ID:TdtmkxvE
>>600
ボヤいたり質問したりする奴に恨みでもあるのかw
603774ワット発電中さん:2006/05/10(水) 03:30:43 ID:ZPSofZX2
>>599
ザイは代理店まかせだから、親切な代理店を見つけておかないと
納期直前になって大変だぞ。うちは見つけれずにテラ(w

パルがザイの売り込みに来たときは笑った。
テラの顧客を取り込もうとは、良い度胸(w
604774ワット発電中さん:2006/05/10(水) 05:10:22 ID:ezPXf7eX
>>600
勧誘?
605774ワット発電中さん:2006/05/10(水) 16:13:37 ID:XQbMOqCY
Quartus 6.0でSystemVerilogに対応したな。
SynplifyもModelsimでは随分前から対応してたから、これでようやく
全面的にSystemVerilogに移行できる
606774ワット発電中さん:2006/05/10(水) 19:07:13 ID:pO9HXxhM
どこに対応したって書いてあるの? 2001も満足に対応してないのに?
607774ワット発電中さん:2006/05/10(水) 19:33:31 ID:MEw7b+uf
だったらいいなぁ
が、そこにある
608774ワット発電中さん:2006/05/10(水) 19:35:22 ID:vm+S6JYA
今頃になってマックスからカルタスに乗り換えた(w
質問なんすが、ピンアサイン定義をテキストエディタで編集したいんだけど、どうしたらいい?
マクスの時は拡張子がPINになってるファイルを編集してたんだけど、
カルタスになってからこの方法が使えない。
ツール上で、1ピン毎に設定していくのがメンドイので、
テキストエディタで一気に設定したいんだけど・・
609774ワット発電中さん:2006/05/10(水) 19:51:48 ID:lK5cW9wo
カルタス?
610774ワット発電中さん:2006/05/10(水) 20:12:04 ID:7TFgfe3Q
皆さん真面目にパスコン配置してるんですね。
俺は手を抜いてます。だいたい2本に0.1uFを1個以下で、
FPGAから5mmくらい離した位置にパッケージを取囲むように配置してます。
Cyclone(1C20) 60〜80%使用 clock=100MHz で動かしてますが、
今まで問題起きた事無いですよ〜。 5000個程度の実績ですけどね。
Straクラスだと無理かもしれんが、Cyclone程度までだったら気にしてない。

>>582
外注さんにBGAの内側pinからでもジャンパー配線してくれる神がいます。
「金はかかるが最悪時にはこの人頼めばいいや。」って開き直ってます。
妥当な対策として、俺も保険の予備配線や無意味なダンピング抵抗仕込んだり
して逃げてます。
611774ワット発電中さん:2006/05/11(木) 01:02:39 ID:RHmKIumS
>>608さん
>今頃になってマックスからカルタスに乗り換えた(w
Max+PlusIIからQuartus(クォータス)ってことね。しばし考えた...

>質問なんすが、ピンアサイン定義をテキストエディタで編集したいんだけど、どうしたらいい?

acf相当のやつにqsf(Quartus Setting File)ってのがあります。
とりあえずGUIから1ピン定義してから開けてみて。わかると思います。

>>610さん
>皆さん真面目にパスコン配置してるんですね。

ビデオ系だと、同期信号近傍でバサっと信号が揺れるのでちょっと注意でした。
外注さんが作ったやつ、Apex 484ピンでパスコン5個というやつは、画面が全白から全黒に変化すると、勝手にコンフィグがスタートしました。

>BGAの内側pinからでもジャンパー配線してくれる神がいます

そう、Webでこれ見てから、相当に気が楽になりました。...。
それでもやですね。BGA。


612774ワット発電中さん:2006/05/11(木) 01:19:27 ID:FvQynB/G
>>609
軽+
613774ワット発電中さん:2006/05/11(木) 02:11:10 ID:2iVxIiKm
×マックス
○マクース
◎マッカス
614774ワット発電中さん:2006/05/11(木) 08:37:48 ID:wwn4kZUa
>>609
俺 舘 カルタス
615774ワット発電中さん:2006/05/11(木) 10:37:05 ID:4SK1DCwC
>>610
>>611

>BGAの内側pinからでもジャンパー配線してくれる神がいます

すみませんが教えてください.
616774ワット発電中さん:2006/05/11(木) 11:44:53 ID:/9dWoccp
業者乙。

洒落でググってみたが、「ジャンパ君」というふざけた製品を発見。
業者の術中にはまっている俺様がいます。orz
617774ワット発電中さん:2006/05/11(木) 14:36:54 ID:cP2pAWI4
アイデア商品だな。高速な信号ではどうなるのやら。
618774ワット発電中さん:2006/05/11(木) 15:32:36 ID:4SK1DCwC
なんか騙された気分
619774ワット発電中さん:2006/05/11(木) 16:52:45 ID:5sjvAwJf
X線写真って、基板一枚撮るのにいくら掛かるんだろ?
620774ワット発電中さん:2006/05/11(木) 17:12:58 ID:h9pQVGMH
>>616
http://www.kaztech.co.jp/home/BGA%20Socket/BGA-jyanpa.htm
高い信頼性、安定した接続、自然な仕上がり。
                  ^^^^^^^^^^^^^

カツラの広告かと思ったよ。

>>619
知り合いに開業した整形外科医がいれば、1枚くらいならただで撮ってくれるかも。
621774ワット発電中さん:2006/05/11(木) 18:15:52 ID:hBO5i7bh
資料請求したら早速キャズテックから電話がかかってきた。
622774ワット発電中さん:2006/05/11(木) 23:18:38 ID:TwVVkyKl
>>カツラ
うん、そう大差ない
623774ワット発電中さん:2006/05/12(金) 16:38:39 ID:hXt/ifLY
>>611
サンクス。試してみる。
624774ワット発電中さん:2006/05/12(金) 19:06:49 ID:PJLjdWIO
真空管式カラーテレビの高圧整流間からは、結構な量のレントゲンが出ているぞ。
基板の撮影なら、フィルム密着で良いんだろ?
誰か実験してみ
625610:2006/05/12(金) 19:09:37 ID:dJTMQllm
>>615
もう納得しちゃったのかな?
知り合いの業者をここで紹介するわけにもいかんので、
似たような事をやってる業者を探してきてやったぞ。
ほれ! ttp://www.kei-all.co.jp/p2/index.htm

先に言っとくが、実装済みのBGAにジャンパーする場合、
リボール前提なので万は越える覚悟をしておくように。
626774ワット発電中さん:2006/05/15(月) 06:43:28 ID:hSi0eLm8
>製造ライン全員がジャンパー配線可能の為、1日2000本可

そのサイトある意味すごいですね。
627774ワット発電中さん:2006/05/15(月) 10:38:10 ID:n/ZIRHyq
           , -ァ、
   ヘニ `ヽ _∠∠ -ヽ. ,, _
  /    /´::::::::::::::::::::::::::::::::`ヽ
  〈   -/ .:. .::.. .::. . .. :. :..ヽ.:.:: 、
  ヽ_  '..:::::::::::::i::::::::::ト;:!、:::::!|::::!::::リ
 / / 7!:::::i:::::::::|i,⊥!」 |! L::」'-T7
 ゝ/  ハ::::::、;:イィト-1ヽ   r= !' 
  7  , ヽ::f'`!ヘヾ:::ノ    ,   , USBの信号モニタを作りたいんですけど
  \ト、_iヾ'_、::\   ー '  イ     アドバイスもらえるとうれしいな
    |::i::::|::丁:::\::ヽ  r_ イ|:::!
   イ::|::::!:::i::::::ノ-ヽ;::\!|ヾ`!:| r「 ̄ ̄i|
   i::::|::::::::|:::f _,. `ヽ|`ヽ,!`}_,.っ、__ノェョュ__,
   !:::i|::::r=1´:.  `,>-‐7i'  '´,ニ⊇-' ̄ ̄
   ヽト、::|   ヽ;:...     | !-r<!ィT´
     ` ヽ,  _,,}r'、/`1  i |ヨ!r 1ヾト,
     >" 7 /!  ! ノ-、 Y'T|-、 !,-N
    く  / ィ r゙‐'〇,. < , | |、_O、ノ |
     }/ / | 'ュ_,.Y ヽ-'/  ! |i´Yヽ. |
     ア`V ∧   ゝ-' イ  | iド'_ゝ' j
    ./  ' / ∧  /|  .:| !|   ̄
   /:.   /  /  7'"  |  ::| |.!
  .〈:..  /:. /  '   |  ::i  |
   \/.: /   !   i  .::!  |
     `ヾ、  |   ,   :::|i  |
         ̄7ー‐r----,.L - '
        く \_;,}  - {
         \ ゙7ー- _ゝ、
           `ゝ- ..,,_ )
628774ワット発電中さん:2006/05/15(月) 10:49:23 ID:BeMBMa81
  7  , ヽ::f'`!ヘヾ:::ノ    ,   , USBの信号モニタを作りたいんですけど
  \ト、_iヾ'_、::\   ー '  イ     アドバイスもらえるとうれしいな

ttp://www.asahi-net.or.jp/~qx5k-iskw/robot/ikusbmon.html
629774ワット発電中さん:2006/05/15(月) 14:48:47 ID:n/ZIRHyq
ありがとう
630774ワット発電中さん:2006/05/16(火) 01:55:33 ID:V6NUmK23
皆さんはCPLDへの書き込みにどんなソフトを使用しているのですか?
低価格もしくはフリーでお勧めのものなどありますか?
631774ワット発電中さん:2006/05/16(火) 07:44:34 ID:RSM40T30
普通にメーカが提供する無料のツールをつかってるよ
CPLDで有料のツールは聞いた事がないぞ
もしかして10年前からタイムスリップしてきた?
632774ワット発電中さん:2006/05/16(火) 09:31:43 ID:Q0jojyA3
Virtex5ってどんな感じ?
633774ワット発電中さん:2006/05/16(火) 10:41:07 ID:96bCofxV

目玉焼き製造プレート
634774ワット発電中さん:2006/05/16(火) 23:01:33 ID:K4Bf0Uge
たとえ嵐が吹こうとも たとえ大波あれるとも
635774ワット発電中さん:2006/05/16(火) 23:08:05 ID:gXfhvl+X
こぎだそう たたかいの海へ とびこもう たたかいのうずへ
636774ワット発電中さん:2006/05/16(火) 23:19:01 ID:Q0jojyA3
みつめあう ひとみとひとみ ぬくもりを しんじあう 五人の仲間
637774ワット発電中さん:2006/05/17(水) 01:55:09 ID:NhHiBcPD
>>630
シミュレーションにこりたいとか、低消費電力化のツールが欲しいとか言わない限りWEB版で十分。
638774ワット発電中さん:2006/05/17(水) 02:02:42 ID:0mBN87Xn
>>637

orz
639774ワット発電中さん:2006/05/17(水) 02:13:26 ID:xouyF11j
>>630わ「書き込み」と書いているでわないかと書いてみる

まあ合成の話を聞きたかったのかもしれんが
640774ワット発電中さん:2006/05/17(水) 09:05:21 ID:5tOwhmSG
書き込みだけしたいのなら尚更WEB版で十二分。
641774ワット発電中さん:2006/05/17(水) 14:46:10 ID:1txcEopS
背中まで四十五分
642774ワット発電中さん:2006/05/17(水) 18:34:26 ID:6hkaiN5t
megacore 6.0 ってインストールできてる?

Setup has experienced an error
Error -6001

ってのが出るんだけど?
643774ワット発電中さん:2006/05/18(木) 13:35:58 ID:3uz6Ilmv
>634-636

それは、VOLTES(ボルテス)-V
644774ワット発電中さん:2006/05/18(木) 23:44:05 ID:hXOqF5Nt
ISEWEBPack8.1 使ってたんですが3日ぐらい前からISE Simulator使って
回路図のテストベンチしようとすると色々Warningやエラーが出たあげく
強制終了するようになってしまった、前までは同じ手順でできてたのに
プロジェクトもパソコン再起動するとほぼ毎回壊れてるし

どんだけ使いづらいのこれ・・・orz
それとも俺の使い方が悪いのか
645774ワット発電中さん:2006/05/19(金) 00:19:55 ID:ndRjKRWM
> 世界初の 65nm FPGA Virtex-5
> ワンファミリ マルチプラットフォーム
>
> 第 5 世代 Virtex-5 LX FPGA 出荷開始!

出荷は開始したけど納期は100週位という事で宜しいですか?
まだ、Virtex4ですらまともに手に入らないのに・・・
646774ワット発電中さん:2006/05/19(金) 04:07:54 ID:c1nNh3QA
>>644
慣れるまで時間が掛かる(w

使い始めて半年程度だが、えらそうにアドバイスを少々。
インプリメントやシミュレーションするときは、
CPUのパフォーマンスメーターを必ず表示しておく。
ISEが一見反応しなくなってもCPUパワーが食われて間は
じーっと待っていること。
メモリ利用がいっぱいになりそうになったら、いったんISEを終了して再起動掛けること。

なお、仮想メモリは可能なかぎり最大値を設定しておくこと。
CPUがDUALコアだと、ISEはかなりメモリを食う。

ガンガレ。
ま、できればテラへ乗り換えれば苦労は無くなるが(w
647774ワット発電中さん:2006/05/19(金) 11:30:04 ID:nTy6sfnS
>>645
うちもよく言われるな。「出荷はしてますが、御社にはまだです」って。

あと、おかしいと思うのが、「注文しないと、納期の回答ができません」とか
言われる。泣けてくる。
648 ◆YMO/ALTERA :2006/05/19(金) 12:15:49 ID:0kdB8/ED
>>646
>CPUがDUALコアだと、ISEはかなりメモリを食う。

ええなー
MP対応かぁ
649774ワット発電中さん:2006/05/19(金) 14:16:54 ID:xd4u5yc4
>>647
「納期の回答がないと、注文しません」

と言えば良いだけではん?
650774ワット発電中さん:2006/05/19(金) 14:29:06 ID:SyJLuB5j
普通見積りで納期の条件があるもんだけど、ないの?
651774ワット発電中さん:2006/05/19(金) 14:59:44 ID:q+XI+pRH
FPGA以外だと、生産調整してて在庫もないから、まとまった数量の
注文がないと生産計画が立たないって場合が稀にあるけど…
652774ワット発電中さん:2006/05/19(金) 15:27:44 ID:SyJLuB5j
そしたら見積もり回答には「受注確定後○週以内」で回答ありそうだけどなぁ
653774ワット発電中さん:2006/05/19(金) 16:42:14 ID:sbkZQ4xm
>>648
残念ながら、ISEがMPになるのは一瞬だけ。
全体の処理時間は、シングルのせたPCと比較してもあまりかわらん。
そのくせメモリだけは大量に食う(w
654774ワット発電中さん:2006/05/19(金) 18:48:42 ID:5aW9Q/p0
変なの頼んで
「ワールドワイドで注文数まとまったら作ります。納期は成り行き。」
って一次代理店に言われた事あるよ。
「5万個注文なら3ヶ月後納品」だとか・・・
655774ワット発電中さん:2006/05/19(金) 18:57:08 ID:5PRM6D7o
アルテラからメールが来た。

>トランジスタ技術 MAX II 基板付録キャンペーン
>御当選者様

クジ運良くなってきたのかなぁ〜。もれなく当ったりして
656774ワット発電中さん:2006/05/20(土) 09:00:06 ID:Lp132dSS
Nios と Micro Blaze を比較しているサイトってないですか。
あったら教えてほしいんですが。
657774ワット発電中さん:2006/05/21(日) 21:57:16 ID:/lSpSZMz
>>655
うちは来てない。おめ。
658774ワット発電中さん:2006/05/24(水) 00:46:49 ID:/jdRd1gD
EDKの使い勝手は良くなったでしょうか?
エラーメッセージをアンサーデータベースで調べると、それはバグですとか、
次のバージョンで直すから待てとか書いてあったり、
CPUとロジック部で共有メモリを設定するだけでも、裏技っぽい手法が必要だったり
とかはなくなったでしょうか。

6.Xの時は、カスタムのCPUを作るのには良いけどユーザロジックと組み合わせよう
と思うと、途端にハードルが上がる感じを受けたのですが、変わりましたか?
659774ワット発電中さん:2006/05/24(水) 01:00:54 ID:ES+uOxD1
>>658
まあ、次のバージョンで直すから待てってセリフ吐くんじゃ、仕事では使うなと言ってるようなもんだなw
660774ワット発電中さん:2006/05/24(水) 15:39:37 ID:0HVx5Pyr
>>659
不具合の回避方法か,代替手段の提示があって,ならまだナントカなるんだがな。
バグ直すまで待っての一言だけだと,正直開発には使えん。
まー評価の段階でわかればいいんだけど,実際にはなかなかw
開発始まっちまうと,VUを待つ悠長なこと言ってられないんでテクニカルサポートから
裏技ばかり聞く羽目になるな。バグレポートでサポート費相殺するようにして欲しいよ。
661774ワット発電中さん:2006/05/24(水) 22:04:17 ID:JXc0zLWL
>>660
これ以上バグ報告が来たら困ります。
662774ワット発電中さん:2006/05/26(金) 15:15:54 ID:1LoBETsn
>>223
禿同
いきなり個人名で問い合わせるつー感覚も理解できん。
663774ワット発電中さん:2006/05/26(金) 18:05:31 ID:Zcep++CM
何この亀レス?
664774ワット発電中さん:2006/05/26(金) 18:27:33 ID:0x4UeKWZ
いいんじゃない?
どうせ糞話題しかなかったんだし
665774ワット発電中さん:2006/05/27(土) 04:37:50 ID:hdqbg2XP
FPGAでCPUを組むツールなんて、バグがあって当たり前、
それが嫌なら完成品のCPU使えってことかな。
仕事なら納期やリスクも考えないとならないし。
もっとも、完成品のCPUにバグが無いとは限らんが。
666642:2006/05/28(日) 17:12:43 ID:U57zvSdH
自己レスです。
Setup has experienced an error というのはInstallShieldがらみのエラーであちこちで報告されているようです。
原因は、あらかじめ存在した
C:\Program Files\Common Files\InstallShield\Professional\RunTime\0701\Intel32\setup.dllのバージョンが
megacoreがインストールしようとするバージョンよりも新しいことでした。
0701をリネームすればインストールできたのですが、インストール後、また0701ができてました。
もともとの0701ががいつできたのかわからないので
インストール後は新バージョンのフォルダの方を元に戻し、古いバージョンの方をrenameしておきました。
しかしプログラムの追加と削除にFunction名を山ほど登録してくれて・・・
削除するときどうしろと?
667642:2006/05/28(日) 17:14:02 ID:U57zvSdH
スマソ上げてシモタ
668774ワット発電中さん:2006/06/02(金) 01:34:11 ID:dNQQjJ1P
XilinxのISEWEBPack8.1を使って、ロジック回路を作っているのですが、
アップデートはサービスパック3を入れておけば十分でしょうか?
OSはwindowsXPです。
669774ワット発電中さん:2006/06/02(金) 11:23:57 ID:P/DsXHtB
だからNIOSにしておけとアレほど口を酸っぱくして言ったのに
670774ワット発電中さん:2006/06/02(金) 19:26:46 ID:L04b4b7B
エルセナでデータベースができて、公開を
はじめたらしいよ。。。。
http://www.elsena.co.jp/altrets.html
671774ワット発電中さん:2006/06/02(金) 19:30:32 ID:L04b4b7B
間違って、あげちゃった。。。
ごめんなさい。
でも宣伝だから問題ないか。
素人なもので。すいません。
672774ワット発電中さん:2006/06/02(金) 23:15:11 ID:WG2W3SDu
素人だの初心者だのは免罪符になりません
カスは氏ね
673774ワット発電中さん:2006/06/04(日) 20:23:36 ID:Tk6odI8f
>>669
そんなこといってる喪前はどしろうと。
NIOSつかったことないだろ?w
ドンだけ苦労したことか・・・・。
(TOT)
寺のサポートもおざなりだしよぉ・・・・・。
はぁ
674774ワット発電中さん:2006/06/04(日) 23:26:09 ID:AxIn27d/
目糞鼻糞だわなw
675774ワット発電中さん:2006/06/05(月) 21:50:57 ID:sbXdz2Bu
素直にSH使え!ってことでいいな?
676774ワット発電中さん:2006/06/05(月) 21:52:17 ID:M/05eMx8
それで間に合うんなら、そうしとけ
677774ワット発電中さん:2006/06/06(火) 17:27:41 ID:Lap23uPA
俺も、間に合うなら、外にH8つける派
678774ワット発電中さん:2006/06/06(火) 17:46:02 ID:q9z7aVB1
外に出そうとして、間に合わなかった  orz
679774ワット発電中さん:2006/06/06(火) 20:22:43 ID:OVzDUqFe
つ[コーラ]
680774ワット発電中さん:2006/06/07(水) 11:16:24 ID:z9SirVj+
ALTERAのFPGAについて知りたいことがあるのですが、
コンフィグレーション前および最中の、IOピンの状態はどのようになっているのでしょうか?
現在2つのFPGAを、コネクタを介して繋ぐようなことを考えているのですが、
コンフィグレーションする順番によっては、最悪の場合、信号衝突してしまうのではないかと心配しています。
ちなみに使う予定のデバイスはサイクロン2です。
上記のことは自分でも調査中ですが、まだ明確な情報が見つかってません。
基本的なことかもしれませんが、なにかご存知の方がいたら、よろしくお願いします。
681774ワット発電中さん:2006/06/07(水) 13:09:11 ID:623B3kTK
データシートも読まないカス
Hi-Zだと書いてあるだろ
682774ワット発電中さん:2006/06/07(水) 13:32:08 ID:GzQWTxfi

>>680
仕事で使うのか?
だったら自分で調べるか、自分よりFPGAの先輩な人に聞け。
書き込み時間を見ると、仕事ではなさそうか。
683680:2006/06/07(水) 14:05:53 ID:z9SirVj+
>>681
コンフィグ中はハイインピだとは教わったんですが、
コンフィグ前もハイインピなんでしょうか?

>>682
データシートに書いてあるんだろうと思ったんですが
やたらボリュームあるんで見つかってないんです。

なるべく自分で調べる癖をつけたいし、時間も結構あるのでもう少し調べてみます。
684774ワット発電中さん:2006/06/07(水) 18:59:08 ID:im5BmVVY
ガンガレ!
685774ワット発電中さん:2006/06/09(金) 13:59:40 ID:pHMNyR2c
だったら初めから聞くなよ カス
686774ワット発電中さん:2006/06/10(土) 01:18:10 ID:DQprJWHL
ここにも出たか(w
687774ワット発電中さん:2006/06/10(土) 07:52:23 ID:c7N1JJ4F
>>681 >>685
  ク    ク   || プ  / ク   ク  || プ  /
  ス  ク ス _  | | │ //. ス ク ス _ | | │ //
  / ス   ─  | | ッ // /  ス   ─ | | ッ //
  / _____  // /          //
.  /   l⌒l l⌒l \  ))   ____
. / / ̄| ,=| |=、| ̄ヾ   / ____ヽ
/ ̄/ ̄.  ー'●ー'  ̄l ̄ |  | /, −、, -、l  ))
| ̄l ̄ ̄  __ |.    ̄l ̄.| _| -| ,=|=、 ||
|. ̄| ̄ ̄  `Y⌒l__ ̄ノ ̄ (6.   ー っ-´、}
ヽ  ヽ    人_(  ヾ    ヽ    `Y⌒l_ノ
  >〓〓〓〓〓〓-イ   /ヽ  人_(  ヽ
/   /  Θ  ヽ|  /    ̄ ̄ ̄ ヽ-イ
688774ワット発電中さん:2006/06/10(土) 07:56:07 ID:c7N1JJ4F
ところで、寺本社大丈夫か?
Altera Faces Delisting Threat
http://reed-electronics.com/electronicnews/article/CA6335826.html?ref=nbth
689774ワット発電中さん:2006/06/10(土) 19:05:50 ID:wqrFTOq1
688
なんだこりゃ
最近同じような問題でビテッセのCEOとかクビになってんじゃん
どうなるんだ?
690774ワット発電中さん:2006/06/10(土) 21:59:09 ID:Bcts0bIu
日本支社が大丈夫なら俺は気にしない。
691774ワット発電中さん:2006/06/11(日) 02:30:36 ID:dN6NAUIm
 ちょっと教えて欲しいのですが、XILINX の ISE で VHDL を使って
合成する時、例えば
 BLOCK_SCRIBBLE : block
  signal s : std_logic_vector(99 downto 0);
 begin
  s(0) <= scr_in;
 scr_out <= s(99);
  GEN_SCRIBBLE : for i in 1 to 99 generate
  begin
   INST_SR : srlc16e port map(
    s(i-1), '1', clock, '0', '0', '0', '0', open, s(i)
   );
  end generate;
 end block BLOCK_SCRIBBLE;
みたいな感じで大量に生成したインスタンスの任意のインスタンスの位置を
ucf で指定したいのですが、これってどう書けばいいのでしょうか?
INST "/top/GEN_SCRIBBLE/INST_SR<n>" LOC = "SLICE_XnnYmm";
とかいろいろやってみたのですがどうしても指定できませんでした。

 ちなみに、scr_in と scr_out はダミーの入出力ピンで何も指定せずに
成り行き任せで配置配線させたときにチップ上に出来た曲線はサーキットの
ようでなかなか美しい。

しょうもない質問ですんません。
692774ワット発電中さん:2006/06/11(日) 10:44:36 ID:iqJFJ1z2
しょうもない質問
693774ワット発電中さん:2006/06/11(日) 19:05:58 ID:JMF/8Psl
690
日本支社は本社の100%子会社なんだけどな 本社コケたら子会社もコケる
694774ワット発電中さん:2006/06/12(月) 19:05:03 ID:0i8q9uVC
トラ技2006/4オマケ(?)のCPLD基板が秋月で販売始めたもより
http://akizukidenshi.com/catalog/items2.php?q=CPLD&s=score&p=1&r=1&page=#K-01457

返本の処分品か?
値段は秋月5Vアダプタ・オシレータ・Dサブ・抵抗・LEDがついてくるが\2700で倍以上の上にトラ技自体は付いてこないw
695774ワット発電中さん:2006/06/12(月) 21:10:18 ID:zNVuivxW
秋月も終わったな
696774ワット発電中さん:2006/06/12(月) 21:19:36 ID:FZokcqhY
相当売れ残ってCQが泣きついたのかね
しっかし、ひどい価格設定だな。
処分品なんだからせいぜいトラ技のの値段と同じくらいが妥当な所だろうに・・・
そのうちARM基板とSH基板も出てきたりしてねw
697774ワット発電中さん:2006/06/12(月) 21:47:48 ID:he5TsbiI
本は売り切りだから売れ残ってもCQは痛くもかゆくもない
698774ワット発電中さん:2006/06/12(月) 21:52:16 ID:5i88s9Mq
>>694
まだ書泉のブックタワーとかにも在庫あると思うんだけど?

値段設定もそうだけど,雑誌本体も大した資料もなくて,
その基板だけ買うやつなんてのは,そんなオマケパーツなんか
イランというのが大半ジャマイカ?
699774ワット発電中さん:2006/06/12(月) 22:15:27 ID:0i8q9uVC
NICT、インターネット用時刻同期サーバによる日本標準時配信サービスを開始
http://japan.cnet.com/news/media/story/0,2000056023,20137847,00.htm
>処理能力は既存システム200台分以上に相当する毎秒100万リクエスト以上の性能を有する。
>この処理能力は世界最高性能で、現在の国内の需要を十分にカバーできるという。

  NTPサーバアドレス ntp.nict.jp
  WEBアドレス    http://www2.nict.go.jp/w/w114/stsi/PubNtp/



○ FPGAで作られたブレードサーバがイカスですよ!!
  どこのチップだろ?(サイトにボードの写真があるけど老眼でよく見えんww)

>本サービスでは、NICTで独自開発したインターネット用時刻同期サーバーボードを用いています。
>時刻サーバはNICT内に設置され、日本標準時に直結しています(stratum 1)。
>その時刻精度は日本標準時と10ナノ秒以内、処理能力は毎秒100万リクエスト以上の性能を有しています。
>このサーバーボードは、FPGAにより全てハードウェア化されているため、ワイヤレートでのリクエストでも精度は低下しません。
>ただし、途中のネットワークにおけるキューイング遅延や往復経路の相違による遅延時間差の影響を取り除くことはできません。
700774ワット発電中さん:2006/06/12(月) 22:50:46 ID:wTcYG4P0
>>691
Virtex でやったら上下方向の配線の方が得意らしい。
まあそりゃそうか。左右の方ガスキューが少ないと聞いていたからなんとなく
右から入って左にデータが流れるような感じでピン配を決めてたけど
上下方向に流した方がいいのかも。

>>699
ロジックベースのwebサーバがあって、その会社の特許を調べた事があるけど
なんか、こう、素人がつれづれなるままに書いたような特許でした。それに
抵触しないのかねー。確かにNTPとは書いてませんでしたが売らなきゃいいのか。
チップはザイリンクスのロゴらしき物が見えるがちょっとロゴが大きすぎるような。
701774ワット発電中さん:2006/06/14(水) 08:03:34 ID:iQy4nSAy
特許は販売しなくても業として実施するならアウト
研究のための再現実験や新規開発実験ならセーフ

その特許を読んだわけではないからなんともいえないが
素人くさいんならクレームの脇が甘くて回避し放題とか??

抵触する特許があったら
1.まず回避しろ
2.回避するのが無理なら隠せ
3.回避も隠蔽も無理なら特許権が切れるまで待て
4.回避も隠蔽も無理でどうしても待てないならパテント料払え
こんな優先順位で対応だな

普通はせいぜい3どまりで4まで行くことは稀
特許が有効な間は誰も見向きもしないのに期限が切れたとたん
その技術を実施する会社がわらわらと出てくるものだ
702774ワット発電中さん:2006/06/14(水) 09:36:25 ID:hEA6h+Uu
ティマに見積り依頼出したが無視され中
客のうちに入りませんかそうですか
703774ワット発電中さん:2006/06/15(木) 03:50:28 ID:JeEh5j31
>>701
特開2002-208982 および 再表2004/003794
自分はこの方面については素人ですが、実施例に書くべき事を請求項に書いて
しまっているような前者と、弁理士に清書を依頼したようだけれど範囲を
広げすぎてなんだか先行事例がザックザクというかんじの後者。
704774ワット発電中さん:2006/06/16(金) 00:23:42 ID:Bh1izZnd
寺オワタ\(^O^)/
705774ワット発電中さん:2006/06/16(金) 00:33:25 ID:Z1AGBa4q
その反動かなぁ。
うちにはテラ系の営業が押し寄せてるんだが・・・
706774ワット発電中さん:2006/06/16(金) 02:30:32 ID:sQuZ/3LL
>>696
秋月、付録ARM基板みたいなバグ基板売るほどボケてないと信じているんだが。
707774ワット発電中さん:2006/06/16(金) 14:16:37 ID:pd3Rny5P
秋月の基板はバグってるのがデフォ
708774ワット発電中さん:2006/06/16(金) 21:12:58 ID:HpP+lXAA
>>707
irq0,irq1,irq2を引き出してないマイコン基板とか?
Loくを抵抗分圧で設定してるミツイワボードとか?
709774ワット発電中さん:2006/06/18(日) 10:21:57 ID:vTZlv808
パラレルポートがないPCでコンフィギュレーションする
もっとも安価な方法はなんでしょうか?
ちなみにパラレル用のケーブル(ヒューマンデータ製)
は持っています
710774ワット発電中さん:2006/06/18(日) 10:54:07 ID:kWIwllBN
EZ-USB使ったら?
711774ワット発電中さん:2006/06/18(日) 10:56:53 ID:7YFghWlX
EZ-USBだけあっても、ソフトがなければコンフィグレーションできないからなあ
712774ワット発電中さん:2006/06/18(日) 11:18:23 ID:vTZlv808
ありがとうございます。
EZ-USBというか、カメレオンUSBはすでに持ってます
それで、オプティマイズで公開されているsvf再生ソフトを利用すればよさそうなことは
わかるのですが、具体的にどうすればいいのでしょうか?
純正USBケーブルは自作できないんでしょうか?高すぎる・・・
HUMANデータで紹介しているPCMCIAパラレルカードもいいのですが、
できればUSBでやりたい
713774ワット発電中さん:2006/06/18(日) 11:32:00 ID:7YFghWlX
>オプティマイズで公開されているsvf再生ソフト
そんなものあったかなあ?

趣味で「できるよ」と言っているレベルのソフトならいくつかあるのかもしれませんが、
変なソフトを使ってデバイスを壊してしまったら洒落になりませんからね。

>純正USBケーブルは自作できないんでしょうか
無理っす。
AもXも、純正USBケーブルの中にはCPLDとかが入っていて、その中身が非公開だからです。
714774ワット発電中さん:2006/06/18(日) 12:48:57 ID:kWIwllBN
オプティマイズ、っつーか、そのリンク先で公開されてる奴ね。>svf再生ソフト
書き込みアルゴリズム自体はsvfに含まれるから、
再生ソフトが正しく動けばデバイスがおかしくなる事はないと思うが・・・

商売用ならちゃんとしたツールを買うべきだと思うが、
お遊びなら試す価値が有るんじゃない?

こことリンク先を良く読むべし>712
ttp://optimize.ath.cx/mini_ezusb/cyclone.htm
715774ワット発電中さん:2006/06/18(日) 13:00:49 ID:7YFghWlX
>再生ソフトが正しく動けば
そこが心配。

そんなことより、XILINXの純正USBケーブルのPlarformUSBって、
今は1万5千円もあればおつりがくるでしょ。
数千円の変なハードと、誰かが作った怪しいソフトをつかって、
動くか動かないかわかんない綱渡りみたいな書き込みにチャレンジするよりも、
素直にPlarformUSB買った方がいい。

それにSVF作るのなんて面倒だよー。
純正ケーブル買わずに節約したって、せいぜい差額は数千円。
そのために信頼性もなく、使い勝手が悪い思いはしたくないな。
あれこれ調べる時間も勿体無いし。

趣味でも仕事でも、
書き込むのが目的じゃなくて、書き込んだCPLDやFPGAを動かすのが目的でしょ。

え、ALTERA?知らない。
716774ワット発電中さん:2006/06/18(日) 13:55:05 ID:m9tiXhV1
馬鹿は金出して買え
717774ワット発電中さん:2006/06/18(日) 14:13:44 ID:6eEsr6rD
俺は仕事でも自作ケーブル使う。自作なら壊れてもすぐに修理出来るので気兼ねなく使えるし
書き込みソフト作った時点で書き込みアルゴリズムが判ってるので、マイコンからUPするルーチンが書ける。
718774ワット発電中さん:2006/06/18(日) 14:20:24 ID:7YFghWlX
>>717
>俺は仕事でも自作ケーブル使う。
パラレル3なら自作できるけど、自慢できるほどのものではない。
仕事のレベルが窺い知れます。

>自作なら壊れてもすぐに修理出来るので
書き込みケーブルがどうやったら壊れるの?
ケーブル壊すほどの素人ですか?
それとも、自作ケーブルだから壊れやすいというのならわかるけど・・

>書き込みソフト作った時点で書き込みアルゴリズムが判ってるので
おいおい、適当なこと言うな。
お前、そんなソフト作ったことないだろ。
だいいち、アルゴリズムが公開されているのは、一部のFPGAだけだよ。
719774ワット発電中さん:2006/06/18(日) 14:39:36 ID:6eEsr6rD
>>718
>だいいち、アルゴリズムが公開されているのは、一部のFPGAだけだよ。

確かにそうだな。しかしその一部を使ってる。
電源投入時にマイコンでコンフィグレーションするのは珍しくないと思うのだがやったこと無いのか?
俺はXC2064&Z80の時代からマイコンで書き込んでるが?
720774ワット発電中さん:2006/06/18(日) 14:44:51 ID:7YFghWlX
>しかしその一部を使ってる。
ならば了解。わしも言いすぎた。

>電源投入時にマイコンでコンフィグレーションするのは珍しくないと思うのだがやったこと無いのか?
それって、JTAG?それともSlaveSerial?
SlaveSerialなら簡単すぎて自慢できるものでもないとは思うぞ。
JTAGなら、マイコンでJTAGやらせてFPGAのコンフィギュレーションさせるのは無駄だし。
721709:2006/06/18(日) 15:47:15 ID:vTZlv808
みなさんありがとうございます。
>>713
使用目的は趣味です。
純正の自作は無理なんですね。
>>714
それです。このスレで試してみた方はいませんかね。
JTAGチェーンに複数のデバイスやromがあってもできるんですかね?
>>715
純正ケーブルが1万五千円というのはどこでしょうか?
個人でも売ってくれますか?
ヒューマンデータだとアルテラが\49350
ザイリンクス\26250になってますね。
http://www.hdl.co.jp/tuhan/ptuhan_acc.html
722774ワット発電中さん:2006/06/18(日) 16:04:43 ID:pu4YLfiX
>純正ケーブルが1万五千円というのはどこでしょうか?
代理店。個人でも売ってくれるよ。

代理店に問い合わせるには勇気が必要だけど、
XILINX Online Storeならオンラインショッピングで買える。
価格は$149だから円高の時に買ってね。
Digikeyだと20000円ちょいする。
723774ワット発電中さん:2006/06/18(日) 17:24:35 ID:val93Khp
>721
JTAGチェーン上に何があるかを svfファイル作る時に指示してしまうので
player側はチェーンがどうであろうと問題ない。

ただ>715も書いてるがsvfファイル作るのが作業上のボトルネックに
なるのでsvf playerに頼るのはやめたほうがいい。
724774ワット発電中さん:2006/06/18(日) 17:27:49 ID:VtwLCgyv
パラレルポート付きの中古PCなんて、1万くらいで買えないか?
725774ワット発電中さん:2006/06/18(日) 23:22:29 ID:8VR5gNQT
ヒューマンデータのFT2232C評価ボードをMPSSEモードで使えばできるような気がするが、この評価ボードも約1万円する。
http://www.neko.ne.jp/~freewing/hardware/ft2232c_mpsse/
726774ワット発電中さん:2006/06/18(日) 23:37:59 ID:RMuJgkRB
>>709
これなんかどう?
たしかSpartan-3E StarterKitに付属してる USB Cableがこれだと思った。

http://www.digilentinc.com/Products/Detail.cfm?Prod=JTAG-USB&Nav1=Products&Nav2=Cables
727774ワット発電中さん:2006/06/19(月) 10:59:28 ID:izKra9YG
>>725
虎技に記事があった希ガス

>>726
API公開だから自作可能
3E StarterKitはオンボードだから違う
728774ワット発電中さん:2006/06/19(月) 16:44:49 ID:bywJs5e0
今年はアルテラPLDワールドないんだってね。
新製品も無いしってことなのかな?
729774ワット発電中さん:2006/06/20(火) 00:16:24 ID:4mYJ9uzQ
店じまいか
730774ワット発電中さん:2006/06/20(火) 17:25:20 ID:haqMYn94
暇人とSOHO相手にプレゼント配ってるより、仕事したほうがいいと
いまさら気づいたんだろ。
731sage:2006/06/25(日) 19:25:28 ID:z/nf00c7
>>729
これが理由か?

# Altera Announces Expected Restatement Related to Stock-Based
Compensation, June 21, 2006
http://www.altera.com/corporate/news_room/releases/corporate/nr-restatement.html
732774ワット発電中さん:2006/06/25(日) 19:33:25 ID:z/nf00c7
733774ワット発電中さん:2006/06/25(日) 19:38:21 ID:66ZSZ3+I
734774ワット発電中さん:2006/06/25(日) 19:44:37 ID:66ZSZ3+I
>>732
VMテクノロジーに居た人だよね?
735774ワット発電中さん:2006/06/25(日) 19:49:20 ID:Xxr2fJyK
東京エレクト?
736774ワット発電中さん:2006/06/25(日) 21:33:36 ID:bDdZBg3b
Altera死んでしまうん?AA略
737774ワット発電中さん:2006/06/26(月) 00:46:25 ID:fF4m9G/4
>>721
まあ純正を買うという解決法で済んでいるのだろうがw

DWのSpartan3基板は以下と、オプティマイズのMINI EZ-USB基板を使ってコンンフィグできた

ttp://optimize.ath.cx/bee/miniezusb/index.html
No.77 付録Spartan3の書き込み 投稿者:kobayashi 投稿日:2005/01/26(水) 20:16 【返信】
DesignWave付録のSpartan3の書き込み器をEZ_MINで作りました
ftp://x02.mech.osaka-sandai.ac.jp/pub/Spartan3/spartan3.zip
結線図は
ftp://x02.mech.osaka-sandai.ac.jp/pub/Spartan3/ez_min-spartan3.jpg

解凍すると
 8051    ez_minのプログラム(sdcc v2.4.0)
 usbtools  PC用(gcc v3.2.3 mingw)
 xilinx WebPack V6.2
ができます
取りあえず試すのなら
  usb_tools/wrtSpartan3.bat
でLEDが点滅します



SVF、XSVFに関しては以下に解説があったが読んでいない。なじめにやればさほど難しいものではないかも
ただここでバグを出すとアマチュアでは厳しいところだが
ttp://www002.upp.so-net.ne.jp/uratan/xi/whyxsvf.txt



ガイシュツのスレーブシリアルモードについてはここで。楽そう。FPGA限定だろうけど
ttp://www.nahitech.com/nahitafu/fpgavhdl/fpgacfg/fpgacfg.html

>スレーブシリアルモードでFPGAをコンフィグするのはとても簡単。bitファイルをそのままFPGAに流し込むだけでOK。
あたり
738774ワット発電中さん:2006/06/28(水) 18:31:17 ID:xHc4/iTN
Spartan-3Eスタータキットについての質問です。

自分のボードに載っているDDR SDRAMは、MT46V16M16(256Mbit)です。
しかし、キットの取説にはMT46V32M16(512Mbit)が載っていると書いてあり、
また、友人のボードには、MT46V32M16が載っています。

このスレにいる方の中にも、容量が半分のメモリが載っている人いますか?
一人でもいれば「購入したタイミングが悪かったんだな」と諦めもつくので。
739774ワット発電中さん:2006/06/29(木) 01:58:52 ID:zvs5Yui0

MT46V32M16です。

740774ワット発電中さん:2006/06/29(木) 13:20:28 ID:gT+H9E3o
俺のはMT46V16M16だ

AVNETに3月中旬に注文、4/3到着だったよ
741738:2006/06/29(木) 17:41:56 ID:MTJPKqjB
>>739 >>740
情報ありがとうございます。
やはり、256Mbit物と512MBit物の両方があるようですね。
ちなみに、自分のは、エリスショップに注文、3/23着でした。

ついてなかったと思って、容量半分で我慢します。
742740:2006/06/29(木) 19:38:12 ID:gT+H9E3o
しかし、マニュアルに型番書いてあってちがうとは
リコール物だな
743774ワット発電中さん:2006/06/29(木) 20:52:07 ID:DwDbG2dC
秋月のキットみたいな物だなw
しかし、見栄を張らずに小さい方をマニュアルに書いておけば良かった物を…
744774ワット発電中さん:2006/06/30(金) 11:05:15 ID:5yJIbcZb
ここ見るまでDRAMテストプログラムやほほーいで動かして喜んでた俺って OTL

もちろん256品だた orz
745774ワット発電中さん:2006/06/30(金) 14:00:37 ID:a6qXzXVJ
256Mbitでは困るが512Mbitでは困らないようなものでも動かしているのかと
746774ワット発電中さん:2006/06/30(金) 14:52:22 ID:Z4GyDHXO
512の謳い文句に釣られて買った椰子が入れば問題だな。
返品交換は当たり前!
747774ワット発電中さん:2006/06/30(金) 23:35:49 ID:DXRYeX7r
慌てる古事記は貰いが少ないと云いますからね
748774ワット発電中さん:2006/07/01(土) 02:07:48 ID:+ZX9h8fp
>>741
                                、ヽ l / ,
               ミミ ヽヽヽヽリリノノノ      =     =
                   ミ ,,、,、,、,、,、,、,、、 彡    ニ= 堀 そ -=
                  |│       │|     ニ= 江 れ =ニ
                 | } __ 、._ `}f'〉n_   =- な. で -=
  、、 l | /, ,         ,ヘ} <・>  <・> |ノ:::|.|  ヽ ニ .ら. も ニ
 .ヽ     ´´,      ,ゝ|  ノ(、, )ヽ  l| :ヽヽ  } ´r :   ヽ`
.ヽ し き 堀 ニ.    /|{/ 、ノ -=-  /|.|:::::| |  |  ´/小ヽ`
=  て っ 江  =ニ /:.:.::ヽ、 丶__ ノ |.|:::::| |  /
ニ  く. と な  -= ヽ、:.:::::::ヽ、._、  _,ノ/.:::::| | /|
=  れ.何 ら  -=   ヽ、:::::::::\、__/::..::ら::| |' :|
ニ  る と    =ニ   | |:::::::::::::::::::::::::::::::::::::..い:iY′ト、
/,  : か   ヽ、    | |:::::::::::::::::::::::::::::::::::::::ぶ:::|  '゙, .\
 /     ヽ、     | |:::::::::::::::::::::::::::::::::::.::::ど::.ト、    \
  / / 小 \    r¬|ノ::::::::::::::::::::::::::::::::::::::::あ:::| \
749774ワット発電中さん:2006/07/02(日) 23:36:07 ID:Dp0ljxl/
>>748
×何とかしてくれる。
○何か、やらかしてくれる。
750774ワット発電中さん:2006/07/04(火) 00:04:03 ID:QNsDtji+

Vertex5で、目玉焼きを焼いてますが何か?

751774ワット発電中さん:2006/07/05(水) 20:30:09 ID:LTFJiv8C
ちょ、おま、剥離ってなんですか
752774ワット発電中さん:2006/07/05(水) 21:45:51 ID:tFoRlvix
[名](スル)はがれること。
753774ワット発電中さん:2006/07/06(木) 02:57:13 ID:EBskshkv
オレの部署では、いまだにISE7使ってるんだ。
8だと日本語が文字化けするって話を聞いたんで。
でも今度の8.2はどう? 使ってる人いたら教えてクレ。
754774ワット発電中さん:2006/07/06(木) 14:02:27 ID:GHKDuTjG
トラ技付録のMAXUのロジックエレメント240とかマクロセル換算すると190位とかって数字は具体的にどの程度なんですかね?

秋月さんとか千石さんで数百円で売ってるザイリンクスのXC9536とかXC9572、XC95108あたりが価格的に同等に見えるのですが、
フリップフロップ数はトラ技付録のMAXUの方が多いんですよね?

やはりトラ技付録の方がいろんな回路が実現できるってことですか?
755774ワット発電中さん:2006/07/06(木) 14:38:19 ID:L3Emm1Or
マクロセル方式かLUT方式かの違いがあるから単純には比較できないよ。
しいて言えばXC95190くらいってことでしょ。

>フリップフロップ数はトラ技付録のMAXUの方が多いんですよね?
正しい。

>やはりトラ技付録の方がいろんな回路が実現できるってことですか?
概ね正しいけど、用途次第、腕次第。
756774ワット発電中さん:2006/07/06(木) 21:03:37 ID:FoUTvVC+
>>755
そうですか。
となるとやっぱりトラ技の方ってお得ですね。
3、4冊買いだめしようかどうか迷ってるんだけど。。。
757756:2006/07/06(木) 21:08:55 ID:FoUTvVC+
だけど、digi-keyとかで見るとfpgaのやつで三千円位出せばもっといいのがあるみたいだから、よけい迷う。
758774ワット発電中さん:2006/07/07(金) 01:16:35 ID:JlylciQ3
>>754
1マクロセルは22V10相当とか言って見るテスト


DIPICの数で喩えるとわかってくれる人がいますw
759774ワット発電中さん:2006/07/07(金) 01:54:38 ID:G4kr/7rt
最近は逆に判りづらくないか
760774ワット発電中さん:2006/07/07(金) 22:23:28 ID:In6lN7ii
>>753
8.2はXSTの昨日が変わっていると聞いたが・・・。
制約条件に気をつけろ!!
761756:2006/07/07(金) 23:25:19 ID:YRAQMM/I
>>758
わからない!
762774ワット発電中さん:2006/07/08(土) 01:11:56 ID:GfJPcx0i
>>751
剥離って罪のあれのことか?
763774ワット発電中さん:2006/07/08(土) 15:24:13 ID:y+9kBcwP
ISE webpackって、メモリリークが多いような気がする。
タスクマネージャでメモリ使用量を見ていると、増える一方 orz...
764774ワット発電中さん:2006/07/08(土) 15:33:45 ID:H6hS19p+
>763
前バージョンからだよ。
パフォメタ表示しておかないと、安心して使えない。
たまに再起動しないと止まるし.w
765774ワット発電中さん:2006/07/08(土) 20:02:51 ID:ZabwN9W2
寺使ったことないのだが、寺のサイトにCycloneIIはSpartan3の
半分の消費電力と書かれてるのはどの程度信用していいのだろうか?

もし本当に半分程度になるなら迷わず採用なのだが・・・・
766774ワット発電中さん:2006/07/09(日) 11:09:30 ID:dxdhDCXC
ザイリンクスのチップが火星に到達
http://www.xilinx.co.jp/japan/j_prs_rls/design_win/0412_marsrover_j.htm
767774ワット発電中さん:2006/07/09(日) 12:45:29 ID:uAVqbJBI
なんで今更そんな昔のネタを

IOピン数豊富と書かれてるけどパッケージが同じなら大差ない気がする。
てかNASAの基準では宇宙機にBGAを使ってもいいのかな?
JAXAだかISASだかの資料ではQFPはいいけどBGAはダメだったけど。
768774ワット発電中さん:2006/07/09(日) 22:49:19 ID:HcIbGUfa
すいません。教えてちゃんです。
数年ぶりにCPLDをいじりたくなり、XilinxのISE8.1iで試しにANDを書き込もうとしたのですが、
ダウンロードしようとすると、次のようなメッセージが出てしまいます。
ERROR:iMPACT:583 -'1':The idcode read from the device does not match the idcode in the bsdl File.
デバイスは、数年前に購入したXC9572-PC84-15です。数本取り替えても同じエラーが出ます。
数年前は、Fundation1.5で書き込みできていたのですが、デバイスが賞味期限切れなんでしょうか?
769774ワット発電中さん:2006/07/09(日) 23:04:13 ID:dqKF+0KS
サポートしていなければ、コンパイルの時点でエラーになる。
てか、コンパイルの前にデバイス名を指定するでしょ?

コンパイルはokで書き込みでエラーになるなら、
ハードの異常でidコードが読めない可能性が大。
ケーブルがちゃんとつながっていない、ピンを間違えている、
デバイスの不良、などなど。
770774ワット発電中さん:2006/07/09(日) 23:10:09 ID:dqKF+0KS
大事なことを書き忘れた。ガンガレ!
771774ワット発電中さん:2006/07/09(日) 23:12:11 ID:HcIbGUfa
ターゲットデバイスは、デザインの最初の部分で指定します。
デバイスは現在でもサポートされていますのでコンパイルエラーは、
起きていません。
書き込みソフトでケーブルの接続はチェックされますが、
接続エラーにはなっていません。つながっています。

ピンの間違えもありません。数年前の実験ボードをそのまま使いました。
数年前に書き込んだロジックは今でも動いています。

デバイスは、数年前に購入してレールに入ったままの物を取り出して使ってもダメでした。
772774ワット発電中さん:2006/07/09(日) 23:17:36 ID:j3z76Wuj
賞味期限切れだと思われ
773774ワット発電中さん:2006/07/09(日) 23:49:38 ID:PWqXNvRd
>>768
ttp://www.xilinx.co.jp/xlnx/xil_ans_display.jsp?BV_UseBVCookie=yes&getPagePath=12737
ちょっと古いiMPACTの情報なので、>768に書いてあるメッセージとは違うけれど。

古いXC9500はサポートしていないんじゃないでしょうか。
XC9500でもOKなのとダメなのがあるみたい。
私も、昔に買いためた5VのXC9500は、Xilinxの「昔のソフトのダウンロード」から入手した
JTAG Programmerを使って書いています。といっても、最近は9500使うことがあってもXLなので
機会がないけれど。
面倒やね、ISEでコンパイルしたあとで、JTAG Programmerを起動するなんて。
774774ワット発電中さん:2006/07/09(日) 23:56:36 ID:eilO9g9y
>接続エラーにはなっていません。つながっています。

デフォで1個でてるけど、デバイス名が出てないなら接続エラーとおんなじす。
電源電圧(3.3?5V?)とかPCを変えてみるとか。
CANONのプリンタアプリにLPTを乗っ取られていたことがありました。
775773:2006/07/10(月) 00:23:30 ID:eHkgtpnu
>>771
ISEがターゲットデバイスとしてサポートしていても、ISE付属の書き込みツールであるiMPACTが
サポートしているとは限らないので。
776774ワット発電中さん:2006/07/10(月) 01:15:13 ID:JRIXTbZa
ISE8.1のMPACTでPLCC 20pinのXC18V01に書き込もうとしたらエラーで書けなかったな。
QFPパッケージ44pin指定なら書けるので、それでしのいでるけど。
一応、問題ないっぽい。

つか、自分の会社で売っていたデバイスぐらいは書けるかテストしてホスイぞ。
777774ワット発電中さん:2006/07/10(月) 01:22:16 ID:xJEYT0qn
>>775

この2行。
私がXを嫌いな理由と
完成度においてISEがQuartusに勝てない理由ですね。

778774ワット発電中さん:2006/07/10(月) 01:22:56 ID:kICwnBJU
XC9500とか、古いデバイスはあまりテストしてないみたいだね。
高価で新しいデバイスの検証で手が一杯で、あとはしらんという気がする。
いや、批判じゃなくて要望(w
779774ワット発電中さん:2006/07/10(月) 01:55:13 ID:TteLjUzT

オレも以前まったく同じことがあった。
デバイスのIDコードが読めずに?????になってない?

書き込みプログラムがiMPACTと言う名前になってから、
古いXC9500は書けないみたい。
デバイスの新旧は、刻印の文字色で判別できる。
白文字のものは旧、黄色は新しいバージョン。
結局、デバイスを新しい物にして対応した。
もう3年前の話ね。

780774ワット発電中さん:2006/07/10(月) 03:38:43 ID:/R1jJKsV
やっぱXilinxのISE重いな・・・
DWMのSpartan3とInterfaceのSH2つないで遊んでるんだが、
ISEとiMPACT、RenesasのHEWとターミナルソフトとAdobeReader、IEとか立ち上げてると・・・
PC二台欲しいな
781768:2006/07/10(月) 07:55:49 ID:5EWHdYZ8
>>768 です。
みなさま、ご親切にありがとうございました。
この土日に何回も試して、どうしても最後にうまくいかなかったのですが、
みなさまのご回答でモヤモヤがスッキリしました。
書き込みソフトの古いのとか試してみます。
持っている石は白文字です。新しい石も試します。

iMPACTの画面には、デバイス名は表示されますし、デバイスのIDコードも
ちゃんと表示されるのですが、IDコードが不正みたいなメッセージも出てました。
Fundation1.5では、重くなかったのですが、現在のISEはずいぶん重くいですね。
782774ワット発電中さん:2006/07/10(月) 10:37:27 ID:Y419Qebx
NAXJPとかなら、書けるんじゃないの?
783774ワット発電中さん:2006/07/11(火) 00:46:13 ID:KG0XredY
合成してヒューズマップまで作れれば
書き込みはできるんじゃないかとおもうんだが
784774ワット発電中さん:2006/07/11(火) 01:58:06 ID:9WZCLH0a
だがXilinxなら古いデバイスに書き込みできなくてもおかしくない、と思えるのは何故だろう
785774ワット発電中さん:2006/07/11(火) 04:35:26 ID:EI1Eose0
安いから
786768:2006/07/12(水) 07:56:08 ID:AfaOqkw1
賞味期間切れXC9500のその後の状況です。
新しい石を買ってきたら、なんと、そのまますんなりと書き込みできてしまいました。
やっぱり、賞味期間切れでした。

それで、買い置きの石への書き込みですが、Fundation1.5を別にインストールして、
その中の書き込みソフトのXACTを単独で起動してjedファイルを書き込みしたら、これ
も書き込めました。
デザインはとりあえず新しい石に書き込んで、デバッグが終わって動いたら、古い石
に書き込んで、買い置きの石を消費しようと思います。
みなさまに教えていただかなければ解決できなかったと思います。ありがとうございました。

なんで、こんなことになっているかは??きっと、Xilinxだからなんでしょう。
787774ワット発電中さん:2006/07/12(水) 08:18:40 ID:CXe6bmCH
古いXC95と最近のXC95では書き込みアルゴリズムが若干違う。
JEDファイルの中身は同じなので論理合成までは普通にできるけど、書き込めない。

最近のiMPACTは古いXC95の書き込みアルゴリズムを知らない。
Foundation1.5の頃のJTAGProgrammerは古いアルゴリズムを知っているので、
新しいXC95はJTAGProgrammerでも書けるけど、逆は無理。

iMPACTはシリコンのレビジョンを見てはじくようにしている。
788774ワット発電中さん:2006/07/12(水) 16:42:09 ID:/d90OHZM
新旧の見分け方は?
789774ワット発電中さん:2006/07/12(水) 16:51:32 ID:JMDYgQor
>>782
ザイのレベルがユーザーにちゃんと浸透しているんだよ(w
790774ワット発電中さん:2006/07/12(水) 21:54:19 ID:8UCRHxHp
>>788

ttp://support.xilinx.co.jp/xlnx/xil_ans_display.jsp?iLanguageID=2&iCountryID=1&getPagePath=12737

パーツを確認し、最初の行が次のように記述されていることを確認してください。

XILINX
Part number
Package XXX ####

3 つ目の "X" はプロセスを示します。:
"J" == .6 micron
"M" == .5 micron

"J" (0.6 ミクロン プロセス) はサポートされていないデバイスを示します。
つまり、このデバイスは iMPACT (4.1i 以降) を使用してプログラムできません。
プログラムするには、JTAG Programmer (3.1i 以前) を使用してください。
791774ワット発電中さん:2006/07/13(木) 17:46:33 ID:RBycPinF
「サポートされていないデバイスを示します」と簡単に言われてもなー。
以前の組み込み機材が仕様変更になったとき、FPGAを全数載せ替えろとなると
現場は大騒ぎなんだが・・
792774ワット発電中さん:2006/07/13(木) 22:02:13 ID:8xTjYZaP
寺しか知らんのだけど,フリー版だから古いデバイスを落としたとかじゃね?
製品版でもそうなら罪は使わなくてよかったと言うことになるw
793774ワット発電中さん:2006/07/14(金) 00:09:52 ID:5ThHSpGI
>>792
こんなの、寺でもままあることだろ?
古い7000デバイスを今のQuarus2ではかけないだろ?
それとおんなじ。取り立てて騒ぐことではない。
794774ワット発電中さん:2006/07/14(金) 00:20:20 ID:noWWMAhs
アルテラが古いデバイスをサポートしているかどうかは知らないけれど、代理店が
795774ワット発電中さん:2006/07/14(金) 00:53:49 ID:xmX4O1TB
>>793
えー? 書けるじゃん。
てか、「古い」の定義が何年かしらんけど
796774ワット発電中さん:2006/07/14(金) 00:56:33 ID:ikjWdh/Z
古い7000とかだとMAX++の出番じゃなかったっけ
797774ワット発電中さん:2006/07/14(金) 02:27:56 ID:wJBFu4i7
quartus2は7000もサポートしてるっしょ
798774ワット発電中さん:2006/07/14(金) 08:47:02 ID:zshSs3JL
MAX7000A はサポートしていないよ。
DesigWave 2003年1月号についてた CPLDは、MAX7000Aなんで
quartus2 では設計できない。
799774ワット発電中さん:2006/07/14(金) 11:19:38 ID:yW/pnAKG
いや、アルテラの場合サポートしてないっていったら、
そもそもコンパイルできない。
Xみたくコンパイルできるけど、書き込めませんなんて
ユーザーが混乱するアホな事はしないよ。

800774ワット発電中さん:2006/07/14(金) 14:46:11 ID:ZjV4V5G8
んじゃ、コンパイルも書き込みもできる俺が使っているQ2って何?
801774ワット発電中さん:2006/07/14(金) 15:04:29 ID:wJBFu4i7
802774ワット発電中さん:2006/07/14(金) 20:29:43 ID:noWWMAhs
iMPACTでマスクバージョンの古いXC9500がサポートされていないことは
大した問題じゃないんだろうなあ。
ユーザー離れになるような問題になるんだったら、とっくに再サポートされて
いるだろうし。
iMPACTで98年以前に製造されたXC9500が書き込めなくなったのって、
もう3年以上前なんじゃなかったっけ。

AlteraもLatticeも好きだったけれど、小ロット対応が悪くなってきて離れちゃっ
たなあ。
803774ワット発電中さん:2006/07/14(金) 20:35:43 ID:1c5u23+H
最近のバージョンでサポートしたってことかな?
>>798の言うように、当時はQuartusでは扱えなかったはず。
804774ワット発電中さん:2006/07/16(日) 17:21:24 ID:2JIEjXAa
トラ技4月号が初めてとなる真初心者です。

既にQuartusUはインストールしました。ライセンスファイル
もメールで貰って入れて有ります。(2ヶ月ほど前)
その他の部品も全て集めてLEDを光らせるだけの所を、やっと
試して見られる状態になったのですが(2章まで終わった状態)
付属CD-ROMが何かの下敷きになったようでヒビが入って
読めなくなってしまいました。涙)

バックナンバーを買うしか手が無いでしょうか?
805774ワット発電中さん:2006/07/16(日) 18:22:39 ID:PUpCjFlY
>>804
インストール終わってるならCD-ROMは使わないんじゃないの?
トラ技だけで色々できるけど。
806774ワット発電中さん:2006/07/16(日) 18:38:01 ID:GtofPHi0
サンプルソースとかが入ってるんじゃないの?
807774ワット発電中さん:2006/07/16(日) 18:42:08 ID:SN2FX+/J
>>804
やっぱ知り合いで買ってる人とかはいないんだろうか?
もとを持ってるならコピーしても大丈夫だろうけど

もう一冊買っても良いと思うけどね
なにかで壊したりってことはよくあるし、俺は・・・orz
808774ワット発電中さん:2006/07/16(日) 18:53:56 ID:PUpCjFlY
うちには5冊ある、1冊しか開けてないけどorz
809774ワット発電中さん:2006/07/16(日) 18:59:32 ID:2JIEjXAa
>>805-807
レスありがとうございます。

ダウンロードとかは無理みたいですね。
トラ技見るとLED1というフォルダだけみたいなので
何処かで出来るかなと思ったのですが...

月曜日にでも持っていそうな同僚を当ってみて
居なければバックナンバー入手することにします。

ありがとうございました。
810774ワット発電中さん:2006/07/16(日) 19:25:24 ID:pfpnxgbT
売りたい
811774ワット発電中さん:2006/07/16(日) 23:42:23 ID:JKuxlPiC
812774ワット発電中さん:2006/07/17(月) 00:03:29 ID:8m+u/fnS
XilinxのISE8.1が日本語使えないんで、7.1使ってたんだが、バグがあるんだな
デバイスはSpa3だから日本語あきらめて8.1使うべきか6.3使うべきか悩む・・・orz
813774ワット発電中さん:2006/07/17(月) 01:54:34 ID:3l3Ce0DT
日本語ぉ?
藻前は馬鹿か
プリプロセッサを使え
814774ワット発電中さん:2006/07/17(月) 03:32:10 ID:8m+u/fnS
馬鹿でスマソ
結局8.1使うことにした
インターフェースは軽くなってて大分使いやすいな
815774ワット発電中さん:2006/07/17(月) 12:23:54 ID:HLZLiMSJ
シャチョウさん、ニッポンゴ使えませんですた。
至る所で?????ってな表示です。
816774ワット発電中さん:2006/07/17(月) 14:15:04 ID:0NHSjQQN
なんで今頃8.1なんだ?
もう8.2が出てるのに
817774ワット発電中さん:2006/07/17(月) 15:26:30 ID:VcMw/uqm
8・2だと日本語使えるよ
ただし変換中の窓が出ないけど
別のエディタで文字作ってコピー&ペーストすればできるかも
早く対応しろよ→財
818812:2006/07/17(月) 15:56:59 ID:8m+u/fnS
>>816,817
トンクス
8.2入れてみることにするわ
まあエディタは外部のを使ってるんだけど
819774ワット発電中さん :2006/07/17(月) 18:15:11 ID:ojhjeaYH
ISEは、日本語化されてすごく助かる
EDKのHELP、おなじくらいに日本語化して欲しい
820774ワット発電中さん:2006/07/17(月) 21:44:27 ID:q2eArqQ1
>>818
僕も8.2の感じでは困ってるんですが、
外部エデターにすると、エラーメッセージをクリックで
ソースのエラー行に飛ぶ機能って、使えますか?
やり方がわからないので困っています。
教えてください。エデターは、秀丸です。
ぜひ宜しくお願いします。
821774ワット発電中さん:2006/07/18(火) 00:12:35 ID:5zkMJxpQ
>>819
もう電気屋辞めろ
高卒哀れ
822774ワット発電中さん:2006/07/18(火) 12:06:22 ID:XrKmvOdf
>>820
秀丸だと
"C:\Program Files\Hidemaru\Hidemaru.exe" /j $2 $1
で、飛ばない?
823820:2006/07/19(水) 15:38:04 ID:sc011J3H
秀丸じゃなくでMifes使ってます
824774ワット発電中さん:2006/07/19(水) 22:34:35 ID:SxHkC/hr
ザイリンクスのwebパックって、コンパイル中にやたらと警報が出るのにデキあがったデータをダウンロードすると問題なく動くし、
勝手になにかやっているし、怖くて使えない。
825774ワット発電中さん:2006/07/19(水) 23:26:07 ID:xPsJi5tK
>>824
ウォーニングが出て、かつオブジェクトがちゃんと動作しても驚くようなことではないと思う。
ウォーニングの内容は確認してる?
826774ワット発電中さん:2006/07/20(木) 00:32:52 ID:J5uOJWhs
>>823
おや、mifesとは珍しい。
827774ワット発電中さん:2006/07/20(木) 01:52:33 ID:mjSmziH0
ISE重すぎ。肥大しすぎ。1GB超目前。
828774ワット発電中さん:2006/07/20(木) 02:10:35 ID:KckqOKfR
全然落とせない。だれかFBで拡散汁!
829774ワット発電中さん:2006/07/20(木) 04:12:28 ID:n4bAJUAF
テラに乗り換える(w
830774ワット発電中さん:2006/07/20(木) 05:14:41 ID:HADUKpC6
大阪大学がFPGAコンテストやってる
ttp://www6.eie.eng.osaka-u.ac.jp/fpga/index.html
831774ワット発電中さん:2006/07/20(木) 10:14:21 ID:f4VLluSj
ppt ファイルをみましたよ

CQの基板 と Spartan-3 Starter Kit の基板 で作ってるみたいですが
本文を見ると扱ってるのはどうみても Starter Kit の方ですね

CQの基板の写真はなんのために・・・
832774ワット発電中さん:2006/07/20(木) 14:22:10 ID:8seYBaRU
>>830
掲示板ワラタ
833774ワット発電中さん:2006/07/20(木) 14:37:10 ID:GQwu2E27
>>830
の掲示板、

> ボードからいろいろパーツ取りしたら、なぜか評価ボード動かなくなってしまいました。
> どうやら不良品だったようです。

こいつが不良品?

834774ワット発電中さん:2006/07/20(木) 14:44:10 ID:gSRHy3dZ
しかも
>正直、あの説明ではまったくわからなかったんですが・・・
>結局、何を言いたかったんですか?
>あんなグダグダな説明で分かれというほうが無理だと思いますが・・・
逆ギレ。うけるww
説明不足だと思ったら自分から素直に聞きにいけよ、馬鹿。
自分で判断して勝手に行動してんじゃねーよ。
835774ワット発電中さん:2006/07/20(木) 15:07:55 ID:gL/Ozvte
あはははは。
いやいや、微笑ましい
836774ワット発電中さん:2006/07/20(木) 17:01:04 ID:9XdDY8v9
ゆとり教育って奴かねぇw
837774ワット発電中さん:2006/07/20(木) 17:46:19 ID:q2te3QSJ
>>836
ゆとり以前の問題かと。
838774ワット発電中さん:2006/07/20(木) 17:53:32 ID:OKmTBRBk
>>823
""内のHidemaru.exeをMIFESのパスにして、
"?????" $1+$2
で、飛ばないか?
839774ワット発電中さん:2006/07/20(木) 20:12:08 ID:RbJFRp9G
スタータキットを無料で配布したのかね・・・
掲示板を見ると、もった(ry
840774ワット発電中さん:2006/07/20(木) 20:13:34 ID:2ls3ftHX
誰だよ、出張したやつはw
841774ワット発電中さん:2006/07/20(木) 20:21:13 ID:wGB2L4nt
手だすなよ
アクセス制限かけられたら楽しめなくなるじゃないか
842774ワット発電中さん:2006/07/20(木) 20:29:58 ID:hhIbU1mQ
また、大阪か、、
843774ワット発電中さん:2006/07/20(木) 22:04:34 ID:GQwu2E27
>>840
出張ワロス!!
844774ワット発電中さん:2006/07/20(木) 23:11:32 ID:HADUKpC6
URLのパスからすると大阪大学の谷口研究室主催ぽいね
無駄な努力ワロス
845774ワット発電中さん:2006/07/21(金) 00:29:11 ID:/PXVpljt
846774ワット発電中さん:2006/07/21(金) 03:25:18 ID:PBRw8wvz
学生以外でも応募可能?

景品は?CQの評価ボード?
847774ワット発電中さん:2006/07/21(金) 11:01:41 ID:6ZkuXuXB
>今回のコンテストは和歌山工専の生徒対象で行っている特別講義のようなものです。

和歌山工専? 阪大じゃないのか。
848中の人@ tk.eie.eng.osaka-u.ac.jp:2006/07/21(金) 13:40:22 ID:JfSCI6KQ
壁|∀゚)ノシ
849774ワット発電中さん:2006/07/21(金) 13:58:09 ID:39UplWfq
ふしあなしてまで出てくるんなら内部情報よろ
850774ワット発電中さん:2006/07/21(金) 14:56:45 ID:26xnsnjd
>>847
大学の中の人が高専に出張って講義ってスタイル多いよ。
851774ワット発電中さん:2006/07/21(金) 15:37:13 ID:6ZkuXuXB
>>850
そうなのか。ぜひオチさせていただきたいものだ。


>>[27] Re:LPFの定数

この投稿はおまいらか?
852774ワット発電中さん:2006/07/21(金) 15:52:32 ID:vRUBgZcY
>この投稿はおまいらか?
らってなんだよ オイ
853774ワット発電中さん:2006/07/21(金) 16:35:06 ID:t7Vc+xaK
ワシらは知らんw
854774ワット発電中さん:2006/07/21(金) 22:50:43 ID:SZHNG8ok
ローパス梨でアンプに繋いでもスピーカは再生しないから平気だって逝っているから、
記念にカキコして喜マスタ。
855774ワット発電中さん:2006/07/22(土) 02:53:45 ID:2BZ+BPXJ
8.2 やっと半分。高速モデム(28.8Kbps)とはいえでかすぎだよな。
856774ワット発電中さん:2006/07/22(土) 05:57:27 ID:316CXB2R
NMP4とかの圧縮使えばもっと早いんじゃね?
857774ワット発電中さん:2006/07/22(土) 06:37:26 ID:Vw1DZiLR
>>855
漫画喫茶にでも行って落としてきた方が良くない?
858774ワット発電中さん:2006/07/22(土) 11:52:46 ID:ks8dO30q
>>855
おまえはバカか?
今時ダイアルアップ。
しかも28.8kでインターネットになんか接続スンナ。
死ね
859774ワット発電中さん:2006/07/22(土) 12:11:27 ID:vTVZpp3A
いつからここは釣堀に?
860774ワット発電中さん:2006/07/22(土) 12:55:48 ID:fH8BNkfT
>漫画喫茶にでも行って落としてきた方が良くない?

これが、、、、全席DVD−R完備ではないだろ?
漫画喫茶やネット喫茶って、つまるところが、ラブホ逝けないガキカップルがいちゃついたり、
ネットゲームやったり、ネット詐欺やったりするところだろ?

DVD−Rがついている店でも、メディア持込不可とか言うだろ?うんことかで一枚300円とか
とられてさ、2枚くらい不良なんだよな。
861774ワット発電中さん:2006/07/22(土) 13:00:04 ID:W1+CVhaV
安いUSBメモリとかは繋いじゃだめなのか
862774ワット発電中さん:2006/07/22(土) 15:02:23 ID:fH8BNkfT
>安いUSBメモリとかは繋いじゃだめなのか
持って帰った後で読めない!
つうか、USBポートあるならHDDでも繋ぐが、USBポートあるの?
でもその前に、HDDの空き容量の問題もあるし。
帯域使用の店のポリシーの問題もある。
大容量ファイルを落とすのにネットカフェってのは実際問題どうなの?
863774ワット発電中さん:2006/07/22(土) 15:14:28 ID:E0JHD7hh
エロビデオダウンロードするのに最適化されてるんだから
ISEぐらいおとせるだろ。
864774ワット発電中さん:2006/07/22(土) 15:40:08 ID:Vw1DZiLR
落とした事あるよ。USBメモリは認識したしすげー早かった。家の47M似非ADSLは実質1M逝かないorz
865774ワット発電中さん:2006/07/22(土) 15:53:02 ID:fH8BNkfT
罪のサーバーだって1Mくらいのときママあるよ
866774ワット発電中さん:2006/07/22(土) 22:04:19 ID:89h8n01+

ここでちょっとまじめな質問なんだけど、
個人で趣味でCPLDとか入手するときって、どこが安く買えます?
数としては、がんばって10個とかそのぐらい。金額は1万円台には抑えたいかなぁ。
MAX3000とかMAXIIとかの100ピンQFPぐらいのが欲しいんだけど、
共立とか若松とかそういう系には扱いないみたい。

chip1stopは法人専用みたい。
eBuhinとかエリスショップ、千葉電子、トリプルワンあたりならなんとかなるのかしら
ってな感覚。レスポンス良かった、安く買えた、そんなお勧め(ネット)ショップが
あったら紹介お願いします。

867774ワット発電中さん:2006/07/22(土) 22:27:58 ID:TPE3dbgt
digikeyはどうですか? 個人でも買えます。
海外発送なので発送費は高くつくけども。

http://jp.digikey.com/
868867:2006/07/22(土) 22:41:35 ID:TPE3dbgt
と思ったら、CPLD/FPGAは罪ばっかしかなかった。すまん
http://dkc3.digikey.com/PDF/J051/SectB.pdf
869774ワット発電中さん:2006/07/23(日) 00:26:36 ID:og0cgekl
これもアルテラ離れの原因かも。
870774ワット発電中さん:2006/07/23(日) 02:39:26 ID:sq59wjtS
>>866
Xilinxを使えばいいじゃん。
Xilinxなら、
--デバイスが、そこらで手に入りやすい。秋月とかでも売ってる。
--開発ソフトも無料でダウンロード。先週から最新版の8.2も落とせるようになった。
--動作スピードが速い
--デバイスの種類が多い
--電気食わない。クールランナーはレモン電池でも動く。
--代理店や商社の状態が安定していて良い。
--あとは.....

871774ワット発電中さん:2006/07/23(日) 03:13:09 ID:AvU/aQpi
ツールのバグが多い(w
特に秋月で売ってる9500シリーズは深刻
872774ワット発電中さん:2006/07/23(日) 03:16:31 ID:SoqEOsxF
げ。9500って深刻なのか?(共立で買ったんだが)
ちなみにどう深刻?
873774ワット発電中さん:2006/07/23(日) 03:30:29 ID:3Ma5yf+V
>>870
社員乙w
まあ俺もXilinx使ってるけどw
やっぱdigikeyで買えるのは嬉しいね
874774ワット発電中さん:2006/07/23(日) 08:33:58 ID:og0cgekl
>>871
7.1+SPで普通に使えているけれど。
たしかに、7.1のSPなしだと、論理が反転したりして深刻どころか話にも
ならなかったけれど、そんな既出のことじゃないよね。

8.xだと深刻なのかな。



875774ワット発電中さん:2006/07/23(日) 11:33:28 ID:WN90MLAe
>>866
Alteraのオンラインショップで買え。
日本向けでも普通に売ってくれるぞ。
送料がちと高いがね。

千石あたりでAlteraの石扱ってくれればいいのにな。
876774ワット発電中さん:2006/07/23(日) 13:32:49 ID:og0cgekl
>>875
確かに。店頭でも入手できるようにしてくれたら良いのにね。

Aleteraのオンラインショップって何か変なんだよなあ。
実体はDigikey米国に繋がっているみたいなんだけど、
たとえば7032あたりだと、Digikey米国に在庫があっても、Alteraオンラインショップだと
在庫0になっていたりする。
売りたい製品をコントロールするために、何か操作してるんじゃなかろうか。

古い機械のメンテ用に古いデバイスを数個単位で代理店経由で頼んだらえらく納期が
かかって閉口することがよくあって困る。
そりゃあ、10Kとか7032数個じゃ美味しいお客さんじゃないことはわかるのだけれど、
昔は沢山買ったじゃないかと愚痴ってしまう(パル経由だったけど)。
普通にDigikeyで日本からも買えるようにしてほしい。
877774ワット発電中さん:2006/07/23(日) 16:19:54 ID:0DQB7SHD
digikey見てみたけど、USサイトでカートに入れても、日本のサイトに飛ばされて
「円貨では売れないし日本には売れないかもしれない」とか言ってくるし、
金額出ないし他のページに行くと消えちゃうし・・・・本当に買えるのかなぁ。

アルテラのサイトは、最低でも送料等で24ドルかかるのね。
3064だと、10個ほど買えば、4年ぐらい前に見積もり取ったのと同じくらいか・・・・
でも安いのね。3032ALC44とかだと1.2ドル、ロジックICと同レベル。

ところでザイリンクスにMAXII相当のデバイスってあります?
CPLDといいつつ実体はコンフィグROM内蔵のFPGA。
878774ワット発電中さん:2006/07/23(日) 16:39:07 ID:cMDj1qfD
>>877
すなおに日本語サイトで購入できない訳は何?
879774ワット発電中さん:2006/07/23(日) 16:51:08 ID:mFC5W+x0
代理店がらみでしょ
FPGAにかぎらず、買えないメーカあるし
880774ワット発電中さん:2006/07/23(日) 17:07:10 ID:cMDj1qfD
今、日本語サイトで試してみたけど普通にカートに入るし価格も計算されるけど?
そういう意味じゃなくて?

関係ないけど、前にdigikeyの本店サイトからクレジット決済で発注したら、電話が
来たことあるよ(日本語で)。「できるだけ日本語のサイトを使ってくださいね」だって。
881774ワット発電中さん:2006/07/23(日) 17:13:02 ID:yT0XeeIy
>>880
それなら、「日本から発送するようになったら考える」と答えるのが良いかと(w
882774ワット発電中さん:2006/07/23(日) 17:45:51 ID:og0cgekl
>>880
前にAlteraの石をDigikey米国に発注したら、それだけ届かなかったことがあるよ。
購買担当者に任せていたので、どんな理由が付いていたかは知らないけれど。
883774ワット発電中さん:2006/07/23(日) 17:48:30 ID:og0cgekl
説明不足スマソ。
あとから見たら>>882の1行目は意味が分からないな。
「Alteraの石だけじゃなくて、いろいろなメーカーの部品といっしょに頼んだら、Aletarの石だけが届かなかった」。
発注するとき、カートには入っていたはずだよ。
884774ワット発電中さん:2006/07/23(日) 17:52:04 ID:og0cgekl
>>878
> >>877
> すなおに日本語サイトで購入できない訳は何?
あー話が行き違っているかも!
その「日本語サイト」ってDigikeyの日本語サイト?それともアルテラ日本語サイトのオンラインショッピング?
885774ワット発電中さん:2006/07/23(日) 18:42:21 ID:cMDj1qfD
>>884
すまぬ!根本的なとこで勘違いしてたわ。
買えるっていったのはxilinxの方でdigikeyの
日本語サイトでalteraは無理。
886774ワット発電中さん:2006/07/23(日) 22:17:41 ID:0DQB7SHD
>>885
USサイトなら桶?
887774ワット発電中さん:2006/07/23(日) 22:46:48 ID:1mNqey/M
Latticeも最近は売っていないねえ
888774ワット発電中さん:2006/07/24(月) 00:57:44 ID:Yaun9Q9g
> それともアルテラ日本語サイトのオンラインショッピング?
すまんけど、URL貼って。
どうしても本家へ飛んじゃう。
889774ワット発電中さん:2006/07/24(月) 01:44:16 ID:yAVuP/wr

へー、アルテラって入手性よくないんだ。覚えとこう。

890774ワット発電中さん:2006/07/24(月) 03:04:34 ID:qK9bUf86
やっと落としたよ。
copy コマンドで間違えないように全部繋いで、無事実行できた。
が、解凍にテンポラリエリアを糞見たく使うらしく disk full で落ちた。
891774ワット発電中さん:2006/07/24(月) 04:56:34 ID:/vF0MBm0
>>882-883

漏れも全く同じ経験した。

きっと日本の代理店を通さないと売らないというメッセージと受け取りました。
892774ワット発電中さん:2006/07/24(月) 07:10:30 ID:pR6CxSPf
>>888
残念だけどその本家に飛ばされるオンラインショッピングのこと。
893774ワット発電中さん:2006/07/24(月) 07:24:00 ID:pR6CxSPf
>>876
訂正。
> Aleteraのオンラインショップって何か変なんだよなあ。
> 実体はDigikey米国に繋がっているみたいなんだけど、
> たとえば7032あたりだと、Digikey米国に在庫があっても、Alteraオンラインショップだと
> 在庫0になっていたりする。
> 売りたい製品をコントロールするために、何か操作してるんじゃなかろうか。

前にもこういうことがあって、昨日確認したら同じ状態だったんで上のようなことを
書いたんだけど、一時的にシステムがおかしくなっていただけかも。
今見たら7032も在庫ありになってた。
勘ぐってごめんな > Altera。

Alteraオンラインショップ経由でDigikey米国で買えるんなら、とりあえずの入手には困らないな。
だけど、やっぱり普通にDigikey日本で買える方がいいな。
Alteraデバイスだけ送料別なんて無駄っぽいよな。

894774ワット発電中さん:2006/07/24(月) 07:37:51 ID:/vF0MBm0
>>893

前からそうですよ
895774ワット発電中さん:2006/07/24(月) 10:57:10 ID:+qYe3L3+
米デジ鍵で買えん癖に寺のオンラインで買ったら出荷業者がデジ鍵だたーよ

896774ワット発電中さん:2006/07/24(月) 13:11:34 ID:YRiWriXl
要するに

指定のルートで買え
特約店はここな

という、時々ある話のオンライン版ってことだ
897774ワット発電中さん:2006/07/24(月) 22:22:13 ID:pR6CxSPf
>>893
> 前にもこういうことがあって、昨日確認したら同じ状態だったんで上のようなことを
> 書いたんだけど、一時的にシステムがおかしくなっていただけかも。
> 今見たら7032も在庫ありになってた。
> 勘ぐってごめんな > Altera。

と思ったんだけど、なんか、朝で寝ぼけていたかなあ。
やっぱりDigikey米国に在庫があっても、Alteraオンラインショップじゃ買えないものが
あるみたいだなあ。

とりあえず俺が買うような石はオンラインショップで買えそうだから良いことにしよう。
898774ワット発電中さん:2006/07/25(火) 21:41:06 ID:2Bgv020l
digikeyに聞いてみました。
契約上、アメリカとカナダ以外には輸出できないのだそうです。
アルテラのサイトから注文し、発送元にdigikeyを選んでくれれば
当方からの発送となる、USDでの支払いになる、とのこと。

>>896
のようです。
899774ワット発電中さん:2006/07/28(金) 23:05:31 ID:68trxk3d
Lattice 手にはいらねえええorz
900774ワット発電中さん:2006/07/28(金) 23:21:50 ID:h0k2/duO
エリスとかにもないの?
901774ワット発電中さん:2006/07/28(金) 23:46:42 ID:FxmO+aRT
最近のPLDって3Vが多いですよね。
5V系につなぐときは、簡易的にはクランプダイオードと制限抵抗でなんとかなる
と思うんですが、この制限抵抗ってどのくらいがいいんでしょう。
数MHzでLSなんかで組まれていたころの物が相手なんですが、100オームぐらいで
いいのかな?
902774ワット発電中さん:2006/07/29(土) 01:00:10 ID:2+mtuLWs
おまい味噌汁で顔洗ってからこいや!
CPLDの品名が違うと抵抗値も変わるし、出力か、入力でも変わる
CPLDがなにかわからない状態で制限抵抗の話を持ってきたのは単なる嫌がらせか?
903774ワット発電中さん:2006/07/29(土) 01:06:06 ID:dlhWx9wv
味噌汁うめえwww
904774ワット発電中さん:2006/07/29(土) 03:38:30 ID:6p2oAAav
>>901
SN74LVCC3245とか使ってみては

905774ワット発電中さん:2006/07/29(土) 08:29:16 ID:qsjf2Kmg
>>901
品種によっては5Vトレラントなものがあります。
この場合は抵抗も要りません。

言葉は乱暴ですが、>>902さんが書かれている通りです。
デバイス名がわかっているなら書きましょう。
906774ワット発電中さん:2006/07/29(土) 08:51:47 ID:dlhWx9wv
味噌汁うめえwww
907774ワット発電中さん:2006/07/29(土) 10:33:07 ID:zK2YtOt6
>>902
オヤジ乙(w
908774ワット発電中さん:2006/07/29(土) 10:48:12 ID:pP8OXOsy
確かに、味噌汁で顔洗うなんて聞いたのは小学校依頼だなw
909774ワット発電中さん:2006/07/29(土) 11:38:11 ID:hIXhITGa
検索してもわからないw>味噌汁で洗顔
910774ワット発電中さん:2006/07/29(土) 16:00:11 ID:pP8OXOsy
911774ワット発電中さん:2006/07/29(土) 23:56:00 ID:15WbDn85
味噌汁で洗顔って、やけどしねえの?
912774ワット発電中さん:2006/07/30(日) 00:28:36 ID:TGVTLutG
ふぅふぅしなさい
913774ワット発電中さん:2006/07/30(日) 01:14:34 ID:9noLrSw5
>>902
> CPLDの品名が違うと抵抗値も変わるし、
変わらないと思いますが、たとえばどう変わりますか?
> 出力か、入力でも変わる
3V系→LS系、この場合は悩む必要はありません。
必要な場合の話をしていますから、「変わる」と言うのは間違っていると思います。
逆方向の場合に必要になり、それを加えると3V系→LS系の場合にも影響が出る、
双方向の時の落としどころはどうしていますか、という質問です。

>>904
簡易的に(というか特殊用途デバイスは使わない方向で)やってみたいのです。

>>905
たとえばMAX3000なら直結できるけど、MAXIIでは必要です。
MAXIIでは、一部ダイオードが内蔵されますが、結局のところ外部に設けた方が安全です。
また一般化して論ずれば、他の3Vデバイスへの応用がしやすくなると考えます。
914774ワット発電中さん:2006/07/30(日) 08:27:17 ID:aeKp2/96
あほに知恵貸す気は毛頭ないが、、、
俺が設計するなら5V系の出力能力、ダイオードのVI特性、
PLDの同期化周波数、入力端子の特性等々、勘案して
決めるけどな、、、
# レビューして下請けがこの辺何も考えていなかったら
# 経験上妥当な抵抗値に見えても差し戻しにするようにしている、、、
915774ワット発電中さん:2006/07/30(日) 15:58:15 ID:8F1UOwrO
おっちんぴゅー
916774ワット発電中さん:2006/07/30(日) 18:50:19 ID:TaHw3Pub
> あほに知恵貸す気は毛頭ないが、、、
貸す知恵があるようにはげほげほ
917774ワット発電中さん:2006/07/30(日) 21:31:36 ID:esexPEKU
>>914

公務員?
918774ワット発電中さん:2006/07/30(日) 22:37:12 ID:SdoQMmT7
ISE8.2使ってみた。
取りあえず >>776 で報告したバグが直ってたよ。
このスレみて直してくれたわけじゃないだろうけどありがたい。
これだけでもver.upしてヨカタ
919774ワット発電中さん:2006/07/31(月) 02:35:41 ID:BJ7rXb3o
>>913
外付けならダイオード自体は気にかけなくて良し。
数MHzのLSシリーズとかいう古典なら、信号遅延も気にしなくてよし。
双方向だと大抵プルアップされているから、それより十分小さく、
LSが'H'出力でクランプ電圧をドライブできる程度に大きい必要がある。

が、LSはせいぜい4Vぐらいまでしか出せないこと、プルアップは4.7kぐらい
と仮定すると、ざっくり0〜220オームあたりジャマイカ。

いちおう識者のチェックを請うためage
920774ワット発電中さん:2006/07/31(月) 11:51:44 ID:rT2y6UnZ
↑全然ダメ
素人レベルです、はい。
よって失格です。
921920:2006/07/31(月) 11:53:16 ID:rT2y6UnZ
名乗るのを忘れました。識者です。
922774ワット発電中さん:2006/07/31(月) 15:12:18 ID:2bf5A7dL
メーカーが信頼性保証してくれない限り、この手の方法はつかわない。
923774ワット発電中さん:2006/07/31(月) 15:29:12 ID:vH+Ms06/
>>920
ダメな理由をきぼんぬ。
とりあえずMAXUでよろ。
投稿者は実力で動けば問題ないらしいので、素人レベルとして採点してちょ。

俺だったらトレラント対応のICを使うか、変換用のバッファを追加する。
私はチキン野郎です。
924774ワット発電中さん:2006/07/31(月) 15:31:55 ID:GON8dJ9O
私も922,923と同意見。
925774ワット発電中さん:2006/07/31(月) 15:34:12 ID:q8BsaIgs
もちろんホビーユースだろw
926774ワット発電中さん:2006/07/31(月) 22:04:05 ID:jiFwuWvS
5V系との接続方法は資料に書いてない?少なくともcycloneの資料には載ってるぞ。
927774ワット発電中さん:2006/08/01(火) 00:06:10 ID:3T3vRX7n
読むのマンドクセー
928774ワット発電中さん:2006/08/01(火) 00:20:05 ID:BnY/JZex
んーマンコクセーな。
929774ワット発電中さん:2006/08/01(火) 00:23:36 ID:hQieHFuF
妻蘭
930774ワット発電中さん:2006/08/01(火) 01:42:24 ID:04ohoPg0
>>926
このとおりに外部回路つけるなら、
レベルシフトICのせる方が簡単に思える(w
931774ワット発電中さん:2006/08/01(火) 01:58:22 ID:Xqrm5XWr

5V出力-----抵抗--+----3.3V入力
         |
        抵抗
         |
        GND

ではだめなの?
932774ワット発電中さん:2006/08/01(火) 02:33:23 ID:rje10uIl
抵抗分圧だと信号速度が速いバスラインだと、
立ち上がり、立下りタイミングがラインごとにばらついてうまくいかない場合がある。
5Vクロックを3.3Vにつなぐとかなら先ず大丈夫
で、バスラインだと、LCX244あたりが定番じゃないかな。これでも100MHzとかだと使えないけど。
933774ワット発電中さん:2006/08/01(火) 05:56:22 ID:0eCJaD9p
>>926
MAXIIだと、載ってないよ。
>>931
入出力と書いてあるんだが・・・・・
>>932
クロック(ないし何らかの信号で)同期汁
934774ワット発電中さん:2006/08/01(火) 12:46:25 ID:uqYQJyqe
むしろ最近は74LSの方が特殊デバイスと違うか
935920:2006/08/01(火) 14:34:43 ID:ROc35MuR
>>923
マジレスされて正直戸惑っています。。。
936774ワット発電中さん:2006/08/01(火) 20:03:34 ID:d6nQ+Pfz
>>934
> 74LSの方が特殊デバイスと違うか
>>901
> LSなんかで組まれていたころの物が相手
937774ワット発電中さん:2006/08/01(火) 21:04:34 ID:tf0BeH1J
電源電圧が5Vか3.3Vの他に
LS(TTL)かCMOSかでもロジックレベルが違うので
いろいろ面倒だよな
938774ワット発電中さん:2006/08/01(火) 21:17:00 ID:QznGj0PT
おいらの狭い視野の中では、PLDもマイコンも、出力はCMOS、入力はTTLというのばかりだな。
電源電圧の違いぐらいで、ほかに「いろいろ面倒」なことはあまりないな。
939774ワット発電中さん:2006/08/01(火) 22:02:06 ID:QznGj0PT
>>926
英語版で、なんか眠くなってきた・・・ orz
Cycloneのに載ってるっていっても、抵抗値までは載ってないよね?
CycloneIIにいたっては、5Vなんてこの世に無かったことになってる。

結局、質問者の計算で合ってるのかな?
古典相手にPLDの同期化周波数とか、貸せない知恵絞って書いてた人の反撃希望w
940774ワット発電中さん:2006/08/02(水) 07:24:31 ID:EHV1u8SP
>>938
入力がCMOS(Vthが0.5Vdd)のデバイスはかなりあるよ
出力がTTL(Voh>2.7V)ってのは滅多にないが太古のnMOSデバイスならありうる
941774ワット発電中さん:2006/08/02(水) 10:25:50 ID:SfxhtDkg
BiCMOSなら出力段はトランジスタだから、出力はTTLだろうな。
Specが見つからなかったんで、確証はないけど。
今は入手困難だろうけど、ICだと74BCシリーズ。

古いことは古いが、
74ACよりは、新しいと思うから、太古とまではいかないかな。

TTLのVohは、>2.4V でしょう。
942774ワット発電中さん:2006/08/02(水) 17:29:13 ID:aNcvBWbb
>>936

>>934
>むしろ最近は
>>913
>簡易的に(というか特殊用途デバイスは使わない方向で)やってみたいのです。
943774ワット発電中さん:2006/08/02(水) 20:53:06 ID:qKqNK9dS
BiCMOS・・・スピード命な先端CPUの文句で見かけたような・・・・

> TTLのVohは、>2.4V でしょう。
最新74シリーズIC規格表によれば、無印以外BCも含めてmin2.7Vになってる。typは3.4V。
944774ワット発電中さん:2006/08/02(水) 21:03:38 ID:SRiBnbgb
スピード命ならECL
945774ワット発電中さん:2006/08/02(水) 21:41:01 ID:SfxhtDkg
>>943
最新74シリーズIC規格表って、CQ出版? あれの記述がどこまで
当てになるのかは知らないが、旧MOTOROLAのTTL74LS240 のデータシートが
ググってみたらヒットしたんで調べてみたら
VOH Min=2.4(V) Condition VCC=Min IOH=-3.0mA となっている。

ttp://ece-www.colorado.edu/~mcclurel/sn74ls240rev5.pdf

正しいことを証明するのは難しいけど、間違っているのは例外を一つ見つければ
いいんで、簡単だよね。
946774ワット発電中さん:2006/08/02(水) 22:34:55 ID:qKqNK9dS
特殊な例を見つけて幸せになってもらえたようで、私もうれしいです。
947774ワット発電中さん:2006/08/02(水) 22:43:56 ID:SfxhtDkg
>>946
特殊な例かどうかは、ここを見て判断されてはいかがですか。

ttp://www.datasheetcatalog.com/datasheets_pdf/7/4/L/S/74LS244.shtml
948774ワット発電中さん:2006/08/03(木) 04:21:10 ID:Djjn6E4q
いまや、“TTL回路”は、“特殊電子回路”なのか!?
949774ワット発電中さん:2006/08/03(木) 11:54:41 ID:n0SC/J7E
万個は臭い方が萌える
950774ワット発電中さん:2006/08/03(木) 21:06:05 ID:zMAbTB5N
SfxhtDkgさん、バッファICって特殊なんですよ。
たとえばIOHを比べてみて。「普通」のLSタイプから見たらお化けです。
少年野球チームにイチローや松井がいるぐらい特殊。

もうひとつ言っておけば、あの本はCQが出してるわけ。
各メーカの製品を標準的な仕様で並べてあるものであって、たとえば仮に
モトローラがちょっとだけ性能を良くしたとしても、それまで載っていることは
考えられない。
でも「標準的」であり「普通」です。印刷ミスがない限り。
951774ワット発電中さん:2006/08/03(木) 23:26:53 ID:PBbokQTi
CQのものは数字まで当てにしないほうが良いよ
952774ワット発電中さん:2006/08/04(金) 08:11:05 ID:rfyY3/9v
>>948
10年前の時点ですでに特殊だった > TTL

話はそれるが74ファミリのことをまとめてTTLと呼ぶバカがたまにいるよね
74HCとか74ACはCMOSだっつーの! 3.3V系の74ファミリは全部CMOSだっつーの
953774ワット発電中さん:2006/08/04(金) 08:46:58 ID:G7ijeJZU
単に74ファミリと言えば無印だろが
954774ワット発電中さん:2006/08/04(金) 11:45:34 ID:GK5Sr4Au
3.3VでもLVはなんか微妙にCMOSらしくない…
LVCはCMOSって感じがする(w
955774ワット発電中さん:2006/08/04(金) 14:01:44 ID:PSX5AsTW
LVとLVCだだからな
956774ワット発電中さん:2006/08/04(金) 20:02:45 ID:Eb15NawL
>>951
それじゃ意味ない

>>952
言葉は変化して、いつの間にか括りが大きくなったりしますからねぇ。
「ホームページ」とかも市民権取っちゃったしなぁ。
ちなみに74LSもTTLですか? DTLという人もいますよ。

私は「ロジックIC」って呼びますけど。
957774ワット発電中さん:2006/08/04(金) 20:53:11 ID:ctRZTYJV
>>956
DTLは、誤用だろうな、何の略のつもりかな。 しかし、DTLで通じるのもすごいな。

エレクトロニクス用語辞典 的には、Diode Transisitor Logic の略でしょう。

TTLの前のロジック素子で、入力段にダイオードを外付けするんだよね。
DTLを知っている人が少ないだろうし、実物を見たことある人は、ほとんどいないと思うけど。
958774ワット発電中さん:2006/08/04(金) 21:12:20 ID:HYG1mKnO
LSあたりの等価回路、見たことないの?
959774ワット発電中さん:2006/08/04(金) 21:56:32 ID:ctRZTYJV
言いたいことは分かるが、それでDTLと呼ぶか?他人に通じるか?
用語は通じないと意味がない。
960774ワット発電中さん:2006/08/04(金) 22:46:57 ID:rfyY3/9v
LSはローパワーショットキの略で
マルチエミッタ トランジスタ-トランジスタ ロジックには変わりないから
正真正銘ののTTLだろ

DTLって呼ぶのってどこのバカか教えてほしい
961774ワット発電中さん:2006/08/04(金) 22:50:59 ID:rfyY3/9v
>>956
ロジックICだと 40xxとか45xxもあるけどまぎらわしくないか?
962774ワット発電中さん:2006/08/05(土) 00:58:28 ID:lqko+q0R
最近設計やり始めた連中に74シリーズの型番で話しても通じない・・・orz
963774ワット発電中さん:2006/08/05(土) 01:33:52 ID:kyi8bESg
漏れのイメージ
CMOSロジック:あんちゃん
TTL・ECLロジック:おじさん
DTLロジック:じいさん
メカリレー・GaAsロジック:仙人
よって>>962はおじさんとなる
だれか仙人おらん?
964774ワット発電中さん:2006/08/05(土) 01:50:46 ID:u0RuivPJ
最近はメカリレーもロジック部分は殆どシーケンサーに置き換わってるからな。
スタデル用のリレーなんかはメカでインターロック組んだりするが…
965774ワット発電中さん:2006/08/05(土) 07:37:49 ID:Ixyb5DR3
TIのホームページ行ってみましたよ。
ttp://focus.ti.com/logic/docs/technologyfamily.tsp?templateId=5985&navigationId=11386
ちょっとびっくり。TI的にはTTLというファミリは初期のものだけなんですね。

でも、LSの構造が入力ダイオードだとしてもDTLは通じないだろうなあと思います。
966774ワット発電中さん:2006/08/05(土) 11:49:37 ID:pZsZZmkA
74LってDTLじゃなかったっけ?
967774ワット発電中さん:2006/08/05(土) 12:47:02 ID:kUvTEgQR
全く言うに事欠いて、適当なことばかり書く奴もいるな。
74Lはさすがに実物を一度も見たことないが、74の低消費電力版。
968774ワット発電中さん:2006/08/05(土) 12:58:24 ID:N9WhOmBi
74無印→74LとかSとかLSとかに進化して行く過程をリアルタイムに
体験した人なら、DTLという言葉は結構知ってるのでは。
使いやすくなったなぁ、という感慨とともに。

TTL<->CMOSの間にDTLが挟まっている人、いるんじゃなかろうか。

自分が74シリーズに初めて触れたときには既に74LSが主流だったけど
当時知識を得た本の多くで、74無印と74LSの入力部の相違点とか
解説されていたような記憶がある。
969774ワット発電中さん:2006/08/05(土) 16:19:40 ID:pZsZZmkA
>>967
実際に使ったことは無いんでうろ覚えの記憶だが、
74Lは入力をダイオード化することで低消費電力化している筈なので、
厳密にはDTLでは?
970774ワット発電中さん:2006/08/05(土) 16:49:16 ID:Yh8tP5H0
>>957
ダイオードANDはたまに使うかと
作った本人も何をしたかったのか思い出せない回路ができることもあるがw

>TTLの前のロジック素子
LやLSにおける省電力の手法として後から導入されている
DTLは遅いから、ということで最初は入力をトランジスタにしていたんだが、
速度を決定付けるのは入力より後段の影響が大きいということがわかってきて
DTLもよく用いられるようになった

>>969
俺もそう記憶している
971774ワット発電中さん:2006/08/05(土) 17:59:13 ID:kUvTEgQR
>>970
DTLをTTLの入力段の手法と思っているようにみえるけど。
CQの電子用語としても、素子として定義されているし、74の入力段がダイオードに
なっているから、DTLと呼ぶという記述を、少なくとも自分は見たことがない。
そのような記述があるのでしたら教えてほしいですね。

ttp://www.cqpub.co.jp/term/diodetransistorlogic.htm

DTLの実物も実は見たことある。外資系のカードリーダーの制御素子として使ってあった。
DIPのICなのに、周りにダイオードがたくさんつけてあって、なんじゃこれはと
思ったのを覚えている。
972774ワット発電中さん:2006/08/05(土) 18:25:43 ID:70izAAhC
おまえら年齢のバレる書き込みをしてみろ 2
http://science4.2ch.net/test/read.cgi/denki/1123152335/

スレかと思ったw
973774ワット発電中さん:2006/08/05(土) 21:08:36 ID:q61nC3aG
>>965
そのページ見てみたが、少なくともTIの主張としては、
TTL Transistor-Transistor Logic
LS Low-Power Schottky Logic
というわけで、此処で言ってるTTLともDTLとも違う、
と言ってるような気がするが。
まぁ、等価回路レベルでは、似ていると言うのは否定しないが。
ちなみに、入力段が“マルチエミッタ構造”になってなければ、
TTLとは呼ばない、つまり、ディスクリート素子だけでは作れない、
ようなことを聞いたことがある。そういう意味では、実は7404は、
TTLじゃない、とも聞いたことがある。

7400 って、まだ売ってるんだねぇ。8pin版(2-NAND)のもあるんだね。
(それって既に、7400 じゃねー様な気もするが。)
974774ワット発電中さん:2006/08/05(土) 23:12:28 ID:Nq1U6kN2
特殊な素子について語るスレにw
975774ワット発電中さん:2006/08/06(日) 02:07:28 ID:r/lcUtDm
7400や6800と聞いてCPUだと思う人は若者。
976774ワット発電中さん:2006/08/06(日) 02:25:55 ID:tGMaxMIi
DTLだのTTLだのHTLだのくだらないに話はココで終了。
で、今でもたまに現役のECLの話だが・・・(殴
977774ワット発電中さん:2006/08/06(日) 08:54:19 ID:z2kuvb4e
ナツ素子スレへようこそ
978774ワット発電中さん:2006/08/06(日) 09:40:27 ID:vpfsgm0G
>>975
グラボかとオモタ俺は何者?
979774ワット発電中さん:2006/08/06(日) 13:50:03 ID:8iaQfG9P
>>978
わ゛かもの
980774ワット発電中さん:2006/08/06(日) 14:47:42 ID:bNhOjsTn
ちょっと話が戻るけど、VOHが最悪2.7Vの場合もありうるということはつまり、
出力電流規格めいっぱい流したときに2.7Vもありうるということですよね。
逆に2.7Vになるまで電流流しても平気、と読んではイケないのかな?

3.3V系にクランプダイオードかませば4V近く、その電圧まで引き下げられる
程度の話であれば、制限抵抗なんて無くてもよさそうな・・・・
981774ワット発電中さん:2006/08/06(日) 15:00:14 ID:z2kuvb4e
>>980
いくない。電流は電流で最大値が決まってる。
メーカーはマージンを持たせてるだろうから、電流オーバーになるのでは?
982774ワット発電中さん:2006/08/06(日) 15:58:18 ID:KCb4YGhF
>>980
Vohの低い個体+制限電流いっぱい>2.7vという話なので、通常は
2.7vより高いところで電流上限に達するのでは。
983774ワット発電中さん:2006/08/06(日) 16:06:11 ID:b9KUjaUu
>>980
制限抵抗が無いとクランプを通じて3.3V電源にどれぐらい電流が流れ込むかわから
ないよね。
3.3V系の消費電流よりもクランプ経由で流れ込む電流の方が大きくなったらやばくない?
984774ワット発電中さん:2006/08/06(日) 19:20:26 ID:TM2b0g2L
>>981-982
絶対定格で出力電流値ってあります? 電源電圧や消費電力ぐらいでは?
推奨定格の記述はあるけど、それは守っていれば他の性能(たとえばVOH)が
ちゃんと発揮できるということでしょ?
発揮できないほど電流が流れれば、出力電圧は下がるだけだと思いますが。
985休みなし:2006/08/06(日) 22:28:08 ID:GvwubhZv
ながれを遮ってすまんが、アルテラMAXUのIOエレメント内の
プログラマブル・プルアップ抵抗ってQuartusの「PINアサイメント」で
設定できるのかい?
986休みなし:2006/08/06(日) 22:29:22 ID:GvwubhZv
あ、ごめん。上げチッタ。
987774ワット発電中さん:2006/08/06(日) 23:30:24 ID:dAz2UKDU
そろそろ次スレ作って
988774ワット発電中さん:2006/08/07(月) 06:36:24 ID:KFH90zgE

次スレ

【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 06
http://science4.2ch.net/test/read.cgi/denki/1154900133/
989774ワット発電中さん:2006/08/07(月) 23:22:04 ID:A3QLZXGE
オトナなスレ立て、お疲れ様です。
990774ワット発電中さん
いつのまにかもう990か…