【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice

このエントリーをはてなブックマークに追加
1774ワット発電中さん
電気・電子版に無いので立ててみた

ALTERAデータシート
http://www.altera.co.jp/literature/lit-index_j.html
ALTERA知識データベース
http://www.altera.co.jp/support/kdb/spt-search_kdb_j.html

XILINXデーターシート
http://www.xilinx.co.jp/xlnx/xweb/xil_publications_index.jsp?iLanguageID=2
XILINXアンサーサーチ
http://www.xilinx.co.jp/support/searchtd.htm

Lattice
http://www.latticesemi.co.jp/
2774ワット発電中さん:04/04/06 15:10 ID:UxrQ+WMm
2ゲット
3(´∀`∩) ◆R4000nX4Mk :04/04/06 15:37 ID:8E/ZiG1C
 乙です>>1
 そういや、HDL?スレで内容を兼ねていたので無いことに気付きませんでした。
4774ワット発電中さん:04/04/06 16:39 ID:Oa1owalc
この板が無い頃からこっちが存在。
ttp://science2.2ch.net/test/read.cgi/kikai/1048943368/l50

ま、そろそろこっちに移行しても全く問題ないと思うけど。
5774ワット発電中さん:04/04/06 18:53 ID:azncsMT0
GDF+AHDL ある意味最強!!
6774ワット発電中さん:04/04/06 19:25 ID:4xv7Lmjg

ある意味重複スレだな。

        ∧∧  ミ _ ドスッ
        (   ,,)┌─┴┴─┐
       /   つ..  終了 │
     〜′ /´ └─┬┬─┘
      ∪ ∪      ││ _ε3
               ゛゛'゛'゛

7 ◆YMO/ALTERA :04/04/12 20:42 ID:5yxCGGvl
落ちないようにがんがれ
8774ワット発電中さん:04/04/13 03:23 ID:mDIW/JJU
 ̄ ̄ ̄ ̄ ̄ ̄ ̄○ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
           O 。
                 , ─ヽ
________    /,/\ヾ\   / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
|__|__|__|_   __((´∀`\ )< というお話だったのサ
|_|__|__|__ /ノへゝ/'''  )ヽ  \_________
||__|        | | \´-`) / 丿/
|_|_| 从.从从  | \__ ̄ ̄⊂|丿/
|__|| 从人人从. | /\__/::::::|||
|_|_|///ヽヾ\  /   ::::::::::::ゝ/||
────────(~〜ヽ::::::::::::|/        = 完 =
9774ワット発電中さん:04/04/14 19:50 ID:r4FLbHlF
あげ
10774ワット発電中さん:04/04/14 20:52 ID:4sjlu+Zr
糞スレたてんなよな。
11774ワット発電中さん:04/04/14 21:37 ID:r4FLbHlF
包括的JTAGサポートソフトウェア
http://www.nahitech.com/jtag/
12774ワット発電中さん:04/04/15 10:44 ID:fpFAk+75
このスレを荒らしてる奴は一人だな。
この板にはFPGAスレが無いから、重複でもなんでもない。
13774ワット発電中さん:04/04/15 14:22 ID:gE/rCMYR
全然荒れているようには見えない。
14774ワット発電中さん:04/04/17 04:32 ID:N4P59qjU
熱がー
熱がー
15774ワット発電中さん:04/04/17 16:35 ID:qRIqKNsh
>>14
風邪か?はやく寝れ
16あぼーん:あぼーん
あぼーん
17774ワット発電中さん:04/04/18 22:43 ID:PmQwEFMA
USBプリンターポートつかってFPGAをプログラムしようと思ったけど
認識しないじゃん。Quartus 2なんだけど・・。
素直にプリンタポートのあるPC買えってこと?(涙)
18(´∀`∩) ◆R4000nX4Mk :04/04/18 23:04 ID:n4uVSAie
>>17
 ヒューマンの掲示板に以下のようなコメントがありましたので参考までに。

>  ttp://www.ibsjapan.com/SPP-100.htm
>
> SPP-100を使用して、パラレルポートのないマシンでISPしています。
> Altera社のByteBlasterでしか使用したことはありませんが、
> 問題なく使えています。
19あぼーん:あぼーん
あぼーん
20774ワット発電中さん:04/04/19 22:49 ID:S1QBuJh7
>>18
うーん。ちょっと高いね。でもありがとー参考にしますですよ。
21774ワット発電中さん:04/04/20 00:05 ID:4QP8sOQI
>>18
これとかラトックのLPTカードは標準ではダウンロードケーブルぐらいしか
つかえないよ。
セキュリティキーとかドングルは認識できない。

俺の知る限り、セキュリティキーまでも認識できるこの手の拡張カードは
アル○ィマが出している奴しかないと思う。
それでModelSIMのキー認識とXILINXのISPしてる。
22あぼーん:あぼーん
あぼーん
23あぼーん:あぼーん
あぼーん
24あぼーん:あぼーん
あぼーん
25あぼーん:あぼーん
あぼーん
26774ワット発電中さん:04/04/20 10:20 ID:89i8jLNE
Xilinx CPLD ライター の製作記事がこちらに載ってますね。
http://www.hobby-elec.org/cpld3_3.htm
27あぼーん:あぼーん
あぼーん
28あぼーん:あぼーん
あぼーん
29あぼーん:あぼーん
あぼーん
30あぼーん:あぼーん
あぼーん
31あぼーん:あぼーん
あぼーん
32あぼーん:あぼーん
あぼーん
33あぼーん:あぼーん
あぼーん
34あぼーん:あぼーん
あぼーん
35あぼーん:あぼーん
あぼーん
36あぼーん:あぼーん
あぼーん
37774ワット発電中さん:04/04/20 10:45 ID:89i8jLNE
Xilinx CPLD ライター の製作記事がこちらに載ってますね。
http://www.hobby-elec.org/cpld3_3.htm
38774ワット発電中さん:04/04/20 17:41 ID:JOehs7m7
ID:77MJUc3bアク禁
39(´∀`∩) ◆R4000nX4Mk :04/04/20 17:53 ID:XfLoY5gQ
 酷いAA貼りだな。
 何に対する怨念だろう?
40774ワット発電中さん:04/04/20 18:29 ID:EnQTEEHt
こんな古いAAよくストックしておくよな
41774ワット発電中さん:04/04/20 22:03 ID:o6u95Qlr
熱がー
熱がー





ヒートシンクつけてもアーチーチーアーチーです。
42774ワット発電中さん:04/04/21 19:15 ID:QgcXdfYW
何の石? 
昔バスブリッジにアルテラ使ってて、ラッチアップさせて燃やしちまった覚えがあるよ(汗
43774ワット発電中さん:04/04/22 23:14 ID:uB/ajvz7
だれかThinkpadでCPLDやらFPGAやってる人いますか?うほー。
44774ワット発電中さん:04/04/22 23:35 ID:sXlpJlSI
>>41
どの位のクロックでどんな回路で組んでるか
言わんとアドバイスのしようもないが。

重たい負荷を沢山ドライブしてないか?
45774ワット発電中さん:04/04/23 00:15 ID:zKq1rEXz
>>42>>44
あ、ども。レス付くとはおもわんかった。
石は或寺は20ke1000×7個。単純なんだけど重い回路入ってます。クロックは64M。
電流が全然足りないから電源部改造したんだけど、
基板を2ヶ月ヒトランしてたら電源部の改造線材が変色してた…( ゚д゚)ポカーン
現地実験では火傷被害者が続出w 筐体モノでファンも付いてたんだけどな。

次の基板はXILINXのvPRO×4個で作ったのね。クロックは150M。部品選定の際、
俺「熱、どれくらい出る(゚Д゚)ゴルァ!?」
代理店「HAHAHA! ヒートシンクなんていりませんYO」

やっぱ熱チーじゃねぇか、コンチクショウ。
46774ワット発電中さん:04/04/23 07:10 ID:n1383JSL
>俺「熱、どれくらい出る(゚Д゚)ゴルァ!?」
>代理店「HAHAHA! ヒートシンクなんていりませんYO」
ちょっとワラタ。

一応、パワーカリキュレータで計算してみれば。
うちでは、実測値より高めにでてくるので、放熱の参考にしています。
47774ワット発電中さん:04/04/23 11:07 ID:gopZSzkC
Xilinx Vertex2 1000 FG456使ってるが、
そんなに熱でないぞ。ヒートシンクなど考えたこと無い。
クロックは100M 100万ゲート中、60%使ってる。
PowerPC G4 MPC7457のチップセット相当で、目いっぱい
動いてる。DMAあり、SDRAMあり、PCIブリッジありだ。
ちなみに、G4は1.27Gで、1.3Vで12A流れる。
電源のステップダウン用FETの選定にだいぶ苦労した。
こちらの放熱板は銅製で、ファン付けて、周囲温度+20度に押さえた


48774ワット発電中さん:04/04/23 22:18 ID:9VLAfMKL
>俺「熱、どれくらい出る(゚Д゚)ゴルァ!?」
>代理店「HAHAHA! ヒートシンクなんていりませんYO」

漏れもワラタ

発熱はクロックだけでなくて信号のトグル率も関わるから、
使用ゲート数とクロックだけでは単純比較出来ないけどね。

ある状態では動いていないブロックがあるなら、
クロックイネーブル使って殺すとか、入力をゲートすれば
少しはましになるかも。
あとLVDSみたいな振幅が小さい信号とオンチップ終端は
思わぬ発熱源なので気をつけられたし。

49socket774@techno:04/04/24 00:06 ID:iERDNF85
FFの使用量減らせば消費電力下がると思うけど
そんなことお構いなしにハイビジョン用(74.25MHz動作)の
画像処理回路作って約99パーセントの使用効率で47氏と同じ
VertexII1000をつかったけど、アルテラの石ほど発熱しなかった。
これでもう少し回路入ってくれるとありがたいんだけどね。
回路を集積できる容量からいくとアルテラの方があるみたい。
私見ですけど。

あと言えることはおおむねXilinxの石の出力波形は
アルテラより綺麗で好感が持てる。
アナログ混在アプリにはもってこいかも。
50774ワット発電中さん:04/05/03 16:50 ID:hfWB9AzK
>>43
以前やってました
ノートPCで携帯したかったので。
しかし、回路規模が大きくなって、論理合成に時間がかかるようになってから、
デスクトップがメインに。
持ち運べる便利さは魅力なのですが。
51774ワット発電中さん:04/05/11 00:25 ID:gHIGbTlb
Xilinxの例の問題で久しぶりにAlteraに乗り換えたんだが
同じRTLソースなのにQualtusIIはISEよりコンパイルが非常に遅い
ものによっては2倍以上コンパイル時間が違ったりする

どっかに秘密のオプションか何かあるのかな?
52 ◆YMO/ALTERA :04/05/11 01:00 ID:j/FlnPly
>>51
所感。

罪はコンパイル早いがメモリめっちゃ喰う。フィットのとき、結果が揺れやすい。

在は遅いがそれ以外ではさほど不満はない。


Quartus II 4 WebEdition にしたら、合成効率よくなったが
コンパイルがさらに遅くなった…EP1S10目一杯の論理を
フィッティングまで終わらせると40分かかる…
53 ◆YMO/ALTERA :04/05/11 01:02 ID:j/FlnPly
>>52
在って…すまんアルテラのことだ orz


あまりにの遅さにAthlon64ママン買いに逝こうとして
先立つもののなさに思いとどまったというのはよくあるケース。
54774ワット発電中さん:04/05/11 09:06 ID:gHIGbTlb
>>52-53
動作がおかしいのでちょっとソース直してテスト。というのを繰り返してみるときに
コンパイルが遅いと辛いので、最初Qualtus II使ったとき「何じゃこりゃ?」
と思った。

ただISEの結果が揺れるというのは以前のバージョンで経験している。
最新のISEではどうか知らないが。
55774ワット発電中さん:04/05/12 20:45 ID:UjtUKxnj
「FPGAの中の人(メモリのこと)がコケるんです」

と主任の前で言ってしまった_| ̄|○
56有瑠寺御宅:04/05/14 22:30 ID:W1EjpTOx
>>54
浅い面と設定かえろ!
ファストフィットにするとフィッティング半分以下になるぞ。
ただしパフォ落ちるケースもあるみたいだが・・・。
57774ワット発電中さん:04/05/16 20:15 ID:gNP3Yw1o
>>56
ということで試しにやってみた。時間はフルのコンパイル時間の合計
(フィットの時間だけを記録するの忘れたので)

Standard Fit
total 1:11.34
clock1 max 54.99MHz
clock2 max 77.86MHz

Fast Fit
total 0:47.54
clock1 max 47.50MHz
clock2 max 79.60MHz

アナライズにも結構時間がかかっているので、半分にはならなかった。
それでも20分以上は早くなっているんだけどね。
クロックはなんか微妙だな。早くなるのと遅くなるのがある。
どっちも制約条件は超えてはいないけど。

結論としては「問題が起きなきゃ使ってみたら?」つーことかな。
58774ワット発電中さん:04/05/18 13:15 ID:M5EjVA61
コア電圧定格1.5VのFPGAに、1.2Vを入れたらどうなるの!
59774ワット発電中さん:04/05/18 16:30 ID:FfCiXX05
誰も保障してくれないがクロックを落とせば動く。
実験やお遊びなら問題なし。
60774ワット発電中さん:04/05/19 22:06 ID:VjmPEmbC
>>58

自分で試せよ
ヴォケ
61774ワット発電中さん:04/05/28 14:20 ID:AgYgscLZ
アルテラのEPCS1をByteBlasterII等の使わないで、ボード上のCPUで書き換えをすることは
出来ますか?
メンテモードでEPCS1を書き換えて、通常モードではCycloneをEPSC1でコンフィギュレーション
させたいのですが。
62774ワット発電中さん:04/05/28 17:36 ID:DnDcPH6W
>>61
できますっつーか、してます。JTAGプロトコルでふつうに書き込めます。
CPUがFPGAとコンフィグROMの両方面倒みてます。
63774ワット発電中さん:04/05/29 00:24 ID:O3ZFsUJo
>>62
良く嫁、EPCS1にはJTAGは無かった筈。
64774ワット発電中さん:04/05/29 23:10 ID:s7O42e4R
>>62
落ち着け。そしてもう一度ちゃんとしたことを書いてやれ。。。
65774ワット発電中さん:04/05/30 20:56 ID:TrKVKx1i
最近は罪ばっかなので或から離れているのですが
シリーズ増えてるみたいですね…
66774ワット発電中さん:04/06/01 17:05 ID:g4SEw7Sv
>>65
といっても、サイクロンとMAX2、それにStratixしかないが。
あ、あとはNIOS2とQuartus-4かな。

なんちゃら2なんていうのは、夢のまた夢。代理店は必死にトーク
してくるけれど、さきにStratix-GXを出してほしいよ。
材も寺も、代理店はまず足元を見た営業をしてほしいね。
67774ワット発電中さん:04/06/01 18:20 ID:e2tpCC1q
>>66
Serial ATA実装するためにはStratixじゃなくてStratix GXがヒツヨウデツカ?
68774ワット発電中さん:04/06/02 21:02 ID:bstmojBr
>>66
足元を見た営業って、客の弱みにつけ込む営業かよw

ともあれ寺はIOが弱いからGXはお蔵入りと思われ。
この前代理店からStratixのタイミングモデルが実物より
良く出てしまうって話聞いた時は笑うしかなかったさ・・・。
実物の方が1ns以上遅い場合があるって・・・orz

・・・次からIOスピード最優先な部分は罪にしよう。
69774ワット発電中さん:04/06/08 23:10 ID:BPJmjHFJ
財はパッケージに放射線を出す材料を使って
SONYタイマー見たいな出っ歯椅子だしてたよね?
あれはどうなった??

あとさ、酸っぱ3は内部の敗戦理想巣がめっちゃすくないやん。
Fittingで問題にならんのかね?

ってか、出っ歯椅子はやくだせよ!財!!ESすら出てこん・・・。
70774ワット発電中さん:04/06/08 23:49 ID:Ayfr9Apj
その、6年ぐらい前を思い出すようなセンスの当て字はどうにかならんのか?
71めんご:04/06/09 00:15 ID:GZd1PQ/y
財はパッケージに放射線を出す材料を使って
SONYタイマー見たいな出刃慰素だしてたよね?
あれはどうなった??

あとさ、須派3は内部の廃船利層州がめっちゃすくないやん。
Fittingで問題にならんのかね?
72774ワット発電中さん:04/06/09 23:30 ID:6wWH+/wT
>>71 財・・・もうだめぽ・・・。
   ,.、   .,r.、
   ,! ヽ ,:'  ゙;.
.   !  ゙, |   }
    ゙;  i_i  ,/.
    ,r'     `ヽ、..
   ,i"        ゙;  ミッフィーちゃん
   !. ・     ・  ,! .
  (ゝ_   x  _,r'').
   ヽ,:`''''''''''''''" ヽノ
    〉     r'⌒;: ))
    ゙'-::r--;-‐'`゙゙`
      ゙ー-'゙
73774ワット発電中さん:04/06/10 02:26 ID:OrSY8hzy
ロームは何をやっているのでつか?
74774ワット発電中さん:04/06/10 03:55 ID:aCQ855tf
製品概要 QPRO TM QML認定放射線耐性強化型製品 パッケージ特性および熱特性 品質保証および信頼性 ...

ぐぐってもこんなんしか出てこない
英語で検索しても同じ
75774ワット発電中さん:04/06/13 19:23 ID:kdO92NFW
10数年来ALTERAオンリーでXLINXって使ったことないんだけど、
何か乗り換えたくなるようなアドバンテージってある?
76774ワット発電中さん:04/06/13 19:29 ID:zprN/+bN
ウチは、値段で乗り換えますた
77774ワット発電中さん:04/06/13 20:03 ID:kdO92NFW
Cycloneでかなり安くなったように思うけど、もっと安い?
78774ワット発電中さん:04/06/14 01:57 ID:cqS29rqJ
>>75
出力波形みるとXilinxが以前からいい。
IBISモデルレベルでもうALTERA_| ̄|○な感じ(w
ま、1長1短というとこですか

話し変わるけどXC18V04で数百個に1個
位の割合で2〜3ヶ月後に
突然内容が消える石があったんだけど
みんなはどうよ?
79774ワット発電中さん:04/06/14 15:15 ID:VSiRojjP
あぁありますね消失現象。
800台ぐらい作って4台程度半年弱ぐらいで消えてた。
でもメーカーにも問い合わせたけどPCの環境とかボードの電源とか
ケーブル以外のいろんな要素があるのでよくわからんということで
うやむやになってます。
客先はカンカンですが。。。。。
代理店は安くて安全??なXCFシリーズを使ってねとのことです。
アルテラとザイリンクス両方使ってますが
どうもザイリンクスのほうが価格も安いし代理店ががんばるんですよね。
ここ最近比較してアルテラのほうがよかったのは納期ぐらいかな???
80774ワット発電中さん:04/06/14 22:20 ID:tygVtM9X
>代理店は安くて安全??なXCFシリーズを使ってねとのことです。

そう言うならピン互換にしてほしかったす。ICを替えると基板作り直しなんですが。(T_T)
8178:04/06/14 22:36 ID:cqS29rqJ
>>79,80
情報THX
うちもメーカーに問い合わせてるけど
なにが原因かわからないといってます。
今度消えたらメーカーに消えたサンプル提出して
故障解析やってもらおうと考えてます。
どっかの会社みたいに闇に消されなきゃいいけど。

当面はXC17シリーズにしなきゃだめかなぁ・・・
82774ワット発電中さん:04/06/15 01:59 ID:/SGrZV9Q
>>77
スパ3が驚きの安さでした、はい。

消失問題、話は伝わってきてるどうちでは起きてないな。
83774ワット発電中さん:04/06/15 09:27 ID:OqoxgFBi
藻前らaltera.com開きますか?
昨日から全然ダメでweb editionで遊べないんですけど (泣
8483:04/06/15 10:01 ID:OqoxgFBi
なんかおいらだけみたい。orz
85774ワット発電中さん:04/06/15 11:13 ID:QcT9Uox9
ウチもおかしいです。
とりあえずLANケーブル抜いて起動してます。
86774ワット発電中さん:04/06/15 11:38 ID:G1/hyBVX
>>83
おいらも急にダメになったけど、altera.comのcookieを常にOKにしたら開けたよ。
どうやらそのへんの設定を変えたみたい。
87774ワット発電中さん:04/06/15 18:00 ID:gYn4Go9I
アルテラのサイトに行けませーん。
なんでょ?
ttp://www.altera.co.jp/
88KHP222000101030.ppp-bb.dion.ne.jp:04/06/15 18:02 ID:NNMogdwS
>>87
うちからだと、普通に行けるです。
8987:04/06/15 18:06 ID:gYn4Go9I
確かに、会社からなら、普通に行けた気がする…。
なんで、家からだと、行けないのでしょう?
ザイで、なんとかしときなさい…ってことでしょうか?orz
9087:04/06/16 11:49 ID:gDT5LxVL
やっぱりアルテラのサイトに行けません…。
他のサイトは、問題なく見れるのに、アルテラだけ行けない…。
おうちの無線LANが原因かと思って、
携帯で接続したら、行けたけど、9.6kだし…。
何が悪いのでしょう? 何が違うのでしょう??
最近、アルテラのサイトって、何か変わった???
ちなみに、cookieを常にOKにしても、ダメでした…。

ttp://www.altera.co.jp/
ttp://www.altera.com/
91774ワット発電中さん:04/06/16 12:28 ID:5r29dhxL
串をいれてみな。
92774ワット発電中さん:04/06/16 13:57 ID:pnMqZVAy
ほんとだ、JPもCOMも両方だめだよ
串は知らんから刺してない
93774ワット発電中さん:04/06/16 14:19 ID:BmmDBR/0
漏れはcomはOKだけどjpがダメだな
94774ワット発電中さん:04/06/16 14:20 ID:4TcjZk0/
会社からもだめ
アルティマサイトでは何もアナウンスしてないよね?
95774ワット発電中さん:04/06/16 14:58 ID:IDMSogI4
俺はjpはいけるけど、comダメだ・・・
96774ワット発電中さん:04/06/16 15:24 ID:HlfNX1N1
うちの会社からもだめみたい・・・
でも、「資料」のページとかを直接アドレスで開くと見られます。

ttp://www.altera.co.jp/literature/lit-index.html

トップページだけがNGっぽい?ですね。
代理店の人とか、誰か気付いてないんだろうか・・・
97774ワット発電中さん:04/06/16 16:42 ID:V7c9cPA0
P系の代理店さんの話では
アルテラのHPは現在調子悪いらしく(そのまんまな回答)
あと2〜3日は復旧しないかもよとのことですよ。
98774ワット発電中さん:04/06/16 17:44 ID:UrFvXl7w
Akamai DNS 攻撃受けてるのと関係あるかのう?
99774ワット発電中さん:04/06/16 20:17 ID:I8SPC0CK
よく知らんのだけど、
comとjpは同じ鯖使ってたりするわけ?
それとも同時に攻撃受けてるのかねぇ
100774ワット発電中さん:04/06/16 21:51 ID:caaYVR0F
これどう?66.35.227.20
電話したら使えって・・
101774ワット発電中さん:04/06/16 22:04 ID:I8SPC0CK
>>100
見れたー
102774ワット発電中さん:04/06/16 23:50 ID:pnMqZVAy
http://altera.com/index.jsp

COM見れるけどJP駄目だね
どの道X派だから関係ないけど
103774ワット発電中さん:04/06/17 21:28 ID:W0+Y8VNr
>>102 X派の理由は何故ですか?私はA派です。理由はxで不具合多発して
もうこりごりだからね。また、プレスリリースと実際の差が激しすぎて
いつも騙された気分になってしまわない?
104774ワット発電中さん:04/06/17 22:38 ID:d1AZToTn
Xの不具合ってたとえばどんな?
漏れは経験したことないぞ。幸運なのか、シビアな使い方が
できてないせいか.....
105774ワット発電中さん:04/06/17 22:44 ID:6/9gaDh0
>>103

目玉焼きにソースか醤油か程度の違い
106774ワット発電中さん:04/06/18 07:17 ID:JDF7oXMv
XもAも同じくらい不具合ある気がする。

Xは不具合があると、そのデバイスが手に入らなくなるけど、
Aはそのまま出荷して、「ごめんなさい、てへっ」って感じがする。

中小企業なので、デバイスの優先順位が高い大手さんだと、
もっと違う印象があると思う。

Virtex2も、特定のロットだけまれにビットが化けるってのあったけど、
うちは関係なかった。
107774ワット発電中さん:04/06/18 12:40 ID:kafzSPJH
うちもX派。>>105が真理だろうけど(俺はマヨ派だ)、
そのときの入手性と価格が決めてだろう。不具合にも遭ってない。
ツールは慣れだし、TELはそれなりに対応良いし(アルティマも親切だけどさ)
って、必要以上に擁護してどうする。
108774ワット発電中さん:04/06/19 10:27 ID:+kYH2K2h
代理店のサポートを考えたら、Xは使えない。
109774ワット発電中さん:04/06/19 13:57 ID:SCITLzYI
代理店のサポートなんて A も X もだめぽ。
110774ワット発電中さん:04/06/19 14:30 ID:+kYH2K2h
余程場末の設計屋なんだろうな。
111774ワット発電中さん:04/06/20 23:16 ID:SOHPOQXy
10数年前のXが酷すぎたのがトラウマになってるんだよなぁ。
今のは遜色ないのはわかってるんだけどさ。
112774ワット発電中さん:04/06/21 01:11 ID:PktCJRqp
Virtex4ってどうよ?
113774ワット発電中さん:04/06/21 20:17 ID:chTTsYHd
>>111
あぁ、その気持ちはわかる。
114774ワット発電中さん:04/06/24 13:05 ID:nPhN8G4k
ModelSim-AE版でWave窓に表示されるグリッドの間隔を
clkのエッジにあわせて表示したいのですがどうすれば良いのでしょうか?

グリッドの間隔を設定する項目がありますがns単位でないと指定できないです。
115774ワット発電中さん:04/06/24 18:18 ID:xJ7E/ZPP
>>114

俺も知りたい
116774ワット発電中さん:04/06/24 18:58 ID:izIYXrfD
マーカーで良いだろ?
117774ワット発電中さん&rlo;(どけイイもでうど) Oo .(`・ω・´)&lro;:04/06/24 22:42 ID:T+2/1KXl
昔、ゲートアレイの開発していた頃にちょっとXILINX検討してみたことがあった。
その頃は全然所定の性能が出せないことがわかって、ダメという結論が出てしまったせいで
すっかり時代にのりおくれたなぁ...
スパ3の性能でも正直びっくらこぎました...心を入れ替えて勉強しまつ..
つか、PICなんか使わなくなるかも...
118774ワット発電中さん:04/06/25 13:11 ID:jIMOWnk+
だれかLATTICEを語ってあげろよ。
語る価値もないのか?
119774ワット発電中さん:04/06/25 18:46 ID:1dq0A+bZ
私はORCAで論理設計を覚えました
当時のサポートの方々にはお世話になりました。
ありがとうございます
120 ◆YMO/ALTERA :04/06/25 19:44 ID:PBuN1dib
>>118
俺のなれそめはLattice ispLSI2032ダタヨ
しかもStarter Kit
しかも秋月で買った
121774ワット発電中さん:04/06/26 00:52 ID:0k6rP4h1
>>120

え?
秋月で売ってたの?

私は隣のT ZOONだったけど…。
あそこにはispLSIが各種それなりに在庫されていて助かった。
122 ◆YMO/ALTERA :04/06/26 10:19 ID:D974ev0f
>>121
のわっ
今はなき亜土電子ダタヨーナ
1995年の記憶なので許せ
123774ワット発電中さん:04/06/26 18:04 ID:AiXEbTTh
>>116

よくねーよ
124774ワット発電中さん:04/06/28 22:55 ID:hDunvpgR
cycloneIIが発表された。すごいよALTERA。
125774ワット発電中さん:04/06/28 23:07 ID:CO6Is/q2
発売は来年
126774ワット発電中さん:04/06/28 23:10 ID:CO6Is/q2
Cyclone II デバイスの出荷時期はいつ頃ですか?

Cyclone II デバイス・ファミリの最初の製品となるEP2C35のエンジニアリング・サンプルは、2005年2月から出荷が開始される予定です。その他のデバイス・ファミリ製品は、その後6ヶ月以内に出荷される予定です。
127774ワット発電中さん&rlo;(どけイイもでうど) Oo .(`・ω・´)&lro;:04/06/28 23:30 ID:3J06j5n6
最高!と思ったがCycloneU 2005 Q1か...orz
128774ワット発電中さん:04/06/29 00:07 ID:hD6ofvDY
久しぶりにFPGAを使わない少々アナログちっくな基板を設計してたら…
論理反転に04使ったり、アドレスデコードしたり、拡張IOしたり…orz

FPGA使いたいよー
129774ワット発電中さん:04/07/03 15:06 ID:2CGUHm/2
これから始めようとしている初心者です(低レベルな質問で御免なさい)
すでに言い尽くされて居ると思うのですが (なんか、宗教論議になりそうな予感がするのですが...
デバイスとしてAとXどちらの方が取っ付きやすいですか?(価格とか、環境)
CPLDとFPGAとの住み分け(回路規模とか、電源ON直後の動作とか)
言語(VHDLとVerilog)も

まあ用途としては、
メチャ貧弱なマイコンもどきとか、デコード/エンコードに成るのですが
130774ワット発電中さん:04/07/04 09:51 ID:892cThxJ
秋葉とかで自作なら、イロンナ面で、Xの方が楽だぞ。

とりあえず、慣れてから回路規模とか考えれば?
131774ワット発電中さん:04/07/06 00:13 ID:8qXL8aFv
でも仕事面でやるならA社だと思う。だってAの方がシェアが高い。特に大手
会社はどこもA社だよ。
132774ワット発電中さん:04/07/06 03:15 ID:OR+kKIsq
そりゃそうだ、あぷりがいいし、try&errが楽。俺も本業じゃA
133774ワット発電中さん:04/07/06 08:43 ID:rIownoxy
材って相変わらず開発環境がださださなの?
寺しか知らんがあれと同等の環境って難しそう。
134774ワット発電中さん:04/07/06 09:51 ID:VuaPJ3B/
>>131
>>132
>>133
開発環境は、どっちもどっちですよ。

今はアルテラ調子がいいみたいだけど、基本的に高速シリアルは
ビックユーザーしか対応しないようですね。PCI Expressでは
ザイリンクス一人勝ちになるのかな。

そこにある基板で、V2PRO 2.5G × 4ch 動いてる記念カキコ。
135774ワット発電中さん:04/07/06 10:19 ID:rIownoxy
>>134
実は、PCI-Eの基板をやってて材導入が必須になってしまった。
ある意味嫌々導入が決定的なのだが使えるようになってるならまー安心か。

とか言いつつ、客からシンプリファイを借りるから統合環境の意味が薄れてしまう気もする。
136774ワット発電中さん:04/07/06 13:03 ID:w4Rxvj69
>>129
>デバイスとしてAとXどちらの方が取っ付きやすいですか?(価格とか、環境)

価格は個人で購入するならXが安いし入手も容易、環境はどちらも煮たようなものだな。煮込めば(慣れれば)同じ。

>CPLDとFPGAとの住み分け(回路規模とか、電源ON直後の動作とか)

普通は回路規模でしょう。
電源ON直後の動作はCPUを待たせておけば特に問題はないです。

>言語(VHDLとVerilog)も

どちらをやってもかまわないのでこの当たりはなんともいえない。
私はVHDL派。

>まあ用途としては、
>メチャ貧弱なマイコンもどきとか、デコード/エンコードに成るのですが

これくらいだったらCPLD。Xの方が入手はしやすいのでXがお勧め。
137774ワット発電中さん:04/07/06 18:12 ID:VuaPJ3B/
>>129
自作で規模が小さいのなら、単一電源とか、5Vトレラントとかもチェックしる!
最初の一歩なら、MAX7Kとかcoolrunnerがいいと思う。
138 ◆YMO/ALTERA :04/07/09 21:23 ID:TT0x35+z
QuartusII 4.1 WebEditionダウソロード記念age

マイナーバージョンアップにしてはけっこう改良されてるよーな希ガス
またもや合成効率が上昇
139774ワット発電中さん:04/07/10 09:34 ID:3njjOKsx
Spartan-3のスターターkit$99だって買おうかな
140774ワット発電中さん:04/07/11 01:39 ID:VEP05wsR
日本で買えるの?>Spartan−3 スタータキット US$99

メメックに聞いてみようかしらん。
くれっつたら、くれるかなぁ…
営業マン 最近Aに負け続けなので、くれるかも(w
141名無しさん@そうだ選挙に行こう:04/07/11 09:03 ID:+YqGuXqn
ちゃんと日本語版を用意しているところが気に入った。
漏れも商社に個人的に買えないか聞いてみよう。
142名無しさん@そうだ選挙に行こう:04/07/11 11:38 ID:qfzBHMmm
個人で普通にOnlineで頼んじゃったけど送ったよってメール来た。
送料が30$近く掛かるのけど安いからいいかな。
143名無しさん@そうだ選挙に行こう:04/07/11 14:04 ID:1GPxHJh9
http://jp.y42.edit.photos.yahoo.co.jp/bc/sp3skit/lst?.dir=/Spartan3StarterKit
届くまで8日掛かった。
運送屋のおやじさんがいきなり代引き1万とか言い出して殺伐とした雰囲気に。
結局、関税+消費税の1000円を見間違えていた模様。。。
144名無しさん@そうだ選挙に行こう:04/07/11 20:07 ID:TtJ9eRWP
>>運送屋のおやじさんがいきなり代引き1万とか言い出して殺伐とした雰囲気に。

本体の支払いはクレカ引き落としで、1000円が自己負担の関税とかって訳でつか?
145774ワット発電中さん:04/07/11 20:56 ID:1GPxHJh9
>>144
だよ、関税は別腹でした。
146774ワット発電中さん:04/07/12 00:22 ID:ks7FNUS7
なんか学校関連ではALTERAのが多いようなきがして。
気がしてるだけかな
147774ワット発電中さん:04/07/12 23:44 ID:kodjaPaK
いろいろと画策してどっちも使っておけ。
勉強になるぞ。
148774ワット発電中さん:04/07/15 15:16 ID:Bczk9Eb1
うちの会社も前はXが強かったが、最近は全てA社のデバイスが採用されてるな。
>>134 なんでPCI ExpressだとXになるの?教えて??
私がPCI ExpressのIPを探した時はどのIPベンダの開発基板も
Stratix-GXだったよ。
149774ワット発電中さん:04/07/15 22:33 ID:OD9/zytq
>>148
ごく一部のユーザー以外に出回ってないからと思われ
その元凶は寺はIOが弱いからという気がしないでもない。

以前GXの見積もり要求したら流通してないって言われた。
尤もPMCを売りたかったからかも痴れんが
150774ワット発電中さん:04/07/16 01:06 ID:WsBG8l1R
>>148
今のところ入手可能で正式に対応してると謳ってるデバイスはXだけだからじゃないの?

どうせすぐに追いつかれていつものパターンになると思うが。
151774ワット発電中さん:04/07/28 01:38 ID:1ngf1ViS

VHDLでスマソ
Q2て、(MAX+2もそうだったけど)なんか、シフトレジスタやろうとすると
プロセス文1個にFF1個な感じでやんないと上手くいかないです

A2<=A1;
A3<=A2;
A4<=A3;

とかやっても、一気に代入されてしまって、ロジックせる1個になってしまうです。
そういうもんなんでしょうか?





152774ワット発電中さん:04/07/28 03:14 ID:qNiG+by/
代入方向が悪いから、A1がA4に入って終わりだろ?
VHDLの仕様をもう一回確認してみ。
153774ワット発電中さん:04/07/28 08:18 ID:bHILz/CP
>>152
すみません。横から質問ですが、「代入方向が悪いから」というのは
次のようになっていればOKってことでしょうか。
A4<=A3;
A3<=A2;
A2<=A1;

俺、Xしか使ってないんだけど>>151みたいな書き方は普通にやっているよう
な気がする。無意識に避けていたりするかもしれないけれど。
154774ワット発電中さん:04/07/28 09:21 ID:9Dq3bEJT
バスからバスへの代入なら問題ない。
信号代入と変数代入の違いもあるな。

しかしアーキテクチャ内で signal宣言されていて更にプロセス文内で
代入しているなら、

A2<=A1;
A3<=A2;
A4<=A3;

これで問題ないはずだが。
155774ワット発電中さん:04/07/28 11:25 ID:Qf9hki8o
>>151
プロセス文の中身ちゃんと書いてみな。
ちゃんとクロックでシフトするようになっているか?
それしか書いていないんだったら駄目じゃん。

Q2だったらmega wizardでシフトレジスタを作るという手もあるぞ。
156774ワット発電中さん:04/07/28 11:30 ID:9Dq3bEJT
そう言えば、modelSimではエラーになる記述を許していたりするな。>Q2
157774ワット発電中さん:04/07/28 12:13 ID:zCxADEOP
センシティビティリストが間違ってるとか、最適化で
A3以降がごっそり削除されてしまってF/F一個でいいじゃん!と
解釈されてしまったとかいうパターンがありがちな気がする。
158774ワット発電中さん:04/07/28 13:58 ID:iaghtQIg
>>154

VHDLにもブロッキング・ノンブロッキングってあんの?
159774ワット発電中さん:04/07/28 19:05 ID:fHGpnDuE
<日経エレ記事より>
世界でも日本でも競合するXlinxとAlteraだが,日本市場における両社の
売上高をプレス・リリースで公表されている数字で比べて見よう。Xilinxの
世界売上高に占める日本のシェアは14%で,4億2400万米ドル×0.14=
約5940万米ドル。日本市場の4月〜6月期売上高の対前年同期比伸び率は19%
増になっている。一方,Alteraの世界売上高に占める日本のシェアは26%で,
2億6900万米ドル×0.26=約6990万米ドルとなる。日本市場の4月〜6月期売上
高の対前年同期比伸び率は41%増になっている。

以前日本でも一時期はXilinxの方がシェアが高かったような気がしますが、
やっぱりここ最近の勢いどおりALTERAが優勢なんですね。
なんでUSはXILINXが圧倒的に強いんですか?代理店がNGなんでしょうか?
160774ワット発電中さん:04/07/28 20:57 ID:LRClapFB
今はどうか知らないけど、数年前に、ALTERAは代理店のパル○o○が結構頑張ってて、日本語の技術資料やマニュアルを充実させ、無料セミナー開いたりして普及に貢献したとか聞いたことある。
161774ワット発電中さん:04/07/28 22:12 ID:tDH5cwNT
>>1【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice

Actelが抜けてるよ〜
http://www.actel.com/intl/japan/
162774ワット発電中さん:04/07/30 11:51 ID:tOliVw8l
>151
シフトレジスタ

process(CLK)begin
if ( CLK'event and CLK = '1' ) then
A4 & A3 & A2 <= A3 & A2 & A1 ;
end if ;
end process ;

んでいいんでないの?
163774ワット発電中さん:04/07/30 12:00 ID:/CyfXgyR
>>162
同じ要領で、番号をずらしたベクターを使うことも可能だね
164774ワット発電中さん:04/07/30 17:00 ID:1eXqHmJB
LatticeのispGALってあったよねーどうなったんかなー
165774ワット発電中さん:04/07/30 17:02 ID:/CyfXgyR
代理店曰く、値段の関係で余りお勧めしませんとのことです。
166774ワット発電中さん:04/07/30 17:05 ID:1eXqHmJB
ALTERAは未だにVerilog-HDLかVHDLかどちらかのライセンスしか貰えないんすか?
167774ワット発電中さん:04/07/30 21:41 ID:NapPN7m3
>>166
Q2はどちらも使えるよ。
もちろん海路図もAHDLも使える。
168774ワット発電中さん:04/07/30 21:45 ID:NapPN7m3
>>167
Q2のWeb版でもということで補足しておきます。
169774ワット発電中さん:04/07/31 01:48 ID:ivkao9gn
ザイリンクスの代理店に開発ツールの見積もり頼んでから3ヶ月、音沙汰無し。
やっぱアルテラにしよう・・。
170774ワット発電中さん:04/07/31 09:43 ID:ma1OtB1Y
>>169
なにかんちがいしているんだろう。
普通止めるのは代理店だろう。

代理店の馬鹿な営業が忘れただけだと思うけど、途中問い合わせした?
電話で見積もりだと証拠が残らないから見積もりや注文はFAXが基本だね。

以前マイナーなツールを頼んだおかげで確認に時間が掛かったが2週間くらいで見積もりは来たぞ。
WEB価格で$99だったので15kくらいかなと思っていたら20Kとふざけた値段だったので止めた事はあるけれど

Spartan3のスタータキットが$99で発売されて、国内価格も15Kだったので少しはまじめになったみたいだぞ。

たしかザイリンクスの代理店は絞込み作業中だから、頼んだ代理店が契約解除されたんじゃない?
(一応別経由で入ってくるけど価格はアップするよ)

171774ワット発電中さん:04/08/01 02:25 ID:oefEZ6Ti
サポートするのは代理店だから、ほったらかしにされるようなら止めた方がいい
スペック的にXILINXじゃなきゃダメというなら別だが
172774ワット発電中さん:04/08/02 13:38 ID:nX6TS4co
とりあえずアルテラにしておいてVHDLが身になってきたら両刀使いでいくのがよろしいかと。
173774ワット発電中さん:04/08/02 21:07 ID:S8pCAL3J
>>169
電話したらやたらと丁寧に対応されて即日見積もりが来たぞ。
その代理店相当尊大だな。

ちなみに値段の方は安くならず、15Kって所だった。
174774ワット発電中さん:04/08/03 00:07 ID:o65r0vx2
>>172,173
依頼元にアルテラでやらしてくれと頼んだらなんとかOKでたので、アルテラで進めることにしました。
175774ワット発電中さん:04/08/04 15:59 ID:7Ex4cha8
Quartus2とISE 両刀使ってます。
現在のPCが非力なので夏休みに中古で一台PCを組もうかとおもってるんだけど、
QuartusとかISEってDUAL CPUにすると速くなるかな?
速くなるなら、DUAL SocketAのマザーをヤフオクで落として Athlon XP2500 Dualで
ならないなら、 Athlon 64 3400+ぐらいに行こうかと思ってます。
176 ◆YMO/ALTERA :04/08/04 16:17 ID:VxMWwPto
>>175
俺が試した限りでは、少なくともFree版では
SMPの恩恵はほぼ受けられない。逆に言えばCPUの片肺は
遊んでるので、待ち時間に別の重い処理を起動するのもオツ。

プロ仕様はSMPの恩恵受けられるのかな、教えてエロい人。

Athlon64はマジオススメ。ISEである規模以上の論理を喰わせる際には
メモリをバカスカ喰うので、メモリを重点的に強化するとよろしかと。
ウチのはシングルチャネルのSocket754なのだけど、デュアルチャネルの
Socket939にすると効果あるのかな、誰か試してpls

おまけ。Windows XP 64Bit BetaにQuartusIIをインスコしたら、
アプリはWin32のハズなのに、コンパイルが10%速くなったぞ!
LPTポートが使えるかどーかは試してないが。
177175:04/08/04 16:27 ID:7Ex4cha8
>>176
アリガトン。
貧乏会社なので、どっちもFree版なんです
DUALでウマーにはならないみたいですね。
Athlon64買いにいこ。
178774ワット発電中さん:04/08/04 18:38 ID:3jsWdSYS
QuartusUの2.xでデュアルプロセッサで使った事がある。
同じクロックのPCで使った事は無いけれど劇的に早くなったような気がしない。
>>176
でも書いているけど、同時に他の事をやってもさくさく動くのは感じた。

CPU2個にするつもりだったらその分メモリを積むのが良いと思う。
179774ワット発電中さん:04/08/04 20:05 ID:vkmSW7Ai
一時間以上もかかるような、基本クロック100MHz程度で100mSec以上のシュミレーションを実行する事が多い場合、DUALにするが良いか、それとも専用パソにして2台でやるが良いか、メモリ増設が良いか、どれが良いですか?
180774ワット発電中さん:04/08/04 20:26 ID:A8GhdPha
タスクマネージャで見て、メモリが足りないようなら増設すればいいし、
走ってるプロセスが2個以上いそうならDualにすればいいんじゃない?
隣でシミュレーションさせておいて、脇で別のことをしたいなら2台ね。
181774ワット発電中さん:04/08/04 20:31 ID:3jsWdSYS
もう少し具体的にしてくり。
コストパフォーマンスからすると2台が一番だな。
DUALにしてもシミュレーション速度は倍にはならない。
単純に2台にすれば片方でシミュレーション中にもう一台で検証が出来る。

メモリ増設はコストアップが一番少ないが500MB−>1GBにしても速度は2倍にはならん。

シミュレーションうんぬんというからQuartusUのフリー版かな?
QuartusUのライセンス版だとロジックロック機能とかあるから部分シミュレーションでやる方法もある。
182ドアホン:04/08/04 21:11 ID:ggVQYiUY
単なるスイッチだと思います。裏がスイッチやコンセントと同じですので。そのボタンの線をはずしてテスターではかると100Vかかってますね。ちなみにドアホンはまだ買ってません。恥ずかしい話100Vを取り除くのはどうしたらいいのですか?
183774ワット発電中さん:04/08/04 23:05 ID:/fm+ytTs
>>175
DualCPUにしても、速くならない by アルティマ担当者
だった。

Quartus2のV2.Xの頃に聞いたと思うが、今も変わってないとも
聞いた気がする。

同じ基板上に複数のFPGAを積んで、同時にデバッグする時に、
1ライセンスで複数のデザインをコンパイルしても、速度低下が
小さくて済むかな?

フリー版で済むならば、適当なPCを買った方が、良いのだろうけど。
184774ワット発電中さん:04/08/05 01:19 ID:tHgEiWQD
dualで使ってるけどやっぱり速くならない。
でもコンパイル中に別の作業をしたり、
2プロジェクト並行してコンパイルしても重くならないので
そういうのが便利。
185774ワット発電中さん:04/08/05 01:20 ID:A33jRXJk
>>184
早くなってるじゃん。
別件が。(w
186774ワット発電中さん:04/08/05 03:26 ID:xSk/cs5N
7セグLEDで「0」から「9」までを順次点灯させる方法って?
187774ワット発電中さん:04/08/05 10:04 ID:pkccXd2k
7セグLEDで「0」から「9」までを順次点灯させるようなコードを書いて
コンパイルして、デバイスに書き込めばいい。
188socket774@techno:04/08/05 12:43 ID:C0XosdLc
オプテロン248デュアルでQuartus2使ってるけど
タスクマネージャー見ても片方のCPU遊んでる。

昔のQuartus(2000.09とか)はデュアルプロセッサに
対応してた時期があったけど
今はそうでなくなってしまったのか・・・
Athlon64−FX-53で組めばよかった。
189774ワット発電中さん:04/08/05 15:32 ID:/XW0jDfY
デュアルにする
  ↓
UD入れる
  ↓
これで片方は常時100%
  ↓
もう片方が使用率100%になっても重くならない
  ↓
(゚д゚)ウマー
190774ワット発電中さん:04/08/10 03:20 ID:rgo7I/bY
サイクロオ2のESが出る頃にスパルタノ3の量産が出て来るお母さん
191774ワット発電中さん:04/08/10 19:09 ID:8vhhzyGe
xilinx Project NavigatorのHDLエディタって、何で
カーソルが改行位置で折り返してくれないんだろ。
普通のエディタと動作が違うから微妙にイライラする・・・

何か設定で変えられるのかなぁ?
192 ◆YMO/ALTERA :04/08/10 19:41 ID:Frp8da3+
外部エディタで編集、でいいんでネーノ?
IDE内蔵エディタは、ちょっとしたtypoを修正する程度に考えとく、と。
193774ワット発電中さん:04/08/11 01:37 ID:MljDRaQU
Q2を使い始めてまだ2ヶ月に満たないですが、これって遅延シミュレーションのみ?なのか?なんで論理シミュレーションできないの?
と思ってたけど、、、(すいません、まだ使いこなしてないだけかも。しかし、MAX+2は論理シミュレーションが出来たようだったけど)

というか、論理シミュレーションて、論理的に動作が正しいか検証するのみで、実際に動作させたらボロボロとかなんじゃない?という気がしてきた

実際、動作速度を上げるチューニングって、遅延シミュレーションの結果を何度もフィードバックする作業が殆どなんではないかと思います。

なんていいながら、遅めの安い低〜中集積度デバイス(ACEXとかの安いデバイスの最も遅いグレード)をターゲットにして色々やっているんですが、最初は最高動作周波数が30MHz以下だったものが、色々いじってるうちに50MHzを越えたときには感激してしまったです。
殆ど自己流でやってるので、これだけでもなんか物凄く感激したです〜(W

もっとも、動作速度改善のその殆どが、勝手に作って使ってたラッチパルスをデータ共々にシステムクロックでたたき直しするだけだったですか、、、
やっぱ、まだ駆け出し者ですね(W


194774ワット発電中さん:04/08/11 01:48 ID:lTiF/h/p
>>193
Quartus2は、フィッターとしてしか使ってないが、Maxplusでは論理シミュレーションの設定が
あって、使った覚えがある。
だから、Q2にも無いはずが無い。
と思うけど…
195774ワット発電中さん:04/08/12 01:35 ID:5JV612UP
初歩的な質問でスマソ
Q2のようなロジックロック機能を使った動作速度の改善て、レオナルドとかで配置配線しても出来るもんなの?
というか、配置配線時における動作速度の改善はレオナルドではなくてQ2でやるものなんですか?
196774ワット発電中さん:04/08/12 02:27 ID:UZ4z67K+
>>193
Q2にもありますよう。ファンクションシミュレーション。
確かシミュレーションのセッティングで選択できたような。

でもmax+2より使いにくくない??
197774ワット発電中さん:04/08/12 04:04 ID:YbRfV5Kk
>>192
やっぱりそれが一番ですかね。
色分けをちゃんとやってくれて見やすいので使ってたんですが。
198774ワット発電中さん:04/08/12 04:08 ID:YbRfV5Kk
Quartus2のView RTL Schematicで出て来る回路図って
物凄くいい加減な気がするんだけど、これはこういう物なのかな。
つながってる筈の物がつながってなかったりするし。

普通はこんなの使わないから適当なのかな・・・
199774ワット発電中さん:04/08/12 07:12 ID:jdrCANz0
AlteraのNiosのデバッグ環境はどうですか?
JTAGデバッガーも出てるようですが、使い勝手はどんなものでしょうか?
200774ワット発電中さん:04/08/12 14:00 ID:fT4cz67a
>>198

漏れのQちゃんは見れないぞ!
201774ワット発電中さん:04/08/12 14:33 ID:UP+AaFfN
>>200

Web版だろ。

202198:04/08/12 15:44 ID:YbRfV5Kk
>>200
ごめん、書き間違えた。
いい加減なのはxilinxのISE webpack6.2iの出力でした。
203774ワット発電中さん:04/08/12 23:11 ID:qzfuvvgg
ラティスのEC/ECPってもう出回ってるの?
204774ワット発電中さん:04/08/14 22:21 ID:77/Am6Bp
Q2 ver4.1は結構いいよ!
205774ワット発電中さん:04/08/15 01:03 ID:6JTjMbQ4
>>204
どんなところがよくなった?
206774ワット発電中さん:04/08/15 06:15 ID:iXzvP2Q1
論理合成は毎回かなり賢くなってるね。
207774ワット発電中さん:04/08/15 17:25 ID:mpCM+0jJ
Spa3スタータキットをゲト!

10nアクセスのSRAMが載ってるので早速スピードを試してみますた。

なんと100MHzで連続Write可能、でもReadは1クロックWaitが必要。
アドレス変更がSRAMに伝わってからデータ出力に反映されるまでに
10nsec以上かかってしまっている。SRAM単体では5nsで反応できる
はずなのに残念。一応IN,OUTポートはFASTにしているんだけどね。

やっぱり、ハード回路で生の100MHz振りまわすのは大変みたいだ。
Writeの方ではアドレスもデータも同じ様に遅延しているので100MHz
に追従できている。ポートがSLOW(デフォルト)でも、100MHz可能だ。
まるでパイプライン処理みたいで感激してしまった。
208774ワット発電中さん:04/08/15 17:33 ID:iXzvP2Q1
>>207
アドレス変化のみでストローブ信号類を下げっぱなしってのは余り
感心な使い方とは思わないけどね。
アドレスの変化時間にばらつきがあるはずだし。
209774ワット発電中さん:04/08/15 19:55 ID:JLImvIDy
spa3スタータキット、注文しようと思ったら日本語版だけout of stockかよ・・・
また生産されるかなぁ。
210774ワット発電中さん:04/08/16 12:02 ID:5UW9QHdh
Spa3 スターターキット 本日届きました。
今使ってるノートPCにはパラレルポートが無いんだけど、
外付けの増設パラレルでは使用できないですかね?
211774ワット発電中さん:04/08/16 12:32 ID:pZa5/t/e
>>210
出来るものとそうでない物があるが安物は基本的に駄目。
212774ワット発電中さん:04/08/16 12:46 ID:JrdWmQfF
>>209
日本語版っていっても、結局「Introduntion of Programing logic」
とかいうXILINXの拡販ツールみたいなのが日本語っていうだけで
他は全部英語だから、特に日本語版にこだわる必要ないと思う。

>>211
Win98なもんでImpactが使えないから、なひたふのMitouJTAGで
コンフィグROMにプログラム転送して使用しています。パラレル
以外でも、USBやTCP/IP経由他、色々つなげますよ。
213774ワット発電中さん:04/08/16 12:49 ID:pZa5/t/e
>>212
コンフィグ程度なら問題ないと思う。
安物のアダプターはドングルを認識しない等の不具合が有名かな。
214210:04/08/16 20:21 ID:5UW9QHdh
ネットで調べてみましたが
↓この辺が使えそうですね。

http://www.grid.co.jp/prod/pc-pa.htm
http://www.ibsjapan.com/SPP-100.htm

確かに、ちょっと高いので、
書き込みは(パラレル付きの)別パソコンでやることにします。
215774ワット発電中さん:04/08/16 22:01 ID:Lt10NEN4
初めてFPGAに触れてみようと思いスパ3のスターターキット99ドルをXilinxのサイトからかいました。
何かできたら報告します。
216774ワット発電中さん:04/08/16 22:33 ID:8rHLtCjS
Xilinxのダウンロードケーブル作ったがちゃんと動かない。
HC125じゃやっぱだめなんだろうか。
ttp://members.jcom.home.ne.jp/tomyu/NP1003B/page1.htm
217774ワット発電中さん:04/08/16 23:03 ID:pZa5/t/e
波形を見ないと何とも言えんがダンピング抵抗の値とか動作電圧によるディレーが
原因じゃないの?

但し、このHPの人あんまりデバイスのことを解ってない感じだね。
218774ワット発電中さん:04/08/16 23:22 ID:sj7acIcE
>>209
どうやら日本語版は全部日本に送られたみたいです。

メメックジャパンで販売されています。11500円(為替レートそのまま)
国内配送なのでUSから買うより安いです。
219774ワット発電中さん:04/08/17 00:03 ID:ZJE73YEi
同じ目メックからかったがもっと高かった・・・
なんか納得いかん、クレームを付けよう。
220774ワット発電中さん:04/08/17 00:15 ID:TIT20pGB
>216
ttp://www.xilinx.com/support/programr/files/0380507.pdf
この通り作って絶好調だけど?
221774ワット発電中さん:04/08/17 00:27 ID:ZJE73YEi
GND等の配線が悪くて波形がおかしくなってる可能性もある。
工作のテクも効いてるんじゃないのかな?
あとケーブルをやたらと長くして反射が問題になってるとか。
222774ワット発電中さん:04/08/17 00:42 ID:vj+UqT6X
>>216
漏れは74HC367で作って、CPLDには書けたが、FPGAには書けなかった。
その後、TCKだけ74HC14を2段入れたら書けるようになった。
223216:04/08/17 01:13 ID:yrt7Ir7N
いろいろ教えていただきありがとうございます。
ためしに明日74HC14挟んでみます。夜遅くすいませんでした。
224774ワット発電中さん:04/08/17 07:02 ID:Pw/Ylzip
自作自演乙
225209:04/08/17 07:31 ID:TWPx2vY0
>>212
>>218
情報ありがとう。
とりあえずメメックジャパンに問い合わせてみます。
226774ワット発電中さん:04/08/17 12:31 ID:wmxlujm3
>>218
XILINXからさいきん買って送料税金合わせて1万5千円ほど・・・orz
227774ワット発電中さん:04/08/17 15:58 ID:KGxgajK7
>>216
217のひとも書いているけれど、このページを書いている人はICのことをあまりわかってないね。
ドライブ能力が高い=スルーレートが大きいとか、HCはつかってだめとか。

その他痛いのはJTAGのTCKを150Kでプルアップ・・・意味あるのか?と問いたい。

成功したのは大きいけれど、あんまりアテにしないほうがいいよ。

>【教訓】
>XilinxのJTAGプローブを作るときには、
>74HCシリーズは使ってはいけない!
アイタタタ

でも、ページ立ち上げている努力はすばらしいな。
CQ誌や技評の記事として投稿してあったら、袋叩きのないようだけれどね。

>>223
TCKとTDIのtHOLDタイム確保で3nSec程度持ってみたらうまくいけるとおもうよ。
228774ワット発電中さん:04/08/18 08:12 ID:sr4rcvpM
>>216
俺も>>220同様、まったく普通に動いているよ。
むしろなんでHC125じゃダメなのか、理由を知りたい。
229774ワット発電中さん:04/08/18 12:27 ID:GEzXjnRm
使ってるPCのプリンタポートの信号に問題があるような気がする。
230774ワット発電中さん:04/08/18 14:02 ID:++jnk/Ef
でも、>>216のページの人は3台位のマシンで試してるよね。
231774ワット発電中さん:04/08/18 17:02 ID:RzQ9X8E+
>>230
チップセットが同じなら考えられる。
うちの会社でVAI●の4種類ぐらいが全滅な時期もあった(2年前)。
いまは問題ないらしいが、FPGA自作系掲示板でVAI●ではダメだった
というのを見た覚えがある。
232774ワット発電中さん:04/08/19 14:28 ID:1JOHI1bu
xilinx純正品のparallel cable IIIでも同じ事が起こるのかな?
純正品ではあまりそういう話は聞かない気がするんだが・・・
233774ワット発電中さん:04/08/19 22:27 ID:XEL3rhAs
>>232
分解してみ。
多分驚くだろう。
234774ワット発電中さん:04/08/21 00:07 ID:xHWQz+MT
>>232
どっかのWEBであるようなHC125程度が入っているなんて思わんほうがいいよ。

Parallel-Cable-4なんてもっとすごいことになってるぜ。
235774ワット発電中さん:04/08/21 00:13 ID:JseBiorH
アクテルのFPGAってどうなの?
結構面白そうなんだけど、使ってる人いますか?
236774ワット発電中さん:04/08/21 00:19 ID:b2L1E5q0
検討はしたことがあるんだけど、アルテラ、ザイリンクスに比べてなんのメリットも無いんで
導入されずに終わりました。
237774ワット発電中さん:04/08/21 01:08 ID:wlUjEx+z
そこそこに作ってるなら、むしろ、ケーブルが問題なんじゃ?
バラバラケーブルじゃダメポ
クロックやデータはGNDとツイストするか、フラットケーブル使ってGNDと隣り合うようにするとか?
238774ワット発電中さん:04/08/21 01:39 ID:wlUjEx+z
アクテルのFPGAて、ノイズが少ないと聞いた事あるけど、、、

コア電源の電圧が1.8Vぐらいまで電源電圧が下がってきた今の現状だと、その話もどれだけ信憑性があるかわからん?
MAX2は供給電圧3.3Vらしいけど、内部は1.8Vらしいから、ノイズでも逝けてるのかな?
239774ワット発電中さん:04/08/21 02:10 ID:jpo/WfGZ
>>235
以前、ンニーが使っているとかの噂聞いた事あるけど、、、、
アクテルは、放射線対策品があるから、どちらかといえば、軍事・防衛、宇宙開発、原子力発電所等の用途向けだと思われ。
SRAMベースのFPGAやCPLDは放射線には弱いらしいからね。
240774ワット発電中さん:04/08/21 02:10 ID:b2L1E5q0
ソニーは今、材で製品を大量に流してなかったか?
241235:04/08/21 16:10 ID:JseBiorH
アクテルは周辺回路があまりいらないとか。
242774ワット発電中さん:04/08/22 00:35 ID:uq127J35
自作者がalteraのEPM3032A、EPM3064A(QFP100)が欲しい場合、どこで買えばい
いでしょうか? とりあえずアメリカ通販 http://www.arrow.com 発見だが、
デバイス安くても送料が高くて困る。もっといいルート知らないでしょうか。
日本Alteraのホームページに示されている代理店は個人相手にする?

>>235
Actelは無料ソフトないからダメ、と思って確認したら存在するみたい。
どの程度使えるものか不明だが興味あり。
243774ワット発電中さん:04/08/22 09:01 ID:T2Jwqkcf
>>242
Nios Kit だけどアルティマは個人対応してくれたよ。
っていっても、直接は無理だから、2次か3次の代理店の人連れてきてくれた。
銀行振り込みで問題なかった。

たまたま、担当の人が頑張ってくれただけかもしれないけど・・
とりあえず、連絡入れてみるぐらいはいいんじゃないのかな。
244774ワット発電中さん:04/08/22 11:13 ID:FyBllV8l
>>242
RSコンポーネンツで、
Altera EPM3032ALC44-10 単価520円

RSコンポーネンツは、個人相手にしてくれるか微妙なので、
住所を勤め先の会社にするか、
自宅の住所の前に「ほにゃらか電子商会」とか、つけておけばOK.
送料1000円なので、まとめ買いすべし。

245774ワット発電中さん:04/08/23 00:42 ID:AOdyCdXB
個人では、パルテックやアルティマのような代理店との直接取引きは難しいだろうけど、中間業者を入れれば殆どの製品が購入可能かも?
ハローページで近くのそういう業者を当たってみるのも良いかも?

246774ワット発電中さん:04/08/23 00:44 ID:3vVmwJsc
>>244
随分安くなったなと少々感動してる。
247774ワット発電中さん:04/08/23 01:23 ID:33ld3oZD
>>246
MAX2とかなら、LE1800クラスで3k程度で手に入るかも?
てか、ACEXのLE1800クラスが3k程度だったからそれよりも安い?
しかしQFPのはんだ付けは、リフロー設備がないとかなり難しい
あるいは数万〜10万円以上する実体双眼顕微鏡が必須
BGAだと、リフローができなければ無理
248774ワット発電中さん:04/08/23 01:27 ID:eoIt0oky
>>247
プロと自作の違いでしょうか。自作なら\1200程度の拡大レンズ+
サンハヤト変換基板で安定して動かせてます。
249774ワット発電中さん:04/08/23 01:33 ID:3vVmwJsc
試作時は肉眼で手半田でしょ。
0.5mm?全然余裕。
250774ワット発電中さん:04/08/23 02:23 ID:33ld3oZD
そうそう、ディスクリートでLE500相当を越えるようなロジックをユニバーサル基板で組んで動作させるのは、1MHz程度のクロックでもかなり難しそうだけど、サンハヤト変換基板使ってCPLDやFPGA使ってやれば、LE1000相当で10MHz程度なら全然余裕
と、はんだ付けの問題とはズレてしまいましたですが
251774ワット発電中さん:04/08/23 02:42 ID:3vVmwJsc
釣りだと言って欲しい。
252774ワット発電中さん:04/08/23 12:27 ID:JrnuK1qM
240>>
ソニーの外注だけど財の使用はほとんどないだろうね。設計依頼の
多くがある寺もん。一部の部門では財の使用を控えているところ
もあるようだけど
253774ワット発電中さん:04/08/23 15:35 ID:wqMQ1OWL
こないだサンハヤトの両面感光基板でEPM3000AシリーズのQFP(0.5mmピッチ)をのっけて工作したよ。
全く問題なく動作。内層がないから電源の引き回しには苦労したけどね。次はブルガリアか?
EPM3128はchip1stopで1個\1700くらいだったかな。高いけど個人購入じゃしゃーないか。
254774ワット発電中さん:04/08/23 17:19 ID:5Sd8h5Wn
ise6.2を使っている初心者です。
論理合成のレポートを見ると、
「cell usage」の中の記述にFDCというのが出てきますが、
これは何をするためにあるものだか分かりますか?
またそのような用語の定義が集まっているページを知っている方が
いらっしゃいましたら教えて下さい。
255774ワット発電中さん:04/08/23 17:40 ID:Zwunk+ku
>>252
 某ポケットの開発ツールは材しか無かった。
 事業部によって違うんだろうな。

 で、材を大量に家電に乗っけたのは何処だ?その所為で納期逼迫らしいが。
256774ワット発電中さん:04/08/23 18:14 ID:bxTF6zSV
257774ワット発電中さん:04/08/23 19:45 ID:JrnuK1qM
>>255
逆だろう。それはある寺しか乗ってないよ。
最近は厚木も大崎もStratixとCycloneしかないぞ。
財はS○○Yでは採用禁止じゃないのかな。

財を大量に乗っけたのは目の付け所がいい会社液晶TV会社だったかと
思うが、今でも乗っているかはしらん。

258774ワット発電中さん:04/08/23 19:50 ID:Zwunk+ku
>>257
いや某ポケットの開発基板は材と言い切れる。
今手元にある図面と基板には乗ってるから。
259774ワット発電中さん:04/08/23 19:53 ID:Zwunk+ku
あと、厚木のビデオ関連の部隊は寺だな。
260774ワット発電中さん:04/08/23 20:14 ID:JrnuK1qM
>>某ポケットって年末に発売されるS○○Y版ゲームボーイだろう。
俺は絶対財じゃないと言い切れる。
だって俺の手元はALTERAいっぱいの基板だよ。財は一部のLSI開発基板で
使用個数は20個以下。
ゲーム開発のTOOLの量産はある寺だけだった。
261774ワット発電中さん:04/08/23 20:24 ID:Zwunk+ku
>>260
じゃあ、俺の手元にあるこの基板は一体なんだ?
262774ワット発電中さん:04/08/23 20:50 ID:z0JfhVfL
ポケットの中にはビスケトが二つ♪
263774ワット発電中さん:04/08/23 21:27 ID:JrnuK1qM
261>>はっきり言える。君の基板は昔の基板だ。
   それもかなり前の開発TOOLの基板だよ。
264774ワット発電中さん:04/08/23 21:31 ID:Zwunk+ku
>>263
そうなのか。
一個十万超えるFPGAが乗りまくってる基板を何種類も起こすとは
金あるな、ソニーは。

うちは、金もらえたからそれで良いのだが。
265774ワット発電中さん:04/08/23 23:53 ID:EXjrQtl+
>>260
事業部、厚木だろ?
品川来てみ。材ばっかだから。

それかおまえ,PALT●Kの社員か関係者か?
266774ワット発電中さん:04/08/23 23:55 ID:TP/UWIJg
品川、派遣大杉(w
267774ワット発電中さん:04/08/24 10:55 ID:CdGlMeja
俺は品川TECの派遣だけど確かに数年前は剤が多かったが最近は寺が多い気がするな〜。ま〜量産はどうなのかしらんが・・・
268774ワット発電中さん:04/08/24 21:04 ID:QF9K5ZS1
264>>ゲーム開発TOOLの基板ってうちみたいなゲームやさんが
買う開発ツールだろ。そんなツールに数十万円のデバイスをごろごろ
使われてたら買えないジャン。そして普及しないジャン。考えてみろよ。
また外注だと名乗る264さんよ。こんな情報漏らして大丈夫?委託会社
なんて何社もないと思うんだけど。。
269774ワット発電中さん:04/08/24 21:28 ID:WHlgTDYb
>>268
たったあれだけの情報で調べる位ソニーが暇とは思えない。
てか、すっかりクソスレになってるね。
270774ワット発電中さん:04/08/24 23:08 ID:j9xr0NtB
リストラ社員乙
271774ワット発電中さん:04/08/24 23:14 ID:+TSlywwG
>>268 <俺の気のせいかも知れないがこいつの一連のレスってもの凄く
頭悪そうに見える。
272774ワット発電中さん:04/08/24 23:31 ID:lQX0ea9A
>>271
そういうお前も同じようなもんだよ(プ
273774ワット発電中さん:04/08/24 23:44 ID:H/j5wAO+
荒らすなよ
274774ワット発電中さん:04/08/25 02:03 ID:nmNQ8HVp
>>268
> そんなツールに数十万円のデバイスをごろごろ

試作基板だし.....
ホンチャンでは石起こすし......
275774ワット発電中さん:04/08/25 02:28 ID:5ukt3pf+
だからアホっぽいと指摘されてるのだと思うが。
276774ワット発電中さん:04/08/25 02:38 ID:VkgSY4yn
失敗してマスクからおこすより、ずっと安上がりなのだがな
277774ワット発電中さん:04/08/25 02:46 ID:Ju+cPOaN
夏だな。。。
278774ワット発電中さん:04/08/25 02:50 ID:5ukt3pf+
夏だよ。
279774ワット発電中さん:04/08/25 02:53 ID:0ZrDMOrZ
>>274
今からそんな巨大な石を起こして年末発売の商品に間に合わないだろ。
そしてゲーム開発用の装置なんて当然もうゲーム会社に配られていないと
年末発売の本体にゲームソフトが間に合わないだろうと予測するのだが・・
よって財のまま量産するか、それは別装置のLSI評価用途と考えるのが妥当。
また260の情報が正しければ既に寺で開発され、ゲーム会社に配布されていると考えるのが妥当ではないのか。

また、日本市場では寺の方が優位である記事と最近のS社のWEGAに寺が搭載
されているといった日経エレの記事情報から考えると寺の方が今の段階では優位だと考えるのだが、これ以上話しても俺はS社に関係ないので個人的には
ニオスとマイクロブレイズを語りたいのだが、マイクロブレイズ使用した人はいるかな?使い勝手はどうよ。


280774ワット発電中さん:04/08/25 06:10 ID:5+jHJPtW
ゲームの開発が実機で行われてるとは限らない罠。
281774ワット発電中さん:04/08/25 06:48 ID:0ZrDMOrZ
280>>PS1、PS2の時も必ず本体の半年前に開発TOOLが配られていたな〜。また
PS3も既に開発TOOLの状況が久多良木社長からプレスリリースされてるけど
やっぱり本体より早いスケジュールだったよ。
本体の画像処理の能力を含めて分からんからソニーから販売される開発TOOLが
ないと作れないんだよ。
282774ワット発電中さん:04/08/25 07:06 ID:0ZrDMOrZ
ゲーム機器本体に乗るならまだしも開発TOOLで盛り上がるなよ。
盛り上がるならソニー板でやってくれ。
283774ワット発電中さん:04/08/25 08:18 ID:5+jHJPtW
>>281
ハードウエアが無いとゲームが作れないとでも?

>>282 = >>280 = >>281
自分も話に加わっておいて何言ってんの?
284774ワット発電中さん:04/08/25 08:19 ID:5+jHJPtW
間違い。
>>282 = >>279 = >>281
285774ワット発電中さん:04/08/25 22:55 ID:uSHWB0dB
最近HLD関連の仕事についたんですが。

最近事務所の整理でディスコンの石を廃棄するってんでもらったのです。
Xの石なんですが、現行のISEだとデバイスが選択出来ない・・・

この大量の石は最早ゴミでしかないんでしょうか?
実験や練習で遊びたいなと思ったのですが・・・
286774ワット発電中さん:04/08/25 22:58 ID:5ukt3pf+
>>285
もし捨てるのなら漏れが欲しいよ。
てか、ヤフオク辺りで一円からスタートしておけば買う人は居るんじゃないかな?

ただ、色々と調べて勉強用に自分で使うのが一番だけどね。
287774ワット発電中さん:04/08/25 23:10 ID:lB4KLJu8
>>285
ザイリンクスのサイトから、古いweb packを落としてくるんじゃダメなのかな。
288774ワット発電中さん:04/08/25 23:29 ID:D6PXV48M
そだな。古いバージョンも落とせるようになっているからな。
289285:04/08/25 23:36 ID:uSHWB0dB
>>287
をを! ISE Classics というやつですね。早速DLしてみます。
ちなみに大量に貰ったのは
XC3030
XCS30
と書いてあります。

>>286
売るのも勿体無いので、勉強に使ってみます。
どれくらいのモノが作れるんだろう・・・

ジャンクノートの液晶を解析してドライブするようなものを作ってみようと思います。
できるかなぁ。
290774ワット発電中さん:04/08/25 23:39 ID:5ukt3pf+
>>289
そうやって勉強するのが一番よろしい。
291774ワット発電中さん:04/08/26 01:56 ID:/kI5Y2ey
財はパッケージに放射線を出す材料を使って
SONYタイマー見たいな出っ歯椅子だしてたよね?
あれはどうなった??

あとさ、酸っぱ3は内部の敗戦理想巣がめっちゃすくないやん。
Fittingで問題にならんのかね?

ってか、出っ歯椅子はやくだせよ!財!!ESすら出てこん・・・。
292774ワット発電中さん:04/08/26 05:48 ID:s6ZdRGeJ
>>291
製造はIBMとUMCで90nmだったか。
低価格を売りにするならメインは後者だろうな。
順調じゃないのかUMCの90nmは。


293774ワット発電中さん:04/08/26 05:56 ID:s6ZdRGeJ
製造の問題の他に考えられるとすればバグかな。
フィールド障害多発してるなど。
294774ワット発電中さん:04/08/28 16:45 ID:E7U/GFSV
>>279
SUZAKUのキャンペーンでEDK価格で基板ごと入手、microBlazeを試しました。

Niosの倍速だそうだが速いとは感じない。クロックを70MHzにアップしたら多少
は快適になった。EDKはWebPackで動かないと知ってはいたが、ISE評価版を
上書きしてもCoreGenがインストールされない。で、結局WebPackを全削除して
最初からISEをインストールし直して、ようやく動いた。たかがLinux走らせるだけ
なのに、EDKは色々と面倒過ぎて閉口したよ。他のソフトマクロでも大差ないと
は思うが・・

microBlazeは、スパ3S400の6割もスライス消費するので、とてもFPGAの隙間
にCPUを埋め込んだって気分じゃない。でも8051のIPコアに毛が生えた程度の
スライス数で、りっぱに32bitCPUなんだから凄いと言えば凄いな。 組み込み
マイコンとしては、ARMやSHとかAVRなんかの方が遥かに使いやすいと思う。

スライス数を極限まで小さくしたpicoBlazeは、C言語も使えず何かと不便だし
ハードプロセッサ組み込みのFPGAが一番バランスよさげな気がします。
295774ワット発電中さん:04/08/28 20:24 ID:JiptwHnU
まああの手の代物は内蔵I/Oを自由にカスタマイズできるCPUだと思った方がいいんではないかと。
おまけが必要ですが。

SUZAKUか…おねだりしたらもらえるかなあ…
MicroBlazeのコードは全然いじってないから無理か。
296774ワット発電中さん:04/08/31 15:58 ID:l/YOabxi
SP3 量産中のメールちょっとうざいな・・・
297774ワット発電中さん:04/08/31 19:29 ID:PjpVUoE5
量産しても手にはいんの12月じゃ意味無いね
メールは5通北
298193:04/09/01 01:05 ID:bR38K9sR
>>196
>Q2にもありますよう。ファンクションシミュレーション。
>確かシミュレーションのセッティングで選択できたような。
>でもmax+2より使いにくくない??

ありました。やっと使い方わかりました(^^;)
でも、いちいちファンクションシミュレーション用にネットリスト生成しなきゃならんので面倒ですね。
それに遅延シミュレーションより大幅に処理に要する時間が短縮(1/10くらい)されるのかと期待してたけど、1/2弱程度だったトホホ。
一般的に論理シミュレーションと遅延シミュレーションの処理時間の差って、この程度のものなの?

299774ワット発電中さん:04/09/01 09:36 ID:nUIh4m6D
>>298
お願いします。やり方教えてください。
1/2の時間でも3分が1分ちょっとだったら使える。
300774ワット発電中さん:04/09/01 10:51 ID:ee+V4Xbh
セッティング画面で選べ
301774ワット発電中さん:04/09/06 06:34 ID:wQQUdqpe
X社の不具合で書き込んだはずのROMのデーターが経年変化で消えるとの
情報あり。半年前に不具合が多発し解析をお願いしたら全て良品だったことがある。
もし、それでも問題なら市場回収しなくてはならないのだが・・・情報を求む。
302774ワット発電中さん:04/09/06 12:13 ID:HY2eBUQJ
>>301
その話なら知っている。
X社純正ROMは100〜300個に1個くらいの確率で、不具合が出ているらしい。
他メーカーのシリアルROMに替えて対応しろ!
303774ワット発電中さん:04/09/06 12:17 ID:h+pUpXkl
それってラティスのGALでもあったけど信頼性って意味では仕方ないと諦める
しかないみたいなことを言われた気がする。
ま、十年以上前の話ではあるが。
304774ワット発電中さん:04/09/06 12:52 ID:kKEA1KzZ
300個に1個ですか。私の開発案件だと500個以上の不具合が出ることに
なるけど・・・既に出荷してしまっているのだが基板変更が必要に
なるじゃないか。
305774ワット発電中さん:04/09/06 17:13 ID:qSlFyzfh
>>301
代理店に聞けば詳しい話は聞けると思うけどX18の話だったと思う
XCFは消える問題はないはず(こいつは別のバグがあって、特定の条件で問題になるらしい)
対策品はこれからリリースされるはずなのでそのあたりもきちんと聞いた方が良い。


306774ワット発電中さん:04/09/06 17:53 ID:8aKGN0p3
>>301
その問題はXC18Vxxだね。
2年前からのデバイスはOKになったけれどそれ以前のはデータリテンション
の問題がありえる.100%起きるわけではなく,10ppmぐらいだとのことだけれど.

>>305
XCFの問題ってある?さっそく明日聞いてみる.

うちではいまEPCS1が大問題.だめだこりゃ・・・
(あくまでうちの評価結果では)EPC2のほうがいいよ
温度ストレスに弱すぎ.
307302:04/09/06 19:17 ID:HY2eBUQJ
>>306
うちでは1000ppm以上の確率で発生しているようだ。
2000台程度のものだったので、偶々はずれだっただけかもしれんが・・・。

>うちではいまEPCS1が大問題.だめだこりゃ・・・
何それ?! 当方 EPCS1 を使った機器の試作が終わったところで、
量産準備に入ってます。 聞き捨てならない話なので詳細希望。
308774ワット発電中さん:04/09/06 22:32 ID:n0SNuuIV
材でも在るでもconfiguration用のflash ROMが消える問題は昔からあった。
configuration ROMは試作か小ロット製品でしか使いたくないな。後が怖いから。
309306:04/09/06 22:33 ID:8aKGN0p3
>>307
1000ppm!!つまり100台に1台ってこと?それはロット依存でしょうね。

>聞き捨てならない話なので詳細希望。
だからさ,温度ストレス掛けた状態でFPGA再起動チェックかけても
再起動しない場合がある。周辺ハードの問題は全てクリア。代理店
FAEも確認済み。
温度のプロファイルはさすがに企業秘密で公開できないけれど、決して
劣悪な環境ではない温度ということで。

多分内部のある信号線のプルアップ抵抗素子の抵抗値が高すぎて温度が
上がると効かないんだとおもう。

どうせXC18V01と同じでPORスレッショルドがずれていくんだと思う。
310306:04/09/06 22:42 ID:8aKGN0p3
>>307
ちなみに>>308さんが書いているように,この問題はAもXも出る可能性が大。
XはNGだけれどAが安心というのは幻想。というのは今のブートロムは中身が
某xx社のFLASHであり製造プロセスは同一のはず。
だからうちはAで起きたからXのROMも相当疑っている。
とはいえ2年前のXC18V問題に比べればやさしいと思っているしまだ問題は無い。

詳しいことは両メーカ発行のPCN見ろ。PCNはある程度の大手ユーザならもらえる。
購買か資材がファイルしていると思う。

・・・・・・この手の情報はほんと現場にはこねーんだよな。
311302:04/09/06 23:04 ID:HY2eBUQJ
>>308-309
ひぇeee〜〜〜! それは知らんかった。 在るの方も危ないんだ。

>>309
詳細情報ありがとうございます。 温度試験も一通りかけたが気付けなかった。 _| ̄|○
代理店に問合せてみよ。でも教えてくれるかな〜? どうせXC18Vと同じで認めないような気がする。
「そういう話は聞いた事がないんですが・・・」 ← 嘘つけ!って感じ。

1,000,000ppmで全数ですよね。1000台に1個以上のつもりだったんですが、俺なんか間違ってる?
100+1000+1000 で流して2lot目に集中して発生していたように思える。不良になったのは10個程度。
不良率約 0.5% ってとこかな。
312302:04/09/06 23:16 ID:HY2eBUQJ
>>310
またまた貴重な情報感謝。 俺まさしく今の今までその幻想持ってたよ。  開眼!
某xx社のxxの所が気になる、よかったらコッソリ晒して!
313774ワット発電中さん:04/09/07 10:24 ID:IjcHgRK+
314774ワット発電中さん:04/09/07 11:40 ID:p7rweIta
ザイリンクスのPROM関係の不具合はココで見る事ができる。
見たことがない代理店に教えるといいかも。

http://www.xilinx.co.jp/xlnx/xweb/xil_publications_display.jsp?category=-1210259&iLanguageID=2

>>313
出品しているジャンルを見れば想像できるとおもう。
買いたかったら質問すればいい。
315774ワット発電中さん:04/09/07 23:27 ID:HkCDgkTM
>>310
うそつけ。AとXのROMの製造工場は違うぞ。昔は同じだったが今は違うだよ。最近AとXからも正確な情報を得たばかりだから断言できるよ。
ちなみに温度ストレスの情報も嘘です。EPC2の方が弱いよ。データーシートからも断言できるしうちの会社での無鉛がらみのテストでEPCSシリーズの方が強いという結果が出たよ。
316306:04/09/07 23:36 ID:Qydxp48l
>>315
まいったなぁ...
FABはS○Mじゃねーかよ。PCNみてるか?
FPGAのシリコンじゃないぞ?ROMのFABだぞ?
ひょっとしてまだSH○RPとEPS○Nだとおもってるのか?バカか?おまいさんは。

それとおまいさんの会社の温度プロファイルとうちが同じという保証がどこにある?
公開もしていないし,「データシートからも断言できる」って何だよ。
どこかのページに「EPC2よりも優れています」って書いてあるのか?

ほんとALT信者は狂信的なやつが昔から多いよな。
おまいさんみたいなやつの言うことを信じて>>312にトラブル起きたらどう保証する?え?
ALTのイメージが悪くなるだけだってーのに。
ま,PLDワールドに近づくと毎年おまいさんみたいなガキが登場するね。
がんばっていってこいや。うちは社内会議室でやってもらえるからいいけれどな。

もう書くのやめたよ。315,あとフォロー頼むぜ。
317774ワット発電中さん:04/09/08 00:06 ID:JoKXzUyn
ヤバイっす
航空機、自動車、医療機器、工場のロボット機器や放送局や中継基地とかの機器には使えないんですね
将来的にはそういった高信頼性が要求される用途向けにコンフィグメモリが三重冗長になってしかも自己修復機能を持つ時代がくるかもしれんかな?
31878:04/09/08 00:13 ID:opun3y06
この間Xilinxの連中がうちの会社に来て
XC18Vシリーズの不具合説明してたよ。
デートコードが0209だったかそれ以前のやつは
150ppmの割合で数ヶ月後に内容が消えるそうな。
それとパラレルケーブルIIIで書いたやつは
チャージ不良が起きるのでパラレルケーブル4で書いてくださいとの事。
某大手メーカーではこの件で全品タダ交換させたみたいだけど
うちの会社には交換させてくれなかった。
(しかも数千個以上使ってる)

不良云々よりも対応が人の足元見た三菱自工みたいなので
もうXilinxは会社で使用禁止にしようと思う。

後、今造られてるXC18VシリーズはSTマイクロが製造しているよ。
319774ワット発電中さん:04/09/08 03:13 ID:uMebzei0
>>315

>データーシートからも断言できるし
>うちの会社での無鉛がらみのテストで
>EPCSシリーズの方が強いという結果が出たよ。
釣りじゃないのか?さもなければ真性厨かな?
無鉛がらみのテストってリフロの話しだろうよ...

前スレの後半の奴みたいに、絶対レビューに呼ばれない
タイプとみた。
320774ワット発電中さん:04/09/08 10:29 ID:jQ0Sdysc
> >うちの会社での無鉛がらみのテストで
> 無鉛がらみのテストってリフロの話しだろうよ...

ハァ?正に温度プロファイルの事なんだが?アフォか?
321774ワット発電中さん:04/09/08 12:03 ID:+fbS4/xk
>>320
製造時不良の話をしてるんじゃないんだが.......






やっぱり釣り?
322774ワット発電中さん:04/09/08 15:54 ID:uMebzei0
>>320
316は312に対して加速度試験の話しをしているんだろう。

製造不良、それもPbフリーの聞きかじった話しを書いている
お前はどうみてもアフォのようにしか見えないけれど。
323774ワット発電中さん:04/09/08 22:47 ID:gvwaLI35
>>318
パラレルケーブル3でチャージ不良だと?
JTAG-IF使って書くならライタの回路は関係ないように思える。
制御プログラム更新じゃ済まないのか、財さん。

ところで交換されない不良在庫品は廃棄なんでしょうか。
それとも巡り巡ってどこぞのジャンク屋かな。
現品なんとかとか。自作ならdでも自分で焼き直しで済む。
324774ワット発電中さん:04/09/09 00:28 ID:dQEGHwGQ
>現品なんとかとか。自作ならdでも自分で焼き直しで済む。

現品は消滅します多
325初心者:04/09/10 22:03:13 ID:QC4CB+yJ
VHDLスレの方にも同じ事を質問しているので
申し訳ありませんが、よろしくお願い致します。

12BITのdataを5.5という数値で
割った答えを16BITで出力する回路を
考えています。

答えに少数点以下の数値がある場合は、
整数に繰り上げます。

例えば、
(簡単のため10進数で考えると)
答えが1.1なら   → 2とする
答えが1.000023なら → 2とする

といった具合です。


関係演算子「 >= 」と 加算演算子「 - 」を使って
解を求めようとしているのですが、

[ 000000111111 >= 5.5 ]
のような評価や
また、[ 000000111111 - 5.5 ]
のような計算が出来ません。


データタイプの異なるものに対して
どのようにしたら評価できるのでしょうか?

どうか教えて下さい。
326774ワット発電中さん:04/09/10 22:24:07 ID:qJWTDCPH
答は10bitで足りるんじゃないの?


で、ここは言語のスレじゃないんで・・・・

なんとなく、定数で割るんなら、data/5.5 → data×(X/2^n)の形にして
最後にnだけシフトしたら、ちょっと速くなって切り捨ても簡単な気がする。
ただ、なんとなく。
327774ワット発電中さん:04/09/10 23:51:24 ID:mkow8z/r
>>325は、マルチポスト
スルー推奨。
328774ワット発電中さん:04/09/11 02:46:32 ID:kmoi11ET
>>318

うちは10年近く前に回収になるような問題起こされてから使用禁止だ。
XilinxとMaximは量産で使っちゃならねぇ、とされている。

#日本法人の質が低いだけなんだろうけど。

329774ワット発電中さん:04/09/11 04:52:08 ID:baOatpOp
xilinx避けてもalteraがあるてら。
maxim、ビデオアンプならlinear-tevかな。
swキャパシタやコイル使いのオンボード電源は結構重宝だったりする。
330774ワット発電中さん:04/09/11 04:53:00 ID:baOatpOp
× linear-tev
○ linear-tec
331774ワット発電中さん:04/09/11 12:47:28 ID:LLL5k7EE
>>329

alteraがあるてら。
alteraがあるてら。
alteraがあるてら。

おーい山田君!座布団以下ry
332774ワット発電中さん:04/09/11 12:48:11 ID:fqPQb521
リニテクの納期もほめられた物じゃないけど、Maximよりはまし。
333774ワット発電中さん:04/09/11 16:02:53 ID:DlZuFF1D

 ところでおまいら、QuartusのVer4.1ってどうよ。
 漏れは怖くてまだVer3 + SP2 なんだが。
334774ワット発電中さん:04/09/11 16:05:30 ID:LLL5k7EE
4.1良い感じ
335774ワット発電中さん:04/09/11 16:09:59 ID:DlZuFF1D
>>334 レスThanx。
 じゃ、入れてみようかな〜。
 合成・配置速度とかはどうでしょう??
336774ワット発電中さん:04/09/11 16:12:29 ID:fqPQb521
なんで、ageるの?
337 ◆YMO/ALTERA :04/09/11 16:54:23 ID:uxqmkGr7
生成結果はかなり良くなってるけど、処理速度はむしろ遅くなったよーな希ガス
338774ワット発電中さん:04/09/11 21:46:00 ID:DlZuFF1D
>>337 レスありがd。
 そうですか。うーん、1S80でとかいうレベルの時以外、V3ベースかなー。
 とりあえず、私もV4.1試してみます。
339774ワット発電中さん:04/09/13 19:28:20 ID:dGK0PrLz
fa-developer MLの「わんこ」をどうにかしてくれ!!
340774ワット発電中さん:04/09/14 05:45:27 ID:L3dJzHu9
FPGAで浮動小数点計算ってできるものなの?
できる場合は何桁位まで可能?
341774ワット発電中さん:04/09/14 06:06:20 ID:heKIQEnl
342340:04/09/14 10:25:36 ID:CT8JBZ3t
>>341
読んだけどよく分かりません。
浮動小数点計算の実例があったら教えて下さい。
343774ワット発電中さん:04/09/14 11:40:02 ID:6jmSgdbI
>>340
おまいは立派な馬鹿だ。
計算は足し算か掛け算が除算かどれなの位は書けよ。

回答は簡単で仕様とロジックの許せる範囲で可能。
速度制限もあるとするとコンパイラーやICの速度が影響してくる。

つまり答えは出せないという事だ・・・・。

>>340
学生だと思うが、まず図書館へ行って文献を漁れ。
もしも違うのであれば近くにある大きな本屋の工学系の本棚を漁れ。
浮動小数点の計算は自分がやっている処理をハードウェアにやらせるだけで何とかなる。
教えて欲しいならおまいの脳味噌で理解した事と判らない所(とりあえず1件でええぞ)を書きな
猿でもわかるように教えてあげよう。
344774ワット発電中さん:04/09/14 11:41:04 ID:6jmSgdbI
すまん間違えた。後で書いた
>>340>>342だった。おれも馬鹿の一人だった。(>o<)
345774ワット発電中さん:04/09/14 13:55:36 ID:MU4iSLNT
>>342

そういう理解の悪い奴に金取って教えるのが漏れの仕事だ
346774ワット発電中さん:04/09/14 13:57:11 ID:sVV72nl7
「答えを見たけど答えに何が書いてあるかわかりません」

こう言われたらもうどうしようのないだろ。(w
347774ワット発電中さん:04/09/14 14:19:44 ID:dDjjPGDm
>>343〜346 うっせーな専門baka!
348774ワット発電中さん:04/09/14 15:57:17 ID:GBuNqS0a
ISP不可の旧MAX7000シリーズの書き込みアルゴリズムまたはライタの参考回路とか
どっかにないですかね? 手持ちに古いデバイスが数十個あって、もったいないので
遊びで使ってあげようと思っています。
349774ワット発電中さん:04/09/14 15:57:28 ID:6jmSgdbI
>>347
おまいもおんなじ仲間なんだから照れるなよ(^o^)
350774ワット発電中さん:04/09/14 23:45:33 ID:YbAkbOMs
FPGAで浮動小数点ができるか?
設計能力のない人間が聞いても意味ないです。
351774ワット発電中さん:04/09/14 23:50:15 ID:sVV72nl7
「答えを見たけど答えに何が書いてあるかわかりません」
352774ワット発電中さん:04/09/14 23:58:21 ID:0bNxBIWi
>>340
FPGAにCPUぶち込んで、ソフトで計算させろ! 数億桁でもできんだろうが!
それでも桁数が足りんなら、SDRAMコントローラ付けて好きなだけメモリー乗せろ。
    ↑
オマイの質問の仕方だと、こういう回答もあるわけだ。
353352:04/09/15 00:15:10 ID:TP9UOkOm
↑自己レス 訂正ッス。 FPGA単体で数億桁は、ちょっと無理っぽいね。
メモリー10Mbitクラスが最大のようなので、数百万桁(bit)に訂正。
354340 & 347:04/09/15 06:13:27 ID:Ljsh51Qb
343, 350, 351, 352, 353 さん回答有難うございます。
しかし、まー、皆さんイライラしていますけど、生理不順なんですか?

それと、漏れより知識があるなら348さんの
「ISP不可の旧MAX7000シリーズの書き込みアルゴリズム...」
の件に回答しろよ!お前にだって知らないことがあるんだろうが!
(あんたら生理用品の知識は別格だろうけどね)
355774ワット発電中さん:04/09/15 08:36:14 ID:KZ7d3paH
↑質問したら必ず回答してもらえると思い込んでいるバカ
356774ワット発電中さん:04/09/15 10:47:43 ID:7LDNTCEf
>>354
たぶんみんな逝きたくて(レスしたくて)うずうずしているんだろう。
中途半端な質問するから往けそうで逝けなくて医らついているだけだ。
だからみんな立派な漢だと思うよ。

>>348
はネタが古くて建たないんだろうな・・・・。(^^;

焦らして貢がせる雰囲気があるからおまえこそ知識ありそう。
今一番安心できるのを教えてくれ、俺使ったことないから判らない。

とりあえずおまいの判断結果を教えてくれ。
質問してありがとうだけじゃ逝けないだろう。
1.方法はわかったから自分やってみる。
2.XXXXの方法で試してみる。
3.馬鹿で理解できない。

漢は最後まで行かないと納得できない悲しい生き物だからよろしく。
357340&347&354:04/09/15 16:23:46 ID:Jgt/2QL7
念のために書いときますが、348さんは漏れには無関係です。
「ISP不可の旧MAX7000シリーズ」なんて見たことありません。
358774ワット発電中さん:04/09/15 17:52:56 ID:7LDNTCEf
>>357
おまいが知識不足なのは知っているからMAXシリーズの事を無理に答えなくてもいい。

みんなが聞きたいのはおまいがどんな結論に達したかだな。(自分がした質問のね)
ちょっと判りにくかったけど、よくよめばおまい自信の質問に対してだと判るはずだ。
(ま、判らんところが340&347&354だな。)
359774ワット発電中さん:04/09/15 21:43:27 ID:NwZf+Br3
>>357
そりゃお前に知識が足りないだけだよ。
能力も足りない感じだが。
360340&347&354:04/09/15 23:08:35 ID:4g4vIy3c
分かったこと
1)聞く相手を間違えていた、ということ。
2)FPGA-CPLDスレの人には、自分より理解が足りない人間を見つけると、しつこく
 イジリ続ける「イヤラシイ」人が一部にいること
3)「FPGAで浮動小数点計算ってできるものなの?できる場合は何桁位まで可能?」
 という大雑把な質問に、大雑把に答える能力がない人がいること(343, 352さんは別)
4)FPGA-CPLDスレの人は、他人こき下ろすにも、表現力や語彙が不足していること
 (生物板や化学板の人のこき下ろしを参考にしましょう)
5)結局、FPGAで浮動小数点計算は可能であり、回路の組合せでは理論上何百万桁でも
 可能ということ。

以上です。
361774ワット発電中さん:04/09/15 23:11:58 ID:NwZf+Br3
>>360
笑われるような質問の仕方を何時までも続け、更には逆切れする馬鹿も
居ましたよ。
362340&347&354:04/09/15 23:30:33 ID:4g4vIy3c
ふ〜ん。良かったねイジる相手が見つかって。
363774ワット発電中さん:04/09/15 23:31:55 ID:NwZf+Br3
>>362
どうして、自分の馬鹿さ加減というパラメータを用意しないのだ?
もしかして、どの程度馬鹿な応答をしたか気付いてないのかな?
364774ワット発電中さん:04/09/15 23:45:42 ID:/FMK7XyL
質問の仕方で対応が決まる。
それだけのこと。

答えを教えて貰ったのにそれを理解する能力がないのに逆切れなど論外。
果ては、悔しさのあまりつまらない書き込みをして煽りまでしてる。

まともに対応して貰えないのは自分の正格のせいでは無いかと考えた
事はないのだろうか、この厨房は。
365774ワット発電中さん:04/09/16 00:48:46 ID:EnhDPeo1
7,8年前はMAX7000無印はゴロゴロしていたね。
その前は紫外線消去式のもあった記憶が。

366774ワット発電中さん:04/09/16 00:55:39 ID:6Y1O2nDj
質問の仕方で対応が決まる。
それだけのこと。

答えを教えて貰ったのにそれを理解する能力がないのに逆切れなど論外。
果ては、悔しさのあまりつまらない書き込みをして煽りまでしてる。

まともに対応して貰えないのは自分の正格のせいでは無いかと考えた
事はないのだろうか、この厨房は。
367774ワット発電中さん:04/09/16 00:57:24 ID:6Y1O2nDj
分かったこと
1)聞く相手を間違えていた、ということ。
2)FPGA-CPLDスレの人には、自分より理解が足りない人間を見つけると、しつこく
 イジリ続ける「イヤラシイ」人が一部にいること
3)「FPGAで浮動小数点計算ってできるものなの?できる場合は何桁位まで可能?」
 という大雑把な質問に、大雑把に答える能力がない人がいること(343, 352さんは別)
4)FPGA-CPLDスレの人は、他人こき下ろすにも、表現力や語彙が不足していること
 (生物板や化学板の人のこき下ろしを参考にしましょう)
5)結局、FPGAで浮動小数点計算は可能であり、回路の組合せでは理論上何百万桁でも
 可能ということ。

以上です。


368774ワット発電中さん:04/09/16 01:17:47 ID:ogoDbGle
>>360,367
それがわかったのなら、もう二度とここには来ないことだな。

つーか、お前、身近にそういうこと質問できるような
友達とか先生、同僚、上司が居ないんか? 哀れよのう。
369774ワット発電中さん:04/09/16 01:27:05 ID:z7xcrBl0
2chで煽られて、頭に来て荒らしてるようではどうしようもない厨房確定。
早く自殺しろ。
370774ワット発電中さん:04/09/16 02:01:21 ID:DLtcEcPN
>>368
周りに知っている人がいたとしても、ここでの態度見ればどう扱われているか
(どう思われているか)わかるってもんですよ。

なお、煽られたのは理解が足りないからじゃない。
理由は既に何度も他の人が書いている。
371774ワット発電中さん:04/09/16 18:59:30 ID:Hf69i7FO
理解の度合が全然違うね
372774ワット発電中さん:04/09/16 20:35:03 ID:tXgyBcFh
CPLD学習ボード
http://www.hdl.co.jp/XSP-019/index.html
価格未定。

そんなことより
http://www.hdl.co.jp/DLC-008/index.html
はどうなったんだか誰か教えてください。

373774ワット発電中さん:04/09/16 22:54:37 ID:NeI5pKJa
なひたふとかぶったのでやめ。
とか。

こっちも遅れてるなあ。
374774ワット発電中さん:04/09/16 22:56:43 ID:z7xcrBl0
>>373
一応商売でやってるところは採算度返しの所とは
違うけど、元々それ程売れてないらしいからなひたふは
非常に大きな脅威らしい。
375774ワット発電中さん:04/09/17 01:34:31 ID:082/D5/7
この程度のことは、USBかネットワークを載せた組み込みでは普通にやるんだが
(2日もあればPCとMPU側両方コーディングとデバグまでできるでしょう)、
商売になるんだろか。。


376774ワット発電中さん:04/09/17 04:25:29 ID:MjBO2Xt4
>>367
童貞が「チンチンはどう使うのですか?」と質問しているようなものだな。
知らないので答えないでなく、答える気持ちにならない。
大雑把のレベルから論理設計能力やプログラミング能力がないことがありあ
りと分かるんよ。
377774ワット発電中さん:04/09/17 04:31:32 ID:NNnzNZb1
>>375
もし二日で可能ならマジでやって欲しい。
十日かかってもやってくれるのなら賞賛するし材料費くらいは持つよ。
378774ワット発電中さん:04/09/17 10:25:21 ID:hu4cg3CC
>>372
USB−JTAGとかCPLD学習ボードは知りませんが(w

LAN−JTAGなら
http://www.atmark-inc.com/product/jtagblazer.html
\37,800
っていうのがあるらしいです。
379774ワット発電中さん:04/09/17 13:53:15 ID:omt7d24g
機能大盛りのおかげで価格急上昇のUSB-JTAGライター(アナライザーの方が正しいかも?)
http://www.computex.co.jp/news/old_news/200406.htm#20040628_1

アプリ無しで、WEBPACK用のライターとして使える形にして1万円位で販売してくれるとありがたいですね。
売る気がないのか、宣伝が下手なのかわかりませんが、「USB」 「JTAG」をキーワードにしても上位に上がってこない。
下のモデルで\10,2900也
380774ワット発電中さん:04/09/17 15:26:04 ID:jFUGLCnq
>宣伝が下手なのかわかりませんが、

大手サーチエンジンは、金で動いている。
金さえ払えば、クソサイトも上位にでるし、有用サイトが出るのを押さえることもできる。
金払わなければ、有用サイトであろうと、全く出てこなくなる。

大手サーチエンジンの結果が全てはないと知るべき。
サーチエンジンの良いイメージはモハヤ崩れてます。
381774ワット発電中さん:04/09/17 15:27:07 ID:jFUGLCnq
んなことを下記人kたのではなかったんだよ。

どっかの湾タイムFPGAのオタ飯規格みたいなのまだやてるのかな?
つうか、実施亜にサンプルパーツもらった奴っていりうの?
382>367ではないが・・・:04/09/17 19:11:44 ID:QZ9CKPxK
>376
プ。多分、こいつは、逆に浮動小数点を使うなと言われたら、できないだろう。
なぜなら、ALU自体、ゲートレベルでどうなってるか知らないから。
日本にカスタムのCPUを作ってる香具師はそうは居ない。
HDLで書ける物は、チップが許す限り何桁でもウドー小数点計算できるが、
ヴァカな>376は、それ以前にフドウ小数点と読むかもしれない・・・。
383774ワット発電中さん:04/09/17 20:15:54 ID:ciqvd5sP
>>382
ボビン巻職人ハッケソ(プ
384774ワット発電中さん:04/09/17 23:20:17 ID:NNnzNZb1
>>382
荒らすなよ。
385774ワット発電中さん:04/09/18 00:29:59 ID:PB9rdcnL
>>382
アホ臭いけどレスつけるか。
carry-look-ahead, carry-save-adder, booth, barrel-shifter等の技を使っ
てゲートレベルで演算器を設計して製品に乗せたことあるわな。
結論を導く論理がでたらめだよ。
386774ワット発電中さん:04/09/18 00:38:21 ID:rhyActzj
ブースなんて、、、
漢ならtp://www.andraka.com/multipli.htm#Wallace%20Trees 非同期一発!
387774ワット発電中さん:04/09/18 00:48:36 ID:PB9rdcnL
>>386
非同期一発と書くとこ見るとboothはシーケンサでないと使えないと誤解
してるように思う。あれの計算ステージをゲートに展開して使う。
単純なワラceよりゲート少ないはず。
388774ワット発電中さん:04/09/18 02:38:53 ID:LFl24Spi
4)FPGA-CPLDスレの人は、他人こき下ろすにも、表現力や語彙が不足していること
 (生物板や化学板の人のこき下ろしを参考にしましょう)
389774ワット発電中さん:04/09/18 02:39:37 ID:YdWrjEkd
ストレートに馬鹿と言われるのが嫌なら2chを覗かない方が良い。
390774ワット発電中さん:04/09/18 05:57:15 ID:Zk5LYlpu
367はたぶん社会一般でも周囲の空気を読めない行動をして浮いてるはず。
それでもってあっちのスレの扱われ方がどうこうと比較。
バカだな。
391774ワット発電中さん:04/09/18 11:41:33 ID:fNkbzjD3
>>387
386が掲げたURLでは、FPGAのリプルキャリー加算器は最適化されているから
利用者が組んだツリーよりも速い!って書いてあったよ。

色々考えたけどやっぱTIのDSPだね。5420なんてワンパッケージに2コ入ってるだね。
メモリが全部RAMだから、CPUとコンビで使うなら、その時々の処理プログラム、
データをブッコメルし、2コ入ってるから途切れずにデータを処理することも可能だし。
値段が12000円くらい?たってFPGAでマネしたってチップの外観サイズ、コスト、性能
どれをとっても敵わない計算。
392774ワット発電中さん:04/09/18 20:47:23 ID:jqHPaHgE
>>389
思いっきり罵りながらでもいいから、ちゃんと答なりヒントなりを書いてくれ。
393774ワット発電中さん:04/09/18 21:05:13 ID:mnpnzSnh
>>392
2chを覗いてるなら質問の仕方くらいは解るだろう。
空飢餓よ寝ない奴には使いこなせない。
394352:04/09/18 22:50:25 ID:btsjiBqW
まだやってたのか。 頭痛てー香具師だのー。
いいかげんウザイからマジレスしておいてやる。浮動小数点演算は可能。
ソフトウェアで可能な事はリソースの制限さえクリアすれば殆どの事は可能なんだよ!
罪や在がこの世に誕生する前に、PALと74シリーズを駆使して浮動小数点の除算
回路(有効bitは少なかったと思うが)を作った香具師も知っている。

しかしFPGAに浮動小数点演算回路を入れないといけないアプリってなんだ?
普通は固定小数点でどうにかならないか考えるだろう。
浮動小数点でないと出来ないなんて、何か勘違いしていそうな予感。
その辺りからして何か 厨ッぽい んだよ。

どうだ。これで満足か? ウザイからもう荒らすな!
395774ワット発電中さん:04/09/18 23:37:51 ID:DatRtaEm
>>393
2ちゃんねるでの質問の書き方

(1)名無しで書く。
(2)上げること。
(3)書き出しは「オイ!オマエラ 教えろ!」

コレさえ守れば、返事は貰える。それが質問者の助けになるかどうかは判らんけどね。
396774ワット発電中さん:04/09/19 00:34:26 ID:I9dFTbOg
tms320久々にちょっと真剣に調べてみたら、まるっきりのワンチップマイコンだね。
ROMに書けないからどうにかしないといけないけど、シリアルバスとかポートとかもついてる。
200MIPSでも3000円強。

DSPのスレ立てたって維持できそうもないから、当面このスレを使わせてもらうぞ。
397774ワット発電中さん:04/09/20 15:54:01 ID:h0/Zs/mx
FPGAって、出番あるのか?
時代の流れは恐ろしいな。
昔はCPUは資金力の点で個人では作れなかった。マイクロプロセッサで小躍りしてたものだ。
FPGAが急速に伸びて、昔のマイコンがソックリ収まるに至って、CPU作りは完全に個人の趣味になっちまった。
実用面では独自のCPUを作る意味は全くない時代だね。

つまり、FPGAで得られるギリギリの能力のDSPなら、FPGAよりも小さいパッケージで、少ない消費電力
FPGAと同じ大きさ、電力?なら、一桁上の処理能力のDSP

しかもDSPって今3000円位なんだよ。1っ個買いで。DSP作れるFPGAは一個で1万円越えちゃう事を
考えると、、、
しかもDSPの周辺インターフェースの構成はそこそこの自由度で選択できる。
398774ワット発電中さん:04/09/20 16:00:37 ID:dEUh2xUe
>>397
君が狭い世界しか知らず、設計の実経験も少ないであろう事を物語る内容だ。
399774ワット発電中さん:04/09/20 16:20:20 ID:LDZ1r/vB
市販されているものを置き換えるっていう発想しかないのかねー。
まあどうせ学生かなんかだろうけど。

FPGAに入れるCPUは、隙間が空いていて勿体無いからついでに入れちゃえって
ものであって、CPUを入れるつもりで使うものでは無いと思うのだが。
CPUや周辺しか入っていないFPGAを作る香具師なんて、それは単なるおバカ。
400774ワット発電中さん:04/09/20 16:28:45 ID:qgPlXEvh
>>397
そもそもDSPとFPGAは用途が異なるのに、DSPの機能をFPGAに単純に入れる事を想定し、
結果DSPはFPGAより優れていると結論づけるとは、まったくおめでたいですね。
TIのセミナーにでも行って洗脳されてきたのかい?
401774ワット発電中さん:04/09/20 16:40:58 ID:dEUh2xUe
DSPがFPGAより絶対的に優れてるならぐれーぷやマキーノは評価されないわけだが。(w
402774ワット発電中さん:04/09/20 16:44:09 ID:7Oe3f0SK
DSPはアナログ・デジタルMIXな訳だが
403774ワット発電中さん:04/09/20 18:30:38 ID:h21nYOGM
>>400
現実はさ、FPGAが高すぎるのが最大の問題店だと思うんだよ。
個人で何かつくる時の主賓になっちまうわけで、CPUかDSPしか思いつかないでしょ。
DSPやマイコンが決まった手足しか持たないってのが過去の話題でしかない今、
FPGAの出番が大変厳しいと思うよ。
シコシコFPGAに突っ込んだロジックと同等の動作はDSPでソフトで実現できてしまうだろう。

いやぁ、己に行動力がなくてよかったと思っているところだよ。
昨年のDWMの付録みたいなFPGAのボードを作ろうとか考えてたからね。
国内の半導体メーカーがFPGAをやらないことを疑問に思っていたけど今は納得だよ。
404774ワット発電中さん:04/09/20 18:34:15 ID:h21nYOGM
>そもそもDSPとFPGAは用途が異なるのに、

この発想って無意味だと思うの洩れだけ?
目的を達成するのに、もっともコストの低い手段を使えば良いじゃん。
405774ワット発電中さん:04/09/20 18:44:10 ID:7Oe3f0SK
いや、だから、DSPはアナログ・デジタルMIXだろうと・・・。
アナログのない部分にDSP使ってもしょうがない。
逆にDSPだとアナログに喰われてる分、デジタルのセルが足らないこともありうる(現在の集積度でどうかは分からないが)。
ただ、CPUで、それだけで周辺チップとのやり取りをまかなえると思ったらそれは誤り。
バスコントローラ(チップセット)が往々にして要ることがある。
また、デバイスコントローラ自体ASICで組まれていることも多々ある。
まぁ、最近の組み込み用CPUの高集積化で、周辺チップの取り込み様といったら、凄いものがあるが。
406774ワット発電中さん:04/09/20 18:44:23 ID:qgPlXEvh
つまりFPGAが必要な用途は全てDSPに置き換えられるということですか。
本当におめでたいですね。せいぜいがんばってください。
407399:04/09/20 18:51:18 ID:LDZ1r/vB
>>403
例えば、データーレートが200Mbyte/sec位のレートで流れているとして、1データー
に対して100回位の乗算が必要だとする。要するに1秒間に
200,000,000×100=20,000,000,000回の乗算を行えって事なんだが。
これDSPで可能か?  こういうアプリは実在する。
408399:04/09/20 19:08:12 ID:LDZ1r/vB
>>DSPマンセイな方へ

もう一件質問。生成多項式(X^9 + X^4 + 1)(X + 1) のスクランブルNRZI の計算は
可能ですか? 但し入出力データーレートは 1.5Gbps で無限連続データーね。
電源入っている間は、絶対に途切れさすなよ!
 ↑現在実動中の装置です。
409774ワット発電中さん:04/09/20 19:23:51 ID:g/W/ESFx
例えば407のアプリなら、3000円のDSPを2ダースも使えばできる。
408のアプリでも適当な個数を使えばできるだろうことはわかるだろ。

洩れが言いたいのは、2ダースつかっても10万円に届かないってこと。
デジタルハイビジョンの編集とかCG制作に、個人で手が届く可能性!
410774ワット発電中さん:04/09/20 19:27:19 ID:g/W/ESFx
>つまりFPGAが必要な用途は全てDSPに置き換えられるということですか。

そーゆー極論は言っていない。
DSPは高い!使いにくい!と思っていたら、いつのまにか超高速なマイコンになってたって
驚き。
こないだまではFPGAで100MPISクラスのDSPがすっぽり入っちまう!アプリケーションに特化した
DSPつくれば、性能不足は補えるかも!とか思ってたわけだよ。
1200MFLPSが3000円だなんて知らなかったんだよ。
411399:04/09/20 19:51:56 ID:LDZ1r/vB
>>409
407 の回路が数チャンネル乗ったシステムを150mm角だとか、200mm角の基板
サイズで要求されているわけだが・・・。DSP×2ダース? どんなサイズのシステムだ?
デバイス価格落せても、ケース・基板代・実装費・検査費 いくらアップするだろうなー。

今どきのDSPはICのピンから 1.5Mbps の信号が出せるんですか?
これは嫌味じゃなくてマジな質問。

>>410
DSPが高性能で安価になったのは認める。それは俺も手放しで喜んでいる。
だがそれでFPGAが不要というのが理解できん。DSPで済ませられるなら、それに越した
事は無い。それでは現実的では無い事が多く、トータル的なバランスから
FPGAを選択してるんじゃねーの。
だいたいFPGAに高性能なCPUを入れようという発想が はぁ〜 って気になる。
412399:04/09/20 20:04:51 ID:LDZ1r/vB
あ、ゴメン 単位間違えた。
>今どきのDSPはICのピンから 1.5Mbps の信号が出せるんですか?
   × 1.5Mbps     ◎ 1.5Gbps
413774ワット発電中さん:04/09/20 20:23:32 ID:7Oe3f0SK
( ̄。 ̄)yー゚~~~
まぁ、CPUはカスタムだからな。
ASICやDSPは、セルを並べた構造だ。セルを既に回路として焼いてある。
まぁ、DSPのアナログIFは特殊で、そこから内部をデジタル信号処理にする訳だが・・・。
単位セルはNAND、これ一番単純なC-MOS構造。
NANDを組み合わせれば、NOTもANDもORも作れる。
NANDを2個並べるとFF(フリップフロップ)。当然、値キープのラッチも作れる。
昔のCPUはNANDが基本だし、ASICでCPUを作っても同様の性能が出ただろう。
今は、更に小型化、高集積化、高速化、省電力化が図られている。
その為には、カスタムが必要。
カスタムってのは、言語(ストアド)で回路記述するなり、ゲートレベルで記述するなりして、
それを論理合成して、回路にし、(ここまではASIC、DSPと一緒)、自動レイアウトする。
それから、レイアウト上でシュミレーションし、焼く層分マスク分割し、作るマスクの各層に対し、微調整をする。
それを、マスク製造装置に掛けて、マスクを焼く層分、マスクを作る。
マスクをして、ウェハーに露光をかけて、マスク枚分、焼く。
こうやって、作られたCPUは正にアナログICのごとく(まぁ、アナログICの行程もこの様な感じだが)、半導体としての構造上、オリジナルで、これがカスタム。
セルを並べて用意されたものに、電圧掛けて回路を構成させる構造に比べて、カスタムは無駄がない。
414774ワット発電中さん:04/09/20 20:36:45 ID:7Oe3f0SK
( ̄。 ̄)yー゚~~~
ウェハー上にマスクをして、露光を掛ける装置がステッパー。
マスク製造装置もステッパーも、縮小プリント。
写真は、ネガポジより、やや大きめな拡大プリントだが、半導体は、レンズで縮小プリント。
フォトマスクで1/100にし、フォトマスクに露光を掛けてウェハに焼きこむ時にまた1/100にすりゃ、それだけで1/1饅頭だ。
415774ワット発電中さん:04/09/20 21:18:04 ID:7Oe3f0SK
マスクを作る時にマスク製造装置に投入するファイル形式は、装置メーカによって幾つかあるが、メジャーなのはMEBES。
コンピュータ上でレイアウトしたファイル(マスク枚数分。メジャーなファイル形式はGDS)をMEBESに変換して投入する。
GDSもMEBESもマスクごと(半導体層)ごとに色分けして、レイヤーとして分けられて、重ねて見れる。
416399:04/09/20 21:47:02 ID:LDZ1r/vB
>>409
>408のアプリでも適当な個数を使えばできるだろうことはわかるだろ。

おォォ! もう1個重大な突込み所が抜けていた。
上の1行のカキコでオマイが理解出来ていない事が良く判った。
前回の計算結果を次の計算に使うような場合、DSPを大量に導入してもダメだろう。
例えば、パソコンをdual_CPUにすれば、処理速度が倍になるのかい?

さてと、何だか俺がスレ荒らしをしている風になってきたので、この辺で引っ込むとするか。
他の皆さんゴメンヨ〜。 チョット自己嫌悪に陥ってます。 (鬱々、もう寝よ。)
417774ワット発電中さん:04/09/20 22:02:55 ID:e1++IQ9P
マイコンをn個使うと処理能力はn倍に少し足りない辺りまで得られる。

ところで、DSP数個でも追いつかないような用途につかうFPGAってのは
何者なの?そんな処理能力のFPGAは知らないので是非おしえてちょ
418774ワット発電中さん:04/09/20 22:04:04 ID:e1++IQ9P
あと 3000円のDSPだとシリアルはせいぜい150MBpsくらいみたい。
419774ワット発電中さん:04/09/20 22:04:34 ID:dEUh2xUe
必要な演算に応じた演算器をその都度専用に用意可能であり、並列かも可能と言うFPGAの
大きなメリットを忘れてる。
例えば大きくハードに依存するリアルタイム演算・・・画像処理、及び図形処理などはDSPでは
絶対に間に合わない。
420774ワット発電中さん:04/09/20 22:26:13 ID:LZJkyGLF
パフォーマンスも重要だが、同じ基板で機能を入れ替えられる、という
利点もあるが、この辺どうよ?
零細なんかで新規基板起こしてられるか!共通基板でGO!な人いる?
421774ワット発電中さん:04/09/20 22:30:12 ID:dEUh2xUe
>>417
補足だが、DSPどうしをどうやって接続して並列化するのか? I/Fの仕様によってはメモリ、I/F
専用にCPLD又はFPGAが更に必要になってコストは飛躍的に上昇する訳だが。
当然DSPをむち打つような使い方して性能を絞り出すと消費電力は個数分のかけ算になる。
当然、回路が複雑になり基板の面積、枚数が増えてその分平均故障率は上がる。
回路、基板への実装を考えたらとてもこの状況を有利と思えない。
422774ワット発電中さん:04/09/21 00:08:39 ID:GS3cptv1
>420
まさか RAM式のFPGAなんか使うんですか? 放射線でコケルじゃん。
有用なのつくったって、ピーコされちゃうじゃん。

いや、きっと誤解しているのかも。

でも同じ基板って、ピンアサインを変えないのですか?今やチップと基板は密接に
からんで製品性能を支えているわけで、、、

>421
DSPはワンチップマイコンよろしくメモリ含めて自立してます。
例えば、計算にしても、逐次使うということは絶対有限個のデータ処理であるわけで
其の個数分用意すれば、いかに高速データであろうと処理できまつ

消費電力は1.6Vとかで200mAとかだから8080よりも低いでつ
423774ワット発電中さん:04/09/21 00:14:04 ID:iWv0E0RW
>>422
反論になっていない。

> DSPはワンチップマイコンよろしくメモリ含めて自立してます。

当然並列動作をさせるのであればデータ私のI/Fは必要だしそこがボトルネックになる点である。
これは基本中の基本だが。

> 消費電力は1.6Vとかで200mAとかだから8080よりも低いでつ

想定動作周波数をかきたまえ。

ついでだから聞くが、どんなDSPを想定しているのか具体的な型番を書け。
424774ワット発電中さん:04/09/21 01:24:07 ID:CL433f5W
TMS320VC5509 これは144ピンQFPがある。 288MIPS これがフル回転で1.6V 200mA

TMS320C6713 こっちは208ピンQFPがある。1200MFLOPS

BGAが主になっちまったからね。
425774ワット発電中さん:04/09/21 01:44:57 ID:iWv0E0RW
>>424
で、並列動作時肝心のデータ渡しはどうするのか具体的に示してくれ。

TMS320VC5509は固定小数、で演算器がしょぼい。FPGAなら同様の演算器を大量に
搭載することが可能だね。
過去の実績で言うと、同等の演算器を30個以上入れて並列動作させた事がある。
当然だが、このDSPで可能かどうか検討したあげく、10個基板に搭載して回路設計、
並列動作させるためのプログラムの手間を天秤にかけた結果なわけだが。

TMS320VC5509は、三千円なのか?
こいつの実力は認めるし使いたいDSPではあるが、これも専用演算器の並列動作には
かなわない。

やっぱり全然解ってないな、DSPと全く同じ事をさせるためにFPGAがある訳じゃない。
426774ワット発電中さん:04/09/21 10:48:48 ID:cm4jzUMK
>>DSPと全く同じ事をさせるためにFPGAがある訳じゃない。

結局これだよな・・・
427774ワット発電中さん:04/09/21 13:21:00 ID:0HvrI5bI
FPGAとDSPを共存させるという考えは思い浮かばないのかな?
XC2V8000とTMS320C6711-200でデジタル通信基地局用のリアルタイム暗号処理機を
会社で作ってたけど、適材適所ってあるじゃん。
428774ワット発電中さん:04/09/21 13:31:02 ID:iWv0E0RW
思いつかないんだろうな。
上の書き込みを見ると。
429774ワット発電中さん:04/09/21 14:49:41 ID:gPf12OdL
乗算器が168個?
何それ?
幾らするの?
430774ワット発電中さん:04/09/21 23:46:35 ID:iWv0E0RW
>>429
五六万のFPGAでも、80個以上入ってたはずだが。
431774ワット発電中さん:04/09/21 23:55:21 ID:31uy4aOV
427氏と同様の例ですが、私が関わったことのある事例として。。。
某3G携帯基地局の開発案件で次のような構成(ザックリ とか結構多かったですヨ
まぁ浮動ではないけど、色々組み合わせて設計する場合もあるのよ とね。
呼制御・基本制御系−>PowerPCコア内蔵のプロセッサ
                たとえばフリースケール社(旧Mot のPowerQUICCとか
プロトコル処理   −>TIの320C62/64をパラレル配置してプロトコルサブレイヤで負荷分割
重いプロトコル処理−>Virtex2とかAPEX/Stratixとか大容量FPGA 
               またはIntel/旧MotorolaのNetworkProcesorとかね
PHYデバイスと各種プロセッサとの接続
               (こういうのグリューロジックっていうのかな?
            −>CPLDか、またはCyclonのような低価格FPGA

その時々で最適な「切り札」を使うのさ
とは言っても携帯基地局は特殊すぎるかな?
432774ワット発電中さん:04/09/21 23:59:17 ID:TxqSvhFf
単細胞馬鹿が生息してる
433774ワット発電中さん:04/09/22 00:03:24 ID:Ab27IWJU
>>422
>まさか RAM式のFPGAなんか使うんですか? 放射線でコケルじゃん。
素朴な疑問ですが、 コンシューマ向けSRAM方式FPGAは放射線耐性が無いとして、 ならばDSP内蔵のキャッシュメモリなりSRAMテーブルは耐性あるのですか?
仮に、耐性欲しければDSPだろうがFPGAだろうが耐性のある品種選ぶのは一緒だと思うのですが。
434399:04/09/22 00:04:01 ID:zexmS6hX
どもども。 いや〜昨日自分がカキコしたの今日読み返して、恥ずかしくなったよ。
俺って燃えてるねー(苦笑)。 厨相手に年甲斐も無くエキサイトしてしまったよ。
やっぱアルコール呑みながらはダメだな orz

ところで >>408 で質問した生成多項式の計算、これってDSPでマジ計算できます?
前回の結果を次の計算に使用してっていうような場合、DSPを複数もってきても
分割して計算出来ないような気がするんですけど。切れ目も無いし。
可能なら実現方法が知りたいんだが、偉い人教えて下さい。

参考、この例題の出典は、>>409でDSPマンセーくんが言ってる デジタルハイビジョン の
デジタル伝送のところで出てくる規格です。DSP複数使って実現可能なら、マジで
試してみたいと思っておるので、よろしくお願いします。
しつこいようだがデーターレートは 1.5Gbps(シリアル) です。
435774ワット発電中さん:04/09/22 00:07:50 ID:+xSPMrR5
結局、DSPをタンデムで使うと豪語していた厨がその接続法やノードに関しては絶対触れられない
と言う時点でまったくもって要領を得ないのだが・・・。
436774ワット発電中さん:04/09/22 00:30:54 ID:616G+CtB
>>433
じつは耐放射線デバイスはどの分野でも相当に入手しづらくなってるぞ。
437774ワット発電中さん:04/09/22 00:57:25 ID:CXtBSr1h
>>434
競輪方式でいけると思いまつ

>>433
プロセッサのデータやプログラムならエラーが起こってもそれを認知、修復可能でつ
FPGAの構成データがアボーンしたらどうにもなりませんし、アボーンした事実を
自動的に誰かが知ることも困難でつ。
438774ワット発電中さん:04/09/22 01:07:08 ID:+xSPMrR5
>>437
そろそろ具体的な実装について語って欲しいのだが。
現在君は全く反論すら出来ていない状態だが。
439774ワット発電中さん:04/09/22 01:26:53 ID:Yj4SE3+e
DSPの人のレスを見て、コンフィギュアブルプロセッサの発想がわかった気が
する…。ここの人より、もうちょっと考えて作ってると思いたいけど。
440774ワット発電中さん:04/09/22 01:28:38 ID:GwDmR52g
ここか、厨がいるところは。

>437
DSPの中の無数のFFやレジスタファイルは全てソフトエラー検出機能付きか?目出てえな。

DSPの演算器が誤計算をしたことはだれが検出するんだ?
441774ワット発電中さん:04/09/22 01:33:28 ID:+xSPMrR5
もしかしたら釣りのつもりかも知れない。(w
442774ワット発電中さん:04/09/22 02:16:05 ID:/xKPDgRJ
>440
奇数個つかって多数決とれば、済む話。
昔、軍用とか宇宙船とかでつかうマイコンが話題になったときの事忘れた?
443774ワット発電中さん:04/09/22 02:44:09 ID:fINn9aCE
もういいよ・・・

Electronic Newsから:ザイリンクス社がDSP部門と組み込み部門を新設
http://www.ebjapan.com/content/l_news/2004/09/07semi_EN_XilinxDSP.html
444774ワット発電中さん:04/09/22 04:09:19 ID:1nfdBT7/
>>439
其の手の発想はコンピュータが実用化される前からあったよ。
445774ワット発電中さん:04/09/22 20:40:01 ID:CM8w4aAl
FPGA勉強してみようかと思っておるんですが、20k以下で手に入るような7セグLEDとかスイッチ
とか実装済みのボード、キットはザイリンクスのSpartanIIIスタータキットぐらいしか無いのでしょうか。
雑誌とかヒューマンデータとか代理店見ても値段書いてなかったり50k60kとかするのばかりで。
そもそも個人で扱うようなものではないのか…。
ちなみにJTAGケーブルとか持ってません。マイコンすら扱ったことがありません。
446774ワット発電中さん:04/09/22 22:17:53 ID:GwDmR52g
>442
馬鹿か?話の流れ分かってるのか?
447774ワット発電中さん:04/09/22 23:11:44 ID:7CUPW5go
446がバカ!
448774ワット発電中さん:04/09/22 23:18:32 ID:GwDmR52g
>447
別にFPGAを援護する気はないけど、ここでの議論で442みたいなこというなら
FPGAでもそういうシステム組めばいいだけの話ってこと。
理解できた?
449774ワット発電中さん:04/09/22 23:58:08 ID:7CUPW5go
448はFPGAでそーゆーシステム(意味のある多数決による宇宙線影響回避)ができない事を
理解していない。
ここでいうFPGAとはRAM使うタイプです。
450774ワット発電中さん:04/09/23 00:16:59 ID:jeyHWW1v
>449
マジで書いてるとしたら重症だ。
451774ワット発電中さん:04/09/23 00:38:24 ID:o0VPW1pC
流れを整理すると、SRAMベースは放射線でデータがおかしくなるから使えないと主張。
ま、これも随分的外れなのだが。
で、放射線によるデータ反転は、DSPを使っていてもあるだろうがと突っ込まれたら
今度は、回路に冗長性を持たせるから問題ないと更に傷口を深める。

DSPをタンデムにしたらFPGA等不要と、数を使うことを主張しながらますます回路規模が
大きくなる方向にしかならない冗長性を持たせろだなんて。(w

その方法がありなら、デバイスのが数が少なく出来る分冗長性を持たせてもFPGA有利
と言う結論に達し増すな。

知ったかして馬鹿を宣伝する必要も無かろう。
452774ワット発電中さん:04/09/23 02:27:44 ID:dvwd79uZ
>今度は、回路に冗長性を持たせるから問題ないと更に傷口を深める。

そんなこと誰が書いた? 捏造はよせ。

DSPの場合奇数個のシステムを同時に使い結果を多数決で判断することで
エラーは回避できると書いただろ。

DSPを沢山使うことで、DSP単体で追いつかない用途を乗り切れる事を指摘したが
その話と放射線の話がなんで合体するのだ?
合体したところで何も矛盾しないけどさ。

>その方法がありなら、デバイスのが数が少なく出来る分冗長性を持たせてもFPGA有利
>と言う結論に達し増すな。

どこをどうすればそーなるのかサパリワカラナイよ。
バカの考えることは難しいものだな。(W

453774ワット発電中さん:04/09/23 02:38:55 ID:o0VPW1pC
>>452
冗長性の意味を理解してるか?
454774ワット発電中さん:04/09/23 02:51:10 ID:o0VPW1pC
で、結局DSP並列>FPGAという主張は止めたのか?
まともに反論をしていないようだが。
455774ワット発電中さん:04/09/23 03:07:24 ID:4tupDLVi
>>452
横槍でスマヌが、多数決判定はだれがするんだい?
例えば3個のDSPで同じ計算を実行したとして、結果の多数決判定は3個中の1個が
行うのか? それとも判定用のDSPが別にいるのか?

それとDSP間のデーターの受け渡しはどうやってするの。例えばFPGAで行っている
処理を DSP×4個 で置き換えれた場合、冗長回路と組み合わせて最低でも計12個の
DSPが必要になるが、それぞれのDSPに与える入力データーの振り分けや、それぞれの
DSPが算出した結果データーのとりまとめがかなり大変な気がする。
DSPだけで行おうとすると、12個のDSPが算出したデーターを一旦1つのDSPに集める
必要があると思うのだが、その部分のバス幅がかなりきつくないか?
456774ワット発電中さん:04/09/23 03:18:20 ID:Mi+JhLtT
>>455
その質問>データ渡し については、私も含め何人もしてるが未だにまともな
回答所か手も付けられない様子。
457774ワット発電中さん:04/09/23 08:58:14 ID:Ar8rNfb6
Xilinxのダウンロードケーブルが、USB-プリンタ変換器じゃ動かない理屈を誰か教えてください
458774ワット発電中さん:04/09/23 09:16:59 ID:hSK3cfao
パラレルポートを直接叩いて制御してるからじゃない?
459774ワット発電中さん:04/09/23 10:50:46 ID:jeyHWW1v
>452
やっぱり本物の電波くんだったか。乙。
460774ワット発電中さん:04/09/23 11:11:46 ID:jeyHWW1v
>452

>DSPの場合奇数個のシステムを同時に使い結果を多数決で判断することで
>エラーは回避できると書いただろ。

これをお前以外の世間では冗長システムなどと呼ぶんだよ。
いまどきこういう無駄なシステムはあまり使われないがな。

>DSPを沢山使うことで、DSP単体で追いつかない用途を乗り切れる事を指摘したが
>その話と放射線の話がなんで合体するのだ?

ソフトエラーの話はFPGAを貶めるためにお前が出してきたんじゃないか。
使用数が多いほどエラー発生の確率が上がり、それを対策するためにまた
DSPの数を増やすのか。頑張ってくれ。
461774ワット発電中さん:04/09/23 12:17:32 ID:AKmcxIMP
>>445

http://www.solitonwave.co.jp/product/hdl/index.html
「HDLトレーナー」+「拡張キット」セット価格:11,000円(税込み/送料別)
462774ワット発電中さん:04/09/23 12:18:50 ID:AKmcxIMP
これと
http://www.solitonwave.co.jp/h8base/index.html
が同じ値段っていうのが未だに信じがたいんだが(w
463774ワット発電中さん:04/09/23 13:34:57 ID:a3WKYje4
信ずる者は巣食われる w
464774ワット発電中さん:04/09/23 16:17:57 ID:SGpphZ68
>462
なんで?
どっちも同じくらいのボッタ栗度じゃん
465774ワット発電中さん:04/09/23 16:19:47 ID:SGpphZ68
>ソフトエラーの話はFPGAを貶めるためにお前が出してきたんじゃないか。
>使用数が多いほどエラー発生の確率が上がり、それを対策するためにまた
>DSPの数を増やすのか。頑張ってくれ。

なんの話だ?
466774ワット発電中さん:04/09/23 17:02:06 ID:mImSBubR
>>464
おまいは幾らが妥当だと思う。
467774ワット発電中さん:04/09/23 17:09:32 ID:hPM73mBz
人件費はタダという想定でしょうね。
468774ワット発電中さん:04/09/23 18:05:23 ID:RtTm6USC
>>464
XilinXのは、少なくとも単品で動く(出荷段階で、アラームクロックが書かれている
H8のは、CPUボードがついていないから、単品じゃ何も出来ない。
469774ワット発電中さん:04/09/23 18:51:32 ID:omXhjkGd
>468
おまえ、資本主義における価格決定要因を何も知らないな。
470774ワット発電中さん:04/09/23 20:04:03 ID:aHFHRqqB
HDLトレーナーの方、256マクロセルじゃ、大したこと出来そうにないね。
でも、もしデザインウエーブに付いてたCycloneボードくらいの容量(約10倍)のPLDが乗ってるんだったら、かなりの事が出来ると思う。

Cyclone2が出荷される来年になれば、1万円そこそこで4000LE〜8000LEぐらいのFPGA搭載したHDLトレーナーができるかな?
471774ワット発電中さん:04/09/23 20:15:39 ID:h4IBw3sh
>>468
バス組めばよいじゃん。
バス組むっていっても配線をバス配線するだけだ。
データバスはバス配にして、アドレスバスは、ゲートを数個設ける必要もあるかもしれないが、基本的にバス配で済む。
ただし、アドレスバスをアドレスピンフルに使わないと、2重3重・・・にRAM、ROMのイメージがでる。
コントロールピンは数個ゲートを設ける必要があるかもしれない。メモリ/IOがあるし。
472 ◆YMO/ALTERA :04/09/23 20:39:15 ID:eqIBh0V4
スレの流れを読まずにカキコ

QuartusII(WebEdition含)ユーザさんの手元に送られてると思うけど

Subject: Quartus II Software Critical Issue Advisory

An issue has been discovered in Quartus(R) II software
versions 4.1 and 4.1 service pack 1 that can cause a
small percentage of designs that use counters to generate
incorrect logic within Stratix(R), Stratix GX, Cyclone(TM),
MAX(R) II, and HardCopy(R) Stratix device families. When
this issue occurs, designs that target these devices will
function differently in the device than what the user
intended. This issue occurs in these versions of Quartus
II software across all platforms and in the free Quartus
II Web Edition software for PCs; Quartus II software
versions 4.0 and earlier are not affected.

4.1, 4.1sp1 では、間違った論理が生成される可能性があるとのこと。
以上の問題に対処した 4.1sp2 がダウソロード可能になってます。

おいらは大した問題にブチ当たってないなぁ
473774ワット発電中さん:04/09/23 21:02:56 ID:j+bwLrz9
超初心者なんですが、教えてください。 シンプリファイプロ+ISEでザイリンクスの
FPGAを使用しようとしてます。トライしてみたのですが、シンプリファイプロの吐き出す
端子名がISEで使用とする端子名と異なってしまいます。シンプリファイプロに何らかの
操作をすればいいはずなのですが、それがまだ理解できていません。どうすれば
よろしいのでしょうか?

よろしくお願いします。
474774ワット発電中さん:04/09/23 21:19:52 ID:vM6bCOGU
>超初心者なんですが、教えてください。シンプリファイプロ+ISEでザイ
初心者がシンプリファイプロを使うのかい?
こんなとこで聞かずに上司か先生に教えてもらえよ。
475774ワット発電中さん:04/09/23 22:08:00 ID:LNXu4K7k
>>461
おーありがとうございます。有る所には有るのですね。探し方が甘かったか。

しかし部品実装済みの物は値が張るなぁ・・・。
本来ならピン引き出しただけのボード買って自分で別に実装しろということなのだろうか。
476774ワット発電中さん:04/09/23 23:43:52 ID:jK4gfaiH
>>475
>本来ならピン引き出しただけのボード買って自分で別に実装しろということなのだろうか。

その方が、コンフィグレーション回路の組み方とか電源周りとかの処理の勉強になる思う。
それにその方が、動いた時の楽しさは格別。

でも手間とか考えるとそれほど安くはあがらないかな。

FPGAのはんだ付けから自分でやるにしても、変換基板とスルーホールタイプのユニバーサル基板と接続用ソケットだけで数千円かかるし。
477774ワット発電中さん:04/09/24 01:18:44 ID:AzkWqiOz
>>472
あいやまぁ…

日本語ページは以下のとおりだが、トップページにも書けや…
めっちゃ重大な問題ではないかよ。

Quartus2V4.1SP1のバグ
http://www.altera.co.jp/support/software/quartus2/sof-q41-critical-issue.html

とは言え、起きる必須条件の一つは 
「カウンタが同期クリア、非同期セット信号の両方を使用する場合」
か。

非同期セットは、あまり使ってないはずだから、まあ大丈夫?
478774ワット発電中さん:04/09/24 01:22:02 ID:tBruWQdq
JTAGサーバーがらみの不具合って無い?
最新版をインスコしたらFPGAを認識しなくなった。
479774ワット発電中さん:04/09/24 02:14:01 ID:3Yk1I7tS
480774ワット発電中さん:04/09/24 13:17:25 ID:9hVsVKhx
>>475
貧乏人はココで買うのが良いかも。

http://strawberry-linux.com/shop/
481774ワット発電中さん:04/09/24 17:19:32 ID:MDzGaBsM
>>477
>非同期セットは、あまり使ってないはずだから、まあ大丈夫?
いや,普通多用するだろう(汗

if ( nRST = '0' ) then
nAS <= '1' ; -- これが非同期セット
nDS <= '1' ; -- これが非同期セット

Counter := x"0000" ; -- ここは非同期リセット
elsif ....


ていうように,負論理信号の出力ポート設定の初期値代入部で,非同期のセット/リセットは
多用される。
482774ワット発電中さん:04/09/24 21:26:30 ID:yWwO/zgN
貧乏人・・・ 尤もその通りで御座います。 
しかし安いボードはちゃんと探せば見つかるものですね。全然探せてなかったのか。
1万円でボード付きの書籍もあるようだし。
>>476,>>479,>>480 教えていただいてありがとうございました。
483774ワット発電中さん:04/09/24 21:50:22 ID:F0LpIPSb
>>479
512マクロセルもあれば、DACとかADC組み合わせて簡単な制御機器は出来てしまうな。
5500円なら結構いいかも。
PICとかでやろうとすると、プログラム作るのが結構大変な気がする。
484774ワット発電中さん:04/09/25 01:46:10 ID:Ptdd2vxl
>>481
その使い方なら問題無いのでは?
カウンタに対して、 非同期セットと同期クリアを同時に使用 だから、

Counter <= "0000" ;
ではなく

if ( nRST = '0' ) then
Counter <= "1111" ;
elsif ( clk'event and clk = '1')then
    if( up_edge = '1') then
Counter <= "0000" ;
……
とならないと、あの条件にはかからない。
とは言え、この形ならチョコチョコとは使ってるな…

良かったV4.0SP1で。

単なるTypoだろうけど、 := だとダメよね。 <= じゃないと 
485774ワット発電中さん:04/09/25 05:50:22 ID:9499egBE
非同期クリア非同期セットはokてことね?

つまり
if (RESET='0') then
COUNT_TMP <= (others => '0' );
elsif (LOAD = '1' ) then
COUNT_TMP <= D ;--Dは定数でも良い
elsif (CLK'event and CLK='0') then
・・・・・・・・・・・
は良いけど、

if (LOAD = '1' ) then
COUNT_TMP <= D ;--Dは定数でも良い
elsif (CLK'event and CLK='0') then
    if (RESET='0') then
    COUNT_TMP <= (others => '0' );
    ・・・・・・・・・・・
はダメってことね?
486774ワット発電中さん:04/09/25 15:28:46 ID:g2f/YbZ3
Q2で非同期のセットって使えたっけ??

> if ( nRST = '0' ) then
> Counter <= "1111" ;
> elsif ( clk'event and clk = '1')then
>     if( up_edge = '1') then
> Counter <= "0000" ;
> ……

って書いてQ2で通すとnRSTでCounterは”0000”になっちゃうんだけど..なぜか
だから儂は非同期セットは絶対使わない。(使えない?)

487774ワット発電中さん:04/09/25 22:39:43 ID:iKnMUesv
>>486
ひょっとしたら、nRSTをclkよりも短くしていない?
たとえば、

entity Counter_4 is
port (
clk : in std_logic;
nRST : in std_logic;
up_edge : in std_logic;
Count_Out : out std_logic_vector( 3 downto 0)
);
END Counter_4;

architecture RTL of Counter_4 is
signal Counter : std_logic_vector( 3 downto 0);
begin

process ( nRST, clk, up_edge ) begin
if ( nRST = '0' ) then
Counter <= "1111" ;
elsif ( clk'event and clk = '1')then
if( up_edge = '1') then
Counter <= "0000" ;
else
Counter <= Counter + 1;
end if;
end if;
end process;
Count_Out <=Counter;

end RTL;

の場合、Count_Out は、nRSTでセットするデータが"1111"だと次のclkの立ち上がりで"0000"になるよ
nRSTを'0'にするのを4〜5clkの長さにしてシミュレーションしてみたらよいかも?
あるいは、nRSTでセットするデータを"1111"以外にしてみるとか?
488困った(T.T):04/09/26 18:05:24 ID:JPatFTgy
2個のXilinx Spartan-II(2S200)と2個のPROM(18V02)、計4個のデバイスが
シリアルに接続されたボードを製作し、ISE WebPackでConfigしようと
しているのですが、このような複数デバイスからなる回路を
コンフィギュレーションするメニューがWebPackに見当たらず困っています。

WebPackは複数デバイスのConfigはサポートしていないのでしょうか。

何か良い方法はありませんか。
489774ワット発電中さん:04/09/26 18:38:10 ID:v+saTNgr
>>488
JTAG用のピンを入り切りして、2個のFPGA切り替えるジャンパもしくはスイッチ付けてはいないと?
490774ワット発電中さん:04/09/26 19:44:50 ID:JPatFTgy
JTAGは一番目のデバイスに直結でつ...(T.T)

時間がなかったのでよく考えず、データシートにあったMaster/Slave回路を見て、
えいやと基板作ってしまいますた。

せめて、個別のbitファイルを指定したPROMに流し込めると良いのですが、
ダウンロードケーブル接続時iMPACTに表示されるデバイスチェーン上でデバイスを
選択すれば、指定したPROMにデータが書き込まれるのでしょうか?

(回路が正常動作していないのでそれさえ確認できない)
491774ワット発電中さん:04/09/26 20:06:28 ID:lfFvQFoi
>>490
iMPACTでちゃんとチェーンが表示されているなら、
デバイス上で右クリックで個別にプログラミングできます。
492774ワット発電中さん:04/09/26 20:10:52 ID:qzXghf3V
チェーンは繋いでおくのが常識ではあるが、個別にプログラム出来るよう
切り離しが出来るように回路設計しておくのがもしもの場合の保険だろ。。

一つ経験値が上がったな。
493488:04/09/26 20:26:52 ID:JPatFTgy
>>491
ありがとうございます。個別にプログラミングできるのであれば、あとは
DONE信号でROMが次に切り替わるようにすれば対処できそうです。
>>492
結局リメイクになってしまいましたので、次こそ抜かりがないようにします!!
494774ワット発電中さん:04/09/26 23:12:40 ID:+lxFjwqy
AlteraのQuartus Uライセンス取得について教えてください

AlteraのHPでライセンス取得を進めていたらNICを要求されたんですけど
この場合ルータのNIC書いたらLAN内のパソコン全てでできるようになりますか?
495774ワット発電中さん:04/09/26 23:17:13 ID:JPaTaal5
タダなんだから試せば?
ちなみにフレッツADSLの仮想NICはダメだった。
496 ◆YMO/ALTERA :04/09/26 23:18:21 ID:doUlNuXA
最近は試してないがVMwareの仮想(ゲフンゲフン
497494:04/09/26 23:20:32 ID:+lxFjwqy
>>495
レスどうもです
おいらもフレッツなので辞めときます
498 ◆YMO/ALTERA :04/09/26 23:26:04 ID:doUlNuXA
>>494
マジレスすると、WebEditionのライセンスだったら
別に一人が数個申請するくらいだったら全然問題ないっす。
アルテラいわく「利用状況をつかむため」なのだそーで。

VMwareでやったときは、ライセンスをピーコするのが目的ではなく、
当時のライセンスマネージャが、NICをハブに繋いでいないときに
NICを認識してくれなくて、苦肉の策でやったよーな覚え。
ある時点から、NICがうpしてなくても認識してくれるようになった。

有償商品の方だったら、代理店に問い合わせてみるべし。
499494:04/09/26 23:43:37 ID:+lxFjwqy
>>498
なるほど、ソフトウェアのライセンス取得でNIC聞かれたのが今回初めてだったので
ちょっとどうなのかと軽い気持ちで質問してしまいました
それなのにマジレスしてもらい有難うございます

>マジレスすると、WebEditionのライセンスだったら
>別に一人が数個申請するくらいだったら全然問題ないっす。

いまソフと立ち上げたらライセンス期間30日(参考書には150日とか書いてあったけど)
と言われた(Free版)
でも上のアドバイスしてくれたようにライセンス期間終了して再取得しても
Alteraさんから警告されたりしませんか?
500 ◆YMO/ALTERA :04/09/26 23:50:41 ID:doUlNuXA
>>499
30日ってのは、ライセンスキー未取得のときの話ではないかな?
うろ覚えだけど、30日モードのときは、*.pof *.sof が生成されなかった
よーな希ガス

何遍取得してもいいし、期限が切れたらその都度申請してもいいと
おもう。アルテラの鯖が落ちていないかぎり、度重なるライセンス申請が
蹴られたという話は聞いたことないです。

ていうか本職の人でも、WebEditionで済む案件はそれでやってる
という話もたびたび聞く。フローティングライセンスは割高なものでねー。

俺も、半年ぶりの申請のとき、ゴルァ言われるんじゃないかとビクビクしてた
501494:04/09/26 23:57:59 ID:+lxFjwqy
>>500
英語流し読みしたからそうだったかもしれない…
とりあえずできたらできたで行こうと思います
ゴルァ、それなら質問するなって思う方どうもすいません
わたくし尻の穴が小さいものですから

それでは早速勉強に入ります
解らない事があったらまた来るかもしれませんがその時はよろしくお願いします
502774ワット発電中さん:04/09/27 00:23:07 ID:n0mIyLxu
最近HDLを初めて、Verilogで遊んでるんですが。

現在EP1K10を使っているのですが、この中にはEABが3個あるということで
512x8bitのRAMを使おうと思い、以下のような記述をしてコンパイルしてみたところ
LEのほうを使ってしまうようなのです。
明示的にEABを使わせることって、どうやればいいのか何方か教えていただけませんか?

module RAM (addr,data,ce,we,oe);
input [8:0] addr;
input [7:0] data;
input ce,we,oe;

reg [7:0] mem [0:511];
wire W,R;

always @(W or R) begin
if(W)
mem[addr]<=data;
end

assign R=oe & ce;
assign W=we & ce;
assign data = (R) ? mem[addr] : 8'hzz ;
endmodule
503774ワット発電中さん:04/09/27 08:54:56 ID:ilVECdid
>>502
QuartusIIなら、メニューのTools->MegaWizardなんたらでRAMを作るのが確実。
504774ワット発電中さん:04/09/27 21:57:19 ID:q9EojfZI
Altimaでくれる、黒いノートがあるだろ。
あれにテスター当ててみな
505502:04/09/27 23:34:28 ID:KfinkffS
>503
ありがとうございます。無事RAMが作成できました!
506774ワット発電中さん:04/10/01 13:59:58 ID:ktqCkcLn
xilinxのspartanで内部F/Fのパワーオンリセットをするいい方法は
ないでしょうか?

DONE信号を遅らせてI/Oに入力するしかないでしょうか。
507774ワット発電中さん:04/10/01 14:53:24 ID:LaktfnQS
FPGAは"コンフィギュアブルデバイス”なので電源投入時にはデバイスのコンフィギュレーション
が行われて、初期値が代入されている。

ASIC作ったときに、FPGA屋に教わった。リセット信号いれなくともdoneが出たときに
イニシャライズ済んでますと。その代わり初期値を必ずかいとけよとも。
(或寺系の話)
508506:04/10/01 15:21:39 ID:ktqCkcLn
>>507
おおー調べたら制約ファイルにINIT属性なるもので
初期値を書きなさいとxilinxのサイトに書いてありますた。
509774ワット発電中さん:04/10/02 00:01:21 ID:me/3J0ts
>>506
制約ファイルに書かなくても、verilogだったら
reg q = 0;
とか
reg q = 1;
とか書くだけで初期値が設定できる。
510774ワット発電中さん:04/10/02 01:40:35 ID:g1Sid/Tf
区折るタスはデフォルトでオンだが、オプションでその機能を殺すと少しフィットが楽になる。
Don't Care PowerUp だっけ。

511774ワット発電中さん:04/10/02 09:45:22 ID:MSiK5VOk
>>510
情報サンクス。しらんかった
512774ワット発電中さん:04/10/02 15:38:16 ID:me/3J0ts
IDが5VOkカコイイ!
513774ワット発電中さん:04/10/04 18:58:14 ID:pBlQn9Zl
えっ?
FFの初期値って指定できたの?
知らなかった
514774ワット発電中さん:04/10/04 22:38:12 ID:mmsmBjjw
>>510
マニュアルリセットとパワーオンリセットが兼用できるなら、パワーオンリセットは不要だもんな
515774ワット発電中さん:04/10/05 18:15:52 ID:PW+RuSRP
Qちゃん4.0になってから
インターフェイスが変わってしまって、わからん
Niosどうしたらええねん
516774ワット発電中さん:04/10/06 07:38:13 ID:EFesI5rK
>>515
統合開発環境になったからね
eclipseを知らないと、かえって戸惑うよ
517774ワット発電中さん:04/10/06 23:07:04 ID:vn82AKO2
Niosユーザーはけっこういるのですか?
FPGAの片隅にCPUを載せるくらいから始めたいのですが、
バージョンアップが頻繁で、枯れた印象がないので、
その辺が心配です。
518774ワット発電中さん:04/10/07 11:40:39 ID:ktW5K/Tr
>>517

Nios大好きです。
不具合や仕様変更は多いけどそれ以上に魅力があるので使ってます。
「枯れた〜」なんて考える人は手を出さない方が懸命です。
519774ワット発電中さん:04/10/07 12:20:27 ID:CzU+LWv1
USB-JTAGだそうな。
http://www.nahitech.com/ujstamp.html
520774ワット発電中さん:04/10/07 17:01:15 ID:fkls8hLI
>>510
頃すっちうのは
Don't care power-up=OFF にすること?
ウチのプロジェクトじゃこれがONになってたっす。
521774ワット発電中さん:04/10/07 21:40:41 ID:dbt69uTw
>517
Nios最近使ったんだけど、自分のロジックが簡単にNiosバスに埋め込めて本当に簡単。
今までFPGA+SH or H8など汎用のCPUと組み合わせていたのが完全に1コに入るイメージだ。
SOPC-Builderが良く出来ていると思う。
しかし漏れのNiosKitのTCP/IP、他のパケットとコリジョンするとスタックがハングしてしまふ
なぜ?
522774ワット発電中さん:04/10/07 22:25:34 ID:ObHmxiW0
NiosのライセンスだけではNiosIIは使えないのね。
QuartusIIの正規ライセンスも必要となると、けっこうな出費だ。
523774ワット発電中さん:04/10/07 23:58:49 ID:ktW5K/Tr
>>522

そんな人はNios2の開発キットを購入するのがお勧め。
キットの種類によるけど10万以下で買えるのもあるよ。
524774ワット発電中さん:04/10/08 00:02:02 ID:SUkG5Alj
>>521

ほんとSOPC_BUILDERが優れ物だと思う。
Nios1がでて暫くは単なるNiosを生成するだけのツールだったけど、
今はSOPC_BUILDERが主役の感がある。
SOPC_BUILDERから見たらNiosも単なる周辺デバイスだからね。
525774ワット発電中さん:04/10/08 01:12:06 ID:sPqi4AGJ
最近DWMやInterfaceで、アルテラやNiosの特集やらないね。
前は、アルテラの広報誌か?みたいな勢いでやっていたのに。

>>523
これか?
http://altimanet.com/altera/nios/nios2_kit.htm
526774ワット発電中さん:04/10/09 01:56:24 ID:iVFi5zW9
X社のマイクロブレイズはどうだ。プレスリリースで
使用者がめちゃくちゃ多いというのがあったけど俺は見た事がないよ。
使っている人を・・お得意のプロパガンダかな。
うちの会社はX社好きが多いのにCPU使用者はNios+ALTERAだ。

527774ワット発電中さん:04/10/09 01:59:03 ID:trmOOYYa
アメリカでは、と言う限定句付きでは?
材ならあり得る話だと。
528774ワット発電中さん:04/10/09 09:30:28 ID:EvDaqdOq
>>527
正解。
前に上司が「ここは日本じゃ」と呟いていたw

529774ワット発電中さん:04/10/10 17:13:20 ID:bIqRLA6B
FPGAって個人レベルでもLSIが作れる物なんですよね?
自分、高2でまだ大した知識もないのですが、将来は
ビデオカメラとかで使われるLSIの設計者になりたいと思っています。
MPEGのリアルタイムエンコーダーとか作れちゃったりするんでしょうか??
もし可能なら今から勉強してみようと思います。
530774ワット発電中さん:04/10/10 17:23:04 ID:Ww8C6h16
将来は、MPEGエンコーダIP拾ってくれば完成、になってたりして(w
531774ワット発電中さん:04/10/10 17:31:41 ID:LTloLZcX
俺の知ってるLSI設計者は、どう表現したらいいのか判らんがいわゆる頭のいいやつだ。
物事の飲み込みが物凄く早いし、どうでもいことでも細かく憶えてたり。
努力してそうしてるようには見えないんだが、なんか脳の利用率が俺とは全然違う。
やたら多趣味で、今の仕事止めてもそっちやあっちで十分食ってけるんじゃないかってなレベル。
532529:04/10/10 17:36:30 ID:bIqRLA6B
>>530
MPEGエンコーダIPって何ですか?
IPアドレスとは違うのですか?
533774ワット発電中さん:04/10/10 20:24:49 ID:Oh3QIS5v
>>532
IPコアで検索してみましょう。
534774ワット発電中さん:04/10/11 08:56:47 ID:M7q7INtS
>>529
高校生だったら、FPGAは金がかかるから、まずはチップ&ライターが2千円くらいで入手できるワンチップマイコンからやったほうがいいかと。

535774ワット発電中さん:04/10/11 15:02:34 ID:V+ZQYeOb
見当違いの物を勧めても仕方あるまい。
金がかかるんなら、バイトでもして社会勉強をしたらいいじゃないか。
それが電気関係の町工場とかなら更に良い感じかも。
536774ワット発電中さん:04/10/11 16:11:31 ID:2m5T4f3p
>>535
俺、学生の時にそれやった。 もの凄い勉強になったぞ。
プロになって10年以上経過するが、アルバイトした時の経験が俺の泥臭い部分での基礎
になってる。 (理論的な基礎は、大学でしっかり学びましょう。 藁)

設計や開発をやってる町工場、或いは零細企業が御奨め。
537529:04/10/11 19:16:19 ID:N2ALu2oQ
>>533
ありがとうございます

自分はまだまだ勉強不足ですががんばってみようと
思います。
とりあえずCQ出版のFPGAを買って、いじくってみるつもりです。
電気関係のバイトもいろいろと探してみようと思います
みなさん、ありがとうございます
538774ワット発電中さん:04/10/11 19:50:34 ID:tDHA8p0f
>>537
実物は見てないが、これなんてどう?

http://www.hdl.co.jp/EDA-002/index.html
ALTERA対応FPGAトレーナ EDA-002は、USBインタフェースをもつPCに接続し、
ALTERA社の開発ソフト(QuartusII Web Edition)により設計した回路を、USB経由でコンフィグレーションできる学習用ボードです。
 USBへのコンフィグレーションは、弊社の専用コンフィグレーションソフト(ソース公開)によりスピーディに行うことができます。
 パラレルポートの無いノートPCなどで便利にお使いになれます。
 学校などの教育機関や個人の皆さまの学習用として低コストを追求いたしました。

予定価格15,540円
539774ワット発電中さん:04/10/11 20:32:26 ID:R9mhJNK1
それ、ACEXの一番ちいさいやつでしょ? せっかくだから、無理しても
なるべく大きい容量の買った方が良くない?
教科書どおり書いてみたけどフィットしなくて・・・・じゃ、ボード買った意味ないし。
540774ワット発電中さん:04/10/11 21:07:57 ID:hvQzEfEt
漠然とPLDいじっても面白くないかもしれないから
まず、何をしようか考えてみるのはいかが?
541774ワット発電中さん:04/10/11 21:34:36 ID:C0lYUm51
>>539
高校生の身だと15000円だってかなり苦しいんでは?

>>540
そこでナイトライダーLEDですよ
 (って高校生にナイトライダーって言っても通じないかw。 これなw →  http://www.lcv.ne.jp/~aiaki/kitt/kitt.html
542774ワット発電中さん:04/10/11 23:06:07 ID:9+uqtazI
V+ZQYeObは高卒DQN。
相手にしてはいけませんよ。
543774ワット発電中さん:04/10/11 23:15:54 ID:9+uqtazI
お、否定しないね。
事実だもんね。
544あぼーん:あぼーん
あぼーん
545774ワット発電中さん:04/10/11 23:21:19 ID:5FcrQKLS
昨日の工作質問スレより

>377 名前:774ワット発電中さん 投稿日:04/10/10 22:10:08 ID:KAuTM1EQ
> GrQpEHKuは高卒なのでデータシート読めません。

>378 名前:774ワット発電中さん メェル:sage 投稿日:04/10/10 22:12:30 ID:9dhyDaza
> KAuTM1EQ
> 隔離スレから出てくるなら言葉遣いを勉強しましょうね。

546あぼーん:あぼーん
あぼーん
547774ワット発電中さん:04/10/11 23:27:17 ID:9+uqtazI
何かもう必死でしょ、
高卒と書かれる度に律儀に反応してくるリアルDQNって。

動物観察の感覚だよね。
548あぼーん:あぼーん
あぼーん
549あぼーん:あぼーん
あぼーん
550774ワット発電中さん:04/10/11 23:53:00 ID:9+uqtazI
ラプラス変換の意味すら知らないんだね高卒って。
生きてて恥ずかしくないの?

ラプラス変換の意味すら知らずに電気電子板に居る
=九九知らないで数学板に居る
551774ワット発電中さん:04/10/12 00:01:07 ID:5yn2Pq+W
>>550
じゃ、おまえ、sにjωを代入すると周波数応答が得られる理由を言ってみろ



ん?わからない?じゃ、ヒント:ラプラス変換∈フーリエ変換
552774ワット発電中さん:04/10/12 00:11:53 ID:kKkDmTRw
>>551
所詮高卒だなぁ。
ラプラス変換⊂一般化フーリエ変換
だよ。
「ラプラス変換∈フーリエ変換」だなんて言ったらお里が知れる。
553774ワット発電中さん:04/10/12 00:12:54 ID:A9YpXX2M
>>551
隔離スレから出てきた一寸アレな人なので無視しましょう。
554774ワット発電中さん:04/10/12 00:26:24 ID:kKkDmTRw
しかし余程の馬鹿でない限り
普通は
フーリエ変換がラプラス変換の特殊ケースと
言うものだけどね。
s=δ+jωといってもわからないか。
555774ワット発電中さん:04/10/12 00:30:59 ID:TtQKC1Ce
ラプラスって何食い物?
おいら高校中退で無職だけど
若い女にモテモテの勝ち組
556774ワット発電中さん:04/10/12 00:31:39 ID:A9YpXX2M
申し訳ないけどここで続けてないで下さい。
557774ワット発電中さん:04/10/12 00:32:33 ID:5yn2Pq+W
高卒論議はスレ違いなので http://science3.2ch.net/test/read.cgi/denki/1096041959/ こっちへどうぞ。

558774ワット発電中さん:04/10/12 00:57:38 ID:kKkDmTRw
>>555
高卒猿基準からすると、大腸菌とか
すごい勝ち組だよね。
559774ワット発電中さん:04/10/12 01:36:40 ID:TtQKC1Ce
>>558

ふーん
560774ワット発電中さん:04/10/12 01:37:01 ID:TtQKC1Ce
>>558

あげあげ
561774ワット発電中さん:04/10/12 01:38:51 ID:A9YpXX2M
こっちでやらないでくれ。

ちゃんと隔離スレがあるんだから。

8080=マイコンとか言ってる高卒は屠殺してよし
http://science3.2ch.net/test/read.cgi/denki/1096041959/
562774ワット発電中さん:04/10/12 01:58:31 ID:kKkDmTRw
いやなんかこのスレの奴が
こっち呼び出してきたし。
563774ワット発電中さん:04/10/12 01:59:16 ID:kKkDmTRw
IDつきで人様のスレ荒らしに来た以上
ただで済むと思うなよ高卒。
564774ワット発電中さん:04/10/12 02:02:48 ID:kKkDmTRw
高卒名言集

8080=マイコン
Z変換のZはインピーダンスのZ
ベクトルは普通に微分出来る


ぷひゃひゃひゃひゃひゃひゃ

なんすかこれ〜〜〜〜〜
565774ワット発電中さん:04/10/12 17:20:38 ID:D+yMDsB2
>>564

ごくろう
566774ワット発電中さん:04/10/12 20:37:29 ID:P6HlRVzf
>>541
15000円が苦しいなんて言ってたら、なんにもできないよ〜。

本当に苦しかったら、とりあえずHDLでシコシコ書いて、
来る大容量デバイスを手にする日を夢見るのもいいかも。
567774ワット発電中さん:04/10/12 23:27:44 ID:pspfaSuC
>529
身銭を切ってこそ身につくのだとおもうよ。
CQの1万のFPGAでもいろいろできるし。カスも出ない程しぼりつくせばいいじゃん。
そうすれば自ずと石やメーカーの特徴も覚えると思う。

MPEGリアルタイムエンコーダもFPGAで作れるよ。
勿論、個人でそこに辿りつくには相当の知識と労力がいると思うけど。

mp3プレイヤーぐらいなら作れたりしないかな?>CQのボード+αで
568529:04/10/12 23:32:04 ID:dP0LZrO+
>>538
ありがとうございます。USBが使えるのはすごく魅力的なので
買おうかどうか考えてみます。
クロックが6MHzとなっていますがこれで充分なのでしょうか・・・

>>541
ナイトライダーは知らなかったです。そのページ開いたときに
RX-7サバンナ?と思いました(汗
父はナイトライダーを知っていました!!

予算的には2万円ぐらいまでだったら投資してもいいかなって思ってます。
まずは入門としてFPGAで何を作るか考えてます!
みなさんは初めにどんな物を作りましたか?
569529:04/10/12 23:35:12 ID:dP0LZrO+
>>567
アドバイスありがとうございます。
mp3プレイヤーですか!それいいですね。
それかIDEのCD-ROMをくってつけてCD再生させる
なんてのもできたら楽しいかも。
mpegエンコーダはものすごく高い目標ではありますが、
努力してみるつもりです
570774ワット発電中さん:04/10/12 23:35:24 ID:+jKI9ad4
>>568
最初に作った物・・・ナイトライダーだった。(w
571774ワット発電中さん:04/10/13 06:57:59 ID:0AQpbhaY
>>568
ただ、一個のLEDが点滅するだけ。
次にナイトライダー・・

回路らしい回路は、MAX232C使ってシリアル
2400bpsでパソコンにaを送り続けた。
572774ワット発電中さん:04/10/13 07:39:56 ID:LHJD143U
>>571
LED9個を、順番に左右に点灯させてゆくっていうのは簡単だけど
PWMにして、
X○◎●●◎○XXX
(Xは消灯、○は25%Duty◎は50%Duty●は90%Duty)
という感じで左右に動かす っていうのは結構高度と思うのですが、どうでしょうか?
(ワンチップマイコンでも、ちょっと面倒)

あ、ワンチップマイコンといえば、PICとかでは
例えば、あるピンがHになったら、別の、それまで出力だった、あるピンを入力にして、その入力値を読む
という風に、動作時に出力と入力を切り替えることが出来るのですが、
CPLDとかFPGAって、そういう事が出来るのでしょうか?
573774ワット発電中さん:04/10/13 07:45:36 ID:ckjIYwlt
dutyのデータを用意して送り出すaddressを規則的に変えるだけ。
dutyのデータは単にカウント値。簡単だよ。
574名無しさんです:04/10/13 09:15:37 ID:eAAK9obL
>>572
>CPLDとかFPGAって、そういう事が出来るのでしょうか?
ピンはバイディレクショナルで出力はスリーステートにしておけばできると思う。
575774ワット発電中さん:04/10/13 10:44:34 ID:BmFA/IBt
>>574
あ、出来るのですか。何か安いキット(1万円以下)買ってやってみます。ども。
576774ワット発電中さん:04/10/13 10:45:06 ID:BmFA/IBt
>>573
あ、そうか。テーブル作って流せばいいだけか。ども。
577774ワット発電中さん:04/10/13 11:17:21 ID:HNuqEDpF
578774ワット発電中さん:04/10/13 12:09:25 ID:7bRL0CMv
>>577
PLCCならいいんだけどなぁ。取り外せるし。
579774ワット発電中さん:04/10/13 12:28:03 ID:V4yb6TQ4
BmFA/IBt=高卒=ベクトル場と多変数関数の区別ついてない

殺。
580774ワット発電中さん:04/10/13 15:40:17 ID:HNuqEDpF
>>578

そんなあなたにはこれ
http://strawberry-linux.com/pld/index.php?c=xc95xx

ただしOSCとか入っていないし、書き込みケーブルも別途になるから結果としてはそれほどお得ではない。

所で取り外してどうするつもりなの?
多分取り外して変な所擦って遊ぶなよ。(笑)

581774ワット発電中さん:04/10/13 15:49:31 ID:swUQJQ8G
>>580
壊したときに、取り替えられるって事じゃないすか?
582774ワット発電中さん:04/10/13 16:09:42 ID:ZUueA3GL
XC2C256 を個別入手するくらいなら >>577 のをもう一つ買うのが早くて安い。
つか CoolrunnerII 安いとこ教えてくれ。
583774ワット発電中さん:04/10/13 16:50:40 ID:7YF91dyO
FPGAで言う、ゲートって何を指すの?この数が多いといいって事はわかるんだが、イマイチ意味がわからない
584774ワット発電中さん:04/10/13 19:24:07 ID:HNuqEDpF
トランジスタ数だったような記憶があるけどおれもよくわからない。(^^;

ANDロジック1つに2ゲートとか3ゲートとか使うはずだ。
1ロジック2ゲートとすると、100ゲートだとロジックが50個入るとなる。

設計したロジックを計算しようとする問題山済みで、FFの場合は4ゲートとか5ゲート(10ゲートだったかな?)使ったりする。
さらにFPGAの場合はFFとロジックがセットになっているので無駄が多い。

FPGAの場合は使えるマクロセル(又はレジスタなど)の数で計算すると良かったりします。
XC9536などの場合800ゲートだが、マクロセル(レジスタ)の数が36個なので
16ビットレジスタを2つ入れたら3つ目は入らない。という風に計算して
必要なデバイスを見積もります。

ちなみにロジックの使用率は速度重視だと60−70%くらいで抑えるように設計しないと

設計に必要なのはマクロセル(レジスタ数)とRAMサイズ(最近RAMエリアがあるのがあるんだよな)で
だいたいの検討をつけます。

ピン指定すると入るロジック数が減ったりします。


585774ワット発電中さん:04/10/13 23:05:01 ID:7YF91dyO
>>584
なるほど。なんとなくイメージわいた。さんくす
586567:04/10/13 23:18:16 ID:9AOo1/hY
>ゲート数
これ、結構人(分野)によってまちまちかも。
フルカスタム、マニュアル(≒アナログ)レイアウト、ASIC,PLD、、、、。

物理素子で数える→
インバータは2ゲート(基本的に)。
ファンアウトでかいと実際はPMOS,NMOSを並列(ゲート分割)するんで、
インバータでも12ゲートになることも。
あんまり一般的じゃないかも

機能で数える→
インバータ、NAND、バッファ、FFなど1セルを1ゲート。
わりと一般的
FFもバラすとインバータやクロックドインバータ、トランスファーゲートで構成されてるわけで、
状況によってはFFを8ゲートというコトもあるだろう・・・
バッファもインバータ2個とみれば2ゲート。

FPGAは、"ブロック数"と"ゲート数"になってるようで、1ブロックは幕の内弁当のように
いろんな機能素子が詰まってます。石によるけど、乱暴かつ適当にいうと

1ブロック=FFx1、NANDx10,NORx10,MUX(8to1)x1,8bitルックアップテーブルx2
で、石の中には256ブロックあります、とか。

これだと例えばFFは256個、NANDは2560個使える。

584サソがいうようにSRAM内臓のものや、CPU、PLL内臓のものもある。

>569
IDEつけて再生も楽しいと思うよ。まずはIDEに詳しくならなきゃねw
でもいきなりは無理だから、まずはナイトライダーでいいんじゃないかな
こないだ仕事でやったんだけど、
8x8のマトリクスLEDに好きな絵を表示する、とか。PWM制御で明るさも他段階、
ダイナミック点灯で。動作クロックは1MHzもあれば充分だから負担もへる。
256ブロックにおさまったよ。
LEDなら周辺回路の設計負担も軽いから、いいとおもうなぁ
587uran:04/10/14 01:39:26 ID:evA4YTzp
つい,勢いでナイトライダーのナイトフラッシャを作ってしまいました.(w
max7000 CPLDの EPM7128AEです.

http://www.geocities.jp/urannene/
588774ワット発電中さん:04/10/14 02:29:27 ID:Sq2LQTCj
>>587
がぞー
589774ワット発電中さん:04/10/14 11:52:55 ID:LGnxZ3b2
「がってんでい、まいこー」としゃべってほしいな
590774ワット発電中さん:04/10/15 09:23:40 ID:iHUiJjoR
DWM2005年1月号にまたおまけ基板が付いてくるみたいだね。
Spartan3と予想してみる。
591774ワット発電中さん:04/10/15 09:40:13 ID:e317iVpv
サイクロ2でしょ?今までずっと或寺寄りだったし
592774ワット発電中さん:04/10/15 13:14:31 ID:0cqbeD7Q
最黒2キボン。NiosIIで遊びたいよ。
593774ワット発電中さん:04/10/15 15:41:36 ID:tl3WMvNn
予想の斜め上を行ってLatticeだったりしてw
594774ワット発電中さん:04/10/15 18:34:35 ID:LZxZojue
Cyclone2ってもう出回ってるの?
出版社に流すほど数万個も?
無いと思うけどなぁ〜
ほらCQ出版は「自称公正な記事」だから、
ALTERAに寄りすぎたので今度はXILINXで無いかと。
595774ワット発電中さん:04/10/15 18:36:12 ID:LZxZojue
>>593

さらに斜めでFPAAだったりして。
596774ワット発電中さん:04/10/15 18:40:40 ID:LZxZojue
ちなみにByteBlaster用のコネクタが無いので、
ALTERAではないと断言する。
写真右上にある6ピンのコネクタの形状からして、
XILINXの可能性が高いと思うけどなぁ。
597774ワット発電中さん:04/10/15 19:31:43 ID:HcVEwsA2
>>587

デジカメ持って無いのか?
画像くらい貼れよ
598:04/10/15 22:07:14 ID:S39WusqN
てす
599774ワット発電中さん:04/10/16 10:41:35 ID:N7F1V7zV
そっかぁ。最黒2はムリか。NiosII用にUSB Blaster revBも付けてくれたらいいのに(w
600774ワット発電中さん:04/10/16 22:37:06 ID:5t3oYaXR
100ピンQFPなのでXC3S50とみた。
601774ワット発電中さん:04/10/16 23:33:50 ID:lNAljBxC
>>600
一票追加。
みえる範囲の GND の配線は XC3S50 か XC3S200 の 100-QFP と合っている。
602774ワット発電中さん:04/10/17 11:09:55 ID:oNNd9mxU
寺じゃないの?
603774ワット発電中さん:04/10/17 19:00:04 ID:vM6ISD8P
最近日本国内はCycloneにやられてあまり気味だと言っていたXC3S50に一票。
採用できない理由は3.3VのI/Oに弱いし、不具合が多いから・・。
604774ワット発電中さん:04/10/17 19:08:04 ID:oNNd9mxU
不具合が多いようなものをオマケでつけてもらってもなあ

ところで、寺はこれからはUSBブラスターで行くのか?
今までのデバイスにも使えるの?
605774ワット発電中さん:04/10/17 19:28:13 ID:TU6ClbkT
>>604
コンフィグレーション用I/FさえI/O電圧であれば良いって設計になってれば
問題ないと思う。
606774ワット発電中さん:04/10/18 02:53:00 ID:iN8fu1I4
>>603
Xilinxの弱いとこ
電源の印加の順番と許容時間差が厳しかった。
あと、電源の立ち上がり時間も厳しかったような…

Alteraは、その辺りが非常に寛容なので、気が楽。
607774ワット発電中さん:04/10/18 10:05:22 ID:raoDmN+y
>>606
どっちもどっちだった気がする。

APEXの頃は、APEXとROMの組み合わせで、プルアップの抵抗値
換えたり、ダイオードつけたり面倒だった。
そのあたりのアプリケーションノートもちょくちょく変わったし。
実際、上手く電源立ち上げないとコンフィグレーション始まらなかった。

VitexEとかは、先にコアを立ち上げないと、I/Oで大電流が流れて
大変だった。

というわけで、部署内では複数系統の電源は、全てCPLDで制御する
事、がデザインルールになってる。
608774ワット発電中さん:04/10/18 12:00:21 ID:pqZ3sLQO
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
アルテラ最強!!
609774ワット発電中さん:04/10/18 20:11:51 ID:crE9GNfY
MAX2順調?
610774ワット発電中さん:04/10/18 21:03:58 ID:jAdNGlEH
FPGAにマッピング後にRAMにデータを入れたいのですが、
DATA2MEM を使うようですが、COEファイルからの
変換は出来ないんでしょうか?
611774ワット発電中さん:04/10/18 23:18:48 ID:3tMVlfh6
うち(SON○の厚木)ではX社が不具合多すぎで採用禁止。X社よ。早く改善して使えるようにしろ。
A社よ。背の小さい部長をどうにかしろよ。評判悪すぎ。(なぜ評判が悪いか
しらないが・・)。こうなったら最近営業が盛んなL社を採用するかなんて
部署のみんなで話しているのだが・・・
612774ワット発電中さん:04/10/18 23:34:19 ID:GEPP0IMd
うちの家庭内ではS●N●社は不具合多すぎで採用禁止だけどな。
613774ワット発電中さん:04/10/18 23:39:10 ID:T1Dls4p6
>>611
ところが、品川では一寸前までXを使っていたという不思議。
多分今頃は変わってるんだろうけど。
614774ワット発電中さん:04/10/18 23:52:28 ID:v2aHwBmW
>>612
ま、厚木で作ってるようなもん、おまえン家に置くわけも
ないけどな。
615774ワット発電中さん:04/10/18 23:55:30 ID:7VEJtOha
>>611
X社の不具合ってどんなものなのかぜひ教えて欲しい。
不具合の出るチップはどのシリーズかも教えてもらえるとすごくうれしいっす。
私の使い方がへぼいのか判らないけど、特に大きな問題は発生していない。
良く起きる不具合ははんだ不良くらいですね。(^^;
616774ワット発電中さん:04/10/19 00:15:35 ID:jEA+cQ9o
厚木と言えば漏れが過去に仕事した部門は業務用のビデオ編集関係だったわ。
印象としてはやっぱりXは嫌ってるみたいで手配可能部品リストに無かった。(w
617774ワット発電中さん:04/10/19 00:16:03 ID:C8Fs6nMM
品川と厚木を一緒にするなよ。品川なんて売れない民生かLSIの評価、
つまり試作でしか使わないだろ。FPGAの売り上げなんてほとんどが
厚木でそれ以外は1割くらいじゃない。
つまりx社が厚木で採用禁止ってメチャクチャダメージでかいということだよ。
618774ワット発電中さん:04/10/19 01:15:34 ID:a5tRFY6x
ソニータイマーって業務用でもやっぱり入ってんの?
619774ワット発電中さん:04/10/19 01:18:40 ID:a5tRFY6x
>>615
タイマーに苦しむ庶民からすると、
X社の不具合とか言ってるのはS社の中の人の頭の中が
不具合なのではないかと。
具体的に明示できない訳でしょ。
作るものの品質が他社と違うのは、S社の中の人の頭の中のせいだろ。
620774ワット発電中さん:04/10/19 01:21:55 ID:jEA+cQ9o
>>615
PLLかな。
クロックの系統が多い場合根本的に数が足りない等の問題があるよ。
漏れ自体は大きなトラブルに見舞われたことがないが、一寸前まで
開発ツールが統合環境でないとかって面倒くささもあったかな。
621774ワット発電中さん:04/10/19 02:04:18 ID:a5tRFY6x
他社で問題なく使えてるんだったら
S社の中の人が無能なだけじゃねーの。
622774ワット発電中さん:04/10/19 02:09:10 ID:WIEN5nbR
〜荒らし君出現中!〜
ID:a5tRFY6xは以下のスレを立てた荒らし君です。
関数電卓、買うならどれが良い?@電気・電子 Part2
http://science3.2ch.net/test/read.cgi/denki/1093867922/l50
8080=マイコンとか言ってる高卒は屠殺してよし
http://science3.2ch.net/test/read.cgi/denki/1096041959/l50
ポテンションメーターとか言ってる高卒は屠殺してよし 2
http://science3.2ch.net/test/read.cgi/denki/1097692986/l50
真面目に相手をすると粘着されるので荒らし君には相手にならず出来るだけ放置しましょう。
これまでの経緯はこちらに纏めています。
mobile:モバイル[削除議論]
http://qb5.2ch.net/test/read.cgi/sakud/1094559910/5-6
荒らし君について詳しく知りたい人、一言物申したい人はこちらへドウゾ( ・∀・)っ旦
【電卓関連スレ】宇宙電波観測所 7
http://tmp4.2ch.net/test/read.cgi/tubo/1097759355/l50
623774ワット発電中さん:04/10/19 02:36:24 ID:ep1Hvi4r
にしても、
ソニー製品で欲しいものホントに皆無になったな。
VTR/トリニトロン
所詮は機械屋だったんだよな。
624774ワット発電中さん:04/10/19 02:37:30 ID:ep1Hvi4r
>>618
タイマーの代わりにアワーメータ入れて短い時間でサービスを義務付けてます。
625774ワット発電中さん:04/10/19 07:30:12 ID:No1nLwTl
>>619
確かにPLLを使うとなると材は弱いかもしれない。(有寺のPLLは魅力だね)
でもロジックが増えると有寺の方が先に悲鳴をあげるので小さいデバイスだと材の方が使いやすい。

量産でのトラブルだとすると数を使っていないからおいらは経験がない。
FROMが突然消える現象が量産で現れたら確かにしゃれにならないね。
626774ワット発電中さん:04/10/19 13:16:33 ID:xI1nVxzq
>>623
全くだな。今思えば80年代半ばまでだったな、SONYがそれなりにマトモだったのは。
ホンと今は欲しいものが皆無だよ。最後に残ってたのはヘッドフォンだったけど(w
627774ワット発電中さん:04/10/19 13:28:57 ID:jEA+cQ9o
井深氏の時代でソニーは終わってる。
そう言うことでしょう。
628774ワット発電中さん:04/10/19 14:27:02 ID:FXpDTLq4
入らない
入らない
入らない
60%はないだろ。。。
629774ワット発電中さん:04/10/19 22:03:12 ID:ZgYPOww2
>>621
あんたの会社で何も起きていないの?
うちはS○NYじゃないけどおそらくS○NY以上に購入しているはず。
世界でも何本の指に入るFPGA購入会社だと・・。
うちもX社の品質面は前から非常に問題になっている。
ROMの件を含めて年に数回は大きな問題を起こしたからな。
採用禁止ではないけど控えるように事業部長のメッセージが出ているくらい
630774ワット発電中さん:04/10/20 00:15:06 ID:7Gl1sZcR
>>626
俺はプロフィールとDVデッキまではソニーに投資したよ。
プロフィールやデッキはともかく、DVムービーの故障頻度の多さ、
再修理の頻度の多さ(再^15回修理した)に閉口した。
他のメーカーなら再修理が重なったりすると交換を申し出るのにそれもなし。
結局完璧に治らんうちフォーマット自体終わろうとしてる。
すぐに汚れるDVテープでもひどい目にあった。数十本がパー
製造物責任が厳しく問われ、すぐに不具合情報がインターネットで流れる今なら
三菱自工なみにたたかれてもおかしくないよ。
もう二度とソニーに投資することは無い罠。
631774ワット発電中さん:04/10/20 08:09:00 ID:a2QWtmEZ
マックス2って、コンフィギュレーションいらないの?
632774ワット発電中さん:04/10/20 13:59:10 ID:B1B9sJSc
>>631
読め!
633774ワット発電中さん:04/10/20 13:59:39 ID:B1B9sJSc
634774ワット発電中さん:04/10/20 22:51:24 ID:AdvX1xIq
LUT使ったCPLD・・・・CPLDってPLDの寄せ集めじゃないの?
教えて! エロいしと!
635774ワット発電中さん:04/10/20 23:35:14 ID:lzNP4EqE
>>> LUT使ったCPLD・・・・CPLDってPLDの寄せ集めじゃないの?

そう言っても差し支え無いけど、原理とか構造とかで言えば全然違う。
アドレスデコーダー作ってるだけの奴なら区別付か無いだろうね。
漏れは相手の知識や理解度を見極めて説明をあえて歪曲する事もアナルYO。
636774ワット発電中さん:04/10/21 01:05:28 ID:0oUgeFzo
XILINXってどうしてうちと組むことにしたんだろう・・・
CMOS4のみならずCMOS5世代でも。
身内の設計課からすると迷惑この上ないw
637774ワット発電中さん:04/10/21 03:05:08 ID:UVndCEgO
>>631
もはやそれをCPLDと呼ぶのか?
638774ワット発電中さん:04/10/21 07:34:37 ID:a57KjVUC
>>636
内部告発ですが、
(1)90nmの歩留まりが現状のUMCだと悪すぎる。
(2)皆さんのご指摘どおり不具合が多すぎて顧客から違う前工程の
  会社を探すよう言われた。
です。
639774ワット発電中さん:04/10/21 08:51:03 ID:7p2NwCAc
>>636
大分はXで埋まってますな。ほかの製品は追いやられて・・・

>>638
TSBより悪いUMCって・・・TSBの歩留まりって他社よりかなり低いはずなんだけど。
歩留まり対策してやっと他社並なのに・・・
640774ワット発電中さん:04/10/22 18:14:41 ID:ZlRTaebM
この前Verilogやったんですよ。初めてね。
で、生まれて初めて論理を合成したわけですわ。正直最初はVHDLってVerilog-HDLの略
思ってたのよ。両方、本屋に並べて置いてあるからさ。
あのね、俺が間違ってた。あれは人が書くもんじゃない。神だね、神が書くものだよ。
最初に発振回路書く時さ、めちゃめちゃびびってアサインぞろ〜って並べてラッチぞろ〜っと並べたのよ
10行くらいかけてさ。でなんか怖くなって両方functionの中に書いちゃったのさ。
そしたらSynthesisReportがさ「もっとreg使って!」とか言うの。
同じ過ちは2度繰り返さないのが俺よ。
だからアサインから出したのさ。えぇ、そりゃもう使いましたとも。全てを忘れて使ったよ。
後方参照とかラッチとか色々忘れてね。
だってSynthesisReportが駄目って言ったからね。
そしてらエライ事になった。
もうすごいResource Summary。すごいRegister Used。200個くらい。74HC4020なら10個入る。
それで横見たらNavigator-Processesの×マークすごい勢いで増殖してんの。ホントごめんなさい。
正直「CプログラマーならVerilogだぜ!」なんて見栄張らないで素直に回路図入力にすりゃよかったと思ったよ。
心の底からHDLにした事を後悔したね。
でも講習会出て友達と「前のState Diagramしょぼかったな!これだからStateは。」とか言っちゃてんの。
ホント俺ってダメ人間。
誰か助けて下さい
641774ワット発電中さん:04/10/22 19:55:42 ID:WIIC1yyR
ツマンネ
642774ワット発電中さん:04/10/22 19:58:25 ID:Ct8ogVcu
記述言語で論理設計っていうスレがあるんだけどね。
ダメ人間だから言っても無駄か。
643774ワット発電中さん:04/10/22 20:04:53 ID:TxzJ1goo
>VHDLってVerilog-HDLの略

すまん。今まで隠してチーフエンジニアやっていたが、オレも最初、そう思った。
644774ワット発電中さん:04/10/23 01:09:44 ID:QBX7vWDl
同じようなコンセプトでしかもVerilogが出たあとすぐにVHDLなんて名前つけてる方が悪い。
マジでVHDLなんて必要なかった。なんのために世に出てきたんだよVHDL
645774ワット発電中さん:04/10/23 01:29:51 ID:NcJCGskH
戦争のため
646774ワット発電中さん:04/10/23 01:33:28 ID:QBX7vWDl
もうVHDLは息の根がとまったと思ってたんだが今月号のDW誌でわけのわかってない大学のせんせぇが
くそ記事をVHDLで書いてたね。いくら研究者でも世の中の流れをちょっとは知っておけ!
647774ワット発電中さん:04/10/23 02:05:26 ID:e/pGRXe/
>>646
くだ欄揚げ足より無いようだね。
言語が変わっても変わらない部分が重要。

物事の本質を見誤る奴が一番馬鹿って事だわ。
君はどうかしらんが。
648774ワット発電中さん:04/10/23 02:33:15 ID:t45EgvAU
両方覚えちまったらどっちでもよくなるよ。

それよかFPGAの自由度が増えた分、高度な数学センスを要求される
ようになって設計者としての限界を感じつつある。
FIR作って喜んでいた時代は幸せだったな。

649774ワット発電中さん:04/10/23 03:37:19 ID:ogDEeUVW
XもAも
VHDLもVerilogも

客の要求ならつかわざるをえんのだよ。
子供と妻に飯を食わす為にね。

「I/FはRocketIOで」なんて言われたら。
「このツールはVHDL対応のみです」とか。

四の五の言わずに、仕事片付けろや。

趣味で使ってる奴は幸せだよ。
650774ワット発電中さん:04/10/23 03:47:14 ID:ogDEeUVW
客がXの18使わんでくれっつーんで17で間に合わせたんだが
サイズが違ったり
プログラムが面倒だったりで
そーか、消えるっツーのはほんとだったんだ。
というのがこのスレみて分かったよ。
651774ワット発電中さん:04/10/23 03:57:44 ID:Jp1ylVfk
初期からHDLを弄ってる人は両方使えるんじゃないかな?
漏れは両方使ってるが、アルテラのFPGAを使ってる客が多い都合で未だに
VHDLがメインです。
652774ワット発電中さん:04/10/23 08:42:59 ID:I7hBc9xv
>>649
ロケットI/Oどうよ?

オーロラ使うとちょっとましになるけど、それでも制御面倒だ。
面倒って言うか、こんなんでいいの?っていうくらい処理を
はしょって何とかI繋がる感じ。
653774ワット発電中さん:04/10/23 10:38:37 ID:wNnyeciB
>>648
激しく同意。 俺も自分の頭の悪さに限界を感じつつある。
回路記述にしても言語記述にしても、回路を考えてた頃が一番幸せだったと思う。
今は、回路設計なんて出来て当たり前。 入社2〜3年目の子だってそこそこの規模の
FPGA使ってるしね。
それ以前に仕様にかかわる部分の、数学的な方が出来なきゃ話になんなくなってきた。
俺ももうダメかも・・・
654774ワット発電中さん:04/10/23 13:21:27 ID:sr8CLdSW
>>653
数学的な事がわからないといけないというのは、
アルゴリズムの開発までやらなきゃいけないんですか?
655774ワット発電中さん:04/10/23 13:26:18 ID:xTeZ7xin
言語記述の抽象度が上がると同時に、仕様書の愁傷度も上がるわけで。
私も引退....かな。
656653:04/10/23 14:06:50 ID:wNnyeciB
>>654
要求された回路を要求通りに作るだけだったら、オペレーターと同じでしょ。
入社数年くらいだったらそれでも技術者と言ってられるかもしれないが、それ以降も
それでは通用しないのでは、と感じてるんだよ。

現実的には >>655 さんが言ってるように、要求仕様の抽象度が上がってきてる。
設計者が記述の抽象度を上げたいと思っているのと同様に、企画側も要求仕様の
抽象度を上げたいって事だと思います。 つまり企画者・設計者・ツールが全体的に
上にシフトしたって事。 だから技術者は設計が楽になったと喜ぶんじゃなくて、
1ランク上の事が出来ないと生き残っていけないのでは? という不安を抱えてる。
657774ワット発電中さん:04/10/23 14:13:32 ID:hD6OnUV1
>>656
なんかそれは実感するな
回路規模の削減も今は回路設計の工夫というより
アルゴリズムの工夫で行う感じがしてる。
658774ワット発電中さん:04/10/23 15:30:42 ID:eks0zcyR
基礎がない高卒・学卒は大変そうでつね。
659774ワット発電中さん:04/10/23 15:33:17 ID:9prF8mYp
>>656
現代日本では1ランク下でも死ぬることはないから安心汁
660774ワット発電中さん:04/10/23 16:42:54 ID:eks0zcyR
>>647
高卒荒らし死ね。
661774ワット発電中さん:04/10/23 21:56:37 ID:uR4WZOyp
>>647
>くだ欄揚げ足より無いようだね。
君は何を言いたいんだ?
わかるように日本語書けば?
662774ワット発電中さん:04/10/23 22:14:49 ID:ilIX25wI
>>くだ欄揚げ足より無いようだね。
>君は何を言いたいんだ?

電磁波の浴びすぎで馬鹿になったらしいよね
663774ワット発電中さん:04/10/23 23:41:59 ID:FRxKLNMI
>>662
少なくとも、この宇宙空間に存在している以上は電磁波を浴びますが?

もっとも、電磁波を浴びずにいることができる世界とは、絶対零度の密室だけですがね。
664774ワット発電中さん:04/10/24 01:05:20 ID:KMkaxbWj
まぁ、放射熱=赤外線自体、電磁波だからな〜。
というか絶対零度の密室だとしても、鉛や鉄やアルミの密室でないと電磁波浴びるだろう。
まぁ、電磁波っつても、人体に悪いのと、影響ないのがあるからな。
665774ワット発電中さん:04/10/24 01:22:11 ID:mDtshHo2
とりあえず>>647は池沼って事だな。
666774ワット発電中さん:04/10/24 23:20:09 ID:TLyQipEi
cool-runner2の小規模の品種が欲しくなったがdigi-keyで扱ってない。
なんと若松の通販のページに載ってる。
もしやと思い秋葉原駅前の店に行ったらXC2C64を売ってた。
感謝。灯台下暗し。
667774ワット発電中さん:04/10/26 01:53:58 ID:69mj7Fpq
期間限定xilinxISEのお試し晩は、フルセットでcoregenもつかえる。
クレカで送料のみだ。急げ。
ごにょごにょすると無期限でつかえるど。
XSTも以前よりマシになった?
668774ワット発電中さん:04/10/27 01:06:38 ID:EcIgF6yk
667>>送料取られるなら要らない。デバイスが駄目ならTOOLも糞。
もう使わないとここをここで宣言します。
669774ワット発電中さん:04/10/27 01:50:58 ID:aXwDwFx8
無料でModelsim(超ー限定版だが)を配っている点は評価してあげようじゃないか。

670774ワット発電中さん:04/10/28 20:16:30 ID:81SC+3x4
cyclone、cyclone2の評価ボードの安いの知らないですか?
雑誌の付録に付いたようなのでいいんですけど。
というか、あれでいいので商品化してケロ。
671774ワット発電中さん:04/10/28 20:50:34 ID:DQlW5p1S
QuartusUコンパイル途中でPC死ぬんですけど・・・_| ̄|○
何度やっても同じようなところで・・・_| ̄|○
672774ワット発電中さん:04/10/28 21:20:24 ID:9fIZi82R
>>671
メモリー少なくてそんな目にあった事あるけどね。 オマイのPC SWAP作り倒してない?
673774ワット発電中さん:04/10/29 01:09:11 ID:tj/Jm+xy
670です。自レスになりますがこんなの見つけました。
http://www.dallaslogic.com/ezfpga_cyclone_c3c6.htm
本体のみ$99、書き込みケーブル付$179。
ヒューマンデータさんのは高いです。
674774ワット発電中さん:04/10/29 01:14:59 ID:rD8JpkJp
消費者は賢くあるべきだとはよく言った物。
675671:04/10/29 10:29:57 ID:amsqKrMw
>>672
メモリ1G積んでるしスワップ領域も十分確保してるんですけどねぇ。

強制再起動のたびに ”深刻なエラーから回復しました” って。
PCメーカーに問い合わせたほうがいいんですかね?
676774ワット発電中さん:04/10/29 20:28:11 ID:r7Wb8Nuo
PLD WORLD 2004行った?
677774ワット発電中さん:04/10/29 22:02:01 ID:YouTqwTz
逝った.それがどうした.
678774ワット発電中さん:04/10/30 02:12:46 ID:lUj/NYxx
679774ワット発電中さん:04/10/30 08:21:31 ID:g4ykt5gb
>>678
今時、8ビットパソコンをFPGAで再現したくらいで
何か画期的なことをやったつもりになってるようですな、
アスキーの方々は。
680774ワット発電中さん:04/10/30 08:24:56 ID:nw4G7EjJ
アスキーとMSXの関係は?
知っていたら有頂天になる理由がわかるはず。
681774ワット発電中さん:04/10/30 08:49:26 ID:aF+CCxaO
MSX愛好者ってオッサン多数かな。骨董趣味の若者もいるの?
関係者の思い入れは分かるけど商品化は儲からないでしょ。
CQ出版の何とか評価基板のような商品形態ならいいかもしれないけど。
FPGAのままでソース公開の研究用。
固定論理のLSI起こしても採算とれないでしょ。
グラフィックチップのスプライトとかよくインプリメントしたなあ。
サウンドはどこまで再現できているんだろう。
682774ワット発電中さん:04/10/30 08:51:30 ID:d+S5/eBj
>>681
だからアスキーのオナニープロジェクトだよ。
683774ワット発電中さん:04/10/30 09:32:19 ID:4ex/fWKS
一瞬【ココだけ20年時間が遅れているスレ】 だと思ったぞ(w
684774ワット発電中さん:04/10/30 11:25:51 ID:sqjIaIP9
>MSX

たまたまビデオ出力がついてて、なんとなく外付けRAMがいて、
偶然あまった端子がカードスロット端子とジョイスティック端子に
なってるだけの評価基盤だ!w
値段いくらかしらないけど、どうせまともに儲けようなんておもってないと思うなぁ。
(実際ちょっとむりだとおもう・・)

>681
ASIC起こしても売れないでしょ^^; 100万台うれるなら兎も角。
・・・携帯のOSとしてMSXを採用というのはどうか?ITRONやUNIXなんて
もう古い(嘘)

ASCIIが出すのはしょうがないけど、CQやDWの付録で"協力:ASCII"のほうが
やっぱウケただろうなぁ。

MSX自体もってなかったから音とかの再現度はわからんけど、画面表示は
本物より早いと(PLDワールドで実物を見ていた)オサーンがいってた。
685774ワット発電中さん:04/10/30 12:02:29 ID:XzAdgW6T
素人趣味な人が簡単に使えるVideo出力付き基板って意味ではいいなと思う。
でもこれって使える言語はBASIC+Z80アセンブラだけになるの?
Cその他のコンパイラ言語が使えるようなOS乗っかってないと結局おもちゃになりそう。
686774ワット発電中さん:04/10/30 12:16:53 ID:sqjIaIP9
Video出力つきはいいよねー 大した回路じゃないにせよあるのは嬉しい。
Video出力あたりのHDLがついてるのもアマチュア的には嬉しいのでは。

>685
商品としては"MSX"だから、OSは"MSX"なんじゃ?
だから細かいことをいうとコMSX-BASICインタプリンタ付属かw
Cコンパイラあったとしてもそれを実行するOSがないような・・・
(MSX-BASICなテキストでマシン語吐き出すとか?)

遊び方としては・・・
・MSXじゃないOSを作る
・ハード仕様をいぢくる
・高速化しる
・中身ごっそり書きかえてファミコンとかにするw
687774ワット発電中さん:04/10/30 12:38:42 ID:XzAdgW6T
>>686
BASICインタプリタのエディタを使ってソース編集して、
それをコンパイルしちゃうようなコンパイラはMSXにはあったのかな?
FM-7に対するK-コンパイラ(うろ覚え)みたいな奴。
すんません、私の8bitマシン歴にはMSX入っていないのでよくわからないの。
688774ワット発電中さん:04/10/30 17:58:58 ID:sU83WHgE
SDスロットがあるから、MSX-DOS(MS-DOSファイルシステム互換な
CP/M)は動くんじゃねーの?
BASICコンパイラなら「べーしっ君」てのがあったと思た。

なんか妙にヘッダが付いてるから…
・液晶つけてノートPC風にしてみる。
・やっぱりCPUはNIOSにしてみる。
・LVTTL出力を使ってそーっとDVI出力化にチャレンジ?
・SDR-DRAM剥がしてDDR-SDRAM張って見る。

…まぁ20万ゲートCycloneの評価基板として使えって事だな…。
689688:04/10/30 18:04:10 ID:sU83WHgE
> ・LVTTL出力

LVDSだね(^^;
690774ワット発電中さん:04/10/30 18:57:08 ID:RJ1cf+nZ
学習教材としてならいい感じかも。
売るつもりらしいけど、一マソ台なら買ってもいいかな?
691774ワット発電中さん:04/10/30 19:14:35 ID:YNw21Wvk
> とりあえずMSX
こんなのがだいぶ前からあるんですけど、その流れかと思ったら違うのね。
http://www.hat.hi-ho.ne.jp/tujikawa/esepld/esemsx2/index.html

> ・・・携帯のOSとしてMSXを採用というのはどうか?
そんなものは、西和彦大明神が、とっくに唱えています。

ところでライターは「アルテア」だと思ってるのかな?
692774ワット発電中さん:04/10/30 19:30:20 ID:qQdIpnyz
>>691
それをパクったんだろ。
MSXはどうでもいいが安いEP1C12ボードとして売ってくれ〜
693774ワット発電中さん:04/10/31 08:46:29 ID:Mf1Blnuu
いずれにせよ改めて日本国内はAlteraが強いんだなと思うイベントだったかな
694774ワット発電中さん:04/10/31 12:23:44 ID:BB79FLIf
自分的にはFPGAは寺、CPLDは財だな。
CPLDはxc9500xlが安くて書き込み回数が多いのでいい。2桁の違い。
9572,95144とかいい具合に3064,3128(7064,7128)を抑えている。
FPGAはPLL,IO,使用率で上のように思われる。
695774ワット発電中さん:04/10/31 18:32:02 ID:h0oWfOlU
> CPLDはxc9500xlが安くて書き込み回数が多いのでいい。2桁の違い。
実測?
> 9572,95144とかいい具合に3064,3128(7064,7128)を抑えている。
得手不得手があったりしない?
696774ワット発電中さん:04/10/31 21:55:19 ID:Mf1Blnuu
CPLDの書き込み回数なんて結局信頼性のスタンスの違いだけだよ。
xもaも同じ回数の書き込みは出来るけど、慎重なAとなんとかなるさの
xの違い。ちなみにx社のCPLDの世界シェアなんてほんのわずかしか
ないはずだよ。
ちなみにうちの会社では価格と信頼性で選考からx社がすぐに落ちて、最後は
aとL社が争うのが普通なので面白い見解だな〜と思うわけで・・
697774ワット発電中さん:04/10/31 22:48:39 ID:kM1QYLct
>>695-696
694です。9572で単純にギリギリ収まった経験が1回あっただけ。
だから64より72がいいと思った。書き込み回数は仕様書に書いてる回数のみが根拠。
自作だと財が値段・入手性がいいですが会社相手だと違うんですね。
698774ワット発電中さん:04/11/01 10:28:39 ID:yycrb1So
会場でクーポン配ったMAX IIの開発ボード\12,500ってのを個人で買って遊んでみっかな。
699774ワット発電中さん:04/11/01 21:13:05 ID:G6QtBGSi
>>698
漏れも、個人用に買いたいので、つきましては、藻前のクーポンの番号を教えてください、ヨロシコ


700774ワット発電中さん:04/11/01 23:52:53 ID:W3HSu/E+
http://www.altera.co.jp/products/devkits/altera/kit-maxii-1270.html
これだよね うん、これ欲しい。

12,500はお得だよなぁ。でも、個人に売ってくれるのかな?
701700:04/11/02 00:13:39 ID:FIMnocoS
と、考えてもしょうがないんで今アルティマで見積もり取ってみた。
どうなるかな?
702774ワット発電中さん:04/11/02 09:56:12 ID:Eapy6T4V
>>700
前回のNIOSキットは個人でクーポン使えたよ。
(アルティマ経由)
703774ワット発電中さん:04/11/02 23:05:16 ID:8VnxHb+r
普通に買うと$150のようです。
http://www.futureelectronics.com/promos/altera/MAXII.asp
誰でも買える。
704774ワット発電中さん:04/11/02 23:17:05 ID:WcuZWAxe
むむぅ。USB Blasterは付いてないのか・・・
705自家発電中:04/11/03 14:17:50 ID:4n/Ui+Z6
>>675
PC落ちるってどうなるんでそか?
Internal Error?それともWinのAppErr?
706774ワット発電中さん:04/11/04 08:09:12 ID:IHfwOImZ
水晶発振子を直結できるFPGAってないですか?
707choshi2:04/11/04 15:23:42 ID:weTEHO06
このスレでいいのか、しかも質問なんかしちゃっていいのか?
なんて思いましたが、まあFPGAでやってるんだからいいや、
ちゅうことで聞いちゃいます。

DDR SDRAMとかに使ってるSSTL_2インタフェースで、
ドライバがハイインピーダンスの時は、レシーバの動作としては
どうなるのかな?と。

たとえばDDR SDRAMのDQとかDQSで、メモリ側も
コントローラ(例えばFPGA)側も入力状態だと、
VTTでプルアップしてるんで電圧は1.25Vになると
思うんですが、それでもメモリやコントローラの
入力回路は問題ないですよね?
論理的にはどっちにころぶかわかんないのだろうけど、
コロコロ変わることはないのかな?
ヒステリシス特性なんぞがあるんでしょうか?
データシートには記述がないんで…。

質問だけ投げちゃいましたが、
誰かわかる方、教えて下さい。
708774ワット発電中さん:04/11/04 20:23:09 ID:Rqq+9JOh
>>706
漏れが知る限りない。
目的は何かな?それによって良い回答が出るかもしれない。

>>707
I/Oの等価回路をよく調べたら解ると思う。
面白いから「自分で」調べること。
そうしないと身に付かない。
709706:04/11/04 22:08:42 ID:IrW931af
>>708
CPUのスリープモードのように用のないときに発振を完全停止させて、割り込みでWakeupしたあと、発振回路に電流を流して、
クロックを発生させたいんです。
710707:04/11/05 03:12:29 ID:gNGnfrvG
>>708
I/Oの等価回路ですか。う〜ん、手元にあるのは出力側がプッシュプル?の回路で、
入力側がコンパレータ?になってる、ぐらいしかわからないんですが。
入力時は、出力ドライバは、どっちのFETもOFFになるから当然ハイインピなわけで
それにプルアップ抵抗を介してVTTに接続されているだけなんで、
入力コンパレータ?の片方はVTT(=1.25V)になってると思います。
で、もう片方はVREF(=1.25V)に接続されてるんで、結局同じ1.25Vの微妙な電圧差で、
HighにもLowにも転びそうな気がするんですが。
この考え方で合ってます?
っていうか、もっと「自分で」調べろって事なんでしょうが。
711774ワット発電中さん:04/11/05 11:02:39 ID:2cSQ9WYO
712774ワット発電中さん:04/11/05 17:08:21 ID:1kiynfK/
>>710
自分で調べろと書いてる連中なんてまともに回答できんよ。

おたくと同じことは前々から気になっていて、この部分を社内にあるIBIS
シミュレータモデルをつかって確認したことがある。
コンパレータにシュミット特性を持たせているようなので中間電位で論理
がひっくりかえるようなことはナサゲでした。

713707,710:04/11/05 17:52:54 ID:gNGnfrvG
>>712
おぉ〜、ありがとうございます。

やっぱりシュミット特性を持たせてるんですね。そういった事は
各々のデータシートにもJEDECの規格にも、何も書いてないみたい
なんで…。いいなぁIBISモデルで確認できて。

まあ、HighかLowどっちに転ぶかは不定だけれど、コロコロ変わったり
それで電流食ったりしないみたいなんで、ひとまず安心?しました。
714707,710,713:04/11/05 18:36:07 ID:gNGnfrvG
と書いたあとで、じゃあそのシュミット特性ってどれくらい?
って思っちゃいました。

規格で決まってるのは、Highレベルの最低電圧がVREF+0.15(V)で、
Lowレベルの最高電圧がVREF-0.15(V)だから、その間の不定部分の
一部分なんだろうなぁ。±0.15Vぎりぎりまでシュミット特性を
持たせて、そこを越えるといきなり変わる!、なんて事はない
だろうから、せいぜい±0.05Vとか±0.1Vとかすごい狭い範囲
しかなさそう。やっぱり結構微妙ですね。
どっからシミュレータ持ってきて、自分で確認してみます。

とは言っても、データシートに書いてない範囲は、DDRの
メーカの各デバイスによって違うだろうし、同じデバイス
でもバラツキあるだろうし。
とりあえず、今使おうとしてるStratixのSSTL_2入力の
確認だけはしたいな、と。モデルってあるのかな?

と思って今見たら、StratixのデータシートのSSTL_2入力の所に、
VIH = VREF+0.18、VIL =VREF-0.18、ってなってる。
これって、JEDEC規格からはずれてねぇ?
715714:04/11/05 18:45:44 ID:gNGnfrvG
訂正
>どっから
→ どっかから

失礼!
716774ワット発電中さん:04/11/05 20:40:16 ID:zc83krPr
>714

ttp://www.altera.co.jp/support/software/download/ibis/ibs-ibis_index.html
中確認してないけど、これとか使えそうな予感。

材は
http://support.xilinx.co.jp/support/sw_ibis.htm
にIBISモデルがあった。
717774ワット発電中さん:04/11/05 23:26:07 ID:tztAGhEG
>714

たしかQIIはツールにIBISシミュレータ名を設定しておいて
コンパイルするとフォルダにIBISのモデル自動生成できたはず。
違ってたら、スマソ
718774ワット発電中さん:04/11/05 23:30:53 ID:99zZOr1B
>>712
自分で調べることの大切さを知るべきだろう。
答えだけ聞いて何になる?
719712だが:04/11/06 00:53:41 ID:+qXiFdm2
>>718
質問に質問で返す非礼をまず詫びるが

>I/Oの等価回路をよく調べたら解ると思う。
>面白いから「自分で」調べること。
>そうしないと身に付かない。
AとXのSSTL2-I/IIの等価回路が書いてある資料のどこを見たら答えが出るんですか?
おばかさんなわたくしめにお教えくださいな。

NDA結んでSpiceネットもらうところまで考えた弊社の回路技部門の人間におまいさん
の回答を伝えて「詩ね」とでも言うから。

絶対教えてね。
720774ワット発電中さん:04/11/06 01:48:55 ID:DL40GdcD
尊大なバカが湧くのは2chの仕様だからスルーしとけ。
釣られたおまいさんにもバカが移るぞ?
721自家発電中:04/11/06 23:23:01 ID:g0eWF5Jf
>>717
最近のIBISデータはAのWEBからDLするようになって
ますですよ?
ttp://www.altera.co.jp/support/software/download/ibis/ibs-ibis_index.html
722774ワット発電中さん:04/11/07 01:25:35 ID:BElDq0gl
IBISなぁ。
ボロモデルが多いから信用出来ない・・・
723774ワット発電中さん:04/11/07 03:00:41 ID:CqX/tLYF
>>722
オマエほどボロボロじゃないだろ。
724774ワット発電中さん:04/11/08 00:37:28 ID:Cbf/MPkz
まあ、役に立たないとはいわないですが過信はしないほうが・・・。
所詮理想モデルなんで。特に今回のようなケースの検証には不向きかも。
spiceの結果をibisのフォーマットに書き出すんだろうけど、
ノイズとかバラツキとかそこらへんはちゃんとしたモデルつくるの
大変だよねぇ・・・。

これいってもしょうがないんだけど、やっぱり実機評価に勝るものはないかな(苦笑)。

>719
spice入手できたら、過渡解析すればひょっとすると光明が・・・
でもバラツキ評価がなぁ・・・。
電源電圧やら温度やら拡散水準、1.25Vに乗るACノイズ特性、etc,etc...

1人で100%1ヶ月かければある程度信頼性のあるシミュレーション結果が得られるかも??

とりあえず等価回路じゃ今回の動作をきちんと解析するのは無理ってのに1票です。
725774ワット発電中さん:04/11/08 17:06:49 ID://8VeEeO
Lattice使ったこと無いけど何ていうディバイスフィッタがあるの?
726774ワット発電中さん:04/11/08 21:33:51 ID:dGlqQiz5
DW1月号のXilinxのXC3S50の基板に関してですが
1.2V/2.5Vレギュレータ実装となってるんだけど、つまりこれは外部IOは2.5Vですよてこと?
727774ワット発電中さん:04/11/08 22:19:56 ID:PvdPDNWH
>>726
外部入力 3.3V でそれがそのまま Vcco に入るだけじゃない?
つーか、XC3S50 確定? ソースどこっすか?

728774ワット発電中さん:04/11/08 22:35:19 ID:dGlqQiz5
>>727
確定、トラ技12月号 p101
外部IOが3.3Vならうれしいんですけど、2.5Vなら個人用途では遊びにくいなと思って...
729774ワット発電中さん:04/11/08 22:59:26 ID:5yGS1c3p
有る寺ちゃうんか・・・意外。
730774ワット発電中さん:04/11/08 23:20:32 ID:GDgY29fi
>>726
気になるならこれに行ってみるとか
http://www.kumikomi.net/info/et2004.html
Design Wave Magazine 2005年1月号付属基板でここまでできる!
[井倉将実/来栖川電工(有)]
731774ワット発電中さん:04/11/09 00:44:31 ID:bTMAK/cm
>>726
PicoBlazeくらいなら入るかなあ…
MicroBlazeはさすがに無理か。
732774ワット発電中さん:04/11/09 01:45:11 ID:a+1QLFQ1
>>731
70%くらいの使用率で入らないかな
ttp://direct.xilinx.com/bvdocs/appnotes/j_xapp477.pdf
733774ワット発電中さん:04/11/09 02:02:48 ID:BsTQYxiU
>>726
ありがトン。行きたいがその週は出張だ。orz

>>731
ソフトマクロCPUの実装例を雑誌に載せると書いてあるが、それが何かは書いてない。
あと、このSpartan3基板と組み合わせえ使う、AD/DA、シリアル、LCD、Ether付き評価ボードの記事も載るみたいだ。
おそらく、この評価基板が>>730 の来栖川電工が設計のものではないか思われ。
734774ワット発電中さん:04/11/09 02:13:44 ID:oK+lyc/R
>>724

用途が限定された数ピンのAC特性なら実物で簡単に測れるじゃん。
ましてやシグナルタップorプローブというものもあるから超極楽。

と、デジ穴混載を起こしてる身からは思うんだが。。


735774ワット発電中さん:04/11/09 09:33:26 ID:ZNg2clI8
へぇ、材なのか。んじゃ10年ぶりくらいに材もイジってみるかな。当時のヤな思い出しかないんだが(w
736774ワット発電中さん:04/11/09 16:46:28 ID:deyZ+ODc
いや、アルテラマンセーなら、無理して買わないでも良いのでは?
737774ワット発電中さん:04/11/09 18:44:14 ID:LuAc/2Sk
XCF01のパターンだけあるが、XCF01は自分で買えってことかね?
738774ワット発電中さん:04/11/09 23:02:30 ID:CjHfYyAJ
>>730
本人すか
739774ワット発電中さん:04/11/09 23:13:50 ID:CaasmtpO
CPU使うと必ずグルーロジックが必要になるし、IPマクロでFPGAに取り込むのは実にスマートな実装方法だと思うんだけど、
俺のスキルがも一つなもんで躊躇してる。
例えばSHなんかと比較して実使用で困ったことなんかあったら教えて欲しいんだけど。
メーカが用意するbinutilやgccのバージョンアップとかどうなってるの?
740774ワット発電中さん:04/11/09 23:34:17 ID:YooNEYvo
大抵の場合CPUよりFPGAの方が高い訳で、CPUを取り込むぐらいなら
より小さい規模のFPGAが使えないか考えるだろうなきっと。
物理的な実装スペースはどうだとかまぁ他にも要因は沢山あるから〜。
741774ワット発電中さん:04/11/09 23:47:52 ID:I3nn4t1w
>>730
ここまで出来るってのが、じつはこの程度が限界って独白になってるのが痛い
742774ワット発電中さん:04/11/10 00:06:00 ID:KBR2aGzw
>>741
前回のEP1C3と今回のを比べたら、ゲート規模も激減しているし実現できることは
おのずと限られてしまうからね。

サイクロンに比べてスパルタンが優れている点はどこなんだろう。
うちには剤の営業マンが来ないから、情報ないし。弱小は相手にならんってことか。。。

743774ワット発電中さん:04/11/10 00:18:45 ID:JdUemCbh
XCF01なんてどうやって手に入れるんだよ。
まったくよ。
輸入して1個3000円で売るかな。
744774ワット発電中さん:04/11/10 00:22:59 ID:JdUemCbh
良く見たら発振器付いてないの!?
いくら何でもフットプリント位は用意してないと・・・
745774ワット発電中さん:04/11/10 00:28:06 ID:6/XFbhYK
>>743
以前ELISShopで50個では見積もりOKだった
1個単位で買えるかは不明
746774ワット発電中さん:04/11/10 00:39:01 ID:0D/gUtFn
XCF01 なら avnet で 3.0USD 位で個売りしてるが、
国内の商社にあたっても分けてくれるんでないかい。
こないだ 550円/個くらいで売ってくれたし。


747774ワット発電中さん:04/11/10 02:09:51 ID:KBR2aGzw
XCF01は入手できるかもしれない...
しかしながら、どうやって半田付けをすればイイのだろうか。半田付けした後の基板頒布
サービスでもやってくれないものだろうか。40過ぎたら0.65mmピッチなんて見えないよ。
748774ワット発電中さん:04/11/10 02:11:12 ID:6/XFbhYK
どこぞの業者に頼むとか
けど0.65mmなら余裕だと思うけどな20pinだし
749774ワット発電中さん:04/11/10 03:32:00 ID:7I5gt1TJ
シリアルROMなんだから寺みたいに8ピンでいいのにと思う。
寺のは付けやすい。
750774ワット発電中さん:04/11/10 12:15:00 ID:6nnlsFeM
週アス11/23号 33頁 左下記事

”アルテア製「Cyclone〜”

( ´,_ゝ`)プッ
751774ワット発電中さん:04/11/10 12:36:58 ID:L3PvdC1G
XCF01がDIGI-KEYで入手できないのは、どういう陰謀(圧力?)なのか教えてください。
752774ワット発電中さん:04/11/10 13:02:47 ID:tmy+f8fT
おいらも40過ぎたけどまだ0.5mmまではダイジョブだな。
でも0.4mm(TIのDGV)ってのはちょっとヤな感じだった(w
753774ワット発電中さん:04/11/10 13:10:22 ID:khXKXJgo
MicroBlaze+内部BRAMインターフェース+UART程度なら、
XC3S50に収まったよ。
754774ワット発電中さん:04/11/10 15:34:08 ID:GvOdIGNm
>>750
何の記事???
どこかのPCにCycloeが乗っていたの?
755774ワット発電中さん:04/11/10 16:08:33 ID:1n33N1OD
>>754
1chipMSXを試作したという記事でcycloneチップのメーカーをアルテラではなくアルテアと誤植していた
という話。おそらくローマ字入力でのRのタイプミスかと。
756774ワット発電中さん:04/11/10 17:48:49 ID:c9ZHcHSQ
>>750
ascii24にも同じ間違いがあったらしいな。
ツッコミ入る前に印刷してしまったのか。
757774ワット発電中さん:04/11/10 19:56:03 ID:nK3PWbUK
>>751
入荷と同時に即完売なんでしょう。
ちょっと前に見積もり取ったらXCF01は3ケ月待ちだった。XCF02は即納だった。


758774ワット発電中さん:04/11/11 12:24:38 ID:l3tOLG6T
>>757
某BBSによると、某所で、1個800〜1000円程度で、こっそり小売して小遣いしている人がいるらしいです。
759774ワット発電中さん:04/11/11 12:29:27 ID:3F1CVpVn
>750
来週の訂正お詫び文には是非、orzと入れてください。
>アスキー関係者殿
760774ワット発電中さん:04/11/11 21:09:52 ID:R4ZiYLHC
DWMで特集記念あげ
761774ワット発電中さん:04/11/12 20:24:48 ID:+v14q6Z4
当て字漢字変換馬鹿?
762774ワット発電中さん:04/11/12 20:27:29 ID:2x6W/dmp
2chでする指摘じゃねーな。
馬鹿はどちらなのだろうか。
763774ワット発電中さん:04/11/12 21:22:44 ID:+v14q6Z4
一生2chに引き篭ってれば馬鹿でも安心だね
764774ワット発電中さん:04/11/12 22:15:47 ID:AkjW0R7k
ああ、空気読めない馬鹿だったか。
痛すぎ。
765774ワット発電中さん:04/11/13 00:01:38 ID:UBB5VdTk
Niosで開発したソフトは早くもディスコンですか?
いい加減にしてください!>ある寺
766774ワット発電中さん:04/11/13 02:10:01 ID:5uAJzzQi
Design Wave1月号は5冊くらい買います。
767774ワット発電中さん:04/11/13 11:22:03 ID:ZHNxUmZ/
>>766
ヤフオク転売厨乙。
トラ技は、最高いくらまで上がったんだっけか?
768774ワット発電中さん:04/11/13 23:27:08 ID:HA4Jsq4b
>>767
ははは。単なるフェチです。全部自分で使います。
769774ワット発電中さん:04/11/14 03:03:59 ID:LW28pLUu
>>768
Spartan3が五つもあると何が出来るだろう・・・。とりあえず、ひとつづつ使うんですよね?
770774ワット発電中さん:04/11/15 10:38:16 ID:lcvsmRRh
XilinxのSpartan-IIを、PROMからブートするのではなく、

JTAGモードにしてiMPACTで直接コンフィグしようとしているのですが

bitファイルを書き込もうとしても書き込めません。

どのような操作をすればよいですか。
771774ワット発電中さん:04/11/15 12:00:29 ID:HGhJspiK
>796
チェインして使うというのはどうだろう?
772774ワット発電中さん:04/11/15 14:01:08 ID:v2GO4cux
>>770
デバイスのM0 M1 M2ピンを適切に設定してますか?
JTAGモードは HLL(初期化前IO HiZ) または HLH(初期化前IO pullup)
773774ワット発電中さん:04/11/15 14:20:17 ID:4A5y1h/D
>>770
まず、IMPACTで認識しているか報告しろ!
認識してかけないならログさらせ!

あとは、>>772に加えて、FPGA startup clkがJTAG Clockに
なってないかもね。
774774ワット発電中さん:04/11/15 17:19:25 ID:f1tNT0WF
なんかデザインウェーブのおまけのせいか
罪の話題ばかりだな
775774ワット発電中さん:04/11/16 10:28:40 ID:peGcE6wm
XilinxのWebPackISEって、ネットに繋がっていないと、登録作業(アクティベーションというか、なんというか)
が出来ないんだっけ?他の、ネットに繋がっているPCを使って、登録できる?
 
776774ワット発電中さん:04/11/16 11:15:25 ID:bew1K72b
>>775
やってから、報告しろ!
777774ワット発電中さん:04/11/16 17:21:20 ID:mHpeKD1Y
寺に慣れてて安い基本波の低いクロックモジュレータ揃えてたんだけど
Spartan2ってPLL内蔵してないの?
3も調べてるんだけど見当たらない・・・
X使ってる人クロックどうしてんの?
オーバートーンの高いの買ったり、自分でPLL組んでるの?
自分でPLL作るとなると・・・無理ボ
778774ワット発電中さん:04/11/16 18:01:16 ID:ICJAsIcQ
>>777
ザイリンクスではDLLとかDCMがいわゆるPLLにあたるモジュールだ
DLL=Delay Lock Loop, DCM=Digital Clock Manager
779774ワット発電中さん:04/11/16 18:30:53 ID:mHpeKD1Y
スキュー除去にDLLを使うのはわかるけど、
DCMで、てい倍/分周できるの?
780774ワット発電中さん:04/11/16 18:45:04 ID:mHpeKD1Y
DCMで奇数分周できることはわかった。ありがと。
使おうとおもってたスパ2には載ってないような・・・?
781774ワット発電中さん:04/11/16 19:04:40 ID:ICJAsIcQ
>>780
DCMはDLLの上位互換みたいなもの
DLL/DCMは整数比のてい倍/分周が可能
DCMはさらに分数比のてい倍/分周が可能

まずはデータシート読め
782774ワット発電中さん:04/11/16 19:39:15 ID:mHpeKD1Y
>まずはデータシート読め

スパ2に載ってるなら読む意味あるけど、
使う予定のない石のスペック読んでも意味ないし。。
つーかXiのスパ2が一つだけ転がってたので捨てる前に使おうかなーと
思ってただけだから。スパ2に載ってたら俺の調べ方が悪かったって
ことになるけど、スパ2のスペックには何度探してみてもDCMなんてみあたらねぇし・・
783774ワット発電中さん:04/11/16 19:42:00 ID:CsXQhPb9
>>782
目的がはっきりしてないからわからんけど
DLLじゃだめなん?
784774ワット発電中さん:04/11/16 20:11:34 ID:ICJAsIcQ
>>782は DLLがスキュー調整にしか使えないと誤解したままなのか?
乱暴な言い方をすれば PLLが出来ることはDLLでもすべて出来る
785774ワット発電中さん:04/11/16 22:40:43 ID:ICJAsIcQ
データシートすら読もうとしない人間には無駄かもしれないが
とりあえずぐーぐって見つけたDLLの使用例
http://www.nahitech.com/nahitafu/fpgavhdl/clkdll/clkdll.html
786782:04/11/17 03:59:48 ID:jPca++0u
なるほど俺が理解してなかった。
DLLでも偶数倍はいけるのね。
スキュー除去だけだと思ってたYo
ここ親切な人多いな。
また教えてね mOm
787774ワット発電中さん:04/11/17 21:41:02 ID:Q5e+jftX
空気読めない馬鹿が24時間常駐 藁
788774ワット発電中さん:04/11/17 22:02:40 ID:4QV6lrtW
自己紹介乙
789774ワット発電中さん:04/11/18 00:35:53 ID:33Fl70AT
スパ3が50枚中2枚の基板で動かず。今検証中だが不具合かな。このデバイス
って何か問題ある。情報きぼん
790774ワット発電中さん:04/11/18 00:39:06 ID:h1PvTSZY
>>789
その設計
って何か問題ある。情報きぼん
791774ワット発電中さん:04/11/18 01:33:48 ID:ecL/MBnm
オレはスパ3で10枚つくって、1枚動かず。(JTAGから認識せず)
現在、原因不明。。。ってか、まだ、あんま追っかけてない。。。。
792RE:791:04/11/18 09:44:20 ID:fybLCBqE
それは、コンフィグレーションROMのバグの問題かも。
新しいROMがもうすぐ入手可能となる。
ROMがXCFシリーズなら交換してみて。
793774ワット発電中さん:04/11/18 11:24:28 ID:xp3PWa9Q
JTAGを認識できない場合には多くがはんだ不良じゃないかな?
下手な実装屋へ出すとものすごい確立で不具合品が発生します。
(最初のロットは慣れていないからおきやすいよ。)

>>789
まず目視ではんだ不良を確認しな。次に電源を疑え、その次にJTAGの認識だな。

JTAGを認識しているならダウンロード回りの確認を行って、それらしい動きをしているのに
駄目だだったら、デバイスが怪しいかも?

デバイスの不具合よりも実装の不具合の方がはるかに高い確率で起きる。

この手の質問する香具師は自分の情報をぎりぎりまで減らす悪い癖があるね。
広い情報が欲しいのか、書くのがめんどくさいのか判らないけど逆効果だと思う。
794774ワット発電中さん:04/11/18 19:58:41 ID:rHobdy1Y
もれ学生だからよくわかんないんだけど、みんなくそ高い純正ROM使ってんの?
それだけですごいコスト増な気がするんだけど。
795774ワット発電中さん:04/11/18 20:05:03 ID:SkEDkM2i
そこでMAXII
796774ワット発電中さん:04/11/18 21:07:41 ID:4YkxoJnc
>>794
近くにCPUが載ってたら、ソフトと一緒にFPGAのデーターも書き込んで、
ソフトでダウンロードって手もあるよ。
797774ワット発電中さん:04/11/18 21:47:14 ID:33Fl70AT
うちの会社では既にスパ3が問題になっているよ。
内容はうまくコンフュグされないみたいだね。悪名高いロムの問題ではなく
今回は本体の問題だって・・。
798774ワット発電中さん:04/11/19 07:33:08 ID:34SWEj72
>>795
コンフィグ内蔵ってか?
寺のデバイスはみんなそうなるのか?
その方がコンフィグのトラブルはなくなるし、実装面積も小さくなるし、
みんな幸せになれると思うんだけど、どうよ?
799774ワット発電中さん:04/11/19 10:52:04 ID:OM098i+f
MAXIIってCPLDじゃなかったっけ?
不揮発タイプのFPGAと言えばLatticeのispXPGA
800774ワット発電中さん:04/11/19 11:17:24 ID:AElzXJtl
>>794
もれ学生さんおい等は痴呆が進んですぐ忘れちゃうのでくそ他界純正ROM以外の方法が判らないので
ぜひくそ高いと思ったソースを教えてくれ。

めちゃ安いと思われる方法だと幾らでできるのかな・・・・?

801774ワット発電中さん:04/11/19 11:55:54 ID:oBhK+r9p
>>779
中味の構造はFPGAだったハズ。コンフィグ&ユーザープログラマブルなフラッシュを積んでる。
802774ワット発電中さん:04/11/19 12:13:46 ID:/hrV6jdX
コンフィグでトラブル位ならコンフィグしなけりゃ伊井じゃん
名付けて両手ぶらり戦法
803774ワット発電中さん:04/11/19 12:54:43 ID:qa/wi2ih
このところレスの参照先とか滅茶苦茶なカキコ多いね。
こういうの見てると、FPGAとかでトラブってるとかいうのも
不注意とかチェックミスが原因なんじゃないかと思えてくる。
804774ワット発電中さん:04/11/19 19:34:48 ID:LYkZyLKu
明らかに、寺のロビー活動してる奴いるし。
ダッセーよな。
材は材、寺は寺で割り切れっての。
805774ワット発電中さん:04/11/19 20:01:53 ID:BEyTVoVl
>>804
そうだよな。
あまりにも露骨でウザすぎ。
806774ワット発電中さん:04/11/19 20:55:15 ID:wfVILoF3
> 不揮発タイプのFPGAと言えばLatticeのispXPGA
Actelとかいうところでも出してなかった?
807774ワット発電中さん:04/11/19 21:21:10 ID:4K+JsGSb
Actel フラッシュ構造のFPGA出してたね。
2年ぐらい前に検討したことある。。。最近どうなの?
808774ワット発電中さん:04/11/20 00:12:38 ID:GEIIgaF0
>>804

なにかあったの?
809774ワット発電中さん:04/11/20 08:17:44 ID:UaIDzDD6
>>807
そもそもCPLDとFPGAって、明確にはどこが違うんでしょか?
FPGAがフラッシュ積んでるのなら、それこそCPLDとの違いが、、、
蝶と蛾みたいなもの?(w
810774ワット発電中さん:04/11/20 09:02:01 ID:+ktmyNCB
プロダクトタームとロジックセルの違いでしょ
でもアルテラは全部CPLDと自社製品を呼ぶからややこしい
811774ワット発電中さん:04/11/20 11:52:07 ID:laDjQd/D
FLASHを積んだFPGAは、電源投入から機能開始までに時間がかかるから
CPLDを100%置き換えるまでにはいたらない
812774ワット発電中さん:04/11/20 16:46:54 ID:2DUdVok0
ISEのプロジェクトナビゲーターで、Verilogプロジェクトの下に
EDIFモジュールを読み込むときはどうすればよいですか。
813774ワット発電中さん:04/11/20 20:23:02 ID:TRX3J3F7
>>812
.xnfを用意して、あとはHDLからmodule/port-mapでつなげ。
814774ワット発電中さん :04/11/21 06:42:40 ID:8JGJowiT
815774ワット発電中さん:04/11/21 10:19:30 ID:tpZYs+2E
$1.05だろ。送料込み400円くらいできぼんぬ
816774ワット発電中さん:04/11/21 10:23:00 ID:tpZYs+2E
↑学生や原価厨はきっとこのように考えているに違いない。
しかし、実際、800円じゃ商売にならん。
1000個売っても利益は40万くらい?
価格設定って難しいよね。
817774ワット発電中さん:04/11/21 13:58:33 ID:k70hS0sG
The 1 Mbit XCF01S, available in May 2003, is priced at $1.05 for 100K units in 2005.

これのことか?>815が100K個買ってくれるなら
一個あたり$1.05でも出せるんじゃね?
818774ワット発電中さん:04/11/21 14:35:37 ID:GPdEoIie
とりあえずサンプル価格で買えれば御の字といったところかな。
819774ワット発電中さん:04/11/21 19:43:28 ID:mUqLWAsl
>>815
>$1.05だろ。送料込み400円くらいできぼんぬ
まともな商社経由ならありえない。なぜならDistyCostは$2.5以上だから。それも1000pcs

250K個プライスでもその価格は出ない。
いったいどこからその価格が出てきたんだ?

というか、ひょっとして材の代理店が書き込んでいるのか?
820774ワット発電中さん:04/11/21 20:35:18 ID:ArV8pPLc
サンプル価格+受発注にかかる人件費+梱包送料
821774ワット発電中さん:04/11/21 23:39:22 ID:FeJwxL8a
おい、オマエラ、Parellel Cable IV の中身教えろよ。
内部画像のうpとか、ケーブル認識のカラクリとか(PE BUSY D6でなんか小細工してる?)
822774ワット発電中さん:04/11/22 10:41:27 ID:yUjfTFOp
回路図が公開されていると思われ。
823774ワット発電中さん:04/11/22 12:10:10 ID:+s6YLSkn
え゛?!
824774ワット発電中さん:04/11/22 16:20:49 ID:Baahxb41
>>821
BB2もそうだが、非公開。
825774ワット発電中さん:04/11/22 18:33:37 ID:oAnSWxCB
BB2はまともに買うと日本じゃOver 3万円だよね。ここで買うと$80。
1$=300円で舶来品をありがたがらせる作戦か?
826774ワット発電中さん:04/11/22 18:33:59 ID:oAnSWxCB
827774ワット発電中さん:04/11/22 20:03:34 ID:9iiIDF2N
寺ってUSBに変わったんじゃないの?
まだパラレル?
828774ワット発電中さん:04/11/22 20:06:08 ID:1Y3KqlHZ
変わったってのはQuartusがサポートを辞めることだよね?
そうはなってない。
829774ワット発電中さん:04/11/22 20:50:42 ID:9iiIDF2N
どっちも行けるってことね
最近のパソコンはパラレルないのも多いから、USBが使えるのは助かります
830774ワット発電中さん:04/11/22 20:57:05 ID:1Y3KqlHZ
俺ASUSかGigaのマザボから選ぶんでメーカ製PCはよく知らないんだけど、
ノート以外のデスクトップでもパラレルポートはなくなってるの?
831774ワット発電中さん:04/11/22 21:47:43 ID:czF/NslW
832774ワット発電中さん:04/11/22 22:34:30 ID:1Y3KqlHZ
俺はこっちので作った。オリジナルはヒューマンデータみたいだけどね。
http://www.axas.org/pld/altera/byteblaster/Works/byteblaster2.pdf
全部表面実装品使ったらえらく小さくできた。もちろんユニバ基板
833774ワット発電中さん:04/11/23 11:27:54 ID:A4InAvVN
寺のMVなら公開されているんだが、これじゃ駄目か?
ttp://www.amelek.gda.pl/avr/uisp/dsbytemv.pdf
834833:04/11/23 11:32:26 ID:A4InAvVN
寺サイトの方だとここか
ttp://www.altera.co.jp/literature/ds/dsbytemv.pdf
835833:04/11/23 11:57:42 ID:ONxgZolb
すまん、一部足りなかったんだな・・・
836774ワット発電中さん:04/11/23 15:05:19 ID:cm+xqs8U
Quartus使いの人教えてくだされmOm
Quartus(製品版の4.1)ってISEのようにVerilogテストベンチを作って(ISEは雛形まで作ってくれるけど)、
これをModelSimに引き渡して、run -all までシームレスに持っていくことってできないんでしょうか?
昼からドキュメント見ながらやってたんだけど、シームレスな連携の仕方がどうしてもよくわからない・・・
つーか機能として可能なんすか?
837836:04/11/23 15:26:01 ID:cm+xqs8U
恥かきついでにも一つきいちゃお mOm
Synplify 7.7.1 でNativeLinkちゃんと動いてる人居ますか?
Precision 2003 は何の問題も無く動くんだけど、

Error: Synplify Pro Error: @E|No component with name xxx to synthesize

トップモジュールはxxxになってるのにこういうエラーが出る。
もっともSynplifyを別途起動してvqm作って・・・という作業では問題なく
フィッティングまでできます。
でもSynplify は Pro.はNativeLinkの対象じゃないんだよね〜
838836:04/11/23 18:16:45 ID:orPgq/Vz
ModelSimの件はわかりました。スレ汚してスマソ
Synplify の方は未解決です。
839774ワット発電中さん:04/11/23 19:01:19 ID:wn89yng5
質問しておいて、解決法かかねーようなヤツの質問はスルー
840836:04/11/23 19:33:24 ID:orPgq/Vz
嫌まだちゃんと解決したわけじゃないけど目処だけはついたので聞くのをやめただけ。
testbenchモードでmodelsimを起動したときtestbenchのモジュール行方不明のエラーが出るけど、
vsim modelsim_work.testbanchname
add wave *
run -all
とでも書いたdoファイルを走らせれば一応シミュレーションはできる。
場当たり的な対処法だけどとりあえず何とかなるから答えてくれる人の手間避けるために書いただけ。
俺なりの解決法書いたからSynplifyの件はよろしく頼むよ。
841836:04/11/23 20:54:05 ID:orPgq/Vz
下らん情報なので申し訳ないがPCの場合のテストベンチ名は大文字にしとけばいいみたいね。
>>839
よろしくね。
842836:04/11/23 23:48:59 ID:Q3Et6Y7I
Synplifyも解決した。お騒がせしました。
Synplify Pro. も何故か動く。
解決法は、あっちこっちクリックしたから残念ながらわけわかめ!
もいっかい別のプロジェクト立ち上げてみたけど問題なく動いた。
テストベンチもデザインファイル(Verilog)も一切いじってないので何で動いたのか謎???
いっぱいチェックボックスいじり倒したからどこをどういじったのか覚えてない・・・めちゃ欝
843774ワット発電中さん:04/12/02 20:21:11 ID:99ixSJ2D
Synplifyも解決した。お騒がせしました。
844774ワット発電中さん:04/12/05 05:33:13 ID:SYBnQZ1B
約20kで安いけど、これどうよ?
ttp://www.altium.com/jp/livedesign/
Altera Cyclone (EP1C12F324C8) デバイスか、
Xilinx Spartan-3 (XC3S400-4FG456C) が選べると
プリンタポート直結できるから専用ケーブルもいらないみたい
845774ワット発電中さん:04/12/05 07:30:28 ID:PR6gk8pa
2ちゃんで宣伝するとは、良い度胸だ。
846774ワット発電中さん:04/12/05 07:37:01 ID:PR6gk8pa
見に行ったけど、ローディングを繰り返すだけで漏れのところでは何も具体的な事は
見えないよ。
説明文見る限りは、その会社の製品の評価キットの位置付けで、ソフトが30日しか
使えないというものだろ?
FPGAをつかったブレッドボードつうかリアルタイムシミュレーターつうか
20年前からある物だし。
漏れ的にはどーでもいい
847774ワット発電中さん:04/12/05 14:15:08 ID:SYBnQZ1B
工作員認定ありがとよw

あれからUSのサイト見て回って$99で売っていたので寺版を申し込んだ。
俺的には財からの乗り換え検討だから、専用ケーブルがいらなくて
VGAが付いて苦有足すで動きそうなやつを探していた。
ETで見かけたけど、P-MANもどきのゲームもあれで動かしていたよ。

ソフトはなにが利点かよく分からん。教授がP持ってるから借りて試してみるつもり。
無事に動いたら報告するよ
848774ワット発電中さん:04/12/05 23:53:31 ID:e4403oyz
>846
Flashがインストールされていないのでは?
漏れの所では見れた。
$99なら財のスパ3と同じ値段だね。

日本で買うのより安そうだな
送料・税金など判ったら教えてくれ >847
849774ワット発電中さん:04/12/09 22:14:51 ID:Ja7h9X5R
寺のftpサイトanonymousアクセス禁止したな。ダウソし放題だったのに。
850774ワット発電中さん:04/12/10 11:10:09 ID:4C/U4KSd
DWM買って来た。
LEDいらねーからレギュレータ付けて欲しかったなあ。

買い出しにいかねば。
851774ワット発電中さん:04/12/10 21:42:01 ID:qDfG+yX1
2ちゃんねら〜敵には、ボードにつけるのはピン?ソケット?
852774ワット発電中さん:04/12/10 22:57:20 ID:K91fGtq1
寿命を考えるとピンだな。しかし静電気&汚れが気になるなぁ。うーむ悩むところだ。
853ATARILA:04/12/11 00:42:04 ID:et2WKSN6
CPLDとFPGAの違いってよくわからんちんこ
TTLとCMOSの違いみたいに差はほとんどないの?
854774ワット発電中さん:04/12/11 00:46:16 ID:3yiI1nSd
CPLDとFPGAの違いか?
CPLDってのは、要ってみればプレハブ住宅だよ。
FPGAってのは、安普請の建売住宅。
855774ワット発電中さん:04/12/11 01:17:09 ID:AFDmYA9z
ROMとRAMの違い。
ただしMAXIIには気をつけろ
856774ワット発電中さん:04/12/11 01:58:23 ID:rflkGh0N
ALTERA的には、Xilinxが先にさんざんFPGAと宣伝しまくったので
近年まで意地を張ってCPLDと言っていたのもある。
Flex10KなんてFPGAと名乗っても構わなかったんだがなぁ。


857ATARILA:04/12/11 02:03:23 ID:et2WKSN6
ありがとうございまつ
じゃFPGAがRAMで、CPLDがROMか…、ちなみに寺のFPGAにVHDLで論理記述してんだけど
clkの周波数を分周して下げた時、自分の計算して出そうと考えた周波数と、
実際にオシロで測定した周波数がモノ凄い合わないんだけどなんでかな?
計算はあってるし、記述した16進数もあってるし…んー
858774ワット発電中さん:04/12/11 07:01:48 ID:qwxmob8k
年末という事でアワードを決めようじゃないか。
xilinx、もしくはALTERAが搭載された商品で一番すげーと思えるのは何か?
貴方の知っている商品を挙げよ。

ちなみに俺が知っているのはシャープのアクオスにXixlinが乗っていたと言う
事実。
859774ワット発電中さん:04/12/11 07:14:25 ID:Rh47BBFy
雑誌のオマケに一喜一憂悲喜交々のこの板住人
860774ワット発電中さん:04/12/11 08:56:03 ID:/v+GLzzW
>>853
LEの粒度と接続構成の違い。

>>856
FLEX10Kシリーズは誰がどう見てもFPGAっすね。
861774ワット発電中さん:04/12/11 11:00:03 ID:nEIjan6u
なに! 10KってCPLD扱いだったのか? 誰もがFPGAって言ってたけど、、、

>>857
アルテラの方じゃなくて、クロック発生側に問題がないかな?
862774ワット発電中さん:04/12/11 13:02:43 ID:grQkrB75
>>857
記述間違いの可能性が一番高いと思うが・・・。シミュした?
配線ミス(特に電源周り)は無いか? GND数本浮いてて妙な現象に嵌った事あるぞ。
電源ピンたくさんあるから、1,2本繋がって無くてもパッと見正常ってな事もある。
クロック波形の品位、反射とかで汚くて誤動作ってパターンに嵌った事あり。
863774ワット発電中さん:04/12/11 14:08:45 ID:er0UMgrT
ザクツーがデバイス販売やってるみたいだけど、今度は真面目な商売やってるの?
864774ワット発電中さん:04/12/11 15:08:04 ID:BFzv6oph
アルテラのUSB−BlasterのRev.Bって何さ?
ぜんぜんケーブルの質が違うように見える。
代理店からはこんなの聞いてないぞ。

http://page12.auctions.yahoo.co.jp/jp/auction/p9425997
865ATARILA:04/12/11 16:42:47 ID:et2WKSN6
すいません!
俺のオシロが古古三菱製のアナログ回路用で、デジタルの読み込みは狂いやすかったみたいです ゴメンポ!
あー初心者
866774ワット発電中さん:04/12/11 17:02:19 ID:C13a47J1
>>864

ALTERAの気持ちは分からないでもないけど、
最高でも20MHzの信号にマイクロストリップの
ケーブルは大げさでしょ。
867774ワット発電中さん:04/12/11 17:12:19 ID:3TmNJ/1e
868774ワット発電中さん:04/12/12 07:02:19 ID:ZmNwM2zr
>858
ALTERAのCycloneがSO○Y製のWEGAとVideo walkmanは確実搭載されている。
あと、PSXも乗っているらしい。
869774ワット発電中さん:04/12/12 08:40:27 ID:61O1aihy
っていうか、最近じゃFPGAやCPLDの載ってない製品の方が
珍しいような・・・。制約条件さえ満たせば、ASICだった
所は(エラッタ対策の為も含めて)FPGAにしない?普通
870774ワット発電中さん:04/12/12 11:23:04 ID:gYatB/TJ
俺はスパ3のリリース前から某家電屋が工場ごと押さえちゃって、
スパ3のリリースが遅れたことの方がおもしろかった。
871774ワット発電中さん:04/12/12 12:17:37 ID:IH0f+SLd
この前12000円セールやってたエプソンのBSデジタルチューナには
スパルタン2がのってたよ。XC2S30かな?
872774ワット発電中さん:04/12/12 22:16:44 ID:kCjW/czm
SonyはやっぱりXilinxを嫌ってCycloneなのか?
それにしても自分のところの不良品率には棚上げして、
他社にはえらく厳しいとは笑っちゃうな
873774ワット発電中さん:04/12/12 22:29:45 ID:jeq9Eg7c
>>857
まずは、分周回路が間違えているか、クロック自体が正常かどうか切り分けるために、
分周してないクロックをFPGAをスルー出力して、周波数を測ってみては?

ところで、自分で分周したクロックをベースクロックとして、
各モジュールに供給するのは、今は簡単にできるのですか?
以前は、アルテラのデバイスだと、一度FPGAの外に出して、
それをクロック入力専用のピンから入れなおしてやらないと聞いたんだけど。。。

874774ワット発電中さん:04/12/12 22:34:11 ID:ktF8QkGe
StratixやCyclone(これはDWM付録)で普通にPLL使ってます。
わざわざ外を回すようなことはやってませんです。
875774ワット発電中さん:04/12/12 23:51:24 ID:uVSXLpFe
>872
面白い。そりゃ棚に上げるよ。でもより不具合を多くしないように
するにはスパ3を採用しない方が良いという結論じゃないの。
だって採用したら最後、噂のタイマーをもう一つつんだことに
なるんじゃない。
876774ワット発電中さん:04/12/12 23:56:17 ID:NClMqcqP
他社では使いこなせているものを無能だから使いこなせないってのが
クソニーらしさ何だろ。
877774ワット発電中さん:04/12/13 00:04:00 ID:aXszhfiV
でもさ〜。以前話題になったROMが消えるとかいろいろな事が
あってのX社採用中止じゃないの。それでS社をせめても仕方ないん
じゃないかな。直ぐに改善しろと言っても問題が積み重なっていると
X社も大変だけど頑張って信頼を戻すしかないんじゃない。
878774ワット発電中さん:04/12/13 00:20:36 ID:HuF6j2vB
まぁ、X採用以前に問題山積みだからな>S

ケータイゲーム機でも早速数々の不具合が(w
879774ワット発電中さん:04/12/13 00:38:11 ID:oW0WODML
もうずいぶん昔の話だけど、XiのPLCCパッケージ品がパカっと割れてる
(足の接合部分からふたがはがれる)のをよく見かけたんだけど最近は
そんなことないの?
880774ワット発電中さん:04/12/13 01:03:33 ID:RSFm2ovK
>>873
一世代前のアルテラのデバイスの話だな、たぶん
Cycloneはそんなことをしなくても、
分周クロックも勝手にグローバルに配置配線してくれる
どこまでが外出ししないといけなくて、
どこから中出しOKになったのかは知らない
881ATARILA:04/12/13 01:26:59 ID:/WdtxzhR
S社ってほんといい加減な仕事するよね
最初に不具合が出るのが、当たり前って開き直って開発してるのが、日本人として許せない。
いつになったら、アメリカの軍事産業に勝てる開発が出来るのかなぁ?
いつも最初の元を造るのはアメリカ。
それをパクるのが日本人。
いい加減 勝ちたいよ。
882774ワット発電中さん:04/12/13 01:39:50 ID:s7x6oH0Y
エラッタ対策というより、開発期間じゃないか?
ASICだと仕様fixから6ヶ月ぐらい必要じゃない。

ASICは複数機種(もしくは数世代)対応のものをしっかり作りこみ、
機種ごとの仕様はFPGAで吸収すると。


883774ワット発電中さん:04/12/13 01:49:29 ID:l2f0aIzS
>>881
そういえばクソニは防衛関係にノータッチだな。
余程信頼されてないのか。
884774ワット発電中さん:04/12/13 01:56:01 ID:mde9lz1R
>>880
> どこから中出しOKになったのかは知らない

エロいな。
885ATARILA:04/12/13 02:26:03 ID:/WdtxzhR
それでいて防衛にタッチしている三BCとフジがあんなんじゃ…
日本人はMIL記号に代わる物から造り直した方がいいよね
寺と罪にはお手上げよん
886774ワット発電中さん:04/12/13 02:45:25 ID:oW0WODML
政商がらみはおつきあいの仕方があって、ソニーはそれを知らない。
NTTのトラックメーカとしても参入できてないでしょ。
まぁ、彼らの感覚としては、へこへこ頭下げてそんな付き合い方してられるか!
ってとこかな。
これといったデバイスがあるわけでもなく、お得意の映像関係も民生品では見る影もなし。
慶応出身者ばっかりが幅を利かせるナンパで嫌味な会社に成り下がった。
ゲーム機でもつくってにゃシャーない罠。
あとは金融と保険ででもがんばってくれ!なんや?この会社
887774ワット発電中さん:04/12/13 07:10:08 ID:YY5ogNp1
>最初に不具合が出るのが、当たり前って開き直って開発してるのが、
>日本人として許せない。

S社は良くも悪くも日本に本社がある米国の会社みたいなもんですから
888774ワット発電中さん:04/12/13 09:53:25 ID:K/N8CHVI
>>883
むかしトンボの目レーダーの記録に放送用VTRくずれの記録機作ってたりしてたような気が。
航空管制用の正方形のブラウン管とかもあったな。民間でも使ってるけど。
889774ワット発電中さん:04/12/13 11:12:20 ID:wfAoYP+N
>>864
>>866
Nios II IDEのデバッガでJTAGの通信量が多くなったんで
こうしないと落ちる場合があるんだと
IDEのデバッグが不安定な場合はJTAG側のケーブルを
短くすると効果があるらしい
890774ワット発電中さん:04/12/14 01:04:17 ID:5cQfsoaF
あんた、いろんなインタフェース載せる前に自分とこの
ダウンロードケーブルまともに設計しなさいよ。残念!
891774ワット発電中さん :04/12/16 01:06:53 ID:b7UqQo8v
XilinxのISE webpackとModelSim XE starterを使っているのですが、
テストベンチを実行すると

Launching Application for process

"Generate Expected Simulation Results".

Reading d:/Modeltech_xe_starter/tcl/vsim/pref.tcl

# 5.8c

# do adder_test.ado
listening on address 127.0.0.1 port 1200
# ** Error: couldn't duplicate input handle: bad file number
# Error in macro ./adder_test.ado line 6
# couldn't duplicate input handle: bad file number
# while executing
# "vlib work
# "
ModelSim> Error: error reading "stdin": bad file number
error reading "stdin": bad file number
while executing
"error $message"
(procedure "invoke" line 10)
invoked from within
"invoke"
("while" body line 2)
invoked from within
"while {$vsimQuit == 0} {
invoke
if {[fblocked stdin]} {
set vsimPriv(stdinReady) 0
fileevent stdin readable {set vsimPriv(stdinReady..."
ERROR: VSim failed to simulate annotated testbench

というエラーが出てしまい、テストベンチが動きません。
IP 127.0.0 port 1200とか書いてありますが、原因がいまいちよくわかりません。
どなたか解決策をご存知の方は御教授ください。
892ATARILA:04/12/16 23:13:49 ID:zB+kYSHM
LANポート とかMACアドレス確認してみた?
893774ワット発電中さん:04/12/16 23:20:22 ID:pUfFlgPP
>>891
喪前
web版じゃなくて製品版
割っただろ?
894774ワット発電中さん:04/12/16 23:37:39 ID:+e6F2ChX
>892
あひゃ〜ぁ  ゲラゲラ
895774ワット発電中さん:04/12/17 02:06:06 ID:GWDqDsUV
◆YMO/ALTERAって鳥屋の人だったのか・・・
896774ワット発電中さん:04/12/17 13:50:04 ID:1OWbNmvM
>>891
CD-ROMからちゃんとXE-Starter、再度インスコしてみなよ。
レジストリ存在しないエラーぽいぞ?まさに割れ物ぽいエラー。

>>895
鳥屋の師匠はALTERA嫌いなはずなんだが、弟子と師匠で別デバイスを使っている。

897774ワット発電中さん:04/12/17 15:55:21 ID:XMpyAIkx
いやぁ〜 罪のWEB見てたら洗脳されちまったさ。
898891:04/12/18 12:47:53 ID:cSxFLt3A
>>892
LANポート とかMACアドレスはどこを確認すればいいのでしょうか?

>>893
DesignWave 2005年1月号のISEと、Xilinxでユーザ登録をした後に
落とせるModelSimXEを使ったので、動かないはずはないのですが・・・

>>896
今、家ではなのであとで試してみます
899774ワット発電中さん:04/12/18 15:12:38 ID:pm9Z4yt3
>>898
ModelSimXEのスタータキットをインストールしたあと、Xilinxにユーザー登録。
License.datファイルをメールでゲットして、Modelsimのライセンスウィザードを
動かさないいけないはずなんだけど、やってある?
900774ワット発電中さん:04/12/18 16:59:25 ID:lmM2s7iQ
1.環境変数LM_LICENSE_FILEを設定してるか?
2.modelsimにパスが通っているか?
3.コマンドラインでvsimとタイプして起動するか?
4.ソフトファイアウォールの類が動いててローカルホストと通信できなければ作動しない。

インストールして正常動作してるかどうかは、
examples/mixedHDLにcompare.doがあるからmodelsimのコマンドラインから
実行して波形が見れるかどうか確認すること。
つーか俺はいつもそれで確認してる。
Xilinx版にexamplesが用意されてるのかはよく知らないのではずしてたらスマン。
901774ワット発電中さん:04/12/18 17:04:30 ID:lmM2s7iQ
もひとつ気になったけど、テストベンチはVHDL/Verilogどっちで書いてる?
ISEに付属のテストベンチ作成ツールで波形作ってmodelsimで通らないなんてのは無し。
902891:04/12/19 03:12:17 ID:SqCowemK
とりあえずISEとModelSim XEを再インストールしました。
やはり"Generate Expected Simulation Results"はダメなのですが、
"Simulate Behavioral Model"の方はModelSimが起動し、正常な波形が表示されました。
以前はどちらもダメだったので、どりあえずシミュレーションはできるようになりました。
いろいろとありがとうございました。m(_._)m

P.S. 研究室のISE製品版では"Generate Expected Simulation Results"も使用できるのですが、
ISE WebPackではこれは使用できないのでしょうか?
903774ワット発電中さん:04/12/19 20:21:31 ID:FgnHM855
DWMの1月号についてたXC3S50にMicroBlaze入れてみた人いる?
904774ワット発電中さん:04/12/19 20:51:26 ID:0VaeOerh
3S50だと小さすぎてMBは無理ですよ!
PBでシコシコするっきゃないでしょう
905774ワット発電中さん:04/12/19 21:11:36 ID:FgnHM855
漏れもそう思ったけど、>>732( http://direct.xilinx.com/bvdocs/appnotes/j_xapp477.pdf )
によると使用率68%ぐらいで入るらしいので検証希望といったところ。
906久々:04/12/20 00:08:52 ID:zAJ0UM+/
久々にFPGAの設計をします。
これまでの設計経験はアクテル、ザイリンクスです。
ここ2年ほどCで組み込みをやっており、今回の製品でサイクロン2+ニオス2を
使って、設計を進めようと思っています。

サイクロン2、ニオス2を使っている人いますか?
907名無しさん:04/12/20 18:15:30 ID:1yUWSSLs
>>906
NIOS単独だとそれなりに動作するけど他の回路を組み込んでいくとFMAXが
下がってパフォーマンスが出ない。
基板面積と予算に余裕があるならSHでも載せといたほうが良いかも。
908久々:04/12/20 21:28:04 ID:zAJ0UM+/
>907
レスどうもです。

> NIOS単独だとそれなりに動作するけど他の回路を組み込んでいくとFMAXが
> 下がってパフォーマンスが出ない。

周辺回路もそれなりに入ります。IPはSDRAM位かな?
あまりパフォーマンスは期待しないほうが良いのですね。

> 基板面積と予算に余裕があるならSHでも載せといたほうが良いかも。

今回の製品は、電流的に厳しいので、H8にしようと思っています。
予算、基板面積もかなり厳しいです。

とりあえずはアルティマの研修に出ようと思います。
909774ワット発電中さん:04/12/21 01:46:47 ID:en8eOF91
MicroBlazeをバリバリ使ってる人、手ーあげてー
910774ワット発電中さん:04/12/21 02:08:57 ID:qRqqi+Oc
Nios(Nios II)つかってまつ。これがないと仕事になりません
>>906,907
SOPC Builder 4.2から複数クロックドメインが使えるって言ってました。
AHBみたいにCPUとメインメモリ周りだけ速いクロックが使えるって事かな?
その他I/Oは低速クロックにすると…

外部CPU使うときもSOPC Builder役に立ちそうです。
以前セミナーでSHのバスをSOPC Builderにつないでました。
ブリッジのサンプル回路ならもらえるそうです。
911774ワット発電中さん:04/12/21 02:19:21 ID:qRqqi+Oc
910です。追記
確かにそれほど性能期待できるCPUじゃないけど、
H8程度の仕事はカバーできるというのが大まかな感触。
周波数も落ちてもNios IIが原因で60MHzを割る事はまず無さそうだし。
(Cycloneで)
といってもいつもデバイスの使用率に余裕残してるから、
キツキツに作ったらどうなるか解らんですが。
912774ワット発電中さん:04/12/21 12:01:41 ID:Guuhse12
スリープモードはどうですか?
割り込みで一瞬だけ目覚めて、それ以外はクロックも含めて
動作を止めるような使い方できる?
913774ワット発電中さん:04/12/21 14:58:55 ID:zs30SuEG
サイクロンのNIOSキット開発キットが欲しいのですが、個人でも購入できるのでしょうか?
また値段はどのくらいでしょうか?
914774ワット発電中さん:04/12/21 15:02:52 ID:nK2HB545
値段はともかく、一般的に個人には売らないとかいってるところ相手でも
脳内会社の脳内担当者として連絡すれば簡単に売ってくれるらしいぞ。
915774ワット発電中さん:04/12/21 15:14:51 ID:nDilndqz
>>913
会社でつきあいのある代理店に聞いてみろ。
僕はそこから2次の代理店紹介して、代引きで買えた。

取引無いならダメもとで、アルティマとかメールしてみたら。
916915:04/12/21 15:15:27 ID:nDilndqz
×紹介して
○紹介してもらって
917774ワット発電中さん:04/12/21 15:16:50 ID:Vwaq2aE5
>>913
http://www.altera.co.jp/products/devkits/altera/kit-nios_1C20.html
こういうやつですか?
とりあえず代理店にダメもとで問い合わせてみるのはどうでしょうか。
918774ワット発電中さん:04/12/21 15:31:07 ID:zs30SuEG
>>914-917
レスサンクスです。
実は、私は全然違う業種の人間でして、会社もこの手の代理店との付き合いが
皆無なんです。
NIOSは趣味で使ってみたいという事なんです。

取りあえず代理店に聞いてみます。
だめなら脳内会社設立してみます。
919774ワット発電中さん:04/12/21 15:41:18 ID:Vwaq2aE5
>>918
http://www.elisshop.com/ こういうサイトを利用してみるのもてかもしれません。
ユーザー登録は必要ですが在庫がない商品でも見積もり依頼出来ます。
(もちろん個人でも相手にしてもらえます)
アルテラ関連はまだ購入したことはありませんが、スパ3関連はここで見積もり&購入したことがあります。
920774ワット発電中さん:04/12/21 16:31:12 ID:CHY7Y2//
高いよ〜〜
921774ワット発電中さん:04/12/22 00:51:27 ID:EXJ7OClH
an2131SC 1400円で送料735円だってさ。
922774ワット発電中さん:04/12/22 01:50:38 ID:Rpc7Lgux
>>912
そんな上等なモード持ってませんw
Niosって完全同期設計らしいので、自分でクロック制御して止められれば
そのまま止まるかも。でも割り込みも受け付けないので、起こす回路も
作らないと。というかFPGAで動く単なるロジックなので、
クロックの管理もユーザの責任です。
そんなんで、電源制御とかにはあまり向かないかな。
FPGAが安定してることが前提だもんね
923774ワット発電中さん:04/12/22 01:54:55 ID:sd5+oMCt
デバイスドライバ設定でMACアドレス変えられるね。
ルータ超えてれば同じMACアドレス存在できるしね。

KEILのDEMOパッチ書いたらいけませんか
ああそうですか
924774ワット発電中さん:04/12/22 02:40:13 ID:bTA/2HxQ
>ルータ超えてれば同じMACアドレス存在できるしね。

未だにこんなバカが居るのか
925774ワット発電中さん:04/12/22 13:58:33 ID:t1In6fip
?? どう馬鹿なの?
926774ワット発電中さん:04/12/22 15:47:29 ID:lYS7NtZs
>?? どう馬鹿なの?

未だにこんなバカが居るのか
927774ワット発電中さん:04/12/22 19:55:37 ID:uFnslF8G
?? どう馬鹿なの?
928906:04/12/22 22:21:07 ID:zxxX9naY
>910

どんなアプリで使っていますか?
社外秘でなかったら教えてください。

>911

情報ありがとうございます。
ニオスはH8程度で十分です。クロックも50Mもあれば十分だと思います。

ところでアルテラ&ニオス関係でいい参考書はありますか?
手元にあるのはFPGA活用チュートリアルのみです。
929774ワット発電中さん:04/12/22 23:36:22 ID:uFnslF8G
テスト
930774ワット発電中さん:04/12/22 23:48:50 ID:Rpc7Lgux
910=911です
アプリに関係なく使ってるような・・・
Alteraの載ったボードができてきたら、とりあえずNios入れてボードやIPの
テストを始めるってのがセオリーになってます。
あ、メモリはオンチップだけで。じゃないとメモリ周りに問題あったときに泥沼なんで(^_^;
その後もデバッグやパフォーマンスチェックに活躍し、製品では消えるはずだったのが、
そのままLCDのコントロールに入ったまま製品出荷したりとか。
仕様書では実装方法について指定がないので、多分依頼主はCPUが入っているとは
思ってないかも(笑)おかげで開発が楽できましたよ〜
最もCPUらしいことやらせたのはネットワークと画像かな?

別の人が最近使ったら50MHz割った事があったらしい。Quartusで制約
かけたら何とかなったらしいけど・・一応注意とゆうことで

代理店がやってるセミナーが基本かと思います。
あとはDWの記事ぐらいで少ないよね〜って話をAlteraにしたら、
前向きに検討しますとか政治家みたいなこと言ってなにもないぞ。
なんとかしてくれ〜Altera
931774ワット発電中さん:04/12/23 00:07:29 ID:MuCKitcn
おい、オマエラ教えろ
メメックジャパン
東京エレクトロンデバイス
新光商事
リョーヨー

個人にも親切なのは何処だい?


932774ワット発電中さん:04/12/23 01:41:58 ID:f7OkTLUK
Spartan-3ボード1万ちょい。買おうかなぁ・・・
でも、 ALTERAのUP2ボードも手付かずなんだよなぁ。
あ、これをオクに出して、S3ボード買おうか。
そーだそーだそうしよう。

とろこで

LV125で作ったダウンロードケーブルは調子がよいです。
某所で売っているDB25シェル(ねじ無)に、ぴたりと収まりました。
見かけはByteBlasterみたいですが、Xilinx用です。

LV125はTIからサンプルで貰えるよ。
DIPは無いけど・・・

独り言でした。
933774ワット発電中さん:04/12/23 01:42:01 ID:eXnibh7S
東京エレクトロンデバイス:
価格 財はロット単価の 2 倍弱くらいな感じ(千石の 6-7 割位)
送料 無料
支払 到着後振込み
梱包 レールを切って封するだけだったり、真空パックしなおしてあったり、いろいろ。
反応 在庫確認見積り注文いずれも翌日には処理。在庫無く時間がかかるケースでは発送予告メール有。


最初に見積りとっていきなり digikey より安かったので他は使ったことない。
不満はまったくないが、赤字としか思えない内容にかえって注文しずらかったり。
934774ワット発電中さん:04/12/23 01:45:47 ID:f7OkTLUK
>933
個人相手に、売ってくれまつか?

digikeyはウチでは納期ピンチのときの非常用です。
935774ワット発電中さん:04/12/23 08:17:33 ID:kdGXWRT4
>>930
>あとはDWの記事ぐらいで少ないよね〜って話をAlteraにしたら、
>前向きに検討しますとか政治家みたいなこと言ってなにもないぞ。
>なんとかしてくれ〜Altera

つーか、そんなけ使い込んでいるなら、お前が書け!
いや、書いてください。おながいします。
936ATARIRA:04/12/23 08:49:01 ID:sKd9DKaE
つーかてめぇら、独り言 多すぎんだよ! しよーかなー じゃなくて シロ!
おまいが書け!
937933 :04/12/23 11:28:27 ID:eXnibh7S
>933 は >931 へのレスのつもり。

>934
個人で買ってるが、このスレでも前々から書かれてるように
適当に御紋しょったかたちにして注文してる。
メルアドも発送先住所氏名も振込人名も全て個人名(宅)のままで
ばればれのはずだけど特に何もないなぁ。



938774ワット発電中さん:04/12/23 13:02:41 ID:pKFyjnxI
それでパラレルケーブルはどこが安いの? 皆値段同じ? 送料の差?
939774ワット発電中さん:04/12/23 13:07:31 ID:eYtekoAu
どごが安いというより種類の差もあると思うけど
940774ワット発電中さん:04/12/23 15:11:04 ID:evDbOx8/
現時点でパラレルケーブルを買おうっていうなら 4だろ。
941774ワット発電中さん:04/12/23 16:34:27 ID:B1pOB5pm
どうせ買うなら良いものをと思って、メメックジャパンからPL4とROM付で買った。
個人対応してくれたもんだから、良かったよ。
942774ワット発電中さん:04/12/23 17:25:30 ID:MtljD0OP
>>933
東京エレクトロンでXCF01なんかも10個とか販売してくれる?
943933 :04/12/23 22:15:29 ID:eXnibh7S
>>942
うん。
933 で書いたのは「1 〜 10 個」での価格ね。

>>941
メメックはどうよ? www.insight-electronics.com の値段みてると
つい夢みてしまうんだが。

944774ワット発電中さん:04/12/23 23:27:28 ID:B1pOB5pm
>>943
わたしは値段よりは日本国内の正規メーカから買うのが目的だったんで
満足な対応です。
945774ワット発電中さん:04/12/23 23:45:50 ID:BuXK0jfe
95ドル、、、でも日本の価格って誰かが13000円位に書いてたよね。
どっちもDIGIKEYよりは安いけど。
1万円ソコソコくらいで売ってくれるところってないのかな
 ぱら4
946774ワット発電中さん:04/12/24 00:01:09 ID:nyx9Bzy4
>>935
930です。
前向きに検討しまつw
947774ワット発電中さん:04/12/24 02:21:15 ID:SzHXOT73
USBも新型でたね。(xilinx)
こんどはだいじょぶなんだろうなぁ・・・
P3で間に合ってるけど。
948774ワット発電中さん:04/12/24 13:51:09 ID:KAHT3ui8
>933
なんか遠まわしに「一昨日来やがれ」って言われました。
食い下がって構ってもらうべきなのでしょうか?
売ってやるんだってことで、高いこと言われそうで怖いのですが。
949774ワット発電中さん:04/12/24 15:11:06 ID:yYYaPkf6
新たなビジネスを育てる努力をしないわけではないが、育つかどうかわからん奴との
新規取引なんかやってらんねぇって。
当たり前だろ。
950774ワット発電中さん:04/12/24 18:36:20 ID:YXj2T8v+
結局日本企業って、アマチュアや零細事業者の為には全く役立たないんだね。
951774ワット発電中さん:04/12/24 23:10:29 ID:FIflhbNy
だって、アマチュアや零細企業じゃ、利益につながらないもんな。
ボランティアじゃないんだからさ。
952774ワット発電中さん:04/12/25 02:05:49 ID:wX73UJXM
自ら市場を作ろう、広げようという活動はせず、減りつつあるパイの争奪だけ。
しかも争奪費用も削減。というのが現状。
日本社会お先真っ暗。

なのに、若者の勤労意欲がないからとか言ってる政府役人スゲーバカ。
いや、バカでもないか。公務員を減らす事は頑としてやらないものね。
税収は公務員がウンコにしてる。国の運営費は全部借金。

ほんとにヤバイよ
953774ワット発電中さん:04/12/25 02:35:26 ID:y/UuEAQH
オッパイの争奪?
954774ワット発電中さん:04/12/25 03:00:24 ID:wX73UJXM
調べた限りでは、PC4買うしかなさそー
955774ワット発電中さん:04/12/25 03:08:56 ID:lAlEGbeH
ああ・・個人で代理店に直接交渉するのマンドクセ('A`)

めめっくとかのページのCPLDのキット(5000円のやつ)で
ちょっと遊んでみたいだけなのになんか個人情報入れたり
銀行振込する気になんないな・・担当者もめんどくさいだろうし・・

どっか店頭で売ってないかな・・
956774ワット発電中さん:04/12/25 03:19:38 ID:wX73UJXM
東エレは問い合わせに返事メール来るだけまし。
信仰は歯科と。

957774ワット発電中さん:04/12/25 07:52:59 ID:twiUQuKR
漏れは個人だし普通に代理店に電話してデバイス数個とかを現金で買うことが多いが
別に普通に買えてる。
多少高いのは目をつぶるとして今までそれ程むかついたこともないし大概のデバイスは
入手出来てる。

上手く取引出来ない奴ってコミュニケーション不全じゃないの?
958774ワット発電中さん:04/12/25 10:31:13 ID:ESM1//7F
上手く取引出来ない奴ってコミュニケーション不全じゃないの?

確かに>>952を読んでいるとそう思う。
959774ワット発電中さん:04/12/25 15:54:49 ID:ViSwBQLV
>上手く取引出来ない奴ってコミュニケーション不全じゃないの?

いや、おそらく “多少高いのは目をつぶる” の問題だろ。
「ふざけろ!バカやろ、足元見やがって。将来漏れがビックになったってオマエとこなんか
取引しないからな!」

となってお終い。

○○欲しいといって、幾らです。送料は幾らです。という話にならない。
あーでもなにこーでもないと言った不毛なやり取りの後で法外な値段を言い出すからね。
マスコミ発表のサンプル価格は実際に販売するための価格ではありませんとか平気で言う。
960774ワット発電中さん:04/12/25 15:59:21 ID:ESM1//7F
>マスコミ発表のサンプル価格は実際に販売するための
>価格ではありませんとか平気で言う。

それって常識の範疇でわ?デバイスになんかだと、
必ず「○万個」とかいう数量が書いてあるじゃん
961774ワット発電中さん:04/12/25 16:13:04 ID:vBKv12JX
デバイス1個買ったら高いよ〜これは個人じゃなくても同じ
評価用に数個を企業で買う場合も何倍もの値段がする
でもこれって常識だからね。うちが半導体出す場合もそうだし。

PentiumやAthlonとかの一般販売されている石も大量に購入すれば
一気に値段下がります。
メーカ製のパソコンの値段がxxで、そこからCPUの市販価格引いて、
メモリの市販価格引いて…ってやってたらあっという間に赤字でしょ
962774ワット発電中さん:04/12/25 16:25:03 ID:ViSwBQLV
>必ず「○万個」とかいう数量が書いてあるじゃん

だから、1マンコ受注時230円とかってあるときに誰でも1マンコ発注できるかといえば
そうではないんだよ。その金額をベースに、スペックとか話あひまひょってことなんだよ。
当然その後ろには、月何万個を半年とかの話があっての事。

フリの客が買えるパーツってのは、標準品としての量産が始まった後なんだよ。
963774ワット発電中さん:04/12/25 18:30:22 ID:kMKpehP+
>評価用に数個を企業で買う場合も何倍もの値段がする
それは違うな。ものにもよるが、
量産の見込める(ようなふりする手もあり)ものであれば、
"どうぞお使いください"とただで商社なり営業なりがくれるよ。
サンプル提供よろしく
964774ワット発電中さん:04/12/25 18:52:18 ID:ZeaxjdOv
まともな会社は量産云々抜きで、試作したいってだけでサンプルクレルよ。
難点は、零細事業者が設計を完了した頃にはディスコンになっているってことだ。
965& ◆P9McuGMOts :04/12/25 19:23:37 ID:ZeaxjdOv
次ぎスレ? http://science3.2ch.net/test/read.cgi/denki/1103970072/l50

PLD関係のスレが必要な奴は新すれ立てれ。(べつに排除する意図はないが)

製品自体
最初の取っ掛かりコスト
メーカーや代理店の姿勢

なんかで、突っ込んだ比較をやってみたいね。
966933 :04/12/25 20:54:58 ID:pgzFGvQ6
>>948
メールの内容が多少不機嫌なことはある。イレギュラーな仕事だししょうがない。
でもそのへんの通販とは違って人間味があっていいと思えるようになるかどうかは
最初で決まるし、ちょっと不運だったね。

値段のほうは、ロット単価が動いてすら数個レベルの値段は
べたっと止まったまんまだし、担当の気分で変わることはないと思うけど。
967774ワット発電中さん:04/12/26 00:00:45 ID:/Vm47Gbs
営業担当♀のお月様周期と単価の変動に相関ありまつ
968774ワット発電中さん:04/12/27 14:37:47 ID:ZR0zPUSG
んで結局、XILINXとQUARTAUSUどっちが良いの?
969774ワット発電中さん:04/12/27 15:38:32 ID:63IGKQJa
ネット経由で注文して海外のDigikeyなんかから部品を買うこともできるのに、
今でもロクに在庫も持たず、個人や、口座のない小口相手に取り引きしないと
公言している、大手代理店は多いな。

それどころか、部品を購入する側の製造業ですら経営側がコストダウンと言いな
がら、一方で資材部や購買部署の現場担当者が、うちと取り引きがあるのは○○
と△△(その部品業界ではマイナー)で、それ以外のメーカーから新規に部品を
調達するつもりはありませんとか言いよる。(某音響メーカーでの実話)

まだまだ大手企業では、ロクに利益も出せないくせに、そういうクソ社員が偉そ
うにしているよ。
970名無しさん:04/12/27 15:46:49 ID:HuVtOTL5
>>968
それを言うならXILINXとALTERAでないの?
答えは圧倒的にALTERA。
971774ワット発電中さん:04/12/27 15:52:04 ID:Q10bb9YR
>969
つうかそーゆー奴らだけが未だに被雇用人をやってる。
「そんなことじゃダメです」とか正論吐いてた奴は皆無職だよ。
972774ワット発電中さん:04/12/27 17:25:41 ID:T2dq7VVy
>>970
なんで?
973774ワット発電中さん:04/12/27 18:05:08 ID:Jtu+H0nR
ここでは、ALTERA信者が多いから。(w
974774ワット発電中さん:04/12/27 18:24:51 ID:WwtjeZGL
ALTERA マンセー!
ALTERA マンセー!
ALTERA マンセー!
ALTERA マンセー!
ALTERA マンセー!
975774ワット発電中さん:04/12/27 18:32:57 ID:2NYOvnPM
そういえば前のほうでは、EPC2マンセーなんていうレアな人間がいたなぁ
その後回答無しに逃げくさって

ALTERA最高ッスヨ!
ALTERA最高ッスヨ!
ALTERA最高ッスヨ!
ALTERA最高ッスヨ!
ALTERA最高ッスヨ!
ALTERA最高ッスヨ!
976975:04/12/27 18:35:25 ID:2NYOvnPM
ごめん
× EPC2マンセー
○ EPCS1マンセー

そんなオレはFLEX10KEのPGAパッケージまんせー
977774ワット発電中さん:04/12/27 19:25:43 ID:TTXpjLCM
最近、罪しか使ってない
978774ワット発電中さん:04/12/27 23:03:02 ID:T2dq7VVy
漏れはXilinxしか使ったことないんだけど、
PLLはAlteraのほうが良さそうだなあ。

Xilinxのスパルタン2のDLLだと、
倍率のバリエーションが大したことないし、
それ以前に、入力クロックが25MHz以上ないと動かないしw

…前これで、入力クロックが14.318MHzで、
DLL動かねー動かねーって悩んでたことがあったOTL

こういう重要なことはもっと分かりやすく書いといて欲しかったyo!!ヽ(`Д´)ノ ウワァァァン
979774ワット発電中さん:04/12/27 23:25:10 ID:mBmv0XaL
>>978
ビデオ屋ハッケソ、しかもアナログだな(w
980774ワット発電中さん:04/12/28 00:15:03 ID:njBKPNdS
どっちでもいいな〜
時と場合によりけり。でもSOPCB使うときは有無を言わさずある寺
XやASICでも使えるようにしてくれ、ある寺
981774ワット発電中さん:04/12/28 00:47:02 ID:dFbytbZm
altera対xilinxって
昔の68対80みたいで
イイネ!
モットヤレ
982774ワット発電中さん:04/12/28 01:06:25 ID:qMZeFqEh
>>978
>入力クロックが14.318MHzで、DLL動かねー
おれも同じことやったヽ(`Д´)ノ
983774ワット発電中さん:04/12/28 01:51:38 ID:rt+Vs6Nn
68対80ってのは マトモな香具師とDQNの対決だったんだが。
寺と罪の比較ってのは、やる気がない奴とある奴の対決なのだが。

マトモな奴は所詮DQNのキチガイパワーの前には無力だったってことで。
984774ワット発電中さん:04/12/28 14:34:44 ID:QaUR8j+M
スパ3評価キット+EDK買った。
納期2Weekとのことだったけど、注文後3日で来たよ。
このオモチャで何つくろうかな。
985774ワット発電中さん:04/12/28 15:04:00 ID:LHfcupfk
もうしばらくすると代理店がMAX IIの開発キット持ってやってくるハズ。
会社で取引のあるとこから個人で買えました。
986774ワット発電中さん:04/12/28 18:04:05 ID:gWoA3bKa
980超えると勝手にスレ落ちるらしいのでそろそろ新スレ希望
987774ワット発電中さん:04/12/28 19:00:09 ID:ntQviUOU
MAX IIキット 今日ゲット!
オイラもクーポン使って個人で買いますた

付属のデモはひととおり動かしてみた
USBデモとかすぐあぼーんするのは仕様ですか >あるてら
988774ワット発電中さん:04/12/28 20:56:03 ID:DZQ7BIM8
>>983
ああ、マトモな奴はDQNな「高卒煽り」の基地外パワーには勝てないと言うことなのでしょうか?(ref.自治スレ)
989774ワット発電中さん:04/12/28 21:13:17 ID:JUIUhDNE
罪の意識にさいなまれ、寺に入って修行することにシマスタ
990774ワット発電中さん:04/12/28 21:20:05 ID:RyQu+wEa
>>986
新スレはもうある罠
http://science3.2ch.net/test/read.cgi/denki/1103970072/

なんかスレタイがアレだったり、
XILINXがザイログになってたり、
始まって早々USBだのレガシーだのって荒れたりしてるけど。

それとも、新たに別の新スレ立てた方がいいって事?
個人的にも、↑の新スレじゃなく、
改めて仕切り直ししたほうがいいんじゃないかって思ってるけど…

>>989
ワロタ
991774ワット発電中さん:04/12/28 21:24:44 ID:tu0u52nR
逝くぞ尼寺へー
992774ワット発電中さん:04/12/28 21:43:57 ID:KZG1+nfd
>990
細かい問題はまぁそれだ。
CPLD系の新スレはゼンゼンカマワンよ
FPGA系の新スレが立つと、FPGA系の新スレが更に増えていくことになる可能性も
考えてくれ。
ま、過疎板だから、乱立したところで、実害はないだろうけど。
993774ワット発電中さん:04/12/29 01:14:26 ID:FIEHXWOs
うめるかー
994774ワット発電中さん:04/12/29 01:15:53 ID:lUQdUyaw
そそ。
男には子供を産むことはできません。
995774ワット発電中さん:04/12/29 02:03:51 ID:bPbWiGWu
http://page13.auctions.yahoo.co.jp/jp/auction/r6226718
byteblaster って、買うといくらするんだっけ?
996774ワット発電中さん:04/12/29 02:10:14 ID:n0+69jq8
996
997774ワット発電中さん:04/12/29 02:52:38 ID:TRtLi2l4
997
998774ワット発電中さん:04/12/29 02:58:43 ID:CdpyfJXX
産め、ルカー   by イル、カル、フィン
999774ワット発電中さん:04/12/29 03:04:41 ID:TRtLi2l4
999
1000774ワット発電中さん:04/12/29 03:05:28 ID:TRtLi2l4
ALTERA マンセー
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。