暇だからVHDLでも書こうかな

このエントリーをはてなブックマークに追加
278デフォルトの名無しさん:2006/03/23(木) 10:39:52
hs
279デフォルトの名無しさん:2006/05/24(水) 10:03:32
VHDLの開発環境がフリーで手に入るとこないですか?
機能制限でフリーであったって話を聞いたんですけど
見つからなくて・・
280デフォルトの名無しさん:2006/05/24(水) 10:13:42
Verilogのシムならぐぐればあるのだが
シムじゃなくて無償ツールなら、寺とか罪のサイト逝け

ていうか電気板の方が反応よいと思われ
281デフォルトの名無しさん:2006/05/24(水) 23:02:13
電気板って軽の話題持ち込んだアホのせいで荒れてるからなぁ
282デフォルトの名無しさん:2006/05/24(水) 23:03:50
【Verilog】記述言語で論理設計 Project4【VHDL】
http://science4.2ch.net/test/read.cgi/denki/1143016023/

【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 05
http://science4.2ch.net/test/read.cgi/denki/1139847266/

こんなとこかな
283デフォルトの名無しさん:2006/07/18(火) 00:39:48
age
284デフォルトの名無しさん:2006/07/18(火) 01:06:02
暇な人が居ないようですね
285デフォルトの名無しさん:2006/07/18(火) 01:17:20
この話題は電気・電子板なんだよな
286デフォルトの名無しさん:2006/09/08(金) 22:56:22
複数個のnビットデータから最小の値のデータを選び出す回路は、
もっとも最速の回路を作ろうと思った場合、どういう考え方(アルゴリズム?)でHDLを記述すれば
いいでしょう?
287デフォルトの名無しさん:2006/09/09(土) 04:56:47
たとえば n=3 のとき
111
110
101
100
011
010
001
000
の中の最小は 000 っていう意味?
先頭の bit から見て 0 が一番沢山並んでるのが最小でいいような。
288デフォルトの名無しさん:2006/09/09(土) 07:59:35
複数個のデータがどうやって与えられるかが問題。
nxmで全部パラレルに入ってくるのか、
nbitのパラレルがclock同期で何度か入ってくるのか、
その場合何個目がデータの終わりになるのか等、
条件が不十分すぎると思うよ。
289デフォルトの名無しさん:2006/09/11(月) 19:38:44
>>286
最速と言うからには組み合わせ回路になると思う。
組み合わせ回路なら最速を考えるのは合成ツールの仕事。
適当なアルゴリズムを書けば勝手に合成ツールが考える。
もちろん最初の展開後の回路が莫大過ぎると合成終わんな
いので、ほどほどにはしておく必要はある。
290デフォルトの名無しさん:2006/12/29(金) 06:10:58
1chipMSXが販売開始されたようですが
VHDLソース書き換え試したひといる?
291デフォルトの名無しさん:2007/04/25(水) 13:45:03
あげてもいいかな?
292デフォルトの名無しさん:2007/04/25(水) 20:51:25
>>290
います
293デフォルトの名無しさん:2007/05/01(火) 04:42:50
あげてもいいかな?
294デフォルトの名無しさん:2007/05/01(火) 04:54:47
ネタじゃなかったらこちらへ

【Verilog】記述言語で論理設計 Project5【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1174319964/l50
295デフォルトの名無しさん:2007/05/01(火) 06:51:27
ここじゃだめ?
296デフォルトの名無しさん:2007/05/07(月) 04:37:48
あげないとたいへんなことになります
297デフォルトの名無しさん:2007/05/07(月) 04:50:23

298デフォルトの名無しさん:2007/05/10(木) 21:57:17
>>297
GJ!
これでこのスレもしばらく安泰じゃ
299デフォルトの名無しさん:2007/05/30(水) 14:49:59
.
300デフォルトの名無しさん:2007/06/15(金) 00:10:41
ちゃんと内容のあるカキコしろよ:





























301デフォルトの名無しさん:2007/06/15(金) 21:29:16
ぐは、折角頑張って書いたのにぜんぶ自動削除された・・・(鬱
302デフォルトの名無しさん:2007/06/17(日) 19:19:34
自動削除?
303デフォルトの名無しさん:2007/11/18(日) 14:26:18
dfbdfdfdf





jfgfgj





tutututr





mfmmfhf




kukutk




yeryryrr

304デフォルトの名無しさん:2007/11/30(金) 21:35:21
Verilogのスレは無いの?
305デフォルトの名無しさん:2007/11/30(金) 21:37:20
aruyo
306デフォルトの名無しさん:2008/02/17(日) 15:21:29
doko?
307デフォルトの名無しさん:2008/02/18(月) 21:43:47
308デフォルトの名無しさん:2008/07/08(火) 19:45:19
VHDLが分かる方いませんか?
309デフォルトの名無しさん:2008/07/08(火) 23:06:23
【Verilog】記述言語で論理設計 Project6【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1204914170/l50

荒れてるけどこっちの方がいいべ。
310デフォルトの名無しさん:2008/07/09(水) 20:06:59
ひさびさにみにいったら確かに荒れててわろす
311みみずん削除:2013/08/08 14:33:38 ID:MiMIZUNCjA
このレスはみみずんによって削除されました。
削除日時:2013/08/08 14:33:38
http://mimizun.com/delete.html
312デフォルトの名無しさん:2008/07/13(日) 14:44:57
コピペ乙
313デフォルトの名無しさん:2008/07/22(火) 01:31:17
今世紀最大の正真正銘のキチガイ弓月城太郎(>>311)にやねうらおは絡まれている。



弓月城太郎は正真正銘のキチガイ

【自作自演】弓月城太郎氏に関するまとめ【神秘体験】
http://d.hatena.ne.jp/yaneurao/20080619
314デフォルトの名無しさん:2008/07/22(火) 01:32:19
>>311
今世紀最大の正真正銘のキチガイ弓月城太郎(>>311)にやねうらおは絡まれている。


弓月城太郎は正真正銘のキチガイ

【自作自演】弓月城太郎氏に関するまとめ【神秘体験】
http://d.hatena.ne.jp/yaneurao/20080619
315デフォルトの名無しさん:2008/10/02(木) 07:26:11
保守
316暇だから来てみた ◆TampgQ3z9g :2008/10/04(土) 00:46:06
保守
・・・・まだあったのか
317デフォルトの名無しさん:2008/10/26(日) 22:17:11
組込みソフトやってるがハード屋さんの仕事をもっと理解しようと思い
HDL言語でも始めてみようと色々調べてるけど
書籍とかに付いて来る以上の物を求めると結構金かかるのな…
318デフォルトの名無しさん:2008/10/28(火) 12:17:48
319デフォルトの名無しさん:2008/10/30(木) 22:34:02
>>317
おれハード屋(FPGA)だけど、

>>書籍とかに付いて来る以上の物を求めると結構金かかるのな…

何を理解したいの?
320デフォルトの名無しさん:2008/11/01(土) 23:36:23
良く嫁
321デフォルトの名無しさん:2009/01/05(月) 14:49:20
VHDL初心者です。
サンプルプログラムとかで、アドレスデコーダの
2進や16進の表記はわかったのですが、
10進表記の場合ってどう書くのですか?
ソフトはQuartusU
すみません、教えてください。
322デフォルトの名無しさん:2009/01/05(月) 15:45:49
普通に数字書けばいいんでないの?
正規表現で言うと [1-9][0-9]* 。
なんか特殊なことしたい?
323デフォルトの名無しさん:2009/01/05(月) 16:05:37
例えば、入力「DATA」8ビット入力で、出力が「OUT1」だったら、
port ( DATA : in std_logic_vector(7 downto 0);
   OUT1 : out std_logic);
で、
例えば16進ではX"78" 2進では"01111000"の時に、
OUT1を'1'(その他は'0')と出力したいんですが、
これを10進の「120」とかで直接表記したいんです。

途中でIntegerってのを使うのかな?
324デフォルトの名無しさん:2009/01/05(月) 16:20:56
Linux使えば出来るんじゃない?
325デフォルトの名無しさん:2009/01/05(月) 16:50:47
ビット幅を表現できる(2進とか16進とかの)表現でないとダメなんじゃね?
326デフォルトの名無しさん:2009/03/19(木) 16:07:17
はいはい
327デフォルトの名無しさん
スレ違い