【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 17

このエントリーをはてなブックマークに追加
1774ワット発電中さん
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/


■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 16
http://uni.2ch.net/test/read.cgi/denki/1319916945/
2774ワット発電中さん:2012/04/18(水) 05:03:17.55 ID:UAPAQJZO
1お疲れさま
3774ワット発電中さん:2012/04/18(水) 05:03:58.51 ID:IHTtQxZe
143 名前:774ワット発電中さん :2012/04/18(水) 04:48:36.91 ID:3kjlPx7k
ARMユーザってさ、
PICやAVRとかバカにしちゃうもんなの?
オイラ最先端高機能CPU使ってるし
低機能使う奴らとレベル違うしウヒャッ!

ここのスレ見てるとそんなオランウータンを垣間見るんだけどさ
4774ワット発電中さん:2012/04/18(水) 05:05:10.78 ID:IHTtQxZe
他所のスレ荒らすなよ
5774ワット発電中さん:2012/04/18(水) 07:32:08.03 ID:pYPmBSfN
ID:IHTtQxZe
6774ワット発電中さん:2012/04/18(水) 10:26:53.36 ID:UAPAQJZO
何度やっても、電源容量の見積りは難しいね。
怖くて怖くて、ついつい大き目になる。
7774ワット発電中さん:2012/04/18(水) 19:00:38.35 ID:m/P3yNf2
ゎをんら..,jaKMn. らな
8774ワット発電中さん:2012/04/19(木) 13:53:02.83 ID:c99Kebb6
FPGAを勉強しようとしています。
一度も触ったことがない初心者ですが、何かいい書籍、サイトはありますでしょうか。
まずはインストールから小さな回路でのシミュレーションの仕方くらいまでがあれば、
と思うのですが。

色々調べていたらverilog HDLよりsystem verilogの方が表記が簡単で使いやすいということはわかったのですが、
専門的すぎて情報がほとんどなく、あったとしても数年前のもので操作方法が結構変わったりでほどんど探せませんでした。
以下、調べたものですが、古いのでシミュレーションまでいけませんでした。

ttp://monoist.atmarkit.co.jp/mn/kw/fpga_basic.html
ttp://d.hatena.ne.jp/ymlab/20100101
9774ワット発電中さん:2012/04/19(木) 14:41:45.71 ID:mxF9Z5+O
10774ワット発電中さん:2012/04/19(木) 14:46:48.97 ID:yhRUYpyg
最初からsystemverilog行くんじゃなくて情報が豊富なverilog HDLをかじった後にやったほうがいいと思うんだけどなぁ
11774ワット発電中さん:2012/04/19(木) 15:16:14.18 ID:0Qfd8aHq
VHDL...
12774ワット発電中さん:2012/04/19(木) 17:55:58.62 ID:AK9i/PVS
VHDLはオワコン
13774ワット発電中さん:2012/04/19(木) 18:38:16.56 ID:D5uYMFli
FPGAの主流はVHDLだよな。それも圧倒的に
14774ワット発電中さん:2012/04/19(木) 18:54:10.56 ID:inpkFtC5
そうなの?
個人的には文法が厳格で好きだったのだが、仕事では滅多に
VHDLって言われないね。
FPGAでももう長くやってないな。

Verilogに慣れちまうと、VHDLが一寸煩わしく思える。
15774ワット発電中さん:2012/04/19(木) 19:07:30.74 ID:UoCY2yN/
emacs使ってるとvhdl-modeのほうが楽だったりする
使いたくないけどviならverilogのほうが楽だ
16774ワット発電中さん:2012/04/19(木) 19:35:21.16 ID:w8O13Ijt
厳格だけど煩雑すぎて嫌われてるのがVHDL
ちょっとテキトーっぽい所があるのがVerilog

日本だとVerilogが人気、って感じだったけど、海外だと2プロセス法とか、
VHDLはこう書けみたいなパターンができつつある。

どっちかだけにしか対応してないツールもあったりするから、基本だけでいいから
両方ともおさえといたほうがベター。>>8 の人は、どっちからでもいいと思うけど。

シミュレータが手頃そうなの、とかいう選択でもいいかな。
17774ワット発電中さん:2012/04/19(木) 19:47:00.00 ID:inpkFtC5
そういや、今時はフリーのSim環境が結構あるから家で遊ぶとかも
出来るんだよね。
フリーじゃなくても、二、三万出せば十分仕事に使えるシミュレータが
入手できるんだから世の中変わったよな。
18774ワット発電中さん:2012/04/19(木) 20:47:18.42 ID:UoCY2yN/
シミュレータもだけど評価ボードになるものが安く手に入るようになったよな
JTAGケーブル持って無くてもとりあえず困らんのも多くなったし

Alteraなら
http://www.terasic.com.tw/cgi-bin/page/archive.pl?No=593
http://optimize.ath.cx/cusb_fx2/
http://optimize.ath.cx/max2/

Xilinxなら
http://akizukidenshi.com/catalog/g/gM-05113/

・・・ステマじゃないよw
19774ワット発電中さん:2012/04/20(金) 00:54:11.88 ID:EOJ2um1R
>>18を買って何をしている・何をしようとしている?
LEDチカチカするために買っているのか
20774ワット発電中さん:2012/04/20(金) 13:30:22.04 ID:fT5Tm0u5
LEDチカチカなんて初心者。
普通は、ストップウォッチか時計、ピンボールゲームかな。
21774ワット発電中さん:2012/04/20(金) 15:59:45.67 ID:mqG8lM/0
>>20
フルカラーLEDの8x8x8キューブのグラデーション出来るの?
22774ワット発電中さん:2012/04/20(金) 16:02:36.34 ID:8Soei3+1
CPLDを使おうとしています。
spartanII/IIIは、以前使ったことがありますが、CPLDは初めてです。
以前FPGAにしたのは、内部メモリが多く必要だったからです。

今回は、簡単な回路なのと、IOの数が500近くあるので、チェーンで複数個
使わないといけないこともあって、外部メモリのないCPLDを使おうと思いました。
以前チェーンでFPGA使ったら、コンフィグメモリに苦しんだんです。

MAXIIかcoolRunnnerで、1万円以下くらいで手に入る評価ボードはないでしょうか?

alteraのも、xilinxのも、純正の開発キットはすでに入手が難しいようなのです。
少し前は雑誌の付録やマルツで、普通に買えたのに。

連休前にHDLまで手をつけたいので、できれば国内の通販がいいです。
degi-keyも使ってますが、今頼んでも連休前がいいところみたいだし。


23774ワット発電中さん:2012/04/20(金) 16:06:35.56 ID:8Soei3+1
3つ前のレスで、カメレオンさんで出てることを発見しました。すみません。
あそこのez-usbキットやロジアナキット使ってるのに、気がつきませんでした。
24774ワット発電中さん:2012/04/20(金) 16:19:17.45 ID:8Soei3+1
coolrunnerのキットもご存知でしたら、よろしくお願いします。
ISEはなんとかなるのですが、今からquartusII覚えるのも辛そう。
258:2012/04/20(金) 17:11:45.66 ID:F0ijXmwi
>>9-16

ご意見ありがとうございます。
まずはアルテラのトレーニングを見ようと思います。
system verilogはネット検索してもあんまり出てこなかったんで、
やはりまだメジャーではないんですね。
verilogHDLから始めてみたいと思います。

ちなみに書籍でオススメなどありますでしょうか
26774ワット発電中さん:2012/04/20(金) 18:31:45.96 ID:E5UDz7PU
>>25
オンライン・トレーニング> Quartus II による SystemVerilog のサポート
http://www.altera.co.jp/education/training/online/ol_q2_system_verilog.html
27774ワット発電中さん:2012/04/20(金) 19:44:21.93 ID:qaXUdwXx
入門verilog HDL記述
28774ワット発電中さん:2012/04/20(金) 23:56:25.74 ID:I5X6SZU+
>>25
VHDLじゃないのか
29774ワット発電中さん:2012/04/23(月) 02:52:27.66 ID:6qPXhNPO
verilog の begin/end って、対応する相手を探すっていう機能を
持ったエディタはあるのか?
()や { } の対応先に飛ぶと言うエディタはあるが。
30774ワット発電中さん:2012/04/23(月) 12:14:38.60 ID:/LXbpXiU
秀丸マクロでbeginとendの個数をカウントアップ&ダウンして
該当箇所に飛ぶようなルーチンを組んでみるとか。できるかどうか不明(無責任でスマソ)
31774ワット発電中さん:2012/04/23(月) 14:29:53.06 ID:LjR/7LIP
自分では確認していないけれど、Notepad++ はverilogにも対応しているらしいよ。
http://ja.wikipedia.org/wiki/Notepad%2B%2B
32774ワット発電中さん:2012/04/23(月) 22:28:44.94 ID:6i8E+2UE
VHDL使ってるけど、
エディタにそんなに機能欲しいかね。
recordのメンバがC++のエディタ並みに補完できればうれしいけど、
さすがにそれは期待できないし。
強調表示ぐらいあれば十分かなと。
33774ワット発電中さん:2012/04/23(月) 23:06:34.90 ID:cPIRQfJu
ソフトと違ってハードだとネストは深く書かないですしね。
34774ワット発電中さん:2012/04/24(火) 00:38:11.84 ID:hyx5n3X+
FPGAメーカーのIDEのエディタって使わないで、俺好きエディタ派が多いのか?
>>30
技術者なら、必要と思うのはエディタのマクロを使って実現しようとしないとな
それすらしないないようじゃ、ゆとり・ドカタだよな。日本に技術者少なくなったからな
35774ワット発電中さん:2012/04/24(火) 08:04:53.10 ID:3nD/oUq/
QuartusIIは補完してくれるようになったね、いつからだろ
36774ワット発電中さん:2012/04/25(水) 02:09:29.64 ID:3tzRWLOT
>>33
どちらもソフトだよ

ネストが深くても浅くても、ちゃんとインデントしていれば、
begin end の不整合はスグにわかるよ。

always @ (・・・・) begin
  if( ・・・・ ) begin

  end else if(・・・・) begin

  end
end
という具合に、begoは条件()の行末に書けばね
37774ワット発電中さん:2012/04/25(水) 04:16:45.23 ID:0fnkLo2U
begoってなんだよwwwww
日本語おかしいなこいつ
38774ワット発電中さん:2012/04/25(水) 11:37:29.97 ID:ij2ntRB6
Xiから新ツールの案内キタが、なんて読むんだ?
びばど?
39774ワット発電中さん:2012/04/25(水) 13:08:55.79 ID:UFdxiH5v
ベゴ
40774ワット発電中さん:2012/04/25(水) 14:04:06.21 ID:yJG+nTyo
ペコッ
41774ワット発電中さん:2012/04/25(水) 14:16:58.97 ID:ADE2a3BC
パコッ
42774ワット発電中さん:2012/04/25(水) 19:12:19.50 ID:xtD91cAN
>>38
かっこよくバイベイドュ デサイン スイートか
SystemVerilogはサポートする気ないがSystemCはサポートするのか
XiユーザーC++勉強しないと駄目だな
43774ワット発電中さん:2012/04/25(水) 19:24:38.39 ID:/op34YY3
はぁ?
オブジェクト指向じゃないし、記述が極端に制限されるし、
ろくに合成できないし、システムCとかだめだろ。
44774ワット発電中さん:2012/04/25(水) 19:27:55.99 ID:xtD91cAN
>>43
どこのツールを使っているんだ?
45774ワット発電中さん:2012/04/26(木) 02:30:47.57 ID:kCOT1SaL
ISE12.4でSpartan3でblockRAMをsimple Dual-port RAM で使って
dinA に 0x80のビットが常に 1のデータを入れると enaB を寝ゲートしても
doutB から 0x80が漏れてるような感じなんだがそんな感じですか?

いや、よっぱらっているので無視してください。

#論理圧縮されてRAMを通ってないのか・・・。
46774ワット発電中さん:2012/04/27(金) 04:43:31.00 ID:/huo3KtZ
教えてください。

電源on→FPGAcore→AUX→VccIO→reset信号発行→通常運転
という順序でFPGAを起動したいです。
47774ワット発電中さん:2012/04/27(金) 06:13:11.15 ID:Vb/33FqL
したらいいじゃないですか?
48774ワット発電中さん:2012/04/27(金) 08:22:08.26 ID:0/Hbmvjh
したらいいですね
49774ワット発電中さん:2012/04/27(金) 11:16:00.63 ID:ijKA9+Va
質問者は、途中で寝てしまったのか?
50774ワット発電中さん:2012/04/27(金) 21:45:57.72 ID:er28snEJ
そうですか。>>46

次の患者さーん
51774ワット発電中さん:2012/04/27(金) 23:18:56.77 ID:VXheHKg9
どうすれば実現できますか
52774ワット発電中さん:2012/04/27(金) 23:31:01.08 ID:G6Hdg2np
制御するFPGAを作る
53774ワット発電中さん:2012/04/28(土) 13:33:27.35 ID:rdhj0uKq
FPGAcoreって何を意味しているのだろう。
普通にFPGAと考えていいのかな。
最初に電源が入るのがFPGAならその後の電源の制御など、
いかようにも可能で、「そう作れば?」って回答になるのも仕方ないよなぁ。

四則演算知らない人から、
中学の数学の問題を質問してきても返答に困るのと同じ。

FPGAの基本的な使い方、電源周りの回路の勉強あたりから、
順に始めるしかないとおもうよ。
54774ワット発電中さん:2012/04/28(土) 14:02:16.68 ID:K6iwZzH/
>>53
FPGA使ったことあるの?
普通に考えりゃコア電源のことだろ。
55774ワット発電中さん:2012/04/28(土) 14:39:47.39 ID:DMf+B2dy
>>54
>FPGA使ったことあるの?
ワロタよ。そう思うよな
>>53はAUX、VccIOは何用電源って思ってるんだろ
56774ワット発電中さん:2012/04/28(土) 18:52:09.36 ID:CWY0SP1k
>>52
CPLDで勘弁してやろうよ
57774ワット発電中さん:2012/04/28(土) 22:25:15.59 ID:AM4QpsN2
タイトルの4社は性能順なの?
58774ワット発電中さん:2012/04/28(土) 23:08:11.91 ID:fbBK/Cz1
売り上げ順なんじゃね?
59774ワット発電中さん:2012/04/29(日) 00:35:31.95 ID:ajYS9g+7
そうなんだ
皆さんのオヌヌメは?
60774ワット発電中さん:2012/04/29(日) 06:50:42.20 ID:b0bmwjuj
XかA。どっちでもいいんじゃない?
61774ワット発電中さん:2012/04/29(日) 09:48:46.80 ID:vZ26pj55
>>46
最近のデバイスは電源投入順序は気にしなくても大丈夫みたいだけど
DC-DCとか使っているならENABLE入力のあるもの使えば良い。
62774ワット発電中さん:2012/04/29(日) 16:43:09.96 ID:cMMlMpXq
GWの暇つぶし用として秋月に行って↓のCPLDを買おうと思ったら売り切れだったorz
http://akizukidenshi.com/catalog/g/gI-05564/

店の人に「売れてるんですか?」と聞いたら「結構出てますよ」との事。
いったいどこの国の誰がこんなものを売り切れになるまで買うんだよ・・・(´・ω・`)
63774ワット発電中さん:2012/04/29(日) 18:16:43.66 ID:oZ1s6Cko
>62 木曜に秋葉行った時には既に売り切れてたな。
昨日八潮に行ったけどそっちは奥にはまだあった(表には出てない)。
9572も八潮だとひっそりと置いてあったりする。
64774ワット発電中さん:2012/04/29(日) 18:40:03.82 ID:cMMlMpXq
>>63 情報サンクス。今日行ったのは八潮です。

奥も見てもらったけど無かった、という事はやっぱり売れてるのか・・・
表だと変換基板がある棚の裏の棚にあるらしいんだけど当然ながらそっちも無し。

予定では連休明けには入荷らしい。

65774ワット発電中さん:2012/04/30(月) 09:14:41.49 ID:UhOSY5JQ
9572とか9536程度でも使い道色々あるし。
っていうかcoolrunner2だと小規模でも3.3V単一じゃなくて2電源になるから使いづらいよ…orz
66774ワット発電中さん:2012/04/30(月) 13:37:35.53 ID:CvJhhwfE
4つ以外のFPGAベンダーも入れてやれよ。

ここなんて結構有名のはずなのだが。
http://www.achronix.com/
67774ワット発電中さん:2012/04/30(月) 15:33:19.14 ID:M00LhD8z
Xilinx用ライターの安価なものとして、JTAG HS1 が出ているけれど、XC95はサポート外だったな。

消費電力も大きいし積極的には選ばないかも。
68774ワット発電中さん:2012/04/30(月) 20:29:04.55 ID:E9TZNNBg
>>62
自分はノコノコ買いに行っといて「誰がこんなもん買うんだ」なんて
言うのは馬鹿の極みだと思うぞ。自分だけは特別だとでも思ってんの?
お前みたいなのが大勢いるからその商品を扱う事が商売になるんでしょ。
69774ワット発電中さん:2012/04/30(月) 20:43:44.59 ID:/SoQQiUP
お約束に全力でツッコミを入れるのは様式美だな
70774ワット発電中さん:2012/04/30(月) 20:59:23.20 ID:PJl0uUWI
お約束とか、もうそういうつまんない事流行ってないから
71774ワット発電中さん:2012/04/30(月) 22:15:50.64 ID:WRjVN0nD
未だにCPLDとFPGAの違いがわからないんだ
回路情報が揮発不揮発ってのは本質的な部分じゃないんだろうし
CPLDのデッカイのがFPGAってくらいの認識しかない
誰か教えて
72774ワット発電中さん:2012/04/30(月) 22:58:50.71 ID:WuA4LrBh
CPLD ロジックデバイス
FPGA ゲートアレイ
なんか大きく違うな
73774ワット発電中さん:2012/04/30(月) 23:00:40.09 ID:PARyTC5R
AlteraのあれはFPGA。
XilinxのはCPLD。
かな?
74774ワット発電中さん:2012/04/30(月) 23:04:31.23 ID:Kp74r29t
大きさと、不揮発かの違い、あと価格
75774ワット発電中さん:2012/04/30(月) 23:21:57.79 ID:WRjVN0nD
結局違いは無くて、CPLDをデカくしたのがFPGAっていう当初の認識のままでええんか?
76774ワット発電中さん:2012/04/30(月) 23:27:41.84 ID:bPZsC9Fi
>>75
最近は各社そんな感じ。
ちょっと前まではマクロセル構造なのがCPLDで
LUT構造なのがFPGAのはずだったんだが…。
77774ワット発電中さん:2012/04/30(月) 23:28:16.88 ID:MtYpSElP
こまけーことは気にすんな
78774ワット発電中さん:2012/04/30(月) 23:31:51.01 ID:WRjVN0nD
>>76
CISC/RISCみたいに、だんだん境界があいまいになってきて
今やそんな違いナンセンスだよって感じか サンクス
79774ワット発電中さん:2012/04/30(月) 23:50:27.32 ID:UhOSY5JQ
元々は、16V8とかのSPLDを沢山載せた発展系って意味でCPLD(複合型PL(ry

なんて昔話は出来るけど、今は>>75でヨクネ…
80774ワット発電中さん:2012/05/01(火) 00:27:51.49 ID:ascRkCYR
君もFPGAますたぁ(神)になって本を書こうね
81774ワット発電中さん:2012/05/01(火) 00:50:58.22 ID:TDSurKnS
LatticeのMachXOなんかは、FPGAとCPLDの両方に分類されてる
規模・中身はFPGAだけど、不揮発だからCPLDという主張?
82774ワット発電中さん:2012/05/01(火) 01:57:43.25 ID:LDvQzpKn
Spartan-3ANのこともたまには思い出してあげてください。
83774ワット発電中さん:2012/05/01(火) 09:16:53.02 ID:S26lQzto
そんなんあったっけ?
84774ワット発電中さん:2012/05/01(火) 09:38:07.89 ID:gW5CXdij
コンフィグROM内蔵で、良かったよね
85774ワット発電中さん:2012/05/01(火) 21:10:40.96 ID:XolCZ5py
>81
MACH-XO2では完全にFPGAと化してるな。
PLL、RAM内蔵で、どこにFPGAと差があるのかと。

>82
3ANはかなり特殊だったなぁ。
PROMチップを物理的に上に乗っけてるんだもの。
使い易かったけど。
86774ワット発電中さん:2012/05/02(水) 02:59:49.81 ID:6JPJbCCC
3.3V単一電源で、configROM内蔵、
そう、まるでPICのようなFPGA

出ないかな → Xilinxぅ



87774ワット発電中さん:2012/05/02(水) 04:11:50.79 ID:iCToPYcM
>>81
LatticeだからCPLDなんだと思うw
Machは元AMDの系列だけどCPLDだった訳だしww
88774ワット発電中さん:2012/05/02(水) 14:40:31.63 ID:kdby39hx
>>86
単一電源じゃないけど、それこそ Spartan3AN じゃダメなの?
なら、XC72xxXL でガマン。
89774ワット発電中さん:2012/05/02(水) 14:56:03.75 ID:mhtU+hXb
Spa3ANはパッケージのバリエーションが全然無くって使いにくいんだよね
もったいない
90774ワット発電中さん:2012/05/02(水) 15:09:01.83 ID:kdby39hx
DIP が欲しいってかぁ?

BGA とかいらないよね。
91774ワット発電中さん:2012/05/02(水) 15:50:58.77 ID:efswGBZ4
DIPなんていらないけど、
ぱっと使えるのがQFP-144だけX3S50ANだけってのがね。
後続が出てくる気配もないし、もうLattice XO2やXP2に浮気するんだ…。

>86
XO2なら単一電源で使える。
92774ワット発電中さん:2012/05/02(水) 15:59:37.65 ID:06bI2mnz
秋月で売ってるSpartan6評価ボードは
このスレ的にどうなの
93774ワット発電中さん:2012/05/02(水) 18:14:27.34 ID:NsaEPrWQ
それを扱えるようなスキルのある奴がスレにはいないじゃない
このスレ連中にはその秋月Spartan6自体が猫に小判じゃないかな
そのFPGAマイコンを使いこなせるだけのソフトの能力あるHDLドカタ、
このスレにいるとは思えない
94774ワット発電中さん:2012/05/07(月) 00:40:02.83 ID:lry1wmvC
質問があります。教えてください。

FPGAの起動とリセットについてです。
FPGAの起動には、
1) FPGAのコア電圧
2) FPGAのAUX電圧
3) FPGAのVccIO電圧
4) Config Done
5) FPGA RESET 信号(FPGAの通常I/Oポートから入力)
6) 運転
という6つの要素があると思います。
この投入順序というか、確立順序も、上記の番号の順になる必要があると考えていますが、
この考えは正しいでしょうか?

するとここでまた疑問が出てきます。
もしRESET ICが減電圧を検知したときのRESETは、どの番号から行うべきでしょうか。
1)から始めるとなると、電源を断させなければなりません。
つまりDC/DCコンバータを一旦OFFしないといけません。
こういうものなのでしょうか?
4)〜ではまずいのでしょうか?
95774ワット発電中さん:2012/05/07(月) 01:08:31.91 ID:7MpDj3he
>>94
正確に言うと、3と4の間にコンフィグ開始用の信号朝ーとがあって、それをアサートしてやれば
コンフィグし直せる。電源断は不要。
Stratixだと、nConfigっていう信号だけど、他のはわからん。でも対応するものが必ずあるはず。
96774ワット発電中さん:2012/05/07(月) 01:57:54.43 ID:lry1wmvC
>>95
ありがとうございます。
確かにありますね、configを開始させる信号。

書き込んでから考えていたのですが、
RESETが働く時は、Vcc3.3Vが、
a) Vthを少し(例えば2.8V)切って、スグに復帰する場合と
b) 0Vまで落ちるような大きくダウンしたとき
があると思います。
もし、a) ならnConfigをアサートするだで良いですが、
b)なら、電源が確立した後にnConfigがHに戻る必要があると思います。
電源断の深さが、a)かb)かを判定する回路が必要になると思いますが、
その点は、どのようにすべきなのでしょうか。
毎回b)を実行れば良いとは思いますが「正しいやり方」が知りたいです。
97774ワット発電中さん:2012/05/07(月) 07:58:26.46 ID:RpLt4WUv
そもそも瞬低しないのが正しいやり方。
98774ワット発電中さん:2012/05/07(月) 08:44:49.81 ID:lry1wmvC
>>97
ありがとうございます。

すると、
電源on/offのときを考慮すればよくて、
通常運転時の瞬低(瞬間低下)は考えなくて良い、
ということでしょうか。

でも、何某かのノイズが電源に乗って、RESET ICが検出してしまうということは
実際に起こりえると思いますが、どうなのでしょうか?

99774ワット発電中さん:2012/05/07(月) 09:09:22.75 ID:4xQ1TzLw
それは、電源周りの設計とかノイズ耐性とかの話でしょ?
システム設計する人が考えないと。

そもそも、動作中にリセットかかっても大丈夫なの?
コンフィグは秒単位で時間かかるよ。
100774ワット発電中さん:2012/05/07(月) 23:23:38.89 ID:7MpDj3he
>>99
システム設計する人が質問してるんでしょ
101774ワット発電中さん:2012/05/07(月) 23:36:16.47 ID:uSeGU1Jg
それだったら>>94
1) FPGAのコア電圧
2) FPGAのAUX電圧
3) FPGAのVccIO電圧
で、
ノイズで1)だけ・2)だけ瞬低したらどうかを考えろってことだな
3)を監視してるだけじゃマズくね

データシートも読まずに茶々入れてみるよーw
102774ワット発電中さん:2012/05/08(火) 00:24:10.63 ID:MRtVXtlT
電源にノイズが乗る設計自体おかしい。

FPGAはSRAM構造なので、電源異常になったら中途半端に忘れる可能性あるだろ?
だったらリセットICで初めからコンフィグさせて正常動作に戻すのが正解じゃないのか。

頻繁に再コンフィグされるようなら電源周りの設計不良としか言いようが無い。
103774ワット発電中さん:2012/05/08(火) 02:52:05.57 ID:MCjpd1Su
>>102
>電源にノイズが乗る設計自体おかしい。
だからといって、無防備で良いということもないだろう。
もし電源にノイズが乗っても、問題ないように、安全な処置をするように
設計するのが、うまい設計。

>>101
>ノイズで1)だけ・2)だけ瞬低したらどうかを考えろってことだな
マイクロチップの3端子レギュレータには、前段のPower-OKをもらわないと自分自身が出力できない、という3端子レギュレータがあったと思う。
それでカスケードにして電源を作成すればどうかな。
104774ワット発電中さん:2012/05/08(火) 08:56:15.25 ID:WymAvzz2
そこで、CPLD ですよ。
105774ワット発電中さん:2012/05/08(火) 09:07:25.15 ID:CGamMgKY
>もし電源にノイズが乗っても、問題ないように、安全な処置をするように
>設計するのが、うまい設計。

そんな配慮してる基板なんて見た事ないですな。
参考にどこの会社のボードがそうなっているか教えて下さい。
106774ワット発電中さん:2012/05/08(火) 10:07:09.01 ID:6U8/jw66
>>105
>>103の設計のはそうなってるんだよ
>参考にどこの会社のボードがそうなっているか教えて下さい。
そんなこと聞くより、うまい設計をどう実現するか>>103に聞いたほうが良いよ
>>103
どう設計するんだ?
107774ワット発電中さん:2012/05/08(火) 23:48:23.06 ID:ZaBvGg7X
ノイズ問題放置でいいわけないだろ
何を言ってるんだ?お前らの持ってるスマホでもパソコンでもいいから開けてみてみろよ
108774ワット発電中さん:2012/05/08(火) 23:54:04.10 ID:ZaBvGg7X
おっと、対策だったな
現実的路線で行ったら、ちゃんとコンフィグされているか確認できる回路を
入れておいて定期的にソフト的に確認するようにするのが妥当だろう
理由はわからずとも、FPGAがぶっ飛んでいるようなら再コンフィグするような
仕組みを入れておくのは当然だと思うけどな
109774ワット発電中さん:2012/05/09(水) 00:11:54.64 ID:7w4jqKI+
>>108
>ちゃんとコンフィグされているか確認できる回路を
>入れておいて定期的にソフト的に確認する
具体的にどう実現する? 具体的回路、ソフトを頼む
110774ワット発電中さん:2012/05/09(水) 00:15:06.02 ID:NI4VF0dZ
そんなもん物によりけりだろ、どこまで知りたがってんねん
具体的回路とかソフトとかシステムにもよるし、自分で考えろアホ
111774ワット発電中さん:2012/05/09(水) 00:17:26.47 ID:7w4jqKI+
>>110
おまえが今使っているので良いよ
112774ワット発電中さん:2012/05/09(水) 00:48:12.80 ID:NI4VF0dZ
>>111
それは無理だわ、趣味でやってるわけじゃないし
でも簡単に言うと、マイコンのメモリインターフェースとFPGAが繋がっていて
FPGAにはインクリメントするレジスタを実装
マイコンは定期的にそのレジスタを読みだしておく
同時に瞬断用のリセットICはマイコンの外部割り込み端子に接続しておく
これでいいだろ、もう終わりな
113774ワット発電中さん:2012/05/09(水) 02:15:04.58 ID:pYlL+XXG
そんなんで良いんかよ
114774ワット発電中さん:2012/05/09(水) 02:50:51.01 ID:9s0KklRb
コンフィグが異常になった時のFPGAってどうなるんだろな
異常な時にマイコンが読みに行くとどんなことがマイコンに伝わるんだろ
マイコンが繋がっているIOがZ,H,Lのどれかに固定になっている?
IOはちゃんと活きているが内部レジスタの値が異常?
一部だけ異常になることないのかな
115774ワット発電中さん:2012/05/09(水) 03:38:26.20 ID:I0P01EUz
コンフィグレーション中ならFPGAが自分でCRCを計算してるでしょ。
失敗したらエラー返すんだから、リトライするか別イメージを読ませるかは設計しだい。

コンフィグレーション後に変化してしまったら、まさに変化した状態で動作しつづけるよ。
FPGA Editorの画面を見ればどうなるか雰囲気は分かるはず。
ttp://forums.xilinx.com/xlnx/attachments/xlnx/Virtex/5958/1/fpga%20editor.png

これの対策が必要なら3重化して多数決。
116774ワット発電中さん:2012/05/09(水) 09:42:22.33 ID:+myVBxTz
電源監視機能付きリセットICじゃいかんのか?
117774ワット発電中さん:2012/05/09(水) 11:42:31.59 ID:t++gYMla
>>116 駄目みたい
>>108はおそらく
瞬断用だけじゃなく、SRAMベースのFPGAはソフトエラー生じる可能性あるから
突然コンフィグが一部正しくない状態になるなる可能性ある。
そこで全て正しくコンフィグされているか確認できる回路を
入れておいて定期的にソフト的に確認しろといっているんだと思う。
で、どう実現しているは詳しくは言えないと
お前らはどう実現しているか興味がないか? 
わからんけど、コンフィグ時のコンフィグ失敗を検出するFPGA内臓回路をうまい具合に使ってるのかな
参考
http://www.latticesemi.com/documents/JUG09_001_v11_ECP3_SEDC.pdf
118774ワット発電中さん:2012/05/09(水) 12:03:08.49 ID:Mcx7ggtO
何にしろ、動作中にはコンフィグデータの読み書きできないんだから
そんなの考えても仕方ないだろ。
119774ワット発電中さん:2012/05/09(水) 12:10:04.64 ID:QnU4w5PM
意図した動作をするかどうかのテストを定期的にやるのかね?

宇宙用とかならやっていそうな気がするが。
120774ワット発電中さん:2012/05/09(水) 12:28:39.19 ID:6Mowb+i2
121774ワット発電中さん:2012/05/09(水) 12:32:13.96 ID:QnU4w5PM
>>120 消えろゴミ屑
122774ワット発電中さん:2012/05/09(水) 13:06:00.39 ID:F17PW9k0
>>112
そんなもの気休めにしかならないだろうw
123774ワット発電中さん:2012/05/09(水) 15:12:03.04 ID:+myVBxTz
>>117
だから、電源監視とウォッチドッグつきのリセットICでいいじゃんか?
CRCでも取って、外付けのデコーダ経由で定期的に叩けば済むだろ?
124774ワット発電中さん:2012/05/09(水) 15:47:13.82 ID:ZID8OHEU
ID:6Mowb+i2 荒らし報告するっから。
これだけクズを貼りまくったら間違いなくアク禁だわ。
125774ワット発電中さん:2012/05/09(水) 17:45:42.02 ID:eAspSNDg
>>123
CRC計算させるときはFPGA止めるの?
もう一度書くほうが早くね?
126774ワット発電中さん:2012/05/09(水) 20:29:31.49 ID:7xQ1wRIW
AlteraならCRC機能内蔵してるな。
使ったことないけど。
127774ワット発電中さん:2012/05/09(水) 22:08:50.10 ID:bJy/MlSn
Virtex-5も無かったっけな?知らんけどw
ActelならステートマシンもECC付きで合成できて、
ステートが吹き飛ばないように出来るとかなんとか。

>意図した動作をするかどうかのテスト
パリティ,ECC → 結局ところ対象と同じものが必要 → 二重化比較 → どちらが正しいか分からない → 三重化多数決。
論理レジスタ・論理モジュール・チップ・配線・基板・装置。
どのレベル・どの単位でやるかは物によるけど。

飛行制御装置や慣性航法装置とかは止められないから三重多数決だよね。
衛星は重量制限あるから正・副かな?

駄目になった子は電源入れ直し。
良いデバイスに慣らされてみんな忘れがちの気がするけど、
リセットはラッチアップに効果無いよ。
128774ワット発電中さん:2012/05/09(水) 22:57:38.48 ID:QnU4w5PM
三重系みたいなゴツいやりかたじゃなくても、
たとえばパリティを含めて計算とか。
129774ワット発電中さん:2012/05/10(木) 13:29:41.21 ID:xbS8+CHJ
ISEが14.1が出たね.とりあえずダウンロード中
130774ワット発電中さん:2012/05/10(木) 20:27:57.49 ID:RDGlZ+Jk
プランアヘッドにモデルシムが統合され
131774ワット発電中さん:2012/05/10(木) 21:43:28.50 ID:lrP0bMDb
今年はVivadoがでるんだよな
VivadoでたらISEはどうなるんだ?
ISEはバージョンアップしなくなるのかな
132774ワット発電中さん:2012/05/11(金) 08:50:47.64 ID:vz6MTmJm
>>127
>リセットはラッチアップに効果無いよ。
リセットICのトリガで、電源ICのEnableをオフにするだけでしょ?
133774ワット発電中さん:2012/05/12(土) 02:19:19.97 ID:0pmsSoRD
Vivadoって、なんて読むの? Xilinxのページ、どこにも載ってない。

Vivado
・バイバドー
・ビバドー
・バイブアドー
・ヴィバッド
・ビブアドー   Arduinoと同じで、よくわからん  
134774ワット発電中さん:2012/05/12(土) 03:31:02.16 ID:J7LbLpo7
>>132
釣りか?
瞬停したらたら、そのままオフになる電源が出来そうだが
っていうか、起動させるのが、難しそうな電源だなw
135774ワット発電中さん:2012/05/12(土) 08:46:46.23 ID:quKt8cdp
電源系統を別に持つかコンデンサーの残存電力でも行けるんじゃね?
136774ワット発電中さん:2012/05/12(土) 11:29:03.47 ID:Gr1xv1sz
>>133
バイバドー
137774ワット発電中さん:2012/05/12(土) 18:44:41.92 ID:532NzP/k
http://www.youtube.com/watch?v=H6W4HKbjnaQ
ヴィヴァード?に聞こえる… 自分の耳が悪いだけかもしれないけどw
138774ワット発電中さん:2012/05/12(土) 21:12:31.88 ID:LAcBBbRA
Viは発音しなくてvado(バドー)だけ言っているような
139774ワット発電中さん:2012/05/13(日) 06:28:20.76 ID:ZiRLPKm+
百度のことか?
140774ワット発電中さん:2012/05/13(日) 14:02:45.39 ID:9XtqwZGA
なるほどお、バイドゥね。
141774ワット発電中さん:2012/05/15(火) 16:48:05.66 ID:+HW5sMNz
ISE12.4で、条件判断で、上位ビットを無視するつもりで
 (some_bit_pattern == 12'bxxxx_0000_0000)
って書いたら「こんなん常に偽だ」っていうんだけど
オレの頭が悪いのかな?
=== でも同じだった。
あと「シミュレータの扱いと違うかもよ」とかも言ってたな。
142141:2012/05/15(火) 16:49:21.59 ID:+HW5sMNz
>>141
verilog で、が抜けてました。
143774ワット発電中さん:2012/05/15(火) 18:18:05.71 ID:JaZLUiqE
>>141-142
スレ違いっぽいけどまあいいや。ISEの特定バージョンによる変な挙動がないとすれば

・合成でxを含む比較をしたい
 →==や===では無理。素直に0か1のbitだけ比較するなり、casexにするなり・・・

・シミュレーションでxを含む比較をしたい
 →===なら可能。==でやると無条件にfalse扱いになる。
144774ワット発電中さん:2012/05/15(火) 20:51:10.80 ID:TNwWeNNh
>>141
>オレの頭が悪いのかな?
頭悪いからやろうとしていることが出来ないだよ

12'bxxxx_0000_0000のxのところにはverilogではどんな値が来るんだ?
で、上位ビット(MSBから連続)を無視するのに
(some_bit_pattern == 12'xxxx_0000_0000)
って発想、中学生でもしないじゃ。
下位ビットだけの比較で不充分なのか?
145774ワット発電中さん:2012/05/16(水) 10:45:47.64 ID:n4QdIk/U
いつも思うんだけどさぁ、
何でVerilogの言語仕様書とか読まないの?
146774ワット発電中さん:2012/05/16(水) 11:19:18.29 ID:+wu3WwES
組み込み屋は、ベンダのCコンパイラがいつまでたっても標準に準拠しないので、
標準というものがこの世に存在する、と認識できないように洗脳されちゃったんだよ。
察してやってくれ。
147774ワット発電中さん:2012/05/16(水) 17:50:53.23 ID:bMDH3dNh
IEEEの言語仕様書の仕様書もっている?
JISもHDL言語の規格書あるんだっけ?
148774ワット発電中さん:2012/05/16(水) 20:55:11.29 ID:X3ZDTcNK
IEEE1364-2001 ならたくさん落ちてるから読んだ方がいいよ。
149774ワット発電中さん:2012/05/16(水) 20:59:33.58 ID:lPz+4MAo
>IEEE1364-2001 ならたくさん落ちてるから
>IEEE1364-2001 ならたくさん落ちてるから
>IEEE1364-2001 ならたくさん落ちてるから

数百ドルぐらい払えよ(´・ω・`)
150774ワット発電中さん:2012/05/16(水) 22:04:15.70 ID:STTU4GwT
おいおい、会社で違法ダウンロードしたのを使っているのかよ
さすがドカタ産業のドカタ会社のドカタ
当然ドカタ会社じゃソフトも違法なのを使ってるんだろう
151774ワット発電中さん:2012/05/16(水) 23:17:22.46 ID:oOPkn/Ln
親類に大学生ぐらいいるだろ
そいつに頼んでダウンしてもらえ、タダだしな
152774ワット発電中さん:2012/05/17(木) 10:49:16.02 ID:0YmAhzfL
今FPGAを勉強しているところなのですが、いくつか質問があります。

@実際の仕事の流れとしては下記のような感じでしょうか?

A「仕様書」は先方より大まかな条件を聞いて自分で作成するのでしょうか?

B「回路図を設計」は今まで回路設計の経験が無いのでイメージがわかないですが、
プログラム経験はあるので、仕様書を見てだいたいの動作はプログラムできます。
「回路図の設計」は出来ないといけないのでしょうか?

仕様書

回路図を設計

verilogHDL or VHDLでコード設計

RTLシミュレーション

実機書き込み

以上、素人ですみませんが、ご意見頂きたいです。
153774ワット発電中さん:2012/05/17(木) 11:58:18.82 ID:/izCavcA
一人で仕上げるなら好きにすればよろし。
154774ワット発電中さん:2012/05/17(木) 16:33:57.30 ID:mtUHdIxB
FPGAにはFPGAなりの癖があるので、論理回路の基礎+αを押さえておかないとダメ。
ハードウェア記述言語は、見た目は似ていてもプログラミング言語じゃないから、
ということにも注意。
155774ワット発電中さん:2012/05/18(金) 12:51:02.90 ID:cOzyjnm8
picスレでfpgaでcpu作れるぞって知ってここ来たけど、
結構敷居は高いんだな。仕様書見るだけでも金かかるのか。
まあ業務ユーザ限定で、個人趣味なんて想定してないだけだろうけど。

ビット数と、aluの個数指定と、パイプラインキャッシュの量あたりをポチポチ入力するだけで馬鹿でもcpu作れる生成スクリプトとか有ったらいいのにとか思ったw
156774ワット発電中さん:2012/05/18(金) 12:53:46.06 ID:0TTjDIKW
>>152
回路図で設計するならHDLはいらないだろ
HDLで書くならどんな回路になるかイメージできないとダメ。
157774ワット発電中さん:2012/05/18(金) 13:53:39.50 ID:tfRWO/V2
>>155
アルテラのNIOSなら、ポチポチで作れるぞ。
けど、カスタマイズできるのは、UARTの数とか、DRAMコントローラの有無とかだな。
キャッシュもいじれるが、無料版のQuartusじゃ、使えなかった記憶。
ソフトの開発環境もタダだから、別に個人の趣味で使っても問題ない。
158774ワット発電中さん:2012/05/19(土) 04:36:48.43 ID:+a2amDOz
♪ High Low High Low 声をそろえ
半田で楽しく いざ High Low High Low
High Low High Low ほがらかに
楽しくショートだ いざ High Low ♪
159774ワット発電中さん:2012/05/19(土) 08:23:20.41 ID:mg8RsCXp
>>155
別に敷居は高くないだろう、フリーのソースも転がってるし、
適当にカスタマイズして動かせばいい。
160774ワット発電中さん:2012/05/19(土) 08:33:40.50 ID:c5wondZz
>>155
Cでオブジェクトコード(機械語)のインタプリタを作って、
Handel-Cあたりにかかるように少し手を入れて、
HDL生成させて論理合成かけたら、本当にCPUが出来た
という経験はあるけどね。
161774ワット発電中さん:2012/05/29(火) 00:02:43.83 ID:0kHyzlgc
>>160
確かに、つまるところ、CPUはハードウェアインタプリタだもんね。。
162774ワット発電中さん:2012/05/29(火) 06:50:43.71 ID:lapB5JsT
結局、開発リソースとパフォーマンスの兼ね合い。
163774ワット発電中さん:2012/05/30(水) 22:49:02.98 ID:Zc2YlLTO
今日、Spartan6 LX45の評価ボードを、海外ネットで注文した。送料込みで3万円。
基板上には、SW4個、LED4個意外は、何も付いていない。超シンプルなボード。
ただし、I/Oだけは180本も出ている。

今まで、いろんな評価ボードを買ったけど、結局、ゴチョゴチョ付き過ぎで、
I/Oが足らないことが多かった。
特にAD, DAを複数積んで、LVDSでアクセスしようとすると、とたんにダメ。
・なるべく同一バンクだけで取りたい。
・なるべくパターン長が同じになっていて欲しい。
などなどを考えると、結局I/Oがたくさん外に出ているほうが良いことがわかった。

FMCコネクタもHSMCコネクタも、一般的ではないので、ちょっと実験したいときには面倒だし。

みなさんは、どうしてますか?
164774ワット発電中さん:2012/05/31(木) 00:27:05.21 ID:0bIgrBYB
>>163

興味があるんだけど、もう少し情報をもらえない?
I/O数は魅力だけど、DRAMぐらいは付いていて欲しい...。

>FMCコネクタもHSMCコネクタも、一般的ではないので、ちょっと実験したいときには面倒だし。

僕も個人ではコネクタの入手が難しそうなので、FMCやらHSMC付きのボードは
まだ買ったことがない。他の人はどうしてるのかな。
165774ワット発電中さん:2012/05/31(木) 02:24:03.83 ID:ojvMatUN
>>164
HSMCは、その驚異的なピン配に驚いて以来、サードパーティー製の
0.5mm→2.54mmピッチのライザーカードを使うようにしている。

メモリも積んでると思うよ。
On board DDR2 memory: 64Mx16 ( 128 Mbytes)
166774ワット発電中さん:2012/05/31(木) 13:16:33.92 ID:g+kS665u
メザニンコネクタは真ん中のGND部分が
半田付け(手付け)できないから無理ゲーすぐる。
167774ワット発電中さん:2012/05/31(木) 15:37:19.04 ID:XB5u3Jn1

あんなコネクタにせないかんの? と、小一時間問い詰めたい。

「誰が あんなコネクタを考えただ。
 半田付けが どえらい、やりのくいでかんわ」と西区の実装屋が言っとった。
168774ワット発電中さん:2012/06/01(金) 19:52:39.39 ID:49WhYFVJ
XilinxのISE13.4のPlanAhead上で動いてたmicroblaze mcsのmicroblaze_mcs_setup.tclが
14.1で動かなくなってた windowsの環境依存かも知れないど

tclを調べて見たら planAheadの文字列を検索しているところがうまく判定できてなかったみたい。
PlanAhead と 直したら動いたのでPが大文字が正解だった。 
169774ワット発電中さん:2012/06/01(金) 22:53:08.11 ID:MkUqNzR4
ここはお前の日記帳なんだ
もっと色々書いてけ、なっ!
170774ワット発電中さん:2012/06/02(土) 13:37:03.43 ID:wqzgrm+i

HDLのスレから誘導されてきました。

教えてください。

画像のフレームレートを変換する場合、画像メモリは、何ページ持つのでしょうか?

例えば、フレームレートがピッタリの整数倍なら、A, Bの2ページでなんとかなるように思います。
しかし、レートが異なると、読み手の垂直同期で他方に切り替えようとしても、
相手ページは現在書き込み真っ盛りです。

ところが3ページあると、C読み出し終了したとき、Aが書き込み中ならBに切替え、
書き込みは、残ったAとCを交代で書き込めます。

まるで画面ページのFIFOみたいなことをすれば良いのでは? と思っています。

この考えは間違っているでしょうか? 
171774ワット発電中さん:2012/06/02(土) 14:09:52.87 ID:i75UaYyn
アナログテレビ放送がインターレースしていたことを思えば、フレーム
の途中から次のフレームの絵に切り替わってもたいした被害は無いから
2フレーム持って、書き込み側の1フレームが終わったら「新しいフレーム
データだよん」と切り替えてしまえば良い。

どうせ、2ちゃんで仕事の話する馬鹿はいないだろうし、アマチュア工作
のレベルならこれで充分
172774ワット発電中さん:2012/06/02(土) 14:57:32.82 ID:a5BbUTYs
ページの切り替えを書き込み終了時にすればよいだけなので
例えば24fpsを30fpsに変換するのであれば
30/24=1.25の小数点以下を切り上げて2ページあれば十分 と思う。
173774ワット発電中さん:2012/06/02(土) 16:12:20.22 ID:i75UaYyn
ついでに言えば、デュアルポートRAMのように両方のポートからの同時
アクセスを捌けるならば、フレームバッファを1面だけにして、
切替を省略したってかまわん。
174774ワット発電中さん:2012/06/02(土) 16:30:42.19 ID:a5BbUTYs
>>173
それは危険。
上半分は前フレーム、下半分は後フレーム
と分離する可能性がある。
175774ワット発電中さん:2012/06/02(土) 16:51:31.00 ID:91MkTEhZ
>>163
>>164

Spartan6を一年くらい使ってますけど,東京エレクトロンデバイスからFMCのLPCを
普通にピンヘッダに変換するボードが売られてますよ.
Xilinxのほうのアクセサリの場所にものってたような?
176774ワット発電中さん:2012/06/02(土) 18:47:36.38 ID:i75UaYyn
>>174
別にいいのよ。どうせ1フレーム違っていてもわかりゃしない。
(むろん、書き込み側のフレームレートに目が追従しきれんという前提で)
177774ワット発電中さん:2012/06/02(土) 18:54:21.38 ID:wqzgrm+i
みなさんありがとうございます。
なるほど、見て分からなければ、というのも一理ありますね。
勉強になりました。

本来はこうすべきだ、という意味では、>>170の考え方で合っているのでしょうか?
178774ワット発電中さん:2012/06/02(土) 19:24:29.19 ID:i75UaYyn
>>177
フレーム単位で綺麗に切り替えようとすれば、どうしたって無理。
書き込み側が早い場合には、何フレームバッファがあろうとも、
結局書き込み側が追いついてしまうから、同一フレームへの書き込みを
始めるしかない。
書き込み側が遅い場合には、どれだけ先に詰め込んであったとしても
結局バッファが空になってしまうから、読み出し側は同じフレームを
再読み込みすることになる。

179774ワット発電中さん:2012/06/02(土) 22:08:16.98 ID:2ihGUwTa
>>177
間違ってる
180774ワット発電中さん:2012/06/02(土) 22:14:21.20 ID:EgXVXrXK
>>177
俺、映像のこと分からんが、
FPGAのスレで映像処理の事を聞いている奴の考えたこと
って間違っているだろなと思う。
なんでHDL、FPGAスレで映像処理のこと聞くんだ?
181774ワット発電中さん:2012/06/02(土) 22:24:28.69 ID:kbCAXidU
>>175

164です。情報どうも。

これの存在自体は知ってるけど、問合せないと価格が分からないなという
とこまでで、それ以上は追っかけてないです。今のところ、FMC付きのボードを
急いで買う予定がないので。

今持っているのは XilinxがSpartan3世代と6世代のもの、
AlteraがCyclone2世代の評価ボードなのですが、これらで自分がやりたいことの
限界に来たときに、より新しい世代のデバイスでI/Oの多いものを
購入することになりそうです。今持ってるボードの一番の不満がI/O数なので。
182774ワット発電中さん:2012/06/03(日) 00:20:24.11 ID:TQed8qR9
>>178
ありがとうございます。
言われてみると、その通りですね。
フレームの間引きまたは繰り返し無しには、絶対不可能ですね。
ありがとうございます。

可能な限り送出して、
  ・足らなくなったら、直前の画をもう一度送出。
  ・余ったら、間引いて送出。
そう考えたら疑問も解けました。
ありがとうございます。

>>180
では、いったいどこで聞けばよいのですか?

>>181
僕は、XilinxのSp3DSPのボード(Digilent製) を使っています。
I/Oが全部HSMCに行っているのを最初に見たとき「窓から捨てたろか、このボード」と
思いましたが、そのHSMCコネクタを2つとも剥がして使っています。
中心にGNDが通っていて、LVのペアも隣同士で、いい感じです。

また、FMCボードは、某社の高いのを買わなくても、
FMC - LPC Prototype Module
Be the first to review this product
Availability: Accepting Backorders.
$139.00
FMC LPC Prototyping Module
Access to all 68 LA Bank pins 20x66 .1" hole matrix. I2C EEPROM Footprint
など、通販で買えます。
それでも高いので、1枚買って使い回すのが良いと思います。
183774ワット発電中さん:2012/06/03(日) 01:11:53.38 ID:KAzyykqe
若者の東京エレクトロンデバイス離れ
184774ワット発電中さん:2012/06/03(日) 12:42:26.42 ID:015HD2a7
だって、何もかもが高いんだもの。
185774ワット発電中さん:2012/06/03(日) 17:07:32.45 ID:LwtRs8r7
2枚セットで29800円だった気がする
186774ワット発電中さん:2012/06/03(日) 21:06:27.06 ID:zuidKxJo
東京エレクトロンという名前に惹かれ、世間知らずの若者が、関連子会社
には派遣として集まっていたりするのかも。

というか、きょうびの大学生は理系でも東京エレクトロンを知らないとか?
187774ワット発電中さん:2012/06/04(月) 02:51:57.46 ID:AJO6/3i3
>>186
>関連子会社には派遣として集まっていたりするのかも。
文章の意味がわからない。
TED(東京エレクトロンデバイス)には、派遣ばかりだということ?
どこからどこに派遣されているの?
188774ワット発電中さん:2012/06/04(月) 08:47:13.09 ID:OB+UYPVZ
ちと古い記事だが...

東京エレクトロン 300人削減
ttp://www.47news.jp/news/2009/01/post_20090130172932.html
> 半導体・液晶製造装置メーカーの東京エレクトロンAT(韮崎市藤井町
> 北下条)が、県内で働く派遣社員約300人を3月末までに削減する
> ことが29日分かった。景気後退による県内の人員削減としてはこれまで
> で最大規模。

今は、東京エレクトロンの若者(使用済み)離れかな?
189774ワット発電中さん:2012/06/04(月) 20:28:35.29 ID:JiujxQCM
>>169
書いたらいけないのか
いいのかどっちなんだか
190774ワット発電中さん:2012/06/06(水) 20:19:58.97 ID:lWMzzCXE
ISE12.4でverilogで書いてるんだけど、プロジェクトで指定する
ターゲットチップとかがなんらかのマクロに定義されてifdefで
書き分けできる、とかないのかな?
191774ワット発電中さん:2012/06/06(水) 20:49:53.35 ID:5OiMf0Ms
趣味でやってるだけなんだけど、基板にBGAパッケージのIC乗せたいときってどうしたらいいんだ?

一枚でもそういうSMDの実装やってくれるところってある?
192774ワット発電中さん:2012/06/06(水) 22:32:40.48 ID:gyqOcS7V
表面実装はメタルマスクが要るから
1枚だけの実装だとかなり割高になる。

P板てきとー見積もりで
実装費用1万円+メタルマスク3万円って所かな。
ttp://www.p-ban.com/
193774ワット発電中さん:2012/06/06(水) 22:41:07.41 ID:0sIL5CC2
>>191
量産しないならヒートガンで…
194774ワット発電中さん:2012/06/06(水) 23:19:29.64 ID:1Df2xkD+
>>191
オーブントースターをリフロー炉に改造する記事がトランジスタ技術に載った事がw

ヒートガンでも出来るらしいが、慣れないと難しいらしい。
195774ワット発電中さん:2012/06/06(水) 23:33:02.83 ID:5OiMf0Ms
そっかー。一枚だけの予定だしメタルマスク作るのはコスト掛かりすぎになるな…

オーブントースターをリフロー炉にする方向で考えてみる。クリームはんだ手にはいるのかな…?
196774ワット発電中さん:2012/06/07(木) 08:05:15.19 ID:5J0lO4zl
リフローもどきならホットプレートの方が安定してできると聞いたぞ。

しかしメタルマスクなしでどうやってクリームハンダを塗るんだ?
197774ワット発電中さん:2012/06/07(木) 08:29:06.03 ID:WzhXn7zm
注射器で
198774ワット発電中さん:2012/06/07(木) 20:26:14.13 ID:hBv7pk2y
文字通り半田を盛るのかw
199191:2012/06/07(木) 21:07:51.61 ID:qrX9iKLb
いますこし調べたらステンレスのマスクじゃなくてカプトンフィルムでマスク作ってくれるところが送料込みで$40位だった…

試してみたいけどその前にクリームはんだの購入先を見つけないといけないことに気づいた
200774ワット発電中さん:2012/06/07(木) 21:15:02.40 ID:DSaVV4fj
そういやサンハヤトのクリーム半田ってまだ売ってるのかな?
昔は千石で買えたけど・・・

と、完全にスレ違いの話を思い出してみる
201774ワット発電中さん:2012/06/07(木) 23:07:56.23 ID:5NBMvNYA
よし、シルクスクリーンで頑張って塗れ
202774ワット発電中さん:2012/06/07(木) 23:21:52.83 ID:17Q8u5Gy
>>199
半田が見えてないのにどうやってうまく半田付けできたか確認するんだ
あるところは付きあるところは付いてないになる可能性大だろ
203774ワット発電中さん:2012/06/07(木) 23:27:02.80 ID:/BRZJCQ0
テスターで導通チェックしる!
204774ワット発電中さん:2012/06/07(木) 23:35:18.79 ID:fEOV8l73
>>201
プリントゴッコだな
205774ワット発電中さん:2012/06/08(金) 01:35:24.90 ID:pOWeDO0x
このホットプレートだ、214人も参考にしてるぞ。2000円ぽっきりだ。
http://www.amazon.co.jp/review/R225VBUFWBO8TC

 カスタマーレビュー

 232 人中、214人の方が、「このレビューが参考になった」と投票しています。
 5つ星のうち 4.0 リフローはんだづけに最適, 2011/11/18
 By @ssci
 レビュー対象商品: 山善(YAMAZEN) ホットプレート ブラウン HG-1200(T) (ホーム&キッチン)

 リフローはんだづけに最適です。
 <以下略>

>>200
表面実装部品取り付けキットに付属してるやつかと思ったが
 http://www.sunhayato.co.jp/products/details.php?u=806&id=02043
個別でも売ってるみたいね、BGAには使うなって書いてあるけど。
 http://www.sunhayato.co.jp/products/details.php?u=807&id=02043
206774ワット発電中さん:2012/06/08(金) 09:17:05.46 ID:mr/7yD0c
はんだフラッシュ基板だったら、フラックス塗ってBGA部品置くだけじゃだめかな?
クリームはんだはハードルが高い。
207774ワット発電中さん:2012/06/09(土) 20:46:53.17 ID:5P7wMt7K
開発ツールはボロボロなのに、合成した結果が間違った動作をしたことはない(合成ツールが内部エラーで落ちることはある)。なんで?不思議。
208774ワット発電中さん:2012/06/09(土) 22:22:40.78 ID:c6hhdZuY
>>207
どこのツールかぐらい書かないと。
209774ワット発電中さん:2012/06/09(土) 22:59:02.15 ID:YJPcYtJP
一般論として、コア開発チームと周辺開発チームで技倆が違い過ぎるってことは
たまに起きると思う。
210774ワット発電中さん:2012/06/10(日) 00:19:15.60 ID:ymAf9X++
チームが違うどころか作ってる会社が違ったりするでしょ
Aは知らんけど、XとLは他社の合成エンジンじゃなかったっけ?
ログの出方が似てたような。
211774ワット発電中さん:2012/06/10(日) 13:15:49.80 ID:2eXsmOhi
なんでこのジャンルの素子って日本勢は存在感ないの?
得意そうなのに
212774ワット発電中さん:2012/06/10(日) 17:25:28.48 ID:nZp+UamH
>>211
某社「選択と集中でASICに賭けまつ」
他社「漏れも」「漏れも」「漏れも」
213774ワット発電中さん:2012/06/10(日) 18:46:42.90 ID:9QEZGKXe
>>211
品質保証が大変だから、社内の品質保証基準を満足させるのは大変だからね。
ある程度不良があるのは覚悟で突っ走れるところでないとね。

でも、FPGAの論理合成ツールのエンジン部分は実は日本製だったりするとか。
214774ワット発電中さん:2012/06/10(日) 20:44:48.61 ID:SVGg0aGB
大昔にロームだかでFPGAかCPLDやってたと思うけど、特許庁の資料見ると無いから覚え違いかな。
http://www.jpo.go.jp/shiryou/pdf/gidou-houkoku/pld.pdf
215774ワット発電中さん:2012/06/10(日) 22:57:16.89 ID:fwqs3Kg8
本当に自分でリフロー出来るのかと台所でしてたら
かーちゃんが泣き崩れた(´・ω・`)
216774ワット発電中さん:2012/06/11(月) 00:20:17.94 ID:PcM7Kuxl
>>213
FPGAだけじゃなくICなんかのツールの重要部分は実は日本製
日本しか出来ないんだよ。きっと
217774ワット発電中さん:2012/06/11(月) 01:03:51.02 ID:hKWMu43J
そんな話聞いたことないわ
具体的にどこ?
218774ワット発電中さん:2012/06/11(月) 01:58:55.70 ID:0plrH4bi
この業界で転職するにはどうしたらいいんだろう・・・・

VHDLで転職したら、verilog書くようになって、気がついたらアセンブラ書いてる・・・・・
219774ワット発電中さん:2012/06/11(月) 03:03:40.10 ID:n6W8WQcq
日本て単純なメモリでも負けたし、こういう新しい発想のロジックICもサッパリだよな。
80年代のメモリトップシェアでヤマハがFM音源LSI作ったりとか個性的な製品が会った時代が
懐かしい。

しかしプログラマブルなロジックって日本でも作れそうだけど手を出さない感じはするね。
誰か業界に詳しい人理由よろ
220774ワット発電中さん:2012/06/11(月) 05:04:10.28 ID:u6nrEwNY
色々と面倒な精神論とか商習慣とか商売としての旨味とか考えると
決して日本が得意の分野って訳じゃ無いと思うわ。

ASICみたいに設計開発含めて自社関連で全部まるっと請け負いたいってな日本の会社的には
儲かんないと考えるでしょ。
221774ワット発電中さん:2012/06/11(月) 06:28:57.38 ID:pJNrrDED
オープンな土俵じゃ勝負できない、と思い込んでいるし、
そう思い込んでるから、実際そうなっちゃってるしな。
222774ワット発電中さん:2012/06/11(月) 10:10:56.12 ID:05ckLMUV
特許とまともなコンパイラが作れないからだと思ってたが…
223774ワット発電中さん:2012/06/11(月) 11:33:06.43 ID:fGo+keQM
まあ今の経営陣はさっさと隠居しろってことだな
224774ワット発電中さん:2012/06/11(月) 17:10:51.27 ID:0GCIXZ6s
今から新規参入しても旨みは無いだろうしね。

合成ツールってことなら、CyberWorkbenchなんていうものもあるがな。
http://www.nec.co.jp/press/ja/1108/2501.html
従来からあるCyberWorkbenchに、XやAのFPGA向けの最適化を取り込んだ
FPGA専用版ってことだね。
225774ワット発電中さん:2012/06/11(月) 17:19:20.06 ID:HdMKoP+O
経営陣とかじゃなく引篭もりの民じゃ駄目だろな
ヒキ民が道を切り開いて成功なんてすると思うか
アメリカは困難が伴うのは分りきっているのに
新天地を開拓し、そして自らの手で独立した民の国だからな
226774ワット発電中さん:2012/06/11(月) 18:30:17.88 ID:0GCIXZ6s
>アメリカは困難が伴うのは分りきっているのに
>新天地を開拓し
いえいえ、欧州で食いっぱぐれた連中やら、ならず者が他に行
くところがなくて逃げ出してきて、ネイティブアメリカンの
住んでいたところを侵略し、土地を奪い取ったですよ。
227774ワット発電中さん:2012/06/11(月) 19:05:52.34 ID:mevPcVGJ
天下分け目の大戦でならず者の国に負けた侍の国
だめな侍ではならず者に勝てないか
228774ワット発電中さん:2012/06/11(月) 20:23:01.24 ID:0GCIXZ6s
薩長の田舎侍が偉そうにし始めてからロクなことが無かったよなぁ。
229774ワット発電中さん:2012/06/11(月) 22:37:38.36 ID:UYXbf6qC
3GSPSのADコンバーターがあります。
これをFPGAで3GHzで駆動したいのですが、
できるでしょうか。
何HzならFPGAで使えるでしょうか?

ていうか、1GHz超で使えるFPGAってありますか?
230774ワット発電中さん:2012/06/11(月) 22:38:26.49 ID:8jzMu58n
その田舎侍の地はいまは日本の後進地域だよな
その程度の所の田舎侍に天下を取られるぐらい、駄目侍ばかりだったんだな
231774ワット発電中さん:2012/06/11(月) 22:40:10.66 ID:8jzMu58n
>>229
凄い人ならできるんじゃないか
232774ワット発電中さん:2012/06/11(月) 23:55:04.98 ID:CKlwrqcV
>>230
侍って、単なる公務員だからね

あつ、公務員が駄目なのは今も同じだな。
233774ワット発電中さん:2012/06/12(火) 00:11:16.41 ID:ielIhV6a
>>229 空間と時間を歪ませればできるよ
234774ワット発電中さん:2012/06/12(火) 01:36:30.25 ID:4Km+VAfT
ALTERAのFPGAなら内部処理1GHzオーバーも楽勝だけどね。
ザイリンクスには_かもしれん
235774ワット発電中さん:2012/06/12(火) 03:49:46.90 ID:Jz8/Ztpz
日本の半導体ってどうしてこう急激にダメになっちゃったんだろうねえ
半導体製造装置メーカーから韓国や台湾への技術流出を防げばもう何十年か延命できたのかな?
236774ワット発電中さん:2012/06/12(火) 04:26:25.22 ID:wwKwEgdG
技術者を使い捨てにしたから
237774ワット発電中さん:2012/06/12(火) 05:11:50.59 ID:rLwowECS
理系技術者より文系事務優先の日本だからどうしようも
238774ワット発電中さん:2012/06/12(火) 07:54:31.51 ID:iHLlGKhN
半導体協定なんて馬鹿なことするから
売国奴KKK
239774ワット発電中さん:2012/06/12(火) 08:50:08.72 ID:Jz8/Ztpz
確かに日米半導体協定って自由貿易の理念無視したなんの根拠もない難癖条約だったな。
あのころと同じ理屈でインテルとかに文句付けたい
なんでアメリカだけ勝手なことができるんだ?
240774ワット発電中さん:2012/06/12(火) 09:12:12.67 ID:hyrx3zPF
ν速に帰れカスウヨ。
ここは専門板だ。貴様が勝手なヘイトスピーチする場じゃねーよ。
241774ワット発電中さん:2012/06/12(火) 09:19:04.92 ID:W9WHC4oG
国産半導体は使うなって、政府が言うんだもの。
半導体産業を潰し、OS(TRON)を潰し、
携帯電話のインセンティブ禁止で買い換え需要による売上、
新機能開発なども激減させ・・・

とにかく、霞ヶ関は日本の(特に電子系)潰しばっかりやってる。
(PSEなんかもその流れのなかの一つかな?)
242774ワット発電中さん:2012/06/12(火) 10:07:11.71 ID:00MWCMjJ
>>239
ゆとりは、日本が戦争に負けたことも知らないのか?
243774ワット発電中さん:2012/06/12(火) 10:24:50.03 ID:hyrx3zPF
>>241 日立や富士通をぬくぬくと今日まで保護してきた通産省、という事実には目を背けて、何言ってるの?
通産のあの政策がなければ1964年のIBMのシステム360が普及して、終了してたはずなんだが。
244774ワット発電中さん:2012/06/12(火) 10:53:06.27 ID:Jz8/Ztpz
>>243
死ねよ三国人
245774ワット発電中さん:2012/06/12(火) 12:13:18.87 ID:qvpklU6Q
仕方なかったんじゃない。あそこで、突っぱねていたらアメリカでの日本の
印象が悪くなるし、最悪、制裁措置を取られていた可能性もある。

日本人は、ルールは守るんだが、その代わり、ルールの範囲内では、どんな汚い
方法を取ってもよいって考え方なんだよな。

ところが、アメリカでは、それは通用しない。彼らは、フェアー(fair)って
いうことを言うんだよ。

あの時、フェアーじゃないって言われたんだよな。あそこで、妥協しなければ、
日本人はフェアーじゃないって、ずっと言われ続けていただろう。
フェアーじゃないっていうのは、結局のところ、卑怯ってことだからね。

そう言われたのは、ロビー活動のせいだったのかもしれないけど、日本人は、
フェアーじゃないっていう、印象を植え付けられた時点で、もう負けなんだよね。

不満に思ってた人は結構いたんだろうがね、日本人にはフェアーなんて考え方は
今でもないから。
246774ワット発電中さん:2012/06/12(火) 12:14:25.85 ID:hyrx3zPF
どうして、事実と論理で反論できないの?

事実と論理で反論できずに、ハイテク産業で勝てると思ってるの?
247774ワット発電中さん:2012/06/12(火) 12:15:18.98 ID:hyrx3zPF
>>246>>244 向けね。
248774ワット発電中さん:2012/06/12(火) 17:22:22.44 ID:uc1/azZT
南朝鮮人は「フェアー」ではないという「事実」

新日鉄の怒り 極秘技術「方向性電磁鋼板」はなぜ流出したのか
http://headlines.yahoo.co.jp/hl?a=20120526-00000583-san-bus_all
249774ワット発電中さん:2012/06/12(火) 17:56:31.66 ID:oZ/bynw3
なんで意味わかんね〜話になってんだww

FPGAに関してのスレなんだがな…
そもそもxlinxがLCA作った時の製造パートナーはセイコーエプソンだぞ…

当初も、今もだけど、FPGAみたいな奴は試作向けツールとして考えられている部分が大きくて
試作の少量品にしか使わないデバイス≒市場規模が小さいと考えちゃったのは自然な事でしょ。
なので、数を出さなきゃ逝けない自前ファウンドリ持ち大手ベンダとしては避けたい商品って考えちゃうのは、ある意味当然な訳。
しかも開発ツールとか色々と用意しなきゃいけないしな。
250774ワット発電中さん:2012/06/12(火) 17:57:06.44 ID:qvpklU6Q
>>248
そういうのは、少なくともアメリカで言うフェアーとは違う。
単純に犯罪行為でしょう。

でも日本にはない考え方だから、フェアーっていう意味を取り違える人は多い。
というより、その考え方の存在自体が日本人にあまり知られていない。
けれど、自分がどの程度正確に把握できているか自信はないが。

自分の中で、日本でフェアーでない物の最たるものはプロ野球だな。
時々、外人選手で文句を言っている人がいるけど、おそらく口に出さないだけで
全外人選手が思っているだろう。

ただプロは、金を稼ぐのが目的だからフェアーじゃないから駄目というわけでは
ないけどね。フェアーってことで比較すると、Jリーグの方が、ずっとフェアー
だけど、儲かってないもんね。
251774ワット発電中さん:2012/06/12(火) 19:05:27.09 ID:1/YgEeDr
オレたちに関係あるのは、EDSフェアーくらいなもんだ。
252774ワット発電中さん:2012/06/12(火) 19:55:44.49 ID:X9UUlqFa
アメリカの人のフェアー
ラジカセや自動車を議員がテレビカメラの前でたたき壊す
253774ワット発電中さん:2012/06/12(火) 20:01:57.05 ID:QHyHgJAb
イギリス人のフェア
スカボロー・フェア
メロディ・フェア

うん、名曲が多い・・・ってここは何のスレだったか
254774ワット発電中さん:2012/06/12(火) 20:40:13.13 ID:mEGLP4Hr
フレッシュマン・フェア (期間限定)
255774ワット発電中さん:2012/06/12(火) 23:00:44.52 ID:H4jm//Da
フェアよりヘア
256774ワット発電中さん:2012/06/12(火) 23:25:41.07 ID:W9WHC4oG
>>243
保護政策なんて、今でも色々な国でやってることだが、
恣意的に潰そうなんてする事をする所があるものかという
ことなのだが、そんなことも読み取れないほど日本語が
不自由なのか?国に帰ったほうがいいんじゃねぇか?
257774ワット発電中さん:2012/06/12(火) 23:36:33.92 ID:73JzNbYx
>>234
ある寺だとGHz行けるのか
すげー時代だな・・・ っと独り言
258774ワット発電中さん:2012/06/13(水) 02:02:38.88 ID:+NGDNwQO
>>257
本当だ。それは違うな。
逆に財のほうが高速まで行ける。(らしい)
寺だとStratixでもせいぜい500MHz止まりだと聞いたことがある。
ていうかシステムクロック1GHzが行けるFPGAが、この世にあるのか?
259774ワット発電中さん:2012/06/13(水) 05:41:21.11 ID:gJNNwxYB
>>256 事実ではなくあなたの妄想を元に「潰された」って連呼されてもねぇw
病室に帰ったほうがいいじゃねぇか?
260774ワット発電中さん:2012/06/13(水) 06:35:48.29 ID:am9TwSXi
>>259
当時を知らないなら黙っていた方が身のためだよ
あと、アンカーのつけ方くらいは勉強しような。
261774ワット発電中さん:2012/06/13(水) 07:17:15.87 ID:gJNNwxYB
>>260
事実を提示できないなら黙っていた方が身のためだよ
262774ワット発電中さん:2012/06/13(水) 08:50:46.08 ID:rE+PVZw3
両方とも死ね
263774ワット発電中さん:2012/06/13(水) 10:51:38.37 ID:9/zx62AN
というわけで、FPGAの話をしようよ。

1GHz
264774ワット発電中さん:2012/06/13(水) 17:34:45.38 ID:fgc0CIBM
1GHz 入力は厳しいけど、PLL は 2GHz くらいまで動く。
分周しないで使えばいけるかもね。
265774ワット発電中さん:2012/06/13(水) 20:39:10.46 ID:HM4AUC5K
>>258
100GのギガビットイーサのIPコアがあるみたいだから専用シリアル部まではできるかも知れない。
内部ではパラレル伝送みたいだが。
266774ワット発電中さん:2012/06/13(水) 20:41:10.39 ID:HM4AUC5K
開発環境が社内にそろってる関係で
ALTERAを選ぶのは決まってるのに
価格競争の当て馬にするためXILINXの同等品を
選ぶ振りするのはかわいそうな気がする。
267774ワット発電中さん:2012/06/13(水) 21:54:12.17 ID:Nh+tlH0R
物造り大国日本でFPGA作れば内部動作3GHz程度は楽に逝くのにな
物造り後進国で作るから内部動作1GHzが厳しいんだよな
おまえらの会社で楽に内部動作1GHzするFPGA出せよ
268774ワット発電中さん:2012/06/13(水) 22:14:57.26 ID:1HHQdY1u
バカがひとり
269774ワット発電中さん:2012/06/13(水) 22:16:00.96 ID:hyeR81uM
つか、今からやるなら非同期でしょ
270774ワット発電中さん:2012/06/13(水) 22:20:20.47 ID:Nh+tlH0R
物造り大国で電子技術大国日本の企業が非同期FPGA・ICを今年は出すよな
まさか後進国のアメリカ、台湾には負けないよな
271774ワット発電中さん:2012/06/13(水) 22:20:55.66 ID:1HHQdY1u
設計とデバッグが難しすぎるなあ、FPGAじゃ苦しい。
272774ワット発電中さん:2012/06/13(水) 22:21:32.41 ID:1HHQdY1u
バカがしつこいw
273774ワット発電中さん:2012/06/13(水) 22:32:46.95 ID:Nh+tlH0R
おまえらの会社はこれから何をして食っていくつもりなんだ?
ついでに今後日本の電子産業は何で銭を稼ぐつもりなんだ?
非同期FPGA・ICなんて新しいのはもう日本では出来ないから、アメリカ、台湾、韓国
あたりにがんばってもらい、それらのおこぼれにありついて食っていくのか
274774ワット発電中さん:2012/06/13(水) 23:35:10.33 ID:qo0EF1sV
>>273
お前の頭がオカシイことだけはよく解った。
どうだろう?その頭にFPGAを埋め込んだら。
275774ワット発電中さん:2012/06/14(木) 00:22:43.09 ID:1G+lnC+N
>>273
>おまえらの会社はこれから何をして食っていくつもりなんだ?
携帯型音楽プレイヤーの元祖は、「困った」
276774ワット発電中さん:2012/06/14(木) 01:32:02.33 ID:ygGv02r4
>今後日本の電子産業は何で銭を稼ぐつもりなんだ?
お前さんが心配するほど悪い状況じゃ無いから安心しろ。
277774ワット発電中さん:2012/06/14(木) 01:41:24.58 ID:oXE1jpTS
日本が誇れる物はもうシリコンウェーハの製造ぐらいだろw
278774ワット発電中さん:2012/06/14(木) 01:55:14.71 ID:rbznzs53
まだバカが残ってるよ
279774ワット発電中さん:2012/06/14(木) 02:30:48.94 ID:dbLpZwuo
日本の電子産業・会社はドカタ売買で銭を稼ぐ
ドカタ売買は日本が誇る次世代産業
280774ワット発電中さん:2012/06/14(木) 13:15:07.68 ID:LeH+/wDC
>>279
そうそう、大陸やら半島のドカタを利用して荒稼ぎ。
281774ワット発電中さん:2012/06/14(木) 14:37:39.38 ID:hhAo6wJ5
引きこもり国士様きょうも大活躍ですね
282774ワット発電中さん:2012/06/14(木) 19:18:18.99 ID:Kn3Yv8y5
優秀な人材の卵を買って、それを優秀な人材に育てて高く売る。
FPGAの利用にしても高度な技術・知識要するから、
FPGAが扱えない卵を一流のFPGA使いにして売り出せば金になるよな。
ついでに、優秀な技術者があまっている会社はそいつらを売って金にしたいだろ。
そいつらを大陸や半島企業は高い金で買ってくれる。
ひょっとしたらアメリカの企業が高い金で買うかもしれない。
10年先の日本はこんなのが普通になってるかもな
283774ワット発電中さん:2012/06/14(木) 21:09:05.42 ID:9z7HzA6J
ネトウヨの寝言はほんと意味不明だなw
284774ワット発電中さん:2012/06/14(木) 21:36:51.86 ID:VP8oYF+T
ネトウヨとかゆってるやつは祖国帰れよ
韓国とか日本の足にも及ばないから
285774ワット発電中さん:2012/06/14(木) 22:05:57.89 ID:bLTlu7HB
ご自慢のサムチョングループ全部あわせても、日本の
大手商社一社の連結売上と同じ程度の売上だからね。
286774ワット発電中さん:2012/06/14(木) 23:02:58.18 ID:Kn3Yv8y5
アメリカにはまったくかなわないから、先進国でもなく大国でもない韓国
を出すんだろ。そんなところには楽勝だろアメリカと勝負しろよネットウヨ
287774ワット発電中さん:2012/06/14(木) 23:52:39.37 ID:bLTlu7HB
おやおや。プッツン坊やモードですかね?
韓国を何に出すのか知らんが、出されると何か困ることでもあるのかな?
288774ワット発電中さん:2012/06/15(金) 01:00:33.43 ID:pLrP4O6Z
鬼畜米英の製品使う奴は国賊
289774ワット発電中さん:2012/06/15(金) 01:12:00.92 ID:6MKoZXQL
>>285
>ご自慢のサムチョングループ全部あわせても、日本の
>大手商社一社の連結売上と同じ程度の売上だからね。

でも日本の電機全部あわせた純利益がサムソン1社に負けているていたらくなんだよねw
290774ワット発電中さん:2012/06/15(金) 01:16:48.26 ID:rv8HNW+b
CPLD付きARMとかそういう面白いデバイスできないかなー
AVRとCPLDを足したような値段だと使い勝手いいんだけどな
291774ワット発電中さん:2012/06/15(金) 01:41:25.45 ID:hyAXDlCP
292774ワット発電中さん:2012/06/15(金) 01:54:44.34 ID:pJYkwKl2
>>290
actelが出してる
293774ワット発電中さん:2012/06/15(金) 01:55:10.14 ID:rv8HNW+b
>>291
FPGA内蔵ARMとARM内蔵FPGAだと似てるようで
ちょっと違いますよね
具体的にいうと、FPGAありきかARMありきかという
プログラマブル回路の部分はCPUの補助的に使いたいかなーって感じで
マクロセル数は32〜128くらいでとりあえずは十分だったり

あとはたしかにIDEで連携がとれるかとれないかですよね
現実的にはLPC1xxxシリーズにMAX IIかispMACH 4000、もしくは5VトレラントのXC95xx系で連携かなーって
JTAGプログラミングだけでもデイジーチェーンで同一ダウンロードケーブルが使えると便利なんですけどね
294774ワット発電中さん:2012/06/15(金) 03:13:37.39 ID:hyAXDlCP
>>293
PSoC5は?
ARMcortex m3使ってるぞ。PLDもついてる。
295774ワット発電中さん:2012/06/15(金) 04:06:47.20 ID:rv8HNW+b
>>292
ザイリンクス、アルテラ、Latticeはチップ持ってますけど
Actelはまだ見たことないですね
AVRもたしか昔PLDを作っていたような
>>294
アナログ/デジタルブロックはほかのチップにない面白さがありますよね
たまに使ってますけど、HDL記述はできませんね
カメレオンUSBみたいなのをSoCとしてまとめる需要はそこそこありそうですけど
あんま熱心なメーカーはないかなぁって感想です
296774ワット発電中さん:2012/06/15(金) 08:15:32.57 ID:DiaIIhRm
>>295
>HDL記述はできませんね
PSoC3/5なら、Verilog使えるけど?
297774ワット発電中さん:2012/06/15(金) 09:20:16.38 ID:pEuJdwrN
ゆとりの相手なんかするだけ無駄
298774ワット発電中さん:2012/06/15(金) 10:37:20.38 ID:6MKoZXQL
早くくたばれよジジイw
299774ワット発電中さん:2012/06/15(金) 13:55:03.85 ID:+Gu+eGvw
>>296
verilogからアナログブロックの初期化等出来るならいいんだけどね・・・
300774ワット発電中さん:2012/06/15(金) 15:18:55.89 ID:SpqwlzWk
>>299

Verilog-AMS が使えれば・・・
301774ワット発電中さん:2012/06/15(金) 20:07:21.59 ID:K6mqtMxs
ALTERA QuartusII 12.0
をインストするも

Norton の SONAR機能で grep.exe が削除されてしまい

うまくインストを完了できない罠

><;
302774ワット発電中さん:2012/06/15(金) 22:31:54.20 ID:vR24Lpcz
Norton切るか、アンインストールするか、除外しろよ。
303774ワット発電中さん:2012/06/15(金) 22:50:27.73 ID:pJYkwKl2
Nortonなんかまだ使っている奴いるのか
304774ワット発電中さん:2012/06/15(金) 23:15:07.45 ID:aCTZMbXg
ユーティリティには、エコロジー2が 手放せません。
305774ワット発電中さん:2012/06/15(金) 23:22:09.43 ID:+Gu+eGvw
ノストラダムs(ry
306774ワット発電中さん:2012/06/15(金) 23:23:50.17 ID:SLmkzwCH
>>297
いいよなぁそんなレッテル張りで満たされるちっぽけな自尊心で。
307774ワット発電中さん:2012/06/15(金) 23:32:14.14 ID:pAUVbCEH
>>295
>HDL記述はできませんね
PSoC3/5は、ユーザ独自のモジュールを回路図やVerilogで記述できる。
入出力部分などの記述は自動生成されるからちょっと楽かもね。
アナログ関係は回路図だけど、Verilog側からマルチプレクサを操作するくらいは
できるかな?
308774ワット発電中さん:2012/06/16(土) 04:18:55.75 ID:+Tz6Rl2j
PSoc、いいと思うけど、OP AMPの性能もそこそこ、処理速度もそこそこで、
何か中途半端な気がするのは俺だけ?
309774ワット発電中さん:2012/06/16(土) 14:15:49.34 ID:HULnRPUt
>>308 PSoC1はそこがみそですから。その程度の性能で十分な
応用があったということで。
310774ワット発電中さん:2012/06/16(土) 18:48:32.78 ID:YkabkVEQ
>>308
逆に中途半端じゃないものってなったら、今度はあれもあれば良いのに、
これが入っていれば良いのに・・ってなるんじゃねぇの?
で、本当に入ってきたら今度は値段が高いとか。

結局どんなものが出てきても文句言ってるっていう気がする。
311774ワット発電中さん:2012/06/16(土) 20:25:50.64 ID:oCyL8K32
>>310
キチガイは
>結局どんなものが出てきても文句言ってるっていう気がする。
だよな。
もう、自分や自分の会社で文句でないの作れだよな
312774ワット発電中さん:2012/06/16(土) 22:02:50.37 ID:TNF+D7tw
PSoCは内蔵アナログで足りる範囲で使う物という認識だな
性能で別チップに叶うわけないし
313774ワット発電中さん:2012/06/17(日) 06:35:29.70 ID:ePpoC+hq
あの程度のアナログでも結構間に合う物ってるものだなと思わされた。
デジタル関係でも、ANDだのORだのと簡単な論理演算もできるし、ドライブ
モードも多いから案外便利だったな。
314774ワット発電中さん:2012/06/18(月) 21:46:31.23 ID:L5exWy7e
なんか一昨日あたりからISEのライセンス認証できないんだけど出来てる人いる?
315774ワット発電中さん:2012/06/19(火) 03:22:19.39 ID:+RkwLQvo
一昨日あたりから、って、なんで毎日認証するの?
webパックの場合かな。
316774ワット発電中さん:2012/06/20(水) 11:11:34.24 ID:AKaoCYZ8
ISE webpackをインストールしてみたもののhelpが見れない
同じ報告はあまりないようだけど発生している方いますか?
317774ワット発電中さん:2012/06/20(水) 19:24:46.91 ID:s/jzC354
[Help]->[Help Topocs]で
ttp://falseisehelp/dsm_c_design_summary_overview.htm
に飛ばされて見れないとか?
俺もだ
318774ワット発電中さん:2012/06/21(木) 16:12:30.42 ID:R9/YGQV0
14.1から見れないね
13.4までは見れた
319774ワット発電中さん:2012/06/21(木) 16:49:26.47 ID:vRPcoNWe
それそれそのfalseisehelpです

同じ現象が起こってる方も少なくないようですね
はじめてPLDに触れてみようと思ったのですが
helpが見れなくて本当に困っています
はやく修正してもらいたいものです
320774ワット発電中さん:2012/06/21(木) 22:42:49.61 ID:dDyxF/yK
ISEのメジャーアップデート一発目の1x.1は罠が多い印象。
321774ワット発電中さん:2012/06/22(金) 18:07:58.51 ID:YJ8H0ArO
これ意外と良いな
ttp://ocw.ouj.ac.jp/tv/1542109/05.html
322774ワット発電中さん:2012/06/22(金) 19:49:44.76 ID:/0Kzjsgf
>>321
それそのものじゃないが、似たようなのは学校でやった
高専・大学の電子工学科だと普通に教えているんじゃないか
323774ワット発電中さん:2012/06/22(金) 22:38:44.07 ID:vmhXIglc
おお、これは「私は学長です」の岡部先生
324774ワット発電中さん:2012/06/23(土) 23:01:52.73 ID:NRfv+Itw
325774ワット発電中さん:2012/06/24(日) 19:55:05.13 ID:Nozi3Uh6
岡部先生と嶋さんは交流あるんかな
326774ワット発電中さん:2012/06/24(日) 20:11:53.73 ID:GStA1u6Y
嶋さん、いま何やってるの?
327774ワット発電中さん:2012/06/25(月) 23:45:51.61 ID:tdX9F0Ff
FPGA学校で勉強したけど、結構楽しかった。きっと行数が増えていくと大変になってくるんだろうけど・・・
カラーバーの表示に成功すると感動するね。
328774ワット発電中さん:2012/06/26(火) 22:26:27.50 ID:hcLmvyqA
今は「FPGA学校」っていうのがあるの?
329774ワット発電中さん:2012/06/27(水) 08:15:39.93 ID:GGeQs04+
>>322
中学校のとき夏休み子供教室みたいなので習った
当時はよく判らんかったがあとでじんわりきた
330774ワット発電中さん:2012/06/27(水) 22:42:43.62 ID:1/0FP7KX
>>329
普通は小学でじゃない。ゆとり教育で中学でになってしまったのかな
いまや大学が昔の高校レベル以下だからね
331774ワット発電中さん:2012/06/28(木) 01:08:00.49 ID:0HKxKC1m
おじいちゃん夕飯はさっき食べましたよ?
332774ワット発電中さん:2012/06/28(木) 01:10:54.81 ID:NYEGSe3Y
20年前でもほぼ同じこと言われてたと思うけど。
333774ワット発電中さん:2012/06/28(木) 10:38:40.88 ID:EOHeF8Xh
ローマの時代から言われてた記録が残ってるらしいな
334774ワット発電中さん:2012/06/29(金) 11:33:50.49 ID:MJlUsFxH
最近の若い者は、に類似した文言はエジプトピラミッドからも見つかると聞いたが
335774ワット発電中さん:2012/06/29(金) 11:59:53.16 ID:D+x/dvpk
この前NHKでやってたね
336774ワット発電中さん:2012/06/30(土) 12:14:26.05 ID:xi0+aOam
状態遷移図を教えてくれる小学校を教えて欲しい。小学校からやり直してくる。
337774ワット発電中さん:2012/06/30(土) 13:26:09.24 ID:AXi56JNG
そして小学校への不法侵入で捕まった336の姿が…
338774ワット発電中さん:2012/06/30(土) 13:58:24.20 ID:o6jjlb/j
学生証提示すれば平気
339774ワット発電中さん:2012/06/30(土) 14:13:26.53 ID:gU91E8EL
小学生って学生じゃなくて児童だよね
って突っ込みは置いとくとして最近の小学校って学生証なんてあるん?
340774ワット発電中さん:2012/07/02(月) 00:22:02.00 ID:42KdDsQK
FPGAスレの住人がHDLスレに移住しましたので
FPGAは閉鎖です
長い間ありがとうございました
341774ワット発電中さん:2012/07/02(月) 09:02:16.93 ID:d3TwNIWm
HDL を使わない FPGA ユーザーだっているんだぜ。
342774ワット発電中さん:2012/07/02(月) 20:11:10.64 ID:I7tL6800
>>340
このスレを潰したいのか?
343774ワット発電中さん:2012/07/02(月) 21:06:02.92 ID:44iVYT5n
自治厨みたいなのはどこにでもいるけど誰も従ったの見たことない。
344774ワット発電中さん:2012/07/03(火) 13:05:27.63 ID:tV8Jb0sv
民度の低下を自慢してどうするの?
345774ワット発電中さん:2012/07/03(火) 21:51:21.28 ID:14UVf0MM
ISIMは動作が不安定だね。すぐFATAL_ERRORでぐずる。
波形ブラウザで波形が消失したり、多重に描画されて訳が分からなくなるのもキツイ。
みんな我慢して使ってるの?

混載simが安く出来るのは良いんだけど、もうちょっと安定させて欲しいな。
346774ワット発電中さん:2012/07/04(水) 22:17:33.82 ID:4NMjOJgQ
DE0買って、ドライバインスコしてデバイスマネージャーで、
Altera USB-Blasterの表示を確認したのですが、
Quartus II 12.0のHardware Setupには、
No Hardware としか出てきません。

v11.0 SP1を入れても、同様です。
何か設定などあるでしょうか?
347774ワット発電中さん:2012/07/04(水) 23:15:03.98 ID:SswX0Ib5
>>346
Hardware Setupのボタンを押してみては?
348346:2012/07/04(水) 23:22:23.03 ID:4NMjOJgQ
>>347
Hardware Setupを押すと、
Currently Selected hardware: に No Hardware で、
▼押しても、No Hardware だけなのです。。
349774ワット発電中さん:2012/07/04(水) 23:33:11.60 ID:SswX0Ib5
そうなったことないので状況がよくわからんが↓とかはどうかな。
http://www.altera.co.jp/support/kdb/solutions/rd03282002_9651.html
350774ワット発電中さん:2012/07/04(水) 23:42:59.02 ID:N1mc7Xfp
351774ワット発電中さん:2012/07/05(木) 00:01:59.34 ID:eiYbEdc+
>>348
あとこれかなぁ。Win7の64bitだとこれじゃないとうまくいかない人がいるそうだ。
https://www.altera.com/download/software/prog-software?GSA_pos=1&WT.oss_r=1&WT.oss=programmer
352774ワット発電中さん:2012/07/05(木) 00:33:46.94 ID:JcktvcOg
>>350
ここで聞くより友の会で聞いたほうがいいよな

>>346
それ初期不良だな。買ったところで交換してもらえ
353348:2012/07/05(木) 00:35:55.65 ID:PaN11lZ4
>>349, 350
レスありがとうございます。

>>351
それでした! Win7 64bitです。

SignalTap II Logic Analyzer も使えないのだろうかと起動してみたら、
こちらのHardware:ではUSB-Blasterを認識しているという不思議。
354774ワット発電中さん:2012/07/09(月) 11:39:26.78 ID:1vKhQ+D7
アルテラツールのv10.1sp1だけどソフト使用中に無操作状態なのに常時60MB/sくらいでハードディスクを
読み出してるみたいなんだがこれ何をしてるんだろう?
355774ワット発電中さん:2012/07/14(土) 02:38:40.20 ID:kRxuOfoM
Swapとか
356774ワット発電中さん:2012/07/20(金) 15:36:30.76 ID:rqmLGy5G
ヒューマンデータのような、デバイス+電源+ROM程度のFPGAボードで、
Virtex6の物って、外国も含めて ありますでしょうか?
Spartan6は、いろいろ出ていますが、V6だと全然見つからないんです。

LCDとかDIPSWとかイーサとか、いらないのです。
357774ワット発電中さん:2012/07/20(金) 17:59:58.96 ID:LrsHdL1d
シノプシスのHAPS-61あたりかな。
358774ワット発電中さん:2012/07/21(土) 00:19:39.57 ID:2BawLPlZ
>>357
ありがとうございました。大変参考になりました。
どうもありがとう。
359774ワット発電中さん:2012/07/24(火) 20:00:56.46 ID:tkKT/GWl
FPGAの仕事ができて、若い娘がいる職場はないかなぁ。転職したい。
可愛くてボインが好みです。
360774ワット発電中さん:2012/07/24(火) 21:21:27.60 ID:2xV0j9Uo
France Pro Golfer Associationとか
361774ワット発電中さん:2012/07/26(木) 09:12:13.54 ID:pxXMWwuN
ムラムラして仕事出来ないだろ。
362774ワット発電中さん:2012/07/28(土) 02:05:52.68 ID:PXuKhZY2
一度でいいから、ボインに顔を埋ずめてみたい。
363774ワット発電中さん:2012/07/28(土) 22:17:16.44 ID:kpEhNrkB
秋月の110円のCPLDを買ってみようかと思ったら在庫切れのまま…。
これって復活するんだろうか。
364774ワット発電中さん:2012/07/28(土) 22:22:16.19 ID:kpEhNrkB
あぅ、sage忘れ失礼。
専ブラじゃないの忘れてた。
365774ワット発電中さん:2012/07/28(土) 22:45:35.30 ID:oUCiS3yq
>>363
時々、思いだしたように復活する時がある。
そろそろCoolrunner2に、とは思うけど…
あれはISP2.5V専用なんだっけ。
366363:2012/07/29(日) 18:59:35.13 ID:i+QASf2u
むー…。思い出すのを気長に待つか諦めてマルツあたりで買うか…。
しかしあれは値段の安さもあるんだけど、
変換基板で1列に引き出せるピンの少なさも魅力なんだよな…。
367774ワット発電中さん:2012/07/30(月) 01:22:04.46 ID:Gm/hDZWN
2012年07月29日 23時59分00秒
CPU2000個分の性能で秒間約175万通りのパスワード推測を可能にする「FPGA」とは?
http://gigazine.net/news/20120729-field-programmable-gate-array/

まあ確かにそういうカスタマイズしたハードが作り易いということだろうが、最後のパラグラフとか
なんかPCのCPUを置きかえるみたいなすごくわかっていない感満開
368774ワット発電中さん:2012/07/30(月) 01:57:23.00 ID:aThFbapj
gigazineだし…
技術系はソースの内容を理解せず丸写しでしょ
369774ワット発電中さん:2012/07/30(月) 05:37:14.96 ID:ZWTmUrTU
適当にネタを転載するサイトだから仕方ない、変な人が群がってこないといいけど。
370774ワット発電中さん:2012/07/30(月) 05:45:52.77 ID:dfW4oxKf
>>367
ターゲットのパスワード受付は毎秒一回です。3回間違えるとロックされます。
371774ワット発電中さん:2012/07/31(火) 00:02:57.29 ID:cUJMFwFr
>>367
RadeonHD5970の17倍の性能って言うけど、HD5970を17台買っても約100万円ですよね
いったい何台うれるんだろう?
372774ワット発電中さん:2012/07/31(火) 08:18:57.46 ID:1i2UhDpr
単価10万円超えるFPGAが48個入ってる装置らしいな。
装置は軽く1000万はするんじゃないか?
373774ワット発電中さん:2012/07/31(火) 08:42:25.90 ID:880OUQfQ
こういう「わかってない」人たちにわからせる説明ってどうすればいいのかね
「レゴブロックは組み合わせ次第でどんなものでも作れるんです!ガンプラに取って代わる日はいつ来るんでしょう」
って言ってるのと同じだよ とかか
374774ワット発電中さん:2012/07/31(火) 09:29:43.90 ID:UGglTZXG
日本のレゴランドにはガンダム置くよな、きっと。
375774ワット発電中さん:2012/07/31(火) 17:48:05.64 ID:CnvTuZkj
>>373
そのFPGAを使ってcore i-7作れば超すごいCPUになるんじゃね?って言っとけ。

376774ワット発電中さん:2012/07/31(火) 18:20:43.68 ID:lJ66e+ul
377774ワット発電中さん:2012/07/31(火) 19:28:58.31 ID:piT4i/yr
いずれcpu+fpgaで、
使用アプリに最適な回路をダイナミックに生成ながら動くプロセッサになるだろ
いいんじゃないか?
378774ワット発電中さん:2012/07/31(火) 21:09:20.68 ID:10AqzaT3
仮想CPUは実現している。
379774ワット発電中さん:2012/07/31(火) 21:15:05.89 ID:ch/FUsSr
>>372
それにFPGAだと、たぶん獣力攻撃なんだろうなあ。
380774ワット発電中さん:2012/07/31(火) 21:15:17.27 ID:Wqjba44V
オレの頭の中に!
381774ワット発電中さん:2012/07/31(火) 22:00:09.80 ID:w2ZWkBRe
総当りだと1.75MHzは遅すぎね?
パイプライン処理できて無いのか通信が遅いのか…
382774ワット発電中さん:2012/08/02(木) 22:32:28.22 ID:nEXbRU2U
XilinxとかでDDR250Mhzの時
 セットアップ 0.5ns
ホールド  0.5 ns
の制約って可能なのかな
383774ワット発電中さん:2012/08/15(水) 00:20:20.89 ID:CEIHRokM
>>363
おととい店頭行ったんだけど、ついでだから「あと…」

ないって

ちょい足しに便利だったのに買い溜めしとけばよかた
デジキーでほぼ同じ値段で売ってる

Xilinxは去年ばっさり切り捨てたからXLもヤバい
無印CoolRunnerはちと高いし
384774ワット発電中さん:2012/08/15(水) 03:37:55.72 ID:hNmjhj68
>>383
XC9500のことですか?
385774ワット発電中さん:2012/08/16(木) 09:43:09.69 ID:u81Ffotw
中国で放出された大量の不良品を有り難がって買う日本人か・・・
笑いが止まらんだろうな
386774ワット発電中さん:2012/08/16(木) 16:34:13.14 ID:B2orBXOA
>>385
不良品じゃないと思うけど、どっかの遊休在庫放出なんだろうなw
買った人パッケージマーキングの製造日を書き込みしてくださいませ。
387774ワット発電中さん:2012/08/18(土) 11:18:29.64 ID:PdW8e28g
>>386
パッケージのマーキングなんて幾らでも作り変える国だって知ってる?
388774ワット発電中さん:2012/08/18(土) 14:00:52.83 ID:6OwtNE3h
なんで、あーゆーことするんだろうね?
金のためかな
389774ワット発電中さん:2012/08/18(土) 14:22:23.52 ID:1BCfFtFB
労力に見合わないような偽造品も作るよね
390774ワット発電中さん:2012/08/18(土) 15:29:17.25 ID:4KXfv6ZO
現金が手に入るなら何でもあり
391774ワット発電中さん:2012/08/18(土) 15:57:06.92 ID:AcUxSnhY
秋月XC9500は、digikeyでも似たような値段で売ってるし価格自体は妥当に見えるけど
中国偽者だとか言いだす人達ってなんなのww
392774ワット発電中さん:2012/08/18(土) 17:37:15.04 ID:OWBb9+xB
>391 偽造品作った張本人なんじゃね?
393774ワット発電中さん:2012/08/18(土) 17:47:29.63 ID:6jbxBBpt
張「私が本人です」
394774ワット発電中さん:2012/08/19(日) 04:32:53.18 ID:Zq90GK6m
>>391
小ロットの調達に苦労してるプロなら常識だけど、
LSIって、メーカーも流通もほとんど在庫しないもんなのよ。
基本的に陳腐化が早いし、保存環境が悪いと劣化も速いから、リスクが高いでしょ。
で、マスクさえ入手しちゃえば、模造も割と簡単だから、秋葉原の裏通りで、
スポットで売られてると、どうしてもあやしく感じるんだよ。
395774ワット発電中さん:2012/08/19(日) 04:57:26.60 ID:sby+UkBY
digikeyと違う価格で売る必要も無いけど
396774ワット発電中さん:2012/08/19(日) 12:06:32.16 ID:+qGyt6OK
XC9500シリーズはLSIなのか?
まあ、74シリーズをMSIだったことを考えれば・・・
397774ワット発電中さん:2012/08/19(日) 18:21:24.45 ID:lby2QJYS
>>391
秋月が屑屋だっていうことを知らないおめでた頭?
398774ワット発電中さん:2012/08/19(日) 20:45:06.26 ID:2SZeuM6/
>>397
まだ昭和の時代から抜け出せない屑か
399774ワット発電中さん:2012/08/19(日) 20:57:14.23 ID:XNA1bQ0j
   〃∩ ∧_∧
   ⊂⌒(  ・ω・)  はいはいわろすわろす
     `ヽ_っ⌒/⌒c
        ⌒ ⌒
400774ワット発電中さん:2012/08/19(日) 22:12:47.51 ID:6cTvK3tZ
>>396
これ見て思い出したんだけど。
昔チップの説明をしてたときにやたら「それはLSIなのかVLSIなのか」に執着する人がいたのよ。
「特に定義してません」って言うと、面積やらTr数やら聞いてきて、
「それじゃVLSIとは呼べんな。せいぜいLSIか」
とか一端は納得した感じだったんだけど、一通り終わってから「結局VLSIなの?LSIなの?」って
質問してきた。チップの中身についてはなにも聞いてこなかった。

>>396他にも聞きたいんだけど、この区分ってそんなに重要なの?
401774ワット発電中さん:2012/08/19(日) 23:06:59.47 ID:PP0MsvrH
LSI⊃VLSI⊃ULSI だから、全部LSIでよくないか?
402774ワット発電中さん:2012/08/19(日) 23:22:30.31 ID:+qGyt6OK
いや、LSIとか超LSIとかはどうでもいいんだけど、
XC9536って、精々800ゲートでしょ。
(チップとしてのゲート数はずっと多いだろうけど)
それをLSIと呼ぶのはどうかと。
403774ワット発電中さん:2012/08/19(日) 23:24:42.85 ID:2BlFHPU/
ユーザーロジックが800ゲートなだけで、チップ全体が800で済むわけないだろ
404774ワット発電中さん:2012/08/19(日) 23:36:40.88 ID:+qGyt6OK
そう書きましたが。
405774ワット発電中さん:2012/08/20(月) 01:06:45.51 ID:p7grrJUR
「ICです(キリッ)」でいいだろ
406774ワット発電中さん:2012/08/20(月) 01:40:23.16 ID:UUcgFIME
素子数が1000以上ならLSIらしい。
407774ワット発電中さん:2012/08/20(月) 01:42:10.73 ID:UUcgFIME
ゲート数で800ならトランジスタ数換算で1000以上はあるだろうし、余裕でLSIだな。
408774ワット発電中さん:2012/08/20(月) 02:44:44.14 ID:l4NFuYsj
>>398
昭和の時代、日本のメーカーも互換品は
散々作ったが、商標の詐称はしていない。
商標詐称の模造品が出回るようになったのは、
21世紀になってチャイナがアキバをうろつくようになってから。
409774ワット発電中さん:2012/08/20(月) 09:15:58.61 ID:mS6PbTX/
LSI使ってLEDピカピカさせてみました。
410774ワット発電中さん:2012/08/20(月) 19:10:28.95 ID:7BuZW5j6
>>398
そうそう、昭和の屑屋そのままの姿の秋月な
411774ワット発電中さん:2012/08/20(月) 21:48:15.21 ID:1DRCgmOw
信越の頃なんかのボード買ったらLS245が品薄とかで
TTL4個で245互換のドーターボードがついてきたな。


35年ぐらい前
412774ワット発電中さん:2012/08/21(火) 09:55:23.55 ID:Sx5Fw/qR
>>411

団塊の方ですか?
413774ワット発電中さん:2012/08/26(日) 19:00:42.25 ID:fCeYipWo
ISE14.2(ISim)において、RAMB36E1プリミティブを直接インスタンシエーションしたブロックRAMで
INIT_FILEで指定したmemファイルで初期化しようとしているのですが出力が不定のままになります。

INIT_FILEによる初期値指定機能を正常に使えている方は居られますか?
414774ワット発電中さん:2012/08/28(火) 04:13:53.48 ID:yOS3fC0D
質問があります。
XILINXのCPLD 9500シリーズは、clock周波数0Hzで、60mAとかの消費電流があります。
さらに、それに加えてclock周波数に比例した消費電流が流れます。
clock=0Hzで、どうしてそんなに電流が流れるのでしょうか?

clockが変化しなければ、内部のFETはどちらかに固定されているので、
そんなに電流は流れないと思っています。
一般の74HCなどは、静的な消費電流はほぼゼロなのに、不思議に思いました。
415774ワット発電中さん:2012/08/28(火) 05:48:01.20 ID:wqaTnY6T
74HC等を並べて9500シリーズの互換品を作ってみれば分かるだろう。
416774ワット発電中さん:2012/08/28(火) 07:57:21.46 ID:vuJZg/hd
417774ワット発電中さん:2012/08/28(火) 08:30:26.87 ID:MzxNbTKd
coolrunner 使えよ
418774ワット発電中さん:2012/08/28(火) 18:11:11.93 ID:Cn2ojppW
学校でFPGAを使ってて自分でも買ってみようと思うのですが
de0か
オクにでてるcyclone2の
ttp://page.auctions.yahoo.co.jp/jp/auction/161869343
で迷ってますが特にやりたい事がなければ
安いほう買ってて問題ないですか
419774ワット発電中さん:2012/08/28(火) 22:54:08.38 ID:HZtsddaf
de0にしておけ
420774ワット発電中さん:2012/08/29(水) 07:37:30.67 ID:G+yPrlVE
ありがとうございます
de0にします!
421774ワット発電中さん:2012/08/30(木) 00:15:57.60 ID:pmIgSj2U
422774ワット発電中さん:2012/08/30(木) 02:43:09.54 ID:DHzxpnrx
Spartan6 LX4で、16bit出力のDDSのIP入れたら、
Block RAM不足で入れられなかった。
14bit出力なら、ギリギリ入る
423774ワット発電中さん:2012/09/04(火) 19:55:26.94 ID:Db22Rud/
NEC、ビックデータ処理の高速化を実現するハードウェアを従来比1/50の期間で設計できる技術を開発
http://jpn.nec.com/press/201208/20120831_01.html

SQLでFPGAってなにがどうすごいのか良くわからないので教えて下さい。
424774ワット発電中さん:2012/09/04(火) 20:21:27.00 ID:HA7Va6X3
ハード化しても50倍くらいしか速くならないってのもどうなの?
425774ワット発電中さん:2012/09/04(火) 20:33:37.33 ID:o0F7uLDP
>>424
よく読め。
426774ワット発電中さん:2012/09/04(火) 20:35:01.77 ID:pAwdzWgh
>>424
データベースマシン()が騒がれた遥か昔からだけど
結局、一番遅いモノ、つまりはHDDアクセス速度が支配的って事。
427774ワット発電中さん:2012/09/04(火) 20:38:10.61 ID:pAwdzWgh
>>425
>ビックデータの処理は、専用のハードウェアを用いることで、ソフトウェア処理と比較して10〜50倍程度の高速化が見込めます。

>開発期間を数ヶ月から数時間へと約1/50程度に短縮。

主題はハードウェア開発にかかる期間なんだけど、どっちも最大で50倍速程度だったりwww
428774ワット発電中さん:2012/09/04(火) 20:43:28.82 ID:HA7Va6X3
>>425
よく読めw
429774ワット発電中さん:2012/09/05(水) 09:02:29.30 ID:dash/izl
ロケットビルから飛び降りの会社か…
上っ面だけのゴミ製品だろうな
430774ワット発電中さん:2012/09/05(水) 16:33:00.49 ID:4e+GrSda
FPGAを勉強するのに適した教材はなんですか
FPGAって結局わかりやすくいうとなんでしょうか
431774ワット発電中さん:2012/09/05(水) 17:10:20.64 ID:GvhgDcMP
PLDのデカいやつかな
432774ワット発電中さん:2012/09/05(水) 18:03:28.61 ID:B5xd5O+v
CPLDの大きいやつかな。
わかりやすく言うと「ふぃーるどぷろぐらまぶるゲートあれー」
433774ワット発電中さん:2012/09/05(水) 20:09:39.54 ID:4O2mcElb
ゲートアレイをプログラマブルにしたやつ・・・って言っても
ゲートアレイってまだあるのか?
434774ワット発電中さん:2012/09/05(水) 20:18:10.99 ID:Vo+UpOEG
>>433
逆に、ゲートアレイでは無い、完全にレイアウトからカスタムでやる案件の方が
遥かに少なくなったのでは無いかと…
435774ワット発電中さん:2012/09/05(水) 21:50:34.72 ID:wYDUwg1d
素材
436774ワット発電中さん:2012/09/05(水) 23:52:31.25 ID:c3V6tjBU
>>434
納期短縮しずらいからな
昔サンヨーのレイアウトの人はエラく早く正確にやってくれたが今でも社内に残れてるかな・・・
437774ワット発電中さん:2012/09/06(木) 09:36:35.75 ID:C86tLiud
しずらい→しづらい 、 な。
438774ワット発電中さん:2012/09/06(木) 22:54:28.22 ID:hf0RaENj
>>433
スタセルが多いけどNREも安いし
量が出てそこそこの規模ならG/A
も使われてるね
439774ワット発電中さん:2012/09/06(木) 23:09:23.67 ID:9fahXdA7
タイミング制約は 制約かかってないパスをゼロにしないとやっぱだめなのかな
440774ワット発電中さん:2012/09/07(金) 07:51:58.18 ID:+motyHsW
>>439
タイミングを気にしないという制約(false_path)を掛ければいい。
441774ワット発電中さん:2012/09/08(土) 12:47:14.96 ID:XuuhSWni
>>438
メモリセルが必要ないならTATが早いから積極的に使う場合があるね。
442774ワット発電中さん:2012/09/15(土) 03:06:17.73 ID:7Jt/1wUE
相談です。

どのFPGAを使えばよいか、判断できないです。
仕様書に基づいて、試しに作ってみれば、使用するスライス量がわかるのでしょうが、
HDLを書いている時間がありません。
こういう場合は、どのようにしてデバイス容量を考えればよいのでしょうか?

443774ワット発電中さん:2012/09/15(土) 06:00:38.24 ID:6+UWJhN2
>>442
ピンコンパチで容量の大きめのものがあるシリーズを選ぶ
試作の時点では大き目のものを載せて、あとで小さくできそうなら部品変更

という事をするゆとりがあればそれが一番楽
ゆとりがないなら経験則で選ぶしかないな
444774ワット発電中さん:2012/09/15(土) 08:29:46.83 ID:2gjPY7AL
>>442
KKD
445774ワット発電中さん:2012/09/15(土) 08:47:56.10 ID:0hqqKjoh
一番新しい速くて大容量のものを選べば無問題
446774ワット発電中さん:2012/09/15(土) 15:14:54.80 ID:dCqxAy30
レジスタ数とか信号線数で見積もれないもんかな
447774ワット発電中さん:2012/09/15(土) 15:39:18.32 ID:xDjaRBX3
無理やり一日時間作ってえいやでざっと書いてみて
その使用数のn倍ぐらい入ればいいんじゃね。
えいやの具合でnは5〜20ぐらいか。
それすらできないんだったら止めておいたほうが。
448774ワット発電中さん:2012/09/15(土) 16:10:52.45 ID:25NlgPOD
私は I/O数→RAM/ROM個数→スライス数/DSP数の順で見積もっていくかな。

仕様書段階でも、外部接続する石のI/FからIO数とかの見積もりはできるので
それで最低限必要なパッケージ品種が決まる。

次にブロック図ベースで各モジュールの必要RAM/ROM容量から見積もり。
FPGAのBlockRAMは必要容量が少なくても固定サイズを消費していくので個数も重要。

仕様が計算優先の回路だったとしたら、DSP多めの品種にしておくのもいいね。
もっとも、仕様書になってない仕様書だったら、コストが許す限り
「ピンコンパチで一番デカイの載せとけ」かな。大は小を兼ねる。
449774ワット発電中さん:2012/09/15(土) 20:40:55.43 ID:0hqqKjoh
仕事ならいいけど、趣味に使うにはBGAばかりでつらい。
450774ワット発電中さん:2012/09/16(日) 02:58:47.25 ID:8Jc9x6LJ
みなさん、ご親切にありがとうございます。
やっぱり、大事なのは経験値ですね。
今回は、まともにHDL書いてる時間もないので、ピン上位互換のMAXのものでいっときます。
Spartan3でQFP144のものが横展開しやすそう。でもDSPが無いなぁ。
シリーズだけでなく、ファミリーをまたいでピンコンがあれば嬉しいです。
QFPはデカくなるし、BGAだと、基板の層数が増えるから設計代が高く付く。
悩みどころです。
QFPなら、自分で張り替えできますが、BGAではちょっと無理っぽいです。
451774ワット発電中さん:2012/09/16(日) 04:09:20.91 ID:yADKmRkm
ここの人たちって剣菱見てる?
あれ見て電子工作とfpgaしたいと思ったんだけど、
fpgaと電子工作って繋がる?
fpgaから部品を制御したりって可能?
452774ワット発電中さん:2012/09/16(日) 04:37:44.05 ID:ppTZcYqN
>>451
剣菱、が何か知らない(検索しても酒の話ばかり)けど・・・

>fpgaと電子工作って繋がる?
>fpgaから部品を制御したりって可能?
物理レベルのインターフェースが合って速度的に追いつくなら可能、としかいいようがない
直接接続でなくていいならインターフェースだけなら外付け回路で済ますって手もあるが・・・
453774ワット発電中さん:2012/09/16(日) 05:25:36.48 ID:yADKmRkm
>>452
niconicoの人。
http://www.nicovideo.jp/watch/sm12626354
とか。私はここ見て勉強してた。

繋がるかなって思っただけです。
454774ワット発電中さん:2012/09/16(日) 09:00:12.29 ID:I3e2qRcd
Humandata の PLCC68 シリーズがよさげだけど、
I/O ピンがちょっと少ない。
455774ワット発電中さん:2012/09/16(日) 09:01:25.30 ID:I3e2qRcd
Humandata の PLCC68 シリーズがよさげだけど、
I/O ピンがちょっと少ない。
456774ワット発電中さん:2012/09/16(日) 10:10:08.66 ID:Up/XOkSb
大事なことなので2回言いました
457774ワット発電中さん:2012/09/16(日) 11:13:24.73 ID:cka51gLC
>>450
なんでSpartan6のQFP144にしないの?
458774ワット発電中さん:2012/09/16(日) 13:06:34.53 ID:KjQSZUi4
>>453
とりあえずCAD使えますレベルで、英語マニュアル大嫌いだと電源回路の設計で詰みそうな悪寒・・・
雑誌でFPGA基盤付録のタイミングで買うのが一番いいかも・・・(最近まったく見ないけど
459774ワット発電中さん:2012/09/16(日) 19:25:28.86 ID:reHQ2tE1
そんなあなたにTerasic
460774ワット発電中さん:2012/09/16(日) 22:33:11.14 ID:+pc2eIFu
そんなあなたに 安曇野電子。

  ていか、みんなALTERAばっかりじゃん。
461774ワット発電中さん:2012/09/16(日) 22:56:15.36 ID:HxwpK8DH
開発ツールはALTERAの方が使い易いね。
462774ワット発電中さん:2012/09/16(日) 23:11:11.76 ID:LntM4GLD
xilinxの新しい開発ツールは良いの?
未だISE使ってるが気になる
463774ワット発電中さん:2012/09/17(月) 04:21:56.50 ID:y8OW8it8
>>461
それを言われるとね。
464774ワット発電中さん:2012/09/17(月) 05:59:47.54 ID:wzJZ1GO9
Terasicは良かったな

そして日本製はイマイチ信用できない
そういう時代だな
465774ワット発電中さん:2012/09/17(月) 09:18:56.96 ID:3PrjaOE6
日本製っていうけど、実はシナ製を転売してるだけだったりな。
466774ワット発電中さん:2012/09/17(月) 14:15:38.73 ID:uPB5gcft
特殊電子のspartan6ボードは?
467774ワット発電中さん:2012/09/17(月) 15:12:53.62 ID:wzJZ1GO9
特殊電子は使ってみたかった
468774ワット発電中さん:2012/09/17(月) 15:58:24.38 ID:7gekg5ae
なんていうか凄いぞ。いろんな意味で。
469774ワット発電中さん:2012/09/17(月) 17:19:30.93 ID:uPB5gcft
>>468
kwsk
DDR2の電源がリニアでやたら熱くなるとは聞いたことがある
470774ワット発電中さん:2012/09/17(月) 19:18:48.37 ID:UdyLycyC
さすが、未踏ソフトウェア創造事業天才プログラマーは違うな!
471774ワット発電中さん:2012/09/17(月) 19:26:22.33 ID:ppQGFVmb
熱いのは別にかまわん。でも実装不良は勘弁な。
472774ワット発電中さん:2012/09/18(火) 15:45:52.24 ID:pT8bfAFV
>>462
Xilinxの言い分ではすごい良いように聞こえるけど、最新の7シリーズで
しか使えないんだよね
Spartan-6しか使えない貧乏人には関係ないね
473774ワット発電中さん:2012/09/19(水) 01:38:15.42 ID:m+xJUGUQ
ソフトの出来は「ボロボロ」だって噂だけどね。
474774ワット発電中さん:2012/09/19(水) 01:44:20.46 ID:onOCpmFX
>>473
vivado?
475774ワット発電中さん:2012/09/19(水) 03:46:59.77 ID:rzZnpEf+
>>474
何それ? ビバホーム? ビビッド?
476774ワット発電中さん:2012/09/19(水) 10:44:55.17 ID:AbXd1ydk
まあ、ISEの現状見てれば新しいのが出たとしても
「推して知るべし」だよな
ISEもISimもXPSもSDKもつまらないバグが大杉
ChipScopeだけは割とまともだけど、余所が作ったのか?
477774ワット発電中さん:2012/09/19(水) 14:29:08.62 ID:fpiiEEa0
で、君は実務でどんなつまらないバグとやらに引っかかったことがあるの?
ていうか他のソフトとChipScopeの規模の違いがわかってないの?
馬鹿なの?
478774ワット発電中さん:2012/09/19(水) 15:02:28.69 ID:AbXd1ydk
中の人かな?
実務で普通に使ってりゃいくつでも遭遇すると思うが、
ChipScopeでバグが無いのは機能が少ないからだろうね

・ISEで出力フォルダをデフォルトから変えるとISimが起動しない
・ISimでVHDL、Verilog混在でポートの接続を間違える
・ISimで (others => (others => '0') でInternal Error
・SDKのメニューからFPGAコンフィグ出来なくなった
479774ワット発電中さん:2012/09/19(水) 15:47:39.12 ID:8eCEZVhZ
ISim 使ってる人いるんだ・・・
480774ワット発電中さん:2012/09/19(水) 19:20:06.94 ID:F9oxnjOJ
テストベンチ入力で手軽に言語混載simが出来る所は重宝してますね。>ISim

以下、最近ISE14.2で遭遇した不具合。
・Coregen IPを使ったsim時、fuseが間違って論理合成用(*_synth.vhd)のラッパーをアナライズすることがある。
 ⇒BlockRAMやFIFOの動作異常時はfuse.log要確認
・CLK初期値が'1'の場合、時間0psでクロックイベントが発生してるよーな気がする。
 ⇒WE等の初期値が不定だと初期値付きRAMの中身が破壊される。
・INIT_FILEによるBlockRAM初期値指定が使えない。unimacroが使えない。
・USBドングルがModelsimPEのと競合してライセンスを取れない事がある。

>>478
言語混在でportの接続を間違えるという症状は初めて知りました。
後学のため、キラーパターン等有りましたら教えて頂けると幸いです。
481774ワット発電中さん:2012/09/19(水) 22:08:05.23 ID:JizFzx/J
ChipScorpは随分むかしに買収記事をみた気がする。

ISEは設定ファイルやプロジェクトなんかをテキスト化して仕様を公開してほしいなぁ。
それならプロジェクト破壊されてもユーザ側で情報交換できるし補正可能だと思うんだ。
482774ワット発電中さん:2012/09/19(水) 23:00:08.78 ID:onOCpmFX
>>481
コマンドラインから叩くためのマニュアルに各ファイルとかオプションの意味書いてあるよ
一回makeとかに取り込めば、GUIのおもいかんきょうから開放される
483774ワット発電中さん:2012/09/20(木) 03:45:25.60 ID:1ajkN8lk
always @ (posedge clock) begin
   if( reset == 1'b0 ) then
     Vout <= 8'h7f;
   end else begin
      if( DFF == 1'b1 ) then
        printf( ずっこん );
        DFF <= 1'b0;
      end else begin
        printf( ばっこん );
        DFF <= 1'b1;
      end
   end
484774ワット発電中さん:2012/09/20(木) 11:23:53.73 ID:+3yy0bS6
はい、リセットの記述間違い、やり直しな
485774ワット発電中さん:2012/09/20(木) 11:36:46.55 ID:j1wnTUuV
同期リセットなんだろ。
それより、then を使うのは何言語?
486774ワット発電中さん:2012/09/20(木) 12:01:00.00 ID:g+/c8yT8
>>480
port接続間違いはずいぶんなレアケースだと思うけど、
VHDLからVerilogモジュールをインスタンシエーションするときに、
VHDLのレコード型から取り出した信号をマップしたら、途中から1bit分ずれてた

こんなレコードを、
type BUS is record
  A : std_logic_vector(7 downto 0);
  B : std_logic_vector(7 downto 0);
end record;

こんな感じで接続すると、
verilog_i: verilog
port map (
  portA => bus.A;
  portB => bus.B;
);

verilog内部では、確かこんな感じにアサインされてたと思う
portA : bus.B(0) & bus.A(6 downto 0);
portB : '0' & bus.B(7 downto 1);

もちろんXSTでは正常に合成される。
この時は仕方ないから、レコード型内部の信号を個別信号にバラしてマップした
まあ、レコード型なんて使うなって話だけどさ、AXIの信号をあっちこっち引き回すのは面倒だよね
487774ワット発電中さん:2012/09/20(木) 14:35:25.14 ID:k8clanKp
今度、セミナー(トレーヌング)に行こうと思っています。
ザイリンクスのセミナーがよいでしょうか、それとも部品商社のセミナーがよいでしょうか?
お金はあります。2日〜3日なら時間も取れます。
もらえる教本は、どちらの方がよいでしょうか
488774ワット発電中さん:2012/09/20(木) 17:55:25.70 ID:Z5U+sbkT
金と時間があるなら両方行きゃいいじゃん
489774ワット発電中さん:2012/09/20(木) 18:50:43.49 ID:j1wnTUuV
おいらもお姉さんとトレーヌングしたいです!
490774ワット発電中さん:2012/09/20(木) 20:10:46.35 ID:mXv+RxIH
>>486
情報ありがとうございます。
レコード型は内部バスの抽象化で多用しているため、
地雷を踏まない様気をつけたいと思います。
491774ワット発電中さん:2012/09/22(土) 20:49:16.40 ID:qRm8rQ/d
なぁ。FPGA職の転職先ってどこにあるんだ?
492774ワット発電中さん:2012/09/22(土) 20:58:04.17 ID:qRm8rQ/d
>>487
xilinxのほうが、ジュース飲み放題・弁当出た気がする。
新横浜の方は、飲み放題だけどセブンイレブンの麦茶なのが萎えた。

その程度の2年前の記憶。
493774ワット発電中さん:2012/09/22(土) 23:09:13.51 ID:E9pJun/t
>>487 どのコースか、どのベンダーかによる。
ただこれだけはいえる、S社の講習会はやめとけ。
494774ワット発電中さん:2012/09/23(日) 02:08:17.47 ID:BbZu43sm
なんで?
495774ワット発電中さん:2012/09/23(日) 02:47:23.45 ID:IkCcWh7G
つーかドコかわからんw
496774ワット発電中さん:2012/09/23(日) 03:58:12.66 ID:LiOiOXVD
Sy社?
497774ワット発電中さん:2012/09/23(日) 09:23:51.85 ID:HgNrXKbt
俺はSolitonに一票
498774ワット発電中さん:2012/09/23(日) 22:27:05.88 ID:jGKlbAVK
月収40万円で、ボーナス4ヶ月2回もらえるFPGAのお仕事はドコーーー
499774ワット発電中さん:2012/09/24(月) 18:03:05.74 ID:Mb4SGhGG
最近では、HDLのコード書けて、FPGAにインプリするだけの仕事は無い。
500774ワット発電中さん:2012/09/24(月) 19:56:57.76 ID:X3eZbiy6
FPGAで画像処理してモザイクをうす消しにするお仕事はドコーーー
501774ワット発電中さん:2012/09/25(火) 10:51:38.15 ID:DRe/7ATe
質問です。
ALTERAのDE0でFPGAを勉強中なのですが

ライブラリを用いないでPLLを作ろうと考えてますが
中々参考になる物が無いのですがなにか良い
サイトか書籍などはありますか?
502774ワット発電中さん:2012/09/25(火) 11:27:01.58 ID:GRoyeDjN
>>501
4046のデータシート
503774ワット発電中さん:2012/09/25(火) 14:19:57.22 ID:Azwc4Hg0
>>501
そういうのは自分の脳味噌で汗をかくくらい
頑張って考えるところが面白いんだよ。
「あっちとこっちと引っ張ってきて適当に組み合わせてちょっと手入れて
できあがり」なんて面白くもなんともないじゃん。
504774ワット発電中さん:2012/09/25(火) 14:23:46.12 ID:HYc58BOk
FPGA って、適当に組み合わせてできあがりするものなんだが。
505774ワット発電中さん:2012/09/25(火) 15:01:59.25 ID:tC2URcBG
>>501
完全ディジタルPLL回路の設計
http://www.amazon.co.jp/gp/product/4789831221/ref=ox_sc_act_title_1?ie=UTF8&smid=AN1VRQENFRJN5


>>504
回路試作研究用途もあるんだけどね
506774ワット発電中さん:2012/09/25(火) 17:48:30.54 ID:JED4Udag
>>502〜505
ありがとうございます。

>>503
確かにその通りです。
色々調べてみて行き詰まったので聞いてみましたが
試行錯誤しながら頑張ってみます。

>>505
図書館に本があったので見て見ましたが
非常に参考になりました。
507774ワット発電中さん:2012/09/25(火) 18:01:53.81 ID:1Sgy4xs/
>>506
英語だがPLLの定番本
Gardner著 Phaselock Techniques
Best著 Phase-Locked Loops

PLL、学校でまだ習ってないのか
z変換、s変換も習ってないようなレベルでは試行錯誤してもどうにもならんって気がするが
508774ワット発電中さん:2012/09/25(火) 18:08:28.71 ID:JED4Udag
>>507
PLLは電子回路の講義で
Z変換もデジタル信号処理の講義で
共に触りだけですね。

S変換はそもそもやってない状態でFPGA使ってるので
かなり苦労してます…
509774ワット発電中さん:2012/09/25(火) 18:58:38.44 ID:naUs4mWK
PLLって言ったって、構成要素でロジックって位相比較器だけじゃないの。
あんなとんでも無い非同期回路をFPGAに入れるの?
510774ワット発電中さん:2012/09/25(火) 19:12:05.12 ID:JED4Udag
>>509
教授には発振器を作れと司令を貰ってるのでPLLがいいかなぁって判断です。
最終的にはDDSに持って行きたいところですが
511774ワット発電中さん:2012/09/25(火) 19:38:05.53 ID:V9hg2/JK
>>510

PLL無理です。

まずは、SIN ROMテーブルつくって。SINのROMテーブルを1/4にしなさい。
そこまでできたら、DDSになる。

以上。
512774ワット発電中さん:2012/09/25(火) 19:40:35.56 ID:V9hg2/JK
DDSつくって、月収30万円で、ボーナス2ヶ月2回もらえるFPGAのお仕事はドコーーー

3日でできる。CORDICでリアルタイム生成だと5日ぐらい。

初めてやる人だと、2〜3ヶ月ぐらいかかると思う。
513774ワット発電中さん:2012/09/25(火) 19:52:33.95 ID:f0pVdFzS
段々と条件がゆるんで参りました。
黙って聞いてりゃ、もう一声下げるでしょ。
514774ワット発電中さん:2012/09/25(火) 20:00:12.09 ID:JED4Udag
>>511
ありがとうございます。
教授には伝えてみますが、聞いてもらえれば良いのですが…
515774ワット発電中さん:2012/09/25(火) 20:17:42.57 ID:naUs4mWK
DDSならサインテーブルと、全加算器とラッチとセレクターで出来るな。
ひと通り同期式回路の基礎を勉強できる。
516774ワット発電中さん:2012/09/25(火) 20:23:02.11 ID:V9hg2/JK
あと、ここ数ヶ月のトランジスタ技術に、DDSの作り方が乗ってるのでそれが答え。
517774ワット発電中さん:2012/09/25(火) 20:47:49.94 ID:naUs4mWK
デバイス内部のブロック図だけで十分じゃね?
518774ワット発電中さん:2012/09/29(土) 21:06:19.34 ID:Le57iBh5
JTAGピン配置ってなんでばらばらなんだろう?
6pin single in lineぐらいで統一してくれればいいのに
ttp://www.tokudenkairo.co.jp/jtag/jtagpin.html
519774ワット発電中さん:2012/09/30(日) 21:18:18.85 ID:E2PZs/hW
>>346
おとといハマった。

フリー版をWin7 64bitに入れると
JTAGサーバがインストールされないというバグ・・・
10sp1あたりで“次に直すから"って書いてるくせに
まだ直らないのは嫌がらせだろ。
520774ワット発電中さん:2012/10/03(水) 12:52:25.49 ID:sek9dUqG
半導体設計をしてる企業ってテスト用に大規模なFPGAファームを持っていたりするんですか?
521774ワット発電中さん:2012/10/03(水) 13:43:02.46 ID:qvXcSBSv
北海道に50ヘクタールくらいの
522774ワット発電中さん:2012/10/03(水) 20:37:08.33 ID:1yRfZD7h
>>521
活きのいい奴を頼む
523774ワット発電中さん:2012/10/03(水) 21:41:41.16 ID:tjLZ/UvR
>>520
インテルは持っているらしい。
524774ワット発電中さん:2012/10/03(水) 22:07:26.92 ID:acwJtJ5j
テキサスに100エーカーの
525774ワット発電中さん:2012/10/04(木) 00:48:24.46 ID:3gvzoLVV
「ちょっとFPGAファームの様子を見てくる」
526774ワット発電中さん:2012/10/04(木) 01:14:29.20 ID:tqiSY7qW
コルホーズ牧場の話は即刻中止せよ
527774ワット発電中さん:2012/10/05(金) 09:33:26.54 ID:ebYdiJae
人生ゲームの「貧乏農場」がトラウマ。
528774ワット発電中さん:2012/10/05(金) 12:44:01.13 ID:HQxQufCe
まさか自宅で引きこもりという貧乏農場以下の境遇になるとわ
529774ワット発電中さん:2012/10/08(月) 20:59:58.94 ID:vJFni6Wn
FPGA女子オープン ゴルフ
530774ワット発電中さん:2012/10/10(水) 19:29:48.27 ID:KfFHdBgL
FPGAって大学の電子工学で講義しておりますか
531774ワット発電中さん:2012/10/10(水) 19:33:07.08 ID:GES8wh82
いいえ
532774ワット発電中さん:2012/10/10(水) 19:39:34.37 ID:KfFHdBgL
>>531
どこで身につけるの?会社の実務?
FPGAって電子回路のシミューレターのもの?
FPGAって難しい?
533774ワット発電中さん:2012/10/10(水) 21:16:21.26 ID:XWrGDKlX
向き不向きがある。
頭の善し悪しは関係なさそう。
534774ワット発電中さん:2012/10/10(水) 22:27:50.82 ID:GES8wh82
http://www.altera.co.jp/education/training/courses/OJDSW1005
1 時間 オンライン・ コース
535774ワット発電中さん:2012/10/10(水) 22:34:14.71 ID:DaIyM3sa
秋月のラティスの評価ボードってどんくらいの規模なんだろ。
ALTERAのMAX7000位の大きさそかなさそうに見えるけど。
調べるのめんどいからおせーて。
536774ワット発電中さん:2012/10/10(水) 23:23:12.59 ID:vwWGgZFx
HP探すのが面倒だからリンク先貼ってよ。
537774ワット発電中さん:2012/10/11(木) 13:56:18.41 ID:G9h0tZlU
>>530
電気科なんかでやってる所はある。
でもな、大学の専門科目は選択なんだ。
だから自分で調べて選ばないと学べない。
講義の評判とかで「あそこの教授厳しいから単位取りにくい」とか言って避けてると学べない。
でも、大学なら修士でやってるトコもあるから、ソコラへんは自力で調べないと駄目だ。

専門学校の方がやってるな。
趣味でやってる奴らは必要だから自力で勉強してる訳だが。
538774ワット発電中さん:2012/10/11(木) 16:32:56.29 ID:Y6PWuOmQ
このページの一番下にCPLDのドキュメントがあるけど、
高校生が勉強する内容なんかね、たまげた。

http://kaiseipc.6.ql.bz/tekisuto.html
539774ワット発電中さん:2012/10/11(木) 19:36:06.56 ID:0/BlViT/
>>538
最後のあとがきで笑ったw

今は、FPGAできないせいもあって
日本の半導体オワコンなのに
540774ワット発電中さん:2012/10/12(金) 11:30:28.07 ID:fUxEg+jq
あとがきなんだから、
最初や中間には、無いよね。
今の現状
馬から落ちて落馬する
アメリカに渡米する
最後のあとがき
541774ワット発電中さん:2012/10/12(金) 16:00:10.11 ID:6zgrURYb
>>535
そこまでしょぼくない。
MAX II 規模のロジック+PLL+ブロックメモリ+ハードマクロ といったところか。
542774ワット発電中さん:2012/10/12(金) 18:57:33.92 ID:+LmDQcRQ
>>540
そう、だからフランスに渡米するのさ
543774ワット発電中さん:2012/10/13(土) 05:28:56.53 ID:CJGB9/sQ
>>540
米国の米を輸入する
544774ワット発電中さん:2012/10/13(土) 07:33:04.46 ID:Vmm4deCg
ルネサスはどうなっちゃうの?
545774ワット発電中さん:2012/10/13(土) 08:41:54.78 ID:E9kBosRN
バイオ企業になります。
546774ワット発電中さん:2012/10/13(土) 11:25:49.55 ID:sVpVJUWd
半導体は産業のコメだ!→ 減反します、減反したぶんだけ補助金出します
547774ワット発電中さん:2012/10/16(火) 19:19:13.22 ID:i6Mldse8
>>537-538
俺が出た高校は授業でFPGA習ったよ

誰も理解してなかったけど
548774ワット発電中さん:2012/10/17(水) 21:46:23.27 ID:mnikbj7b
LatticeのEvaluationボードに
LC4256ZE-B-EVN
   ispMACH4256ZE Breakout Board Evaluation Kit
LC4256ZE-P-EVN
   ispMACH4256ZE Pico Development Kit
この2種類があるのですが、値段は大きく違います。何が違うのでしょう?
549774ワット発電中さん:2012/10/18(木) 00:37:54.28 ID:xp1t37/R
550774ワット発電中さん:2012/10/21(日) 03:53:52.20 ID:pwolNOdj
http://akiba-pc.watch.impress.co.jp/hotline/20121020/etc_respon.html
あら、完成品も売ってるのね。14K万円か、、、
551774ワット発電中さん:2012/10/21(日) 11:11:20.06 ID:ljgrQ209
壱億四千萬円!
552774ワット発電中さん:2012/10/21(日) 16:09:14.81 ID:5TLHAniu
>>550
これコンフィグ用のROM搭載しているのか
553774ワット発電中さん:2012/10/22(月) 11:13:58.25 ID:zUXIMtZv
昨日、本屋で。
社会人30年生の俺が、CPU自作入門の本を見ていた。
買おうかなぁ、でも高いなぁ、と躊躇していると、
隣に学生が来た。しかも、そいつも同じ本を手にとって、パラパラっと。
「おまえさんに買えるほど安くはないんだぜ」と思っていたら、
1冊、2冊と手に取り始めた。お〜、そんなに買うのか。誰かに頼まれたのか?

すると、その下の1冊を手にとって、さっきの2冊は戻した。
結局一番下の3冊目を持ってレジに行った。
「一番下から取るとは何事だ! 
  そんなお前はスーパーでも、ヨーグルトの日付を見て
  一番奥から取るんだろ、え〜? そうだろ」
と思い、憤りを感じた。
思わず「ちょっと待て!!」店員の声。
そのまま店を出ようとした俺。ちゃんと元の位置に返しました。

554774ワット発電中さん:2012/10/22(月) 14:57:08.32 ID:4SeDAzL3
>>552
Spartan-3Eなのに専用のコンフィグROM使ってるけど、
よく分からない人が設計したのか?
555774ワット発電中さん:2012/10/22(月) 15:01:53.20 ID:zUXIMtZv
>>554
>Spartan-3Eなのに専用のコンフィグROM使ってるけど、
何かおかしいか?
ANならともかく。
556774ワット発電中さん:2012/10/22(月) 15:14:13.46 ID:4SeDAzL3
3E以降は汎用のSPIフラッシュが使える
コストが全然違うから、専用コンフィグROM使用の選択肢はない
\800と\120くらいの違い
557774ワット発電中さん:2012/10/22(月) 15:18:06.80 ID:W/ZxAMqh
3E登場ちょいしてから大暴落して専用のが安くなったくらいだが。

558774ワット発電中さん:2012/10/22(月) 15:26:02.81 ID:IMjI/zNV
一応、専用の奴の方が速いんじゃなかったか
PCIの電源投入から規格内の時間でコンフィグするには、専用の奴がいるとか
アプリケーションノートで読んだ覚えがある

が、その用途ならいらないな
559774ワット発電中さん:2012/10/23(火) 14:24:55.62 ID:wO7H4LTs
>>554
汎用のSPIROMならコピーされ放題じゃないの?

が、その用途ならいらないな
560774ワット発電中さん:2012/10/23(火) 17:28:04.11 ID:IT1PGV3i
>>550
これ本の教材用で、汎用用途を考慮してないって感じだな
14kだすなら、DE0やDE0-nano買った方が良いって感じだな
561774ワット発電中さん:2012/10/23(火) 19:29:39.54 ID:7cVDtNaM
UrJTAGって、何?
562774ワット発電中さん:2012/10/23(火) 19:37:02.07 ID:sEGpLurc
よく知らんけど、FT2232を使えてJTAG信号を操作できるアプリだと思う
少女ボードが専用コンフィグROMを使ってる理由は
UrJTAGでJTAGから直接書込みできるからかな

汎用SPIではJTAGで直接書込は出来ないから、FPGAを一旦SPI書込用に
コンフィグしてJTAG経由でFPGAにデータ送信、FPGAロジックでSPI書込するって
手順が必要で手間だから
563774ワット発電中さん:2012/10/23(火) 19:38:28.88 ID:sEGpLurc
>>559
専用ROMならコピーできないの?
564774ワット発電中さん:2012/10/23(火) 20:53:19.12 ID:H8+HQ8Vo
>>563
JTAGからの読み出しを禁止にできるんじゃなかったか
どっちにしてもコンフィグ中に読み取られるとアウトなのでAESで暗号化したり、deviceDNAで特定のデバイス以外で動かないようにすると思うが
565774ワット発電中さん:2012/10/23(火) 21:58:29.08 ID:74mJNL2m
>>562
UrJTAGって知らんが、ISEから直接ダウソ、書き込みできないってことか?
566774ワット発電中さん:2012/10/24(水) 00:22:49.69 ID:YTcn2vjZ
専用のソフトから読み込んでコンフィグ見たいだな
567774ワット発電中さん:2012/10/24(水) 01:14:45.75 ID:P5PXRLx3
FT2232ならimpactから書き込みできそうなものだけどな。
568774ワット発電中さん:2012/10/24(水) 02:15:59.14 ID:qY/t2aTK
>>564
読み出し禁止にできるのは、FPGAやPLDの中身。
コンフィグROMが読み出し禁止じゃ、使い物にならん。
暗号化なんて、ほとんどのチップに付いて無いし、
deviceDNAなんて量産品じゃやってられん。
要約するとシッタカ乙。
569774ワット発電中さん:2012/10/24(水) 11:23:28.28 ID:ovrt1NtP
Platform FlashのJTAGからの読み書きをロックできる機能を言ってるんじゃないの
どっちにしてもコピーを防げる訳じゃないと思うけど
570774ワット発電中さん:2012/10/25(木) 02:26:08.60 ID:mkFtzZc8
わざわざボード起こさなくてもDE0とかの入門ボードにインプリするっていう内容で良かったじゃ
571774ワット発電中さん:2012/10/25(木) 10:26:16.90 ID:jFuuTEdb
ザイで都合のよい基板がなかったのであろ。

ザイで慣れてる人だとCPUのインプリみたいなのは
おもいっきりザイ特有の詰め込みを期待した書き方になるから
他にもってくのは大変。
572774ワット発電中さん:2012/10/25(木) 11:43:05.97 ID:XRU0HpBi
特有の書き方ってどんなの?
573774ワット発電中さん:2012/10/25(木) 15:06:52.00 ID:+SgyjjV2
中身読んでないけど、必ず専用の基板使わなくてもいいみたい
適時読み替えれば
574774ワット発電中さん:2012/10/25(木) 20:50:22.87 ID:QUR9y0oU
美少女基板が売り
575774ワット発電中さん:2012/10/25(木) 22:11:14.95 ID:fewA0/j5
少女じゃなく幼女基板だろ
576774ワット発電中さん:2012/10/25(木) 22:49:12.40 ID:9RHxpK6V
http://www.marutsu.co.jp/shohin_139773/

エッチングに自信のない方は
マルツで\13,650で販売中
577774ワット発電中さん:2012/10/25(木) 23:27:52.06 ID:mkFtzZc8
>>576
高くね?
578774ワット発電中さん:2012/10/26(金) 00:40:02.10 ID:YBCqOxt6
>>571
spa3Eなら、純正のスターターキットがかなり安かった気がする。
digilentが他にも安いのを出してたし。
まあ、いいんじゃない、オリジナルの基板でもさ。
579774ワット発電中さん:2012/10/26(金) 01:45:54.35 ID:vvNKIcgL
S3なのはQFPが豊富だからか?
S6だと一番小さいのしかなかったような
580774ワット発電中さん:2012/10/26(金) 02:44:29.02 ID:nbX7EPFB
16コア/64コアマルチプロセッサがメインだけど、安いZynqのボードとしても良さそう?
http://www.adapteva.com/
載ってるのはZynq7010。HDMIの端子は付けるらしい。

$99の投資で16コアボード1枚(+$20送料)
$199の投資で64コアボード(ただし3M貯まったら。貯まらなかったら16コアボード2枚)
今から$3Mは無理そうなので、16コアのボードかなぁ
円高だし、$99行ってみるか。
581774ワット発電中さん:2012/10/27(土) 10:49:54.13 ID:DUMdp/na
まだ出てないけどホストチップが Cyclone V SE だったら即投資してたなー。
Xilinx は無料版じゃチップスコープ使わせてくれないし、なにより Altera の SoPC Builder / Qsys が使い勝手良すぎる。
それでも安いし面白いチップ載ってるからちょっと興味はある。
582774ワット発電中さん:2012/10/27(土) 11:19:50.32 ID:EDS21WyJ
投資した。したときは600kぐらいだったけど、今朝見たら750k超えてた。Fundedだ
EEtimesとOpencoresの紹介が効いたのかな?
$750の64コアにレイズしても良いかも
583774ワット発電中さん:2012/10/27(土) 12:05:17.21 ID:WKjpNXNv
初心者はどんな勉強すればいいですか?
584774ワット発電中さん:2012/10/27(土) 12:17:39.19 ID:KHK3mrC0
>>583
自分で何をやりたいのか、目的を明確にする訓練から始めるといいと思うよ
585774ワット発電中さん:2012/10/27(土) 12:44:37.09 ID:Gli73Td8
初心者はどんな勉強ができますか?どんな勉強はできませんか?
586774ワット発電中さん:2012/10/27(土) 14:09:59.78 ID:1n+erPag
最低限の電気の知識から勉強かな・・・
大学の基礎電気IとかAとかみたいなところは欲しい
587774ワット発電中さん:2012/10/28(日) 00:30:35.61 ID:7/d0ILVH
>>586
回答ありがとうございます
参考にさせていただきます
588774ワット発電中さん:2012/10/31(水) 16:35:31.65 ID:MruJEShl
「CPU自作入門」のCPUって32bitのようですが、プログラム言語とか走るんでしょうか。
それとも動くソフトはLEDチカやラーメンタイマー程度のお決まりのパターンでしょうか。
田舎で近くにこんな高尚な本を置いてる書店がないもんで、よろしくお教え下さい。
589774ワット発電中さん:2012/10/31(水) 18:37:53.83 ID:drTVoXpV
LEDチカやラーメンタイマーが動くならプログラム言語は走るだろ
それでどんなソフトを作ることができるかは読者の実力
590774ワット発電中さん:2012/10/31(水) 18:41:36.70 ID:K6T8tT66
>プログラム言語とか走るんでしょうか。
これかいな?
http://gihyo.jp/book/2012/978-4-7741-5338-4
目次見る限りはプログラミング言語の移植なんて考えてないみたいだけど、
メモリが8KかそこらあればBIOS含めて、適当に小さいインタプリタくらい
移植できるんじゃねぇの?
591774ワット発電中さん:2012/10/31(水) 20:03:56.46 ID:MruJEShl
>>589 >>590 質問内容はこのCPUでできるかではなくて、既にあるかと言うことです。
技評のサイトで目次やCPU仕様は見たんですが、この件については不明だったので。
自分で作ればいいんでしょうが、学習目的とは言え、本+基板+ケーブル類で2万円位
出費して、ソフトは全然ないと言うのでは気持ちが萎えてしまいます。
592774ワット発電中さん:2012/10/31(水) 21:55:28.02 ID:gNW3+3Xo
全てパッケージングされた状態で欲しいならARMとかの方が良いと思う。
593774ワット発電中さん:2012/10/31(水) 23:22:16.66 ID:MruJEShl
>>592
やりたい事はCPU設計を通してのロジックデバイスの学習です。
で、ある程度遊べるソフトがあるなら買ってもいいかなと思ったんですが
そうでなければオプティマイズのMAXII基板でPICマイコンでも作った方が
安上がりに勉強できるので、そっちにするつもりです。
その判断材料にするための質問でした。
594774ワット発電中さん:2012/11/01(木) 01:21:39.19 ID:1XANyOJx
>>591
そもそもあの目次を見て「既にある」と思う理由は何?
595774ワット発電中さん:2012/11/01(木) 08:59:39.59 ID:/uwGhBzG
PIC 互換とかレベル高いな
596774ワット発電中さん:2012/11/01(木) 13:17:11.32 ID:GV7+MKPZ
>PIC 互換

トラ技の記事をコピペするだけだろ。
http://toragi.cqpub.co.jp/tabid/164/Default.aspx
597774ワット発電中さん:2012/11/01(木) 14:05:52.68 ID:oZQpIUAX
ぱるてのん で6502互換プロセッサ作ってApple][のCPUを差し替えて
動かすっていうのもやってたねぇ
598774ワット発電中さん:2012/11/01(木) 14:49:45.84 ID:4MWZ84W1
>>597
昔トラ技にあったやつ?
599774ワット発電中さん:2012/11/01(木) 16:40:01.23 ID:ubIykI96
>>594
「既にある」と思っているわけではなくて、あの目次からはどんなソフトが
あるか分からないので、本文の方にはこんなソフトが走るとか書いてないか
質問しているわけです。
>>596
おっしゃる通り、図書館でトラ技2008年12月号を見て作れそうだと思いました。
ただしコピーは最初の段階だけで、その後は自分なりに回路を改良したり機能
追加をしながら勉強するつもりです。
600774ワット発電中さん:2012/11/01(木) 22:11:10.85 ID:WJDuL4Cb
> やりたい事はCPU設計を通してのロジックデバイスの学習です。

だったら余計な注文を付けず、とにかく作るのが先だな
601774ワット発電中さん:2012/11/01(木) 22:20:34.73 ID:MmA9xCZb
>>599
>あの目次からはどんなソフトがあるか分からない
何を勝手に妄想してるのか知らねぇけど、CPUを作ろうっていうだけで、
モニタプログラム作ったり、インタプリタを作っていこうなんていう本
じゃないくらいのことはすぐわかるだろ。
本に掲載されたサンプルの類がこれだろ?
http://gihyo.jp/book/2012/978-4-7741-5338-4/support
602774ワット発電中さん:2012/11/01(木) 23:17:54.39 ID:ubIykI96
>>600
これまでVerilogで基本的な同期順序回路を書いてシミュレータを動かしていて
CPUなどに挑戦したいのですが、学校の8年前のWinXP機は遅い上一人で占有できず
家のWinMe機はさらに絶望的なのでCPLDかFPGA基板の購入を決心しました。
私の家は貧乏で中学生の貯金では何を買うか慎重にならざるを得ないのです。
>>601
ありがとうございます。このページは前に検索した時見つけることができませんでした。
私の村はネット接続に制約が多く、十分に調査できずに質問したことをお詫びします。
これを見ると、この本の基板は私には高すぎる買い物と分かったのでMAXIIで作ることに
します。
みなさんありがとうございました。
603774ワット発電中さん:2012/11/01(木) 23:48:01.70 ID:4MWZ84W1
>>602
えと・・・学校で使うのか家で使うのか知らんけど、開発ツールのOS対応はしっかり確認しておいてね
604774ワット発電中さん:2012/11/02(金) 06:20:53.17 ID:PJi8myBq
>>602
ダニエル・ヒルズの本を読みたまえ。
605774ワット発電中さん:2012/11/02(金) 08:56:10.02 ID:IfndZjjF
『アルジャーノン』はオススメ。『ビリー・ミリガン』は長い。

>>602
素直にPC買った方が役立つぞ
606774ワット発電中さん:2012/11/02(金) 09:07:09.03 ID:Tx5Xhvd0
>>605
それはダニエル・キイスじゃ?
607774ワット発電中さん:2012/11/02(金) 11:24:58.29 ID:XFNtr1qC
んだんだ、やっぱ山形さいいとこだべさ。
608774ワット発電中さん:2012/11/02(金) 11:52:34.92 ID:CbNrKUQf
WinMeはないべー
裕福なお坊ちゃまお嬢ちゃまから、お古でも貰いなされ
609774ワット発電中さん:2012/11/02(金) 21:45:50.82 ID:7viV/eoW
その昔は、FPGAの論理合成をスタートさせてから終わるまで何時間も
待ったものだった。
610774ワット発電中さん:2012/11/02(金) 22:27:24.15 ID:YZKe/wHc
教えてください

シリアル型のDAコンバーターなどに、送信するために、24bitとかの変数を宣言しますが、
その24bitの中には、変換方法など、いつも固定な値のbitがあります。
送信する時に便利なので、24bitを変数にしておきたいです。

ところが、この「いつも同じ値」が気に入らないみたいで、ISEは何行ものワーニングを出してきます。

このワーニングが気になってしかたありません。

みなさんは、こういうときは、どうしていますか?
・固定のbitと可変のbitを 分けて作り、あくまで可変のbitだけにする
・ワーニングなんか、無視無視

ぼくは、ワーニングは無くしたいですが、全24bitで扱いたいです。
611774ワット発電中さん:2012/11/02(金) 22:31:34.72 ID:uzWp+j22
datasheet寄こせ
612774ワット発電中さん:2012/11/03(土) 01:30:10.29 ID:3NGMSlw4
>>610
> みなさんは、こういうときは、どうしていますか?
> ・固定のbitと可変のbitを 分けて作り、あくまで可変のbitだけにする
> ・ワーニングなんか、無視無視

過去スレで何度も話題に出てるけど、
ISEのバージョン更新に2回、3回と付き合えば
新たな選択肢を挙げられるようになると思う。
613774ワット発電中さん:2012/11/03(土) 02:23:03.78 ID:9Ki8T34L
ワーニングのフィルタのことでしょうか。
自分の希望する内容だけフィルタできるといいんですが、
そうでないみたいですし。
614774ワット発電中さん:2012/11/03(土) 09:16:17.35 ID:bzlDHf5M
HDL言語仕様・合成処理系の話で、FPGA/CPLDデバイスの話じゃないよね
スレ違いではないか?

HDLスレって落ちたままなんだっけ
615774ワット発電中さん:2012/11/03(土) 17:57:16.51 ID:W50TlBIt
ID:YZKe/wHc
ID:9Ki8T34L

ワーニング、ワーニングて
どこまでアホ晒せば気が済むんだおまえ
616774ワット発電中さん:2012/11/03(土) 20:46:19.70 ID:JqPwb/+Q
スターワーズ
617774ワット発電中さん:2012/11/03(土) 21:34:48.16 ID:f0OgmxRs
大した行数書かないんでワーニング無視ですわ。
どうせろくなワーニング出さないでしょ。
618774ワット発電中さん:2012/11/04(日) 10:27:59.45 ID:RSLRg0ft
でも、ワーニングなしで作りたいじゃないですか。
1つでもワーニングがあると、気になるんです。
最近、緑色の丸チェックを見たことないんです。
何かというとスグにワーニング出してくる。
フィルターで見かけ上のワーニングを消すんじゃなくて、
本当の意味でワーニングを0にしたい。

みなさん、ワーニングが気になりませんか?
619774ワット発電中さん:2012/11/04(日) 12:08:14.20 ID:QoixcdEx
ウォゥニンッは気になるかな
620774ワット発電中さん:2012/11/04(日) 16:05:05.28 ID:SK3kfNVP
>>612
ワーニングなんか、無視
不具合でたら詳しくチェック



621774ワット発電中さん:2012/11/04(日) 16:12:46.57 ID:TqCu0MGW
種類ごとにまとめてヤバそうな未接続とかは対処するぐらいかな
622774ワット発電中さん:2012/11/04(日) 16:24:21.36 ID:+pvwDetC
動作がおかしかったらワーニングの確認するよ
623774ワット発電中さん:2012/11/04(日) 16:26:32.31 ID:ns4dCGbr
問題ないことを確認済みだから無視したのか
まだ吟味する暇も無くて放置したままなのか
1ヶ月も経てば全部忘れてる
624774ワット発電中さん:2012/11/04(日) 17:02:50.15 ID:e/0AzDJZ
オレなら1週間あれば忘れられる
625774ワット発電中さん:2012/11/05(月) 02:48:09.07 ID:8bE3ig0b
>>618
だから、ワーニングって何だよ。ボケ
戯言をそれと気づかず繰り返しほざいてるテメエの存在の方がよっぽど気になるんだよアホ
626774ワット発電中さん:2012/11/05(月) 10:13:27.56 ID:scJ6GysZ
>>625
ワーニングをご存じないようですので、ご説明します。

ワーニングというのは、ISEが出してくる警告メッセージのことです。
ISEでシンセシスやインプリメントなどの処理をしたときに、現れる警告メッセージのことで、
「黄色い三角の中にビックリマークのついた記号」が併せて表示されます。

一度やってみれば、スグにわかります。
627774ワット発電中さん:2012/11/05(月) 10:20:27.23 ID:7Av3c3UZ
心の狭い人がWarningをワーニングって発音/表記することをバカにしてるんだよ
英語の中でも米国北部方言の発音しか認めない心の狭い人たちな
628774ワット発電中さん:2012/11/05(月) 11:04:27.30 ID:LezgISFs
ttp://m-ishikawa.com/blog/2008/12/14/401/
どっちでもいいんじゃないか?
629774ワット発電中さん:2012/11/05(月) 11:59:01.58 ID:7Av3c3UZ
warnの語源は 危険を知らせる叫び声(ウォーンだかワーーンだか)らしい
どう叫ぼうが警告の意が伝わればいいんじゃないんですかね
630774ワット発電中さん:2012/11/05(月) 12:27:49.53 ID:kapXiKOD
ワァァ-----。゚(゚´Д`゚)゚。-----ン!!!!

警告なんかなぁ
631774ワット発電中さん:2012/11/05(月) 12:55:29.19 ID:V4tu3rQ4
たまに出るね、ネイティブかぶれw
ネイティヴだろって突っ込む習性もあるよな〜やならカタカナ使うなよ
632774ワット発電中さん:2012/11/05(月) 12:59:06.23 ID:WDH6uu1J
warning 桜井まちこ ということでしょうか?

ワーニングは、一見、日本語読みで素人っぽく聞こえるけど、
よくよく聞いてみると、
ワーニングのほうが、外人の発音に近く聞こえますよね?
633774ワット発電中さん:2012/11/05(月) 13:31:16.42 ID:wumSiubm
war(戦争)も「ワー」と言うならそれでもいいけど
634774ワット発電中さん:2012/11/05(月) 13:36:22.57 ID:8bE3ig0b
>>627
間違ってることを明確にみとめろゆとりのアホ
発音記号見たことないのかい
http://ejje.weblio.jp/content/warning
これを見てなお、"ワー"なんて表記する奴は発音記号を読めない証拠
"ヴァ"という発音は現代日本語にはないので表記上"バ"と"ヴァ"を区別する必要はないが、
ワーニングなどは書いた奴が間抜けな証拠だ。恥を知れ。かっこわるいのう。シュミレーション書く馬鹿と同じ。
walkmanをワークマンと書いて意味が通じるんかい。

>>626
まだ気づかないのかアホ

>>628
何をアホサイト引用してるんだおまえは。
635774ワット発電中さん:2012/11/05(月) 16:16:30.54 ID:rVYUOgtV
全角に過剰反応するやつみたいなもんか
636774ワット発電中さん:2012/11/05(月) 16:28:24.22 ID:7Av3c3UZ
面白いことに スター*ウォー*ズ でも 超光速航行は*ワー*プ なんだな
(どっちも同じ音韻)

日本語におけるカタカナ語って原音をそのまま転写しているだけじゃないのだよ
637774ワット発電中さん:2012/11/05(月) 16:45:38.03 ID:HoeUSinY
発音記号を見た上で言うけど、これは日本語の「オ」でも「ウォ」でもないし、
日本語にはない発音だから、どっちが間違いとかいう話ではない。

聞こえ方がより近い方という意味では、人により意見が分かれるだろう。
個人的にはワーニングが近いと思ってるので、ワーニングと言っている。
(昔はウォーニングという言い方の方が多かった気がするが)
638774ワット発電中さん:2012/11/05(月) 16:59:30.35 ID:V4tu3rQ4

639774ワット発電中さん:2012/11/05(月) 17:00:36.79 ID:V4tu3rQ4
まぁ、そんなに肩肘張らず、実地で10カ国ぐらい集まるカンファレンスに出てみなよ。
細かい音の事なんて気にしてる人なんかいないから。
もしそこで「発音いいね」とでも言われたら、よっぽど話の中身が無さ過ぎて発音ぐらいしか
話題にすることが無かった、って事だから喜んでる場合じゃ無いよ。

ここでも話題はXilinxツールのわーにんぐをどうするかって話。
別にどう読もうがどう書こうかなんて関係ない。だいたい文字で音が表現できる訳じゃ無いし。
NECを「えぬいーしー」って読もうが「えぬぅぃすぅぃ」って読もうが気にしない。

で、わーにんぐの件だけど、自分のソースをやりくりして完全に無くしても、
XilinxのIPを実装したとたんほとばしるわーにんぐの量に早々に解脱すると思う。
640774ワット発電中さん:2012/11/05(月) 17:01:10.84 ID:LezgISFs
気になるならwarningって英語で書けばいいんじゃないの?
641774ワット発電中さん:2012/11/05(月) 17:04:14.85 ID:T6SCN2LX
終了
さて、どうしてWarningが出てしまったのかを考えるとしようや
642774ワット発電中さん:2012/11/05(月) 17:09:29.83 ID:LezgISFs
>>639
場所と原因でソートして、自分の作った所でヤバそうな奴だけ対処するとか
643774ワット発電中さん:2012/11/05(月) 17:27:45.89 ID:nUk9BUWp
地道にMessage Filterの機能でチェックしていくしか無いんじゃないかな?
VHDLだと出力ポートにopen指定しただけでwarningになる
で、適当な信号作ってポートに割当てるんだけど、後で見たとき使ってるのか?
ってなる
644774ワット発電中さん:2012/11/05(月) 18:57:25.99 ID:WDH6uu1J
>>610のような「非変化のbit」についても、ワーニングしてくれるので、
ゼロにはならないでしょうね、きっと。
645774ワット発電中さん:2012/11/05(月) 19:01:33.63 ID:T/z+0Lua
>>639
10カ国ぐらい集まるカンファレンスに出ているなら
外国ではNECはネックが一般的でえぬいーしー,えぬぅぃすぅぃなんて言わない
って知ってるだろ。なんで変なのを出だすんだ?
Asahi??をあさーい??と言われた時はさっぱり会社が分らなかった
646774ワット発電中さん:2012/11/05(月) 19:06:36.00 ID:T/z+0Lua
ISEなんかのWarningはお知らせ的な警告があるから、
それらは本人が分っているなら無視で良いよな
647774ワット発電中さん:2012/11/05(月) 19:46:51.29 ID:WDH6uu1J
>>645
>Asahi??をあさーい??と言われた時はさっぱり会社が分らなかった
へー、そうなんですか。おもしろい。
外人に「h」は、比の場合発音しないのかな。
648774ワット発電中さん:2012/11/05(月) 22:07:39.54 ID:9Z4u4jBw
>>647
おふらんす人じゃね? Hを発音しないのは
649774ワット発電中さん:2012/11/05(月) 22:14:28.71 ID:rVYUOgtV
HITACHIがイタチになるって何かで読んだ記憶がある
650774ワット発電中さん:2012/11/05(月) 23:04:11.24 ID:7A/2h2+R
無視しても良いと思える奴(定数で変化しないとか)はwarning番号でフィルタすればいいんじゃないか
逆にまずそうな奴は検索で探す
651774ワット発電中さん:2012/11/05(月) 23:13:08.88 ID:M0khHX9/
よみうり新聞が、ユメウリ新聞になるって読売の何かで読んだ記憶がある
652774ワット発電中さん:2012/11/06(火) 08:23:49.51 ID:QVOXykmf
>>617
昔がウォーニング?
ワーニングなんてのは明らかに間違いだアホ。よくもまあ恥ずかしい表記しえtるなおまえ
おまえの知らないところでみーんな教養のかけらもないおまえを馬鹿にしてる。そのぐらい気づけ
何馬鹿だからきづかない?そーだろそーだろ。
653774ワット発電中さん:2012/11/06(火) 08:27:44.36 ID:QVOXykmf
>>639
おまえそのカンファレンスで何もしゃべってないだろ
warn をワーンと発音していったいどこの国なら理解してくれるか言ってミロ
654774ワット発電中さん:2012/11/06(火) 08:31:34.70 ID:QVOXykmf
固有名詞はいいんだよそんなもんは、恥でもなんでもない
ワーニングやアワードと読んでるアホは恥を知れ。
655774ワット発電中さん:2012/11/06(火) 10:27:40.81 ID:ys4bLynL
経営者「いいから働け屑社員」

だろうな
656774ワット発電中さん:2012/11/06(火) 10:43:24.28 ID:b8wfRAAw
それくらい脳のない経営者の元には、そりゃ屑社員しか残らないだろうなぁw
657774ワット発電中さん:2012/11/06(火) 10:47:31.39 ID:JmQfG3tF
658774ワット発電中さん:2012/11/06(火) 10:57:55.96 ID:xJtYgOED
>>657
ウォーニングだと一件も出てこない不思議
659774ワット発電中さん:2012/11/06(火) 10:58:55.44 ID:/Hzg/c2u
xilinx
ウォーニング 0件
ワーニング 3件

ルネサス
ウォーニング 842件
ワーニング 159件
660774ワット発電中さん:2012/11/06(火) 11:50:44.94 ID:/Hzg/c2u
661774ワット発電中さん:2012/11/06(火) 12:14:22.28 ID:WM+oXyx2
暴れたいだけのお子ちゃまは放置してあげようよ
普段怒られっ放しのかわいそうな人なんだから
言うとおりワーニングって書いたらダメでもいいよ
関係無いし
662774ワット発電中さん:2012/11/06(火) 13:48:17.07 ID:auTXuloE
私は、
HDLソースの行数よりも、ワーニングの数の方が多い、
そんなプログラムを書いています。
実際、へたくそです。

客先から「ソースを出してください」と言われて、困っています。

みなさんはどうですか?
663774ワット発電中さん:2012/11/06(火) 14:36:36.14 ID:5YeiRug7
大丈夫だ、問題ない
664774ワット発電中さん:2012/11/06(火) 16:33:04.67 ID:iSijRLue
強迫性人格障害は、完全主義・完璧主義・潔癖主義であり、
細かいことに極度にこだわり、完璧を求めるあまり、柔軟性や
融通性がまったくなく、堅苦しく効率性がない人格障害です。
665774ワット発電中さん:2012/11/06(火) 18:16:38.77 ID:b8wfRAAw
ずぼらの責任転嫁乙
666774ワット発電中さん:2012/11/06(火) 19:45:12.99 ID:xJtYgOED
>>662
キッコーマンを持って行き、「ソースと間違えて醤油を(ry
ブルドックという手もないわけではない。
667774ワット発電中さん:2012/11/06(火) 20:43:37.19 ID:44PgrMfY
高機能自閉症の人に見られる特徴

高機能自閉症の人たちには、一見障害を抱えているようには見えないものの
こだわりの強さや対人関係面での問題があります。
668774ワット発電中さん:2012/11/06(火) 23:32:02.99 ID:jzvHPqIb
変な日本語だね
669774ワット発電中さん:2012/11/07(水) 01:08:01.62 ID:9/y8x+ut
発狂してるのルネサス社員なのか
670774ワット発電中さん:2012/11/07(水) 01:49:43.03 ID:2tn1+DLo
>>659
ルネの比率って元日立、元三菱、元NECの違いだったりするのかな?
671774ワット発電中さん:2012/11/08(木) 22:49:36.70 ID:d0oo80XH
問題が見つからなかったから出荷したよぉ

そういえば「制約」という言葉に違和感ばりばりだった若かりし頃
まぁ若いと何でも違和感感じるもんだ
672774ワット発電中さん:2012/11/09(金) 18:25:30.72 ID:jpEW2NYl
Windows8 64bit上でISEの64bit版を動かしたらファイル選ぶダイアログが出る操作すると必ず落ちる
673774ワット発電中さん:2012/11/09(金) 20:14:59.95 ID:t74cLeSQ
もう、正規にWin8に対応してるの?
674774ワット発電中さん:2012/11/10(土) 10:15:16.55 ID:xD5tbfFQ
>>672
なんでそんなに早まるんだ。新規に8マシン買ったの?
ちょっとは様子なきゃ。今回は様子見てるまに次のBlueが出そうだが、
OSが、一年で次のメジャーリリースされるってことはなんか問題ある証拠だろ。
まずはVMwareで使ってみて納得してから実機インスコに踏み切らなきゃ。
んで、VM試用した限りでは、まったく動かないアプリとかいっぱいあったし、
ドライバが動かないとか、レジューム復帰でトラブルがあるとかもネットで見るし、
今のとこ使いにくい7でしかないという印象でまったくオススメじゃないとオモタ
675774ワット発電中さん:2012/11/10(土) 14:28:04.55 ID:xmVD0joL
>>674
とりあえず32ビット版はファイル選択ダイアログもちゃんと出た
でもiMpactは64ビットじゃないとドライバの関係で動かないから
iMpactはコマンドラインで使えばなんとかなりそう

まあ趣味でやってるだけだからなんとかなりそう
676774ワット発電中さん:2012/11/10(土) 18:00:28.68 ID:fAXdUdoS
まぁオレなんかWin8なんかより90もリビジョンが進んでるWin98使いだからDOSアプリもサクサク動くし快適だわ。
論理合成はもちろんDOS上でPLASMだね。
677774ワット発電中さん:2012/11/10(土) 18:35:00.64 ID:VGdGlmvl
おれなんか1982も進んでいるWIN2000だもんね
678774ワット発電中さん:2012/11/10(土) 19:16:01.01 ID:qgGbbZS7
うまいこと言うね。
679774ワット発電中さん:2012/11/10(土) 20:17:54.98 ID:aMLrNDM/
え?
680774ワット発電中さん:2012/11/12(月) 20:35:23.35 ID:OqMOOrhP
VHDL初心者ならこれ買っとけっていう本があったら教えてください。
XILINX使用予定です。
681774ワット発電中さん:2012/11/13(火) 10:53:55.58 ID:3bOw48PM
無いと思う
682774ワット発電中さん:2012/11/13(火) 11:03:40.25 ID:CVRpFREz
>680
森岡澄夫 『HDLによる高性能ディジタル回路設計』

売ってるかどうかは知らん。VHDL文法の解説書ではないので、そっちは別に

長谷川裕恭 『VHDLによるハードウェア設計入門』

のあたりが要るかも。
683774ワット発電中さん:2012/11/13(火) 19:24:04.69 ID:LgoSrUbq
こういうところにも仕事の能力の差が現れちゃうんだよな。681⇔682
ネットが世界の全てと思っている人間と、本当の情報収集能力がある人と。
684774ワット発電中さん:2012/11/13(火) 19:28:38.34 ID:8SaXHlnt
>>683
なにいってんの
685774ワット発電中さん:2012/11/13(火) 21:37:00.60 ID:3gSmjUsQ
>>680
初心者向けというか1冊もっといた方がいい本を紹介。
Douglas L.Perry の VHDL って名前そのままの本。
amazonで\150で古本売ってたんで買って損はない。
安いから買っとけって意味ではない、ほんとにすばらしい内容。
686774ワット発電中さん:2012/11/13(火) 21:45:10.65 ID:DmSe6ShM
とりあえず、このあたりは持っておいてマメに参照できるようにしとく。
http://www.ece.uic.edu/~dutt/courses/ece368/lect-notes/VHDLref.pdf
紙にするのは面倒だから、iPadだの何だのに入れて横に置いておくといい。

あとは適当に平易そうな本を一冊持っておいてサンプルを眺めながら
そこにでてきた予約語だのをキーワードにして、PDFを検索して、
原本で確認するといい。

他のものでもそうだけど、入門書では仕様の全てはカバーできない。
色々な本を買い漁るのも日本の景気回復の一助にはいいかもしれないけど、
原本でチェックするという習慣を身に付けておくのが良いと思うよ。
687774ワット発電中さん:2012/11/13(火) 21:55:28.56 ID:Afl22otN
>>680
これ、セミナーを受けるように勉強できるから非常におすすめ。
リファレンスには向いていないから、別途他の本も要るけれども。

HDL独習ソフトで学ぶ CQ Endeavor VHDL
http://shop.cqpub.co.jp/book_guide/detail/38951/
688774ワット発電中さん:2012/11/14(水) 02:59:43.62 ID:38j7g3MO
>>686
それもいいけど、英文では「てにをは」がわからないでしょう。

原文で確認なんて、カッコいいこと言うけど、どう理解してるの?
689774ワット発電中さん:2012/11/14(水) 05:22:00.95 ID:9yreIyPR
本にも間違いはある。
690774ワット発電中さん:2012/11/14(水) 09:21:55.87 ID:kgsxTAra
>>686
英語では「てにをは」は要らないんじゃ?
格変化する代わりに語順で(ry
691774ワット発電中さん:2012/11/14(水) 11:51:19.79 ID:dqUY5dj4
>>688
釣りだよな?、、な?
692774ワット発電中さん:2012/11/15(木) 22:56:54.18 ID:PTkwZz8D
LVTTLとLVCMOS33の使い分けがわからなくなった
693774ワット発電中さん:2012/11/16(金) 01:39:06.39 ID:jIYoukX9
低電圧TTLレベルと低電圧CMOSレベルやん
694774ワット発電中さん:2012/11/16(金) 13:07:54.59 ID:mpu9U1wm
VHDLって森岡本レベルのものが一冊も無いよね
695774ワット発電中さん:2012/11/17(土) 10:35:33.01 ID:JeOb4B0q
>>693
入力の場合違いがないように見える
消費電力くらい?
696774ワット発電中さん:2012/11/17(土) 10:47:30.42 ID:QTTASC4u
>>695
スレッショルドが違わない?
697774ワット発電中さん:2012/11/17(土) 10:51:54.96 ID:Fl0cn/aR
それシッチョルだ?
698774ワット発電中さん:2012/11/18(日) 00:41:30.18 ID:A2i28yj+
ミッシェルポルなレフ
699774ワット発電中さん:2012/11/18(日) 07:44:27.46 ID:NNtbyxm0
>>696-698
本気で言ッショルダ?
700774ワット発電中さん:2012/11/18(日) 14:55:51.73 ID:+dbdo4mz
サンシャイン オン 毎ショルダー メイクスミー ハッピー
701774ワット発電中さん:2012/11/18(日) 21:14:13.74 ID:gTC7h6XI
>>696
XILINXの使おうとしてるIOの場合

いずれも入力は
VIL=0.8 VIH=2.0V 
出力は
TTL VH=2.4V
COMS VH= VCCO-0.4
で違いはある。
702680:2012/11/19(月) 11:06:43.61 ID:1Qr5cQDS
>>682,685,686,687
ありがとうございます。
703774ワット発電中さん:2012/11/19(月) 19:43:05.73 ID:N4cl/nqE
ロジアナのフロントエンドに使いたいのですが、入手性が良く手頃な値段で
300MHzくらいまで動くFPGAがあったら教えてください
パッケージは足がある物を希望します(BGAを半田付けできる設備はないです)
704774ワット発電中さん:2012/11/19(月) 20:11:17.78 ID:/VV5WZxv
>703
速いQFPったらもはやSpartan6一択ではなかろか。
http://www.digikey.jp/scripts/DkSearch/dksus.dll?x=0&y=0&lang=ja&keywords=XC6SLX9+TQG144

DCMは300MHz越えられるけどRAMは多分300MHzを越えられないので
そのへんはインターリーブで頑張るw
705703:2012/11/19(月) 22:53:38.82 ID:N4cl/nqE
>>704
レスありがとう
デジキーって送料がアホみたいに高かった気が・・・と思ったらそうでもなかった
Spartan6ですか・・・うわっ、無駄に豪華w(失礼)
DCMというのはクロックを作るところですよね?資料によると最大1,080MHzまで作れる
しかし、CLB?の中のRAMが付いてこれないのでCLB1→CLB2→CLB1・・・的に分散させて
CLBの動作速度を下げる・・・と言う認識であっていますかね?

というか300MHz程度で動かすとなるとFPGAもそれなりに熱を持ちますよね?
あまり発熱しないならBGAをひっくりがえしてパッドに半田付けも可能かなと思ったりしますが
706774ワット発電中さん:2012/11/19(月) 23:14:31.90 ID:PGhVwljS
>>705
QFPの品種は規模が少ないから発熱は少ないんじゃないかと
放熱にはBGAが有利だろうけど
707774ワット発電中さん:2012/11/20(火) 01:14:26.04 ID:cW1jsYNL
1,080MHzって…あぁVCOのことか。
動作クロックはもっと低いよ。
708774ワット発電中さん:2012/11/20(火) 01:51:55.86 ID:9dMewf9i
IOSERDESも1GHzで動かなかったか?
300MHzならSERDESなくても良いか
709774ワット発電中さん:2012/11/21(水) 23:04:18.00 ID:eslVkFkZ
QFPがあるようなローエンドでSERDESって使えるの?
そういうのってシリーズ中でも大きい奴だけだったりするっしょ。
710774ワット発電中さん:2012/11/22(木) 00:20:41.24 ID:ZbTLmhYz
>>709
IOSERDESは全IOピンにもれなくついてくるぞ
もっと高速なトランシーバーはTにしかついてないが
711774ワット発電中さん:2012/11/22(木) 19:29:45.11 ID:iVWOaZ3R
QFPだとパッケージの周波数特性でGとか通らないんじゃないか
712774ワット発電中さん:2012/11/22(木) 22:03:55.41 ID:omsq1E6Z
 サイクロン4にNIosをのせて制御しようか、それとも外部にCPUを持たせようか
迷ってるんだが、Niosなんか乗せたら、デバッグの度にコンパイル時間が無茶苦茶
増えるなんてことになるのだろうか?
713774ワット発電中さん:2012/11/22(木) 22:14:40.53 ID:mijrZY/r
コンパイルはしないかと。
714774ワット発電中さん:2012/11/23(金) 04:24:01.01 ID:94p6+ahP
教えてください

XilinxのISEのPlanAheadで、端子処理の選択枝には、PULL-UP, PULL-DOWNの他に、
KEEPERというのがあります。Xilinxのデータシートを見て、機能はわかったのですが
どんなときに使うのか、どういうメリットがあるのか わかりません。
ご存じの方がいらしたら、教えてください。
715774ワット発電中さん:2012/11/23(金) 04:36:02.28 ID:3PZSPK0i
>>714
出力がHI-Zになったときに、直前の値を弱い駆動力で保持する回路
プルアップ/ダウンだとHI/LOのどちらかで抵抗に電流が流れてロスになるが、
KEEPERだと切り替わる瞬間にしか流れないので、ロスが少ない
716774ワット発電中さん:2012/11/23(金) 15:05:24.83 ID:U2bshRa6
ありがとうございます。分かりやすい説明で、よくわかりました。

すると、具体的に使用する場所は、
外部との双方向データバスの配線ピンに使用するのでしょうか?
717774ワット発電中さん:2012/11/24(土) 00:18:52.40 ID:w9OLYQSm
>>716
HIZが出る可能性がある双方向データバスとかで使うな
718774ワット発電中さん:2012/11/24(土) 03:14:45.25 ID:aNnrnfWu
>>717
3りがとうございます。

世の中の一般常識として、Hi-Zになる信号線は、pull-upもpull-downもkeepも
何も処置しないというのは、いけないことなのでしょうか?
719774ワット発電中さん:2012/11/24(土) 03:41:58.62 ID:muY/eaw2
基本的にダメだな
720774ワット発電中さん:2012/11/24(土) 10:42:29.06 ID:P8pgcaaD
入力が付随しているから
721774ワット発電中さん:2012/11/24(土) 15:33:47.26 ID:7KqaHIbM
Lattice ボードを主に扱っている本ないかなー。
DDT 以外で。
英文マニュアル読むのつらいです。
722774ワット発電中さん:2012/11/24(土) 21:06:57.18 ID:w9OLYQSm
>>718
最近のデバイスは頑丈なのでフローティングで壊れることはないだろうけど、
入力を読む場合に変な値が読まれるとやばいからだいたいどれか使うと思う

前にUCF書き間違いでフローティングになってたけど、特に問題はおきなかった(HIZの時は読まないSRAMバス)
723774ワット発電中さん:2012/11/24(土) 22:26:42.38 ID:rMURybaU
724774ワット発電中さん:2012/11/25(日) 01:26:00.10 ID:yhWaEq9h
>>722
ファンクションは問題なくても電流増えてるかもよ
725774ワット発電中さん:2012/11/25(日) 01:49:05.08 ID:hIOvHrJP
>>724
かもしれん
機能的には問題なく動いていて、見直していたら気がついた
長期的に試したわけじゃないし、対策した方が無難だわな
726774ワット発電中さん:2012/11/25(日) 15:54:48.29 ID:kqZGPfeT
>>722
頻繁にアクセスがあるバスなら、ピンの寄生容量で電圧保持されていたかもしれない。
727774ワット発電中さん:2012/11/25(日) 21:23:39.10 ID:UzRIwV00
今更だけど、

>>229
> 3GSPSのADコンバーターがあります。
> これをFPGAで3GHzで駆動したいのですが、できるでしょうか。

何をしたかったのだろう。
3Gspsなら、FPGA内部も3GHzで動かさなければいけないと思ったのかな?
728774ワット発電中さん:2012/11/25(日) 23:41:41.49 ID:80ODzLDF
>>727
そんな質問してる時点で、何も出来ないから
ほっときなさい。
729774ワット発電中さん:2012/11/26(月) 03:05:06.78 ID:RvpLTxvH
>>722
間違い
730774ワット発電中さん:2012/11/27(火) 20:37:52.47 ID:dTO7Ol/Z
SUSU BOX ってのを
日経エレだかで見たんだけど、
ある程度モジュールが揃ったら面白そうだね。
ビジネスが続くか微妙だけど。
731774ワット発電中さん:2012/11/27(火) 23:12:54.44 ID:R1Wdw6tN
Verilogゴリゴリ書く担当って何人ぐらいでどんな役割分担でやってる?
設計からデバッグまで独りでやってて、猫の手も借りたいんだが
でも分業とか分散開発とかやったことないんだ
732774ワット発電中さん:2012/11/28(水) 00:00:02.83 ID:RP9bmexh
ブレイクダウンで作る。

リーダーが全体のブロック構成を決め、IN/OUTのインターフェースを定義し、許容されるLE数にメモリを割り当てる。
続いて、そのブロックのテスト仕様も決める。

サブリーダーは、割り当てられたブロックをさらにブレイクダウンして…(以下同文)

担当は割り当てられたモジュールを設計し、単体テストを行う。

サブリーダは結合テストを行い…(以下同文)

リーダーは、全体の進捗管理・品質管理・人の管理(いつ、何人投入するか?)を行う。
総合テストはサブリーダーに任せ、リーダー1行もコーディングしない。
(リーダーがコーディングしている様では、そのプロジェクトは死の行進中)

何人か?
規模によって役割分担が細分化されるので、何人でも。
733774ワット発電中さん:2012/11/28(水) 00:10:32.66 ID:t1U0G5yi
FPGAの乗算器について質問です。
乗算回路を作るときクロックを使う順序回路ですよね?
Verilog記述で割り算記号/を使う演算を含む回路の合成を行うと組み合わせ回路で乗算が行えてしまいます。
上記の回路をFPGA上に乗せたときも同じ動作をしました。これはどうしてですか?
734774ワット発電中さん:2012/11/28(水) 00:14:38.13 ID:rEGQzQ/e
>>733
そうとも限らんぞ。
その気になれば、加算器を大量に使うことで組み合わせ回路で積算できる。
あと、FPGAは乗算器がデフォでついてることも多い
735774ワット発電中さん:2012/11/28(水) 02:14:13.08 ID:lgXlLxnQ
順序回路でやってるのはリソース削減のためでしょ
736774ワット発電中さん:2012/11/28(水) 10:40:50.65 ID:cKg5ienr
FPGA搭載の乗算器は1clockで演算結果が出てくるから
順序回路というより組合せ回路+FFに近いのではないか?
737774ワット発電中さん:2012/11/28(水) 14:07:57.54 ID:vWrSm4Nz
みんな>>733の言いたい事よく分かるな。
俺は何が言いたいのか全然分からんかった。
738774ワット発電中さん:2012/11/28(水) 20:02:42.65 ID:t1U0G5yi
>>734-737
返信ありがとうございます。
そもそも乗除回路の実装は組み合わせ回路でも可能ってことですね。
乗除回路の実装方法を調べていると順序回路で行っているものばかりだったので勘違いしました。
組み合わせ回路での実装方法の文献やリンクをしっている方がいたら教えていただけませんか?
739774ワット発電中さん:2012/11/28(水) 20:45:46.96 ID:z59cmk07
遅いクロックだったら組み合わせでも間に合うかもしれないけど速くなったらダメだね。
リソースも食う。そういうのが必要な場合なんて設計時にわかっているからDSPに
余裕のあるデバイスをチョイスするのが普通ですね。
740774ワット発電中さん:2012/11/28(水) 21:28:54.99 ID:hpOEuolK
PIC16F1823をCCSで使ってるんだけど何故かディレイ関数がうまく動かない・・
delay_us(10)はうまく動くんだけど
delay_us(1000)とか
delay_ms(10)は無視される
他のこんな現象になった人いるかな?
PIC16F876とかは問題なく動くんだが・・
741774ワット発電中さん:2012/11/28(水) 21:30:41.12 ID:hpOEuolK
げ、誤爆した・・
742774ワット発電中さん:2012/11/28(水) 21:30:59.40 ID:zJoy1NmS
>>740
すれ違いだけど、
クロック周波数が高くて、カウント数をoverしてると思われ。
743774ワット発電中さん:2012/11/28(水) 21:33:51.39 ID:hpOEuolK
>>742
誤爆にも親切にありがとう!
for〜分でディレイは稼ぐことにするよ
744774ワット発電中さん:2012/11/28(水) 21:38:16.87 ID:bpU+b72J
うーん、乗算器とは何か、同期回路とは何か、
ちゃんと理解して設計してるのかな?
738さんの言ってる順序回路で実装という意味が分からん。
745774ワット発電中さん:2012/11/28(水) 21:55:23.10 ID:0XxPsetK
>>738
a×b→c
これでaとbの全組み合わせを全部ifで書いて、最適化をコンパイラにお任せ

内部メモリ利用したテーブル作るのが簡単だけどなw
746774ワット発電中さん:2012/11/28(水) 22:02:27.63 ID:+nvdvLhv
>>745
これは酷いw
747774ワット発電中さん:2012/11/28(水) 22:07:12.54 ID:A+y+b0P9
>>745
ワロタ ありえねえ
748774ワット発電中さん:2012/11/28(水) 22:11:21.76 ID:2tu+ZDj5
>>745
基本、それでいいはず。
まあ、case にするけど。

ガロア体では使う。
749774ワット発電中さん:2012/11/28(水) 22:14:11.11 ID:RP9bmexh
>>738
ディジタル数値演算回路の実用設計
ttp://www.cqpub.co.jp/hanbai/books/36/36171.htm

>>745
そういう力技でも、必要なのは乗算値のビット数分だけなんだけどな…。
うえの本のリスト3-1

/************************************************************************/
/* multiplier 4x4 a by s.suzuki */
/* 2006.02.08 */
/************************************************************************/

module mlt_44_a (
z , // product [07:00]:unsigned integer

a , // multiplier [03:00]:unsigned integer
b ); // multiplicand [03:00]:unsigned integer

// io
input [03:00] a ; // multiplier [03:00]:unsigned integer
input [03:00] b ; // multiplicand [03:00]:unsigned integer

output [07:00] z ; // product [07:00]:unsigned integer

// function()
// partial product
wire [03:00] pp0 = {4{b[00]}} & a ;
wire [04:01] pp1 = {4{b[01]}} & a ;
wire [05:02] pp2 = {4{b[02]}} & a ;
wire [06:03] pp3 = {4{b[03]}} & a ;

// adder
assign z = ( pp0 << 0 )
+ ( pp1 << 1 )
+ ( pp2 << 2 )
+ ( pp3 << 3 ) ;

endmodule
750774ワット発電中さん:2012/11/28(水) 22:27:20.39 ID:2tu+ZDj5
変に記述するより * って書いてツールに任せた方がいいよ。
ブースとか書き始めるアホがいて困る。
751774ワット発電中さん:2012/11/28(水) 22:38:29.26 ID:RP9bmexh
>>750
「基本、それでいいはず」と抜かしたおまえが言うなよ。
>>738は基本を勉強したいか、乗算器が不足して困っていると思われ
752774ワット発電中さん:2012/11/28(水) 23:02:21.72 ID:RP9bmexh
>>731
っと言う訳で、仕事を割り当てたメンツの中には「全組み合わせを全部ifで書いて」などと品質が悪いコーダーが必ず混じる。
だから最初に「許容されるLE数にメモリを割り当て」でリソースの制限かけとかないと後が大変。

分業して逆に仕事が増えるかもしんないから、管理をしっかりな。
753774ワット発電中さん:2012/11/29(木) 00:27:13.28 ID:Bkz6wZi+
乗算は組み合わせ回路でいけるけど除算は無理じゃね?
754774ワット発電中さん:2012/11/29(木) 00:56:12.04 ID:ABNZkDlO
ワラスツリーとか部分積とかのキーワードでググれば解説出てこないか?
基本は2進数の筆算でそれを組み合わせ回路で直接実装するか、順序回路で一桁ずつやるかってことでしょ
755774ワット発電中さん:2012/11/29(木) 01:56:33.87 ID:eZjq8J40
車輪の再開発してどうする
出来あいの乗算器が無くて論理で作る羽目になったんだったら
んなもんIP引っ張ってくりゃ終わるわ
756774ワット発電中さん:2012/11/29(木) 03:16:32.22 ID:6dgpXzvI
割り算って組み合わせ回路でできるの?
757774ワット発電中さん:2012/11/29(木) 05:27:05.91 ID:DsMHGxs8
素人は手を出すな。実績のあるものを買ってこい。
758774ワット発電中さん:2012/11/29(木) 08:02:46.58 ID:dBOzLlCQ
逆数演算なら組合せ回路というかLUTで実現できないことはない
逆数演算+乗算 で 除算となる
759774ワット発電中さん:2012/11/29(木) 08:15:20.62 ID:ZzJ50P9m
a÷b→c、0除算エラーフラグe
全部ifで
760774ワット発電中さん:2012/11/29(木) 08:37:25.15 ID:nTufN+Av
>>753>>756>>758
>>749だが、本当に基本を知らないんだな。
知らなくても困らなくなった時代だからいいのかもしれんが。

除算の基本的考え方は、
>// adder
>assign z = ( pp0 << 0 )
>+ ( pp1 << 1 )
>+ ( pp2 << 2 )
>+ ( pp3 << 3 ) ;
この左シフトを右シフトにするだけ、基本的に。
(考え方だからこれを右シフトにしてもダメ)
761774ワット発電中さん:2012/11/29(木) 08:59:09.46 ID:4gt6HY5o
>>756
入力が決まれば出力が決まるんだから
組み合わせで出来るだろ。
762774ワット発電中さん:2012/11/29(木) 10:17:53.82 ID:+MCttFuq
順序回路順序回路言ってる奴は
まず順序回路の定義を見てこい
763774ワット発電中さん:2012/11/29(木) 10:50:29.11 ID:OghQlgCh
>>756
過去の値に左右されない、単なるコード変換と見なせるので、勿論、実現可能です。

ですが、少ないゲート数で実現できる効率的な回路があるか?と言う話なら別問題です。
764774ワット発電中さん:2012/11/30(金) 01:45:36.44 ID:RzuBR3WG
Xilinx社のチップスコープで質問があります。
回路のタイミング制約を10nsとかかけたとき、
それはチップスコープも含めて制約が効くと考えればよいでしょうか?
(でないと、チップスコープが正しく捕捉できないと思うのです)
765774ワット発電中さん:2012/11/30(金) 17:47:15.54 ID:G6Cc/sGv
Map,ParのプロセスではChipScopeの機能ブロックもユーザー回路と同じ
だから当然制約は有効になるよ

ChipScopeによってタイミングは変わるから、なぜかChipScopeを外すと動か
なくなってしまったり…
766774ワット発電中さん:2012/12/06(木) 23:03:40.38 ID:ipDwHw/5
シリコンハウスに行ったらspartan6が売っててびっくりした。
ついでに安いUSBのconfig用ケーブルも売ってくれればいいのだけど。
767774ワット発電中さん:2012/12/07(金) 04:31:06.03 ID:vHlu2gMT
qfp? bga?
768774ワット発電中さん:2012/12/08(土) 00:52:15.21 ID:LTYDUib9
HDLってiPhoneに入れたらJFKって直されてワラタ
769774ワット発電中さん:2012/12/08(土) 18:13:33.51 ID:1ypjT2Nr
Qualtusだけど、V9まではあったのに、V12になってWaveForm Eeditorがない。
簡易的な波形シュミレーションってできなくなったのか?
770774ワット発電中さん:2012/12/08(土) 18:25:29.33 ID:elccc+y+
>>769
ALTERAは内蔵シミュレータを廃止しちゃった。

ModelSim-AEのライセンス供給が続いてるのは良いけど、
QuartusII本体だけで簡易的な言語混在simが出来なくなったのは痛いね。
771774ワット発電中さん:2012/12/08(土) 19:18:00.26 ID:ewcCvZLf
今頑張ってバイトしてまして、年始には15万ちょいもらうことができそうです。
全くの初心者なんですが、おすすめは何でしょうか?
買った後のボードに、初心者用のお助けサービスがついていると、非常に助かるのですが。
当方は地方のため、東京や大阪で開催される技術セミナーに行くということは難しいです。
頑張って行くとしても、一度っきりになると思います。
772774ワット発電中さん:2012/12/08(土) 19:58:54.85 ID:B9KdnKx4
>>771
学生? 独学はちょっと厳しいと思う。
学生ならFPGAやってる地元企業にインターンを申し込んめばいいんじゃないかな。
773774ワット発電中さん:2012/12/08(土) 20:40:37.98 ID:xyukaItq
ある先生は言いました「目的なしに学ぶことは無駄である」、「学ぶことを目的としてはいけない」と
774774ワット発電中さん:2012/12/08(土) 21:40:18.33 ID:B9KdnKx4
>>771
> 当方は地方のため、東京や大阪で開催される技術セミナーに行くということは難しいです。

東京エレクトロンデバイスなら、仙台/横浜/立川/名古屋/大阪/広島/福岡と比較的地方でセミナーやっているよ。
ttp://ppg.teldevice.co.jp/m_training/training01.htm
ttp://ppg.teldevice.co.jp/m_training/training02.htm

あとはXilinx・Alteraのオンライントレーニング。

もしTEDを受講するなら、ボードはXilinxかな。
775774ワット発電中さん:2012/12/09(日) 01:55:04.40 ID:sN2FkVGM
予算10万程度で入門用のXilinxのボードを探しています。
機能的にはalteraのDE2-70と同等のもので、可能であれば日本語マニュアルがついているものが望ましいです。
Xilinxのボードに関して素人なのでもし詳しい方がいましたらよろしくお願いします。
776774ワット発電中さん:2012/12/09(日) 04:34:18.62 ID:DUZP9nm+
>>775
入門用なら「Spartan-6 FPGA SP605 評価キット」辺りでいいんじゃないかな。
6万円前後だし、開発ツールのライセンスも付いてくる。

TED扱いのモノならば日本語の取説ぐらいは付いてたかも。
777774ワット発電中さん:2012/12/09(日) 07:50:28.74 ID:NdkwM+XT
DE2-70 をアカデミックで買ったら?
日本語マニュアルとか要るかな?
778774ワット発電中さん:2012/12/09(日) 08:07:46.35 ID:FhwgY4oh
ここの住民って日本語弱いの?
779774ワット発電中さん:2012/12/09(日) 09:06:02.66 ID:NdkwM+XT
イルボンマルチョグンハショスミダ!
780774ワット発電中さん:2012/12/09(日) 19:37:19.67 ID:xaNODzJw
>>772,774
ありがとうございます。セミナーの参加を検討します。
また、オンライントレーニングは知りませんでした。
勉強してみます。
781774ワット発電中さん:2012/12/11(火) 10:02:13.70 ID:N52rkUsz
 Qsysの中にUARTがあるけど、これはニオスを使わなくても単独で使えるのだろうか?
以前アルテラを使っていたことはあるのだけど、V12をダウンロードしたらかなり
変わっていて、戸惑う。メガファンクションはつかったことあるのだが、メガファンクションに
はUARTがない。
782774ワット発電中さん:2012/12/11(火) 13:36:57.90 ID:CTgf5cE3
FPGAの勉強をしようと思っています。Spartan-3とCyclone IVのボードが
安そうなのでどちらかを買って勉強しようと思っているんですが選ぶ場合の
選択ポイントってどういう所を見れば良いのでしょうか?
PCの環境はWindowsかMacでハードの勉強は学生時代に基礎はやりましたが
基本ソフト屋なので8bit等の簡単なCPU+周辺を作ったり既存のIPをぶち込んで
マイコンボードみたいにするのを最初のターゲットにしたいと思っています。
783774ワット発電中さん:2012/12/11(火) 13:58:01.09 ID:jQhGBCCp
使えるよ。
SOPC Builder/QsysはNios設計だけのツール、じゃないよ。IP間接続を自動化してくれるツールでもある。
784774ワット発電中さん:2012/12/11(火) 14:54:43.85 ID:mUTySnua
電子工作に全く自信がないならLEDとスイッチが最初からいくつか載ってるボードを選ぶこと。
値段から見ると、DE0-NanoかBasys2が手頃だと思う。
785774ワット発電中さん:2012/12/11(火) 16:15:44.12 ID:bkOGpVh2
>>782
FPGAやるのはソフト屋だよ
786774ワット発電中さん:2012/12/11(火) 16:22:23.82 ID:MiB+QqI9
んなこたーない
787781:2012/12/11(火) 16:35:33.16 ID:N52rkUsz
>783
そうなの。ありがとう。やってみます。
788774ワット発電中さん:2012/12/11(火) 19:22:10.31 ID:gjpx/Goe
>>782
秋月で打ってるSpartan-6評価ボードはどうか
789774ワット発電中さん:2012/12/11(火) 20:54:31.50 ID:ZYJkNWkL
>>782
QurtusIIとISE、どちらが自分好みか、判りやすいかを試した結果でFPGAを選ぶ。
790774ワット発電中さん:2012/12/11(火) 23:52:23.06 ID:uP4d7nQ7
どのメーカーのバイクが好きかで選ぶ。
 ホンダ・ヤマハ・スズキ=>A
 カワサキ=>X
791774ワット発電中さん:2012/12/12(水) 00:16:18.66 ID:XYPW886X
>>782
> 基本ソフト屋なので8bit等の簡単なCPU+周辺を作ったり既存のIPをぶち込んで
> マイコンボードみたいにするのを最初のターゲットにしたいと思っています。
学習ボードなら、箱を開けてサンプル焼けばマイコンボードの出来上がり。

それよりも自前でCPU作るのが、初心者には壁高いよ。
Alteraしか知らないけど、AlteraにはC2H Acceleration Compilerってのがある。
ソフトやなら、これ使えばC言語とHDLの対比が掴みやすいかも…
792774ワット発電中さん:2012/12/12(水) 00:34:51.90 ID:NhWAJs9L
Altium の NanoBoard 3000 は 58000円
LED、スイッチ、タッチスクリーン液晶、赤外線、SD、USB、Ethernet、ADC、リレー等を装備
付属の開発環境 (1年サブスクリプションなのは注意) には、
LCD や SDRAM の コントローラ IP
8bit、32bit の各種 CPU IP
OpenBus (ポトリペタペタでIOポートの割り付けとかをやってくれる)
タッチ、USB、TCP/IP等のドライバ、スタック。普通に stdout で液晶に文字出力できる
FPGA内デバッグに便利なロジアナ、ターミナル、スライダー等のGUIツールキット
793774ワット発電中さん:2012/12/12(水) 04:01:27.69 ID:muLgOEyS
alteraとxilinxぶっちゃけ今、ノリに乗ってるのってどっちよ?
794782:2012/12/12(水) 05:43:37.75 ID:8TUYWRSG
ふむ。あるサイトでLE=12ゲート換算という記述を見ましたけどこれ正しい?
DE0-Nano $79 CycloneIV 22,320 LEs (267,840Gates)
Basys2 $99 Spartan3E 250,000Gates
こうみるとBasys2の方が7セグやらVGAやら出力端子は豊富ですけど
DE0-Nanoは32MB SDRAMがついていたりするし今回の目的には
コッチのほうが良い気も?Spartan-6って単位が違うのでどの程度の
規模に相当するのかイマイチよくわからないな・・・。
とりあえず開発環境入れてみるか・・・。
795774ワット発電中さん:2012/12/12(水) 06:43:57.78 ID:XLb+irYh
>>794
だからさ、ボード/FPGAのスベックじゃなくて、ツールで選べって。
796774ワット発電中さん:2012/12/12(水) 06:54:43.05 ID:73dTcPXM
CPU作るなら、本が最近相次いで出たから、まずはそれ見てみるといい

ttp://yone2.net/archives/241
ttp://tatsu-zine.com/books/fpga
ttp://www.coronasha.co.jp/np/isbn/9784339024371/
797774ワット発電中さん:2012/12/12(水) 07:48:05.64 ID:Ua5axeaI
トヨタに乗ってる=>A
日産が好きだ=>X
 ならどうだ
798774ワット発電中さん:2012/12/12(水) 09:24:22.35 ID:4QgSMOGM
Vivado Webpackはそろそろ出るのか?
799774ワット発電中さん:2012/12/12(水) 10:09:37.78 ID:+nifqyct
3年前にA,X両方使ってみたあくまで個人的な印象

どちらかというとAの環境の方が直感的に分かりやすく感じた。
Xはマニュアル見ながらちゃんと手順を踏まないと先に進めず。
直感的にやるとハマった気がする。
800774ワット発電中さん:2012/12/12(水) 10:27:18.06 ID:utBKZGAA
両方使ってるけど、どっちでもいいよ。
シミュレータはちゃんとしたのを買え。
801774ワット発電中さん:2012/12/12(水) 15:03:29.26 ID:aizR7Fht
シミュレータで使うドライバとかスタブとかの充実度は…
まぁ簡単な奴は自分で用意するべきか。
802774ワット発電中さん:2012/12/12(水) 17:01:19.07 ID:57L8Pxxe
ニオスとか使ったことないが、ニオスを組み込むとそれだけでコンパイルに
1時間余分にかかるらしいが本当か?
803774ワット発電中さん:2012/12/12(水) 19:24:28.79 ID:sKVkugis
うそ
804774ワット発電中さん:2012/12/12(水) 19:50:12.96 ID:juXaVv+k
>>797
トヨタ、日産、ホンダ>A
スバル>X
三菱、マツダ>L
805774ワット発電中さん:2012/12/13(木) 04:52:09.90 ID:xvSMV549
>>800
今じゃフリーとか激安の物でもそれなりに動くから良い時代になったよなぁ。
806774ワット発電中さん:2012/12/13(木) 20:01:55.87 ID:RPviq1If
Nios入れても単純なデザインで制約も緩かったら2分足らずでコンパイル終わるよ
807774ワット発電中さん:2012/12/14(金) 02:57:39.54 ID:UDDhZvqm
 2分くらいでおわるの? それFreeのコンパイラ? 
最近仕事が少ないだろ。仕事が入ってきて、コンパイラ買っても1回
ポッキリってことが多い。だからFreeでやれる範囲でやりたい。
808774ワット発電中さん:2012/12/14(金) 21:20:56.37 ID:E4IK/SQS
購入しないとROMに焼けなくて、起動も1時間限定じゃなかったっけ?
809774ワット発電中さん:2012/12/14(金) 22:02:20.32 ID:WHTTwW2o
キャッシュなしの一番下のだったら
フリーで使えるようになってる。
810774ワット発電中さん:2012/12/15(土) 07:56:51.55 ID:LScqt+G+
WebEditionならタダなんだし入れて試してみりゃ良いやん。
仕事ならなおさら2ch情報なんてあてにすべきじゃない
811774ワット発電中さん:2012/12/15(土) 19:38:17.42 ID:m4I1Yp6N
>>810
ゆとりが試してみるなんてするはずがない。
ゆとりだから仕事に関することでも2ch情報をあてにする。
812774ワット発電中さん:2012/12/17(月) 01:58:23.67 ID:/jKj3tPq
そんなに自分を貶めるなよ
813774ワット発電中さん:2012/12/17(月) 19:27:18.88 ID:3NiJDL+t
modelsim PEには波形エディタでのテストベンチ作成機能があると書いてある。
modelsim altera starter editionには無いのか?
814774ワット発電中さん:2012/12/17(月) 22:09:12.44 ID:eJb/6sKL
>>813
ゆとりさん、こんばんは
815774ワット発電中さん:2012/12/17(月) 23:10:23.42 ID:sorxo+Lv
波形エディタでテストベンチ書く奴なんて、まさか居ないよな?
816774ワット発電中さん:2012/12/18(火) 05:46:50.77 ID:wBqgVUai
>815
 専門家の場合は意外に思うかもしれないが、滅多にFPGA組まない人が偶に
取り掛かかる場合は楽だとおもうよ。テスト的にちょっと動かす場合とか。
自分の場合は、よほど大きなプログラムでないと、波形エディタでやってた。
modelsimを動かすのは気持ちが重たい。コマンドを忘れてるし、直感的
に若い難い。
817774ワット発電中さん:2012/12/18(火) 08:53:51.89 ID:/4y21Y8M
>>816
波形エディタで悩むより、ベンチ書くのが簡単。
テスト条件も簡単に振ることが出来るし。

それ以前に「たかが波形エディタで、ライセンスを占有すんな!!」という笑えないネタもあるが(゚Д゚)ゴルァ
818774ワット発電中さん:2012/12/18(火) 09:08:00.73 ID:tw0lx/7F
つーか一般的に所要工数は 設計 <<<(超えられない壁)<<< テスト だ
設計工数より軽い/同等のテストしかしてない回路なんか使い物にならない

アジャイルなんて邪悪な思想を回路設計に持ちこんではならない
819774ワット発電中さん:2012/12/18(火) 12:21:30.10 ID:cBE7ABvW
アジャイルは設計に持ち込むもんじゃなくて
仕様決定に使うもん。
お客が明白に 欲しいのはこれ、と言えないのだったら
たとえばLEDの並び・明るさと点滅の仕方とか、
何種類か並べて、どれがいいですか?だ。
820774ワット発電中さん:2012/12/18(火) 12:41:49.02 ID:dUMTqg8B
>>818-819
完全にソフト屋だな
821774ワット発電中さん:2012/12/18(火) 14:29:22.41 ID:WoXwD2OI
>>818
それは「机上デバッグ」を軽視したやりかただな。
テストで問題が無ければオッケーってか?
822774ワット発電中さん:2012/12/18(火) 14:35:32.02 ID:wBqgVUai
>818
 エエッー、そうなのか? じゃあ
 設計 100万 
テスト 500万
とかなの?
 俺なんか普通、見積もりはテストは設計の半分くらいだ。
 実際そんなに時間かけない。
823774ワット発電中さん:2012/12/18(火) 14:39:47.15 ID:wBqgVUai
>819
 本設計もアジャイルだな。モジュールごとにテストして最後にくっつける。
最後にタイミング解析でバッファを追加して、レイテンシ調整したら、大体動くけどな。
824774ワット発電中さん:2012/12/18(火) 18:06:38.64 ID:tw0lx/7F
テスト仕様策定とテストベンチ構築(机上/実機の両方)に工数かけないと
でき上ったモノの動作検証ができないじゃん

電源入れたらなんとなーく動いたからいいか! で終わりなの?
825774ワット発電中さん:2012/12/18(火) 18:53:41.42 ID:KVJU0Nqy
>>818
>所要工数は 設計 <<<(超えられない壁)<<< テスト
超えられない壁は別にして、テストにデバッグ含めるとをそんな感じだよな
開発の最終段階になってEMI駄目そう、デジタルのノイズがアナログに回り込んで
おいおいとかってなると長くなるなって悟るからな
826774ワット発電中さん:2012/12/18(火) 21:16:27.63 ID:rMiqsFmZ
>>825
それって基板の話しでしょ? ちょっとズレてるよ。

>>824
それって適当に設計してて、テストと言うよりデバッグしているんじゃないの?

> 電源入れたらなんとなーく動いたからいいか!
なんとなくでOKは問題だけど、粗方の動作は一発OKでないと。
827774ワット発電中さん:2012/12/18(火) 23:43:59.96 ID:ybO6zjpY
だよねー
なんとなくはダメだよな、なんとなくは。
その点、俺はそこはかとなく動けばOKだ。
828774ワット発電中さん:2012/12/18(火) 23:57:47.07 ID:iCh8neVZ
いきなりLチカで躓く新人の研修をどうすれバインダー?
829774ワット発電中さん:2012/12/19(水) 00:14:41.63 ID:F11/a/4P
>>828
無料セミナーに行かせる
830774ワット発電中さん:2012/12/19(水) 00:20:14.71 ID:mk7PfrQO
>>828
派遣に出す
831774ワット発電中さん:2012/12/19(水) 00:36:09.54 ID:Zhy+p4Lo
千尋の崖に突き落とし、登ってくるのを待つ
832774ワット発電中さん:2012/12/19(水) 00:37:50.78 ID:ELbnHg01
え、普通
設計1に対してテスト5とかの割合でしょ
人員数にしても工数にしてもどんなプロジェクトでも大抵このくらいだけどな
ASICで失敗が効かない物だからかな?FPGAとかだと違うのか?
833774ワット発電中さん:2012/12/19(水) 08:18:25.77 ID:ZJqhW6p9
まぁ、そうね。
納期との兼ね合いもあるだろうけど、ASICの場合
故障検出等のため、テスト量が膨大になりがち。
FPGAはやらなくていいのかな?試作にしか使ったこと
ないから分からん。
834774ワット発電中さん:2012/12/19(水) 08:28:12.50 ID:pEQr5T94
>>832-833
ASICは大変らしいな。
それで開発費が高騰して、後で修正が効くFPGAだしな。
835774ワット発電中さん:2012/12/19(水) 08:43:09.49 ID:OE/vc64M
modelsimは糞分かりにくい。Lチカさえできれば、なんだってできるのに。
それすらできない。ChangeDirectryが上手くいかない。なんでだよ。
 コマンドHelpどこにあるんだよ。
836774ワット発電中さん:2012/12/19(水) 09:35:28.03 ID:+02NrU/X
>>828
指導者を代える
837774ワット発電中さん:2012/12/19(水) 12:38:33.73 ID:Bo9ZkWmj
>>835
もともとUNIX系のツールでプロが使うものだから。
838774ワット発電中さん:2012/12/19(水) 19:56:11.17 ID:IKfghnIE
modelsimとか使いこなすには
tclを覚えるのが必須だと思う
839774ワット発電中さん:2012/12/19(水) 23:59:14.31 ID:ikyeroh+
>>831
ほとんどは登れないんじゃないかな?

最近は手取り足取りやらないとダメみたいです。
840774ワット発電中さん:2012/12/20(木) 01:18:56.43 ID:gmqAvG8I
登ってきたら、会社が傾いてた。下り坂・・・
841774ワット発電中さん:2012/12/20(木) 02:12:17.62 ID:aqA+bPys
>>840
まぁ、その登る過程で何かを得られてたんなら転職も大丈夫でしょう
842835:2012/12/20(木) 04:22:56.23 ID:yB7rb4Jz
>838
憶えた。解った。ありがと。 この2行結構貴重だったよ。
>837
 Linuxのコマンドラインってtclなのか?

ちなみに、ディスクトップのショートカットでmodelsimを起動すると
ChangeDirectryが出来ないてのも分かった。
843774ワット発電中さん:2012/12/20(木) 06:48:07.99 ID:IaPViAvp
>>828
下の世話
844774ワット発電中さん:2012/12/20(木) 08:27:16.71 ID:yB7rb4Jz
modelsimでVHDLのシュミレーションスタートすると以下のエラーがでる。
メガファンクションを使ってると、シュミレーションできない。
なんでなの?

# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading work.prjcount_vhd_vec_tst(prjcount_arch)
# Loading work.prjcount(bdf_type)
# ** Warning: (vsim-3473) Component instance "b2v_inst1 : lpm_count100" is not bound.
# Time: 0 ps Iteration: 0 Instance: /prjcount_vhd_vec_tst/i1 File: C:/altera/90sp2/test_VWFtoBench2/prjCount.vhd
# Loading instances from C:/altera/90sp2/test_VWFtoBench2/simulation/modelsim/prjCount_vhd.sdo
# ** Error: (vsim-SDF-3250) C:/altera/90sp2/test_VWFtoBench2/simulation/modelsim/prjCount_vhd.sdo(0): Failed to find INSTANCE '/prjCount'.
#
# ** Error: (vsim-SDF-3894) : Errors occured in reading and resolving instances from compiled SDF file(s).
#
# ** Error: (vsim-SDF-3250) C:/altera/90sp2/test_VWFtoBench2/simulation/modelsim/prjCount_vhd.sdo(0): Failed to find INSTANCE '/prjCount'.
#
# Error loading design
845774ワット発電中さん:2012/12/20(木) 08:32:45.11 ID:4DmhfBCS
>>841
賛成。
おまえには才能がないと、ソフト屋になることを勧める。
な〜に問題ないさ、そろそろ高位合成の時代になるからw
846774ワット発電中さん:2012/12/20(木) 09:43:23.96 ID:RyS00aSX
>>844
メガファンクションのシミュレーション用モデルライブラリを入れた?
847774ワット発電中さん:2012/12/20(木) 10:21:13.09 ID:vbAD4+wR
>>842
デスクトップのショートカット問題はアマチュアの初心者が必ずはまる問題だな
俺も最初困った
848774ワット発電中さん:2012/12/20(木) 12:55:07.86 ID:K8lahm+X
linuxのCUIは多分bashとかだよ
Quartusのcygnusもbashだよね
849774ワット発電中さん:2012/12/20(木) 13:00:27.24 ID:K8lahm+X
ハードの設計ツールって
何故か、tclが組み込まれてる

ついでにtkも覚えてGUIアプリ作って遊んでた
850774ワット発電中さん:2012/12/20(木) 20:31:26.69 ID:UNBj2pj7
>>842
【ディスクトップ】(外誤科)
http://www.tt.rim.or.jp/~rudyard/gaigo001.html
851774ワット発電中さん:2012/12/20(木) 21:29:52.54 ID:VCvdYgNm
俺はなんでtclを採用してるのかでずっと悩んでるよ…
852774ワット発電中さん:2012/12/20(木) 22:05:45.46 ID:W7xmZhYf
慣れているのと、資産があるからじゃない
Xも独自からTCLベースになったし
853774ワット発電中さん:2012/12/21(金) 11:52:02.64 ID:eoInkzBC
Vivado WebPACK出たー!
854774ワット発電中さん:2012/12/21(金) 15:38:41.56 ID:w8kQXtMD
 今回、時間的に余裕があったから、勉強しても間に合ったが、ツールが
変わるのはやいなー。前回の仕事はV9で今回はV12だものかなり変わる。
ザイリンクスはもっと昔にやったきりだよ。
855774ワット発電中さん:2012/12/22(土) 11:10:22.02 ID:nyrc/w++
ビバドって、調子どうですか?
スバルタンしか使いませんが、ISEから乗り換える必要性って、ありますかね?
856774ワット発電中さん:2012/12/22(土) 14:07:05.21 ID:Wrs1W5s4
>>855
ISEよりは使いやすい
857774ワット発電中さん:2012/12/22(土) 17:26:57.82 ID:kXhraVYQ
スパルタンで使えないんじゃ、まだまだISEだま
858774ワット発電中さん:2012/12/22(土) 23:08:29.96 ID:/W6E0JEe
ザイリンクスのスパルタンは、アルテラで言うと何にあたりますか?
ザイリンクスのビァーテックスは、アルテラで言うと何にあたりますか?
859774ワット発電中さん:2012/12/22(土) 23:12:35.20 ID:ne3lHa0J
スパ/サイクロン
バー/ストラ
ってところかな。大雑把だけど。
名古屋の人ですか?
860774ワット発電中さん:2012/12/22(土) 23:58:02.69 ID:r+C33F0U
お前ら一大事!!猛烈にウンコしたいのに髪が、じゃなかった紙がない!!!
ティッシュでがんばるしかない!
861774ワット発電中さん:2012/12/23(日) 12:11:19.66 ID:9qV9HQ2I
XlinxはSystem Verilogは対応してるのか?
862774ワット発電中さん:2012/12/23(日) 12:29:18.72 ID:cCD1fecU
863774ワット発電中さん:2012/12/23(日) 15:37:00.87 ID:qKbjn2iV
modelsimで、バイナリーファイルを読み込んでROMの挙動をさせることって
できますか? いちいちVHDLに変換してコンパイルするのめんどいお…。
864774ワット発電中さん:2012/12/23(日) 16:11:12.47 ID:atRWWsov
VHDLやらVerilogでファイルから読み込みコードを書けばいいのでは?
865774ワット発電中さん:2012/12/23(日) 18:35:34.85 ID:hgRfrFhm
>>863
つ Hexadecimal(Intel-format)ファイル
866774ワット発電中さん:2012/12/23(日) 22:09:50.41 ID:hscHDgj/
>>862
Vivadoだけだよね。なので7シリーズだけしか使えない。S6V6までのデバイスでは未対応。
VHDL2008も対応してないし、Xはツールのサポート弱いよねぇ
QIIは両方とも対応してる。Qsysめっちゃ使いやすいし…デバイスはトラブってるけどw
867774ワット発電中さん:2012/12/23(日) 22:12:16.93 ID:dbXa/YD2
XのデバイスとAのツールが合わされば最良って事かw
868774ワット発電中さん:2012/12/24(月) 01:55:33.43 ID:ibzqs7hS
Microsemi(Actel)使っている人いる?ちょっと趣味用で興味があるんだけど
開発環境のライセンスが登録すればとりあえず1年使えるとはいえその先は
金がかかりそうな雰囲気だしそれを考えると無難にXとかAを使うべきかな?
869774ワット発電中さん:2012/12/24(月) 11:35:05.97 ID:bHS35B9l
Qはデバイストラブルあるのか? いまサイクロン4使ってるが大丈夫か?
870774ワット発電中さん:2012/12/24(月) 12:43:54.25 ID:CYzQmJfJ
あーいや、すまん。製造トラブルの方で今出てるデバイスに大きな問題は無いよね。
新しく出そうとしてるデバイスは相当苦労してるみたい
871774ワット発電中さん:2012/12/24(月) 17:13:44.44 ID:bHS35B9l
 やっぱりsystem verilogだよな。すこし仕様をみたけど格段に使いやすそうだ。
まずテストベンチが書きやすそうだな。VHDLでは無茶苦茶苦労したので、こんどは
Verilogにしてみたんだが、やっぱSystem Verilogにしよう。
 しかし本を持ってない。買いにいこう。なんかおすすめあるか?
872774ワット発電中さん:2012/12/24(月) 20:58:47.47 ID:SWM1RLHP
本よりAlteraのオンライン・トレーニング
http://www.altera.co.jp/education/training/courses/OJHDL1125
これを見てから本を探しに行けばいい
873774ワット発電中さん:2012/12/26(水) 00:46:25.90 ID:BTiJud14
>872
 これでだいたいわかるね。しかし便利になったもんだ。あと一つ不満は
たとえばVerilogのfunctionが順序回路の記述を扱えないこと。AHDLだと
これができたので、階層化したときに非常に解りやすく書けた。
System Verilogではそういう書き方はできないのだろか?
874774ワット発電中さん:2012/12/26(水) 08:57:58.99 ID:ouqSnPI1
素直にタスクにしろよ
875774ワット発電中さん:2012/12/26(水) 10:12:59.12 ID:Hnqk/zFe
タスク、使いにくくないですか?
876774ワット発電中さん:2012/12/26(水) 23:42:16.06 ID:AQahf3V7
時間の概念があるのがタスク、無いのがファンクションだろ
877774ワット発電中さん:2012/12/27(木) 10:00:41.31 ID:kN00ym9D
task って、合成できるのでしょうか?
878774ワット発電中さん:2012/12/28(金) 04:53:09.54 ID:IrnWN+GQ
module UART (
reset ,
txclk ,
ld_tx_data ,
tx_data ,
tx_enable ,
tx_out ,
tx_empty ,
);

http://www.asic-world.com/examples/verilog/uart.html

ここに乗ってるVerilogソースだが、これって可笑しいよね。
そもそもtxclkはボーレイトになるから、clkに対しては通常はかなり遅い筈だ。ならば

module UART (
reset ,
clk,
txclk ,
,,,,,
);

のようにclkを渡してtxclkはクロックエネーブルとして動作させるのが正しいと思う。
この考え間違ってる?

 根本的に解らないのは、FPGAにグローバルクロックが5本も10本もあること。
クロックを分けてしまうと、内部システムで信号を繋ぐ時にメタステーブルがでるので
ややこしくなる。わざわざクロックを分ける意味のあるケースってあるのだろうか?
879774ワット発電中さん:2012/12/28(金) 09:50:56.27 ID:X/k+xY3x
>>878
ボーレート用のクロックを外で作るのはよくあること。
そうしないとそのモジュールの汎用性が無くなってしまうから。
あと、クロックを分ける必要のあることはよくあること。
そのシステム内ですべてのクロックが同一周波数、またはその分周で動くとは限らないから。
ありがちなケースだと、SDRAMを動かすケースとかね。
規格として133MHzや266MHzに決められてたりするから
880774ワット発電中さん:2012/12/28(金) 13:24:59.18 ID:K0TbyMGz
  ●●●ケネディ大統領は何故、死なねばならなかったのか?●●●
  http://jbbs.livedoor.jp/bbs/read.cgi/study/3729/1226114724/53

  ¥¥¥¥¥¥¥『万有サロン』書き込み大賞・総額100万円¥¥¥¥¥¥¥¥¥¥¥¥

  この掲示板に優秀な書き込みをして、総額100万円の賞金をゲットしよう!(*^^)v
    万有サロン
      http://jbbs.livedoor.jp/study/3729/
    書き込み大賞の詳細
      http://jbbs.livedoor.jp/bbs/read.cgi/study/3729/1069922074/78-
    書き込み大賞の詳細(資料倉庫内)
      http://www2.tba.t-com.ne.jp/a-z/omake/banyu/taisho.htm

  また、あらゆる疑問に関する質問を、携帯電話やメールでも受け付けています。
    電話番号 080-4437-4187
    メール  [email protected]

  ¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥¥
881774ワット発電中さん:2012/12/28(金) 16:57:03.73 ID:IrnWN+GQ
>879
 なるほど。 外でクロックを造るとすると、133Mhzから分周して
送信クロックをつくるとFFの出力だよね。これをtxclkという
グローバルクロックに接続できるの? そんなことやったことが無い。
一旦外部に引き出して、ジャンパー接続するの?
882774ワット発電中さん:2012/12/28(金) 17:13:24.36 ID:X/k+xY3x
>>881
外に違う周波数の発信器をたくさんつけたり、内蔵PLLで分周してグローバルクロックに接続する
基本的にFF出力で分周するやり方はタイミング収束させることができないからやらないほうがよい
883774ワット発電中さん:2012/12/28(金) 22:28:45.22 ID:7q2hDngO
何度かグローバル配線使い切る設計はしてるぞ。
Spartan-3の頃はグローバル線が少なくて苦労したけど、最近は楽になったね。
増えたら増えた分だけ回路を押し込めるから、使い切ることはあるけど。

まぁ単一クロックで設計するに越したことは無いけど。楽だから。

あ、UARTも話だったか。
俺んとこはボーレート誤差を嫌うお客さんもいるから
14.7456MHzとかの水晶で別系統に分けることもしばしば。
884774ワット発電中さん:2012/12/29(土) 14:05:44.20 ID:bms0ucn4
>882
 なるほどPLL経由でグローバルに接続するのか。
 UARTごときにPLL1個使うのはもったいないのでは?(とはいえ、自分のレベルではPLLは余ってるが、、)

>基本的にFF出力で分周するやり方はタイミング収束させることができないからやらないほうがよい

 ??? 
 PLLだろうと、外部発振器だろうと別クロックなのだから、クロックの違うシステム間での
タイミング収束は無理なのでは? それぞれに収束させる以外にないとおもうけど。
クロックを2つ以上使ったことないので良くわからないが、、、
885774ワット発電中さん:2012/12/29(土) 14:06:42.33 ID:bms0ucn4
>883
>Spartan-3の頃はグローバル線が少なくて苦労したけど、最近は楽になったね。
>増えたら増えた分だけ回路を押し込めるから、使い切ることはあるけど。

 同一FPGA内のクロックの異なるシステム間はどう接続するの? 
外部入力の取り込みと同じようにメタステーブル処理するの?
886774ワット発電中さん:2012/12/29(土) 16:15:27.59 ID:bXvfPcYj
>>885
1bit信号なら多段FF、複数bit信号ならば非同期FIFO、DualPortRAM等
887774ワット発電中さん:2012/12/29(土) 18:10:38.89 ID:Pvo+k1iU
教えてください。実機の動作が変なので、
チップスコープで観測しようと、インサーターで組み込みました。
そうしたら、それだけで全く正常に動作してしまいました。
チップスコープを外すと、やはり動作が異常に戻ります。
こういう場合は、どのようにしてデバッグしたらよいでしょうか?

リソースが少ないので、
チップスコープを入れたままにする、というのは、やりたくないです。
888774ワット発電中さん:2012/12/29(土) 19:02:06.63 ID:bXvfPcYj
>>887
CSP挿入時に正常動作するという事から、
CSP未使用時に意図しない最適化で必要な信号線が消されているように見受けられます。

まずはCSPで観測している信号線にKEEP/SAVE属性を付与してみては如何でしょう。
それでCSP未使用時に正常動作するようになるのであれば、実際どの信号線が
消されているのかを絞り込んでいく感じで。
889774ワット発電中さん:2012/12/29(土) 20:07:54.98 ID:r/nkryAe
とすると、タイミング制約満足して無いとか
配置配線の問題であると考えられるので

1、タイミング制約や非同期信号の見直し
2、FPGAエディタのprobe機能で信号を引き出してオシロやロジアナで確認

といった確認になるかな。
2は自宅じゃほぼ無理だけど。
890774ワット発電中さん:2012/12/29(土) 22:16:58.16 ID:Pvo+k1iU
ありがとうございます。
>>888
>必要な信号線が消されているように
ちょっと驚きです。そんな「勝手に消す」ということが、
通常に行われるものなのでしょうか?
だとしたら、初めての体験で、びっくりです。
ちょっと調べてみます。
どうもありがとうございました。

>>889
ありがとうございます。
外部信号の取り込みで、非同期信号を含んでいますので、
見てみます。(って、チップスコープ無しでどうやってやるつもりだ→俺)

たまたまですが、オシロ、ロジアナはもっていますので、工夫してみます。
ありがとうきございました。
891774ワット発電中さん:2013/01/02(水) 02:26:34.19 ID:Og/TB4w3
質問です。
自営で、回路設計や製作をしています。
マイコンはちょくちょく使っていますが、FPGAは未経験です。
最近の仕事では、マイコンだけでは速度が追いつかないものが頻繁で、
マイコンをSH4まで使うようになりました。
しかしFPGAを使えば、速度の点では大変有利だと わかっていますが、使ったことがありません。

これからFPGAを使い始めて、商売でお金が取れるようになるには
どのくらいの規模を何回ぐらいやれば、モノになるのでしょうか。
もちろん、通常の知識や技術レベルがあるものとして、です。
マイコンでC言語は使っているので、System-Cという言語を使えば、FPGAも同じように使える、と思っています。
892774ワット発電中さん:2013/01/02(水) 02:50:10.26 ID:1m1kIV1K
どのくらいのお金を取りたいか、、、
月数百とか、50万、30万、色々あるじゃん

どこぐらいを狙ってるの?
893774ワット発電中さん:2013/01/02(水) 06:16:18.80 ID:T2jiLlpI
>>891
> マイコンをSH4まで使うようになりました。
SH4で間に合わない処理だと、25kLE位の規模が必要かな。

> マイコンでC言語は使っているので、System-Cという言語を使えば
System-Cでの高位合成は、ツールがメチャ高いし非現実的。しかも亜流だよ。
本流は標準Cで高位合成する方向。ザイリンクスのVivadoがこれ。でもデバイスが限られる。

なので、Verilog/VHDLは避けて通れない。

> どのくらいの規模を何回ぐらいやれば、モノになるのでしょうか。
CPLDの小さいものから初めて二年位かな。
二年経っても品質が良くないだろうから、実質三年かな。
894774ワット発電中さん:2013/01/02(水) 06:26:39.65 ID:nhpeLy/2
SystemCに過度の期待を持ってはいけない。
世の中のC++屋みんなが回路設計できるようになる魔法のツールではないんだよ。

HDLだとシミュレーションにModelsimなどの有料ツールが必要だけど
SystemCなら gccなどの無料ツールでもシミュレーション可能ってくらいが
ささやかな利点。
あとは C++が読める人にとって可読性が高いってのもある。

HDLが書けない人向けの設計ツールとしてはMatlab/simulinの方がまだマシだよ。
895774ワット発電中さん:2013/01/02(水) 12:29:56.33 ID:weWvd4Ai
> 本流は標準Cで高位合成する方向

本気で言ってるのか、バカなのか・・・
その両方か。
896774ワット発電中さん:2013/01/02(水) 12:36:00.13 ID:N/I4Bi+4
>>895
おまえは時流が見えてない様だな、バカ?
897774ワット発電中さん:2013/01/02(水) 12:49:58.62 ID:pwtt8kRA
Cで記述すると、なまじ言語が一緒なだけに
考え方について行けない人が多いんだよなぁ。
結局HDL覚えた方が早かったりしない?

それに世の中言語云々よりもGUIでアイコン並べて
「設計しました」って流れになってきてると思うけど。
898774ワット発電中さん:2013/01/02(水) 13:27:58.36 ID:weWvd4Ai
>>896
C言語で合成なんて、20年前から言われてるが実現できてないだろ。

時流なのか・・・
899774ワット発電中さん:2013/01/02(水) 13:32:07.79 ID:wa7/kunQ
高位合成は時流だろうけど、ソフトウェアを直接合成する、って考えてるのは勘違いだわな
900774ワット発電中さん:2013/01/02(水) 13:32:34.23 ID:PodZTeyw
for()で回すCのアルゴをHDLにするの、HDLが面倒。
そのまま合成したいわ。

GUI? 用意されていないアルゴだったらお手上げ。
そもそも、それってMAX+plusの頃から出来たけど、誰も使ってないじゃん。
わかるよ、Matlab/LabVIEWのこと言いたいんだろ?
901774ワット発電中さん:2013/01/02(水) 13:43:46.29 ID:pwtt8kRA
Qsysとかまさにアイコン並べてってレベルだろ。
多少複雑な設定盛り込んでるけどさ。

forで回すのをそのまま合成?
回路の動作速度とかレテンシ犠牲にするなら自動変換できるだろうけど…
やっぱマイコンで動いてたのをそのままってのは無理あるよね。
902774ワット発電中さん:2013/01/02(水) 13:44:44.12 ID:PodZTeyw
>>898
おまえの目の前にQurtusIIがあれば、中にC2Hが入っているのを知らんの?

高位合成は5,6年前にカタパルトで完成している。
その次はDIGO C?が浮動小数点対応をしている。
直近ではアルテラがOpenCL SDKを発表した。

いいや、知らなくて困るのはおまえだから。
903774ワット発電中さん:2013/01/02(水) 13:45:34.35 ID:weWvd4Ai
Cからそのまま合成できればソフト屋さんは楽なんだろうけどね。
ハードウェアリソースやタイミングを考慮した合成用のCに書き直すとかするなら意味ないし。
904774ワット発電中さん:2013/01/02(水) 13:47:11.62 ID:weWvd4Ai
>>902
www
905774ワット発電中さん:2013/01/02(水) 14:04:17.33 ID:pwtt8kRA
正にC2Hで>>903が懸念してる事態を目の当たりにしてるからなぁ。
いや、自分がやってた訳じゃないから他人事なんだけどさw
906774ワット発電中さん:2013/01/02(水) 14:21:43.99 ID:FpuhNgSA
>>901
もしかしてCをHDLに置き換えた経験無し?

for()文が面倒なのは、正しくは二重三重にネストされた多次元配列へのアクセス。
しかもループ毎にアクセスする順番が変わるとか、イジメのようなアルゴ。
Waveletがそう。

GUIでサクッと出来ればいいけど、外部SDRAMから淀みなくデータ供給出来るの?

>>903
そのほうがよっぽど楽だわ。
>>他
おもちゃのC2Hで高位合成が分かった気になるなよw
それにしてもC2Hを持ち出さないと話しが通じないおまえらって…
907774ワット発電中さん:2013/01/02(水) 15:32:39.95 ID:CNiPxJcT
ASIC設計ならね〜小中規模FPGAだとツールにかけられるコストには制限がかかる。
C2HやHLS(旧AutoESL)ぐらいまでじゃ無いかな?
「これならCだけで作れる!」って夢は捨てよう。
「今Cで動いてるのを黙ってFPGAにっ」って妄想も捨てよう。
通らない構文や書き換える必要がある構文、書き換えた方が効率よい場合もあるし、
並列性やパイプラインの指示を与える(ディレクティブとか言う)のは必須だし。
で、これで作れるのは「〜の機能を持ったモジュール」まで。

I/O周りのタイミングメインの記述はなんだかんだでHDLの方がまだ有利だよね。
DSP builderやSystemGeneratorは高位合成ツールじゃないけど、アルゴリズム検証は便利。

こうやって、[Cで作った、HDLで作った、DSP builderやSysGenで作った]モジュールと、
既存のIPなどをつなぎ合わせるのが、Qsys、SOPC Builder、XPSなどのツール群の役目。

まだ「これだけで何でも設計できる」って決め手のツールは無いね。
もっと手抜きができる万能ツールができてほしいものた。
908774ワット発電中さん:2013/01/02(水) 18:40:44.61 ID:QJ8ZASxY
ツールのせいにするな。
909774ワット発電中さん:2013/01/03(木) 10:03:04.37 ID:vK9Yaj1s
matlabは便利
cより期待している
910774ワット発電中さん:2013/01/03(木) 17:05:00.32 ID:Q2mrXK7j
HDLやなんかは回路設計の延長だと思うのは亜流なんだろうか?
回路を隠したいときにSPLD→規模大きくしてCPLD→入りきらないからFPGA
ときた自分にはC言語つかいたいならCPUでも繋いでおけ・・・なんて思う。
911774ワット発電中さん:2013/01/03(木) 17:24:16.09 ID:qEzspI+7
Cで回路設計出来ます!
設計工数が半分になりました!

そりゃあ、限られたアプリケーションで
Cの記述も限定ありまくりで、そのツールを
知り尽くした人間が書けばそうかもしんないけどさ、

そうやって騙して売ろうとするツールベンダーも
騙されるお偉いさんもいい加減にしてくれよ。

他にやるべきことがあるだろ。
912774ワット発電中さん:2013/01/03(木) 17:29:22.26 ID:6s431Zkk
二進も三進も(←読めない)いかなくなって、
助けてくれという仕事も入ってくるから別に構わない。
913774ワット発電中さん:2013/01/03(木) 20:56:28.38 ID:oDw8EQDC
CAD屋の気持ちはよくわかる。
914774ワット発電中さん:2013/01/04(金) 01:26:55.20 ID:1TgN2ueI
>>911
それは期待しすぎ。
所詮道具なんだから、使いこなしは必要。
915774ワット発電中さん:2013/01/05(土) 05:24:28.98 ID:5cq01F1B
>>907さん
僕はSoC/LSI屋ではなく、レス内の用語もわからないものばかりですが
とても興味深く大変面白く読ませて頂きました。
セミナー聞いてるような感覚になりました。

キーワード調べてみます。どこでどう繋がるとか発見が楽しみです。

(PLDの動向・ちょっと動かすのは過去やっていまして面白かったのですが
ASIC/マイコンの台頭に流された、ありがちなパターンです…)

※チラ裏・スレチすみません。
916774ワット発電中さん:2013/01/05(土) 11:05:51.06 ID:d7c9lKre
この業界って、アルファベットの省略が多いよね。
まずはそれで面食らう。
917774ワット発電中さん:2013/01/05(土) 17:44:21.10 ID:3njrO7jA
業界は関係なく、基本的にアメリカ人の省略の仕方がそうだからだろ
918774ワット発電中さん:2013/01/05(土) 17:54:06.26 ID:d7c9lKre
いやぁ、マイコンに比べると、FPGAのそれは多いと思うよ。
919774ワット発電中さん:2013/01/06(日) 17:07:01.09 ID:Ksa3M+tt
こんなの思いついた

ウェーハスケールコンピュータを作るとき

まずウェーハスケールのどこに故障したセルがあってもそれだけ無効化できるFPGAを作って
それ上でコンピュータ作る
920774ワット発電中さん:2013/01/06(日) 17:25:55.80 ID:7zzU05Mv
>>919
コストが折り合わないんじゃ
921774ワット発電中さん:2013/01/06(日) 20:17:16.37 ID:lCgzKEDp
わざわざ手間と金かけるだけの利点が無いとねぇ。

実際に作ろうとされたWSIではもうちょっと粒度の荒い構成だったようだが。
ttp://en.wikipedia.org/wiki/Trilogy_Systems
922774ワット発電中さん:2013/01/07(月) 06:29:55.38 ID:PFcsJEzd
>どこに故障したセルがあって
がネック
923774ワット発電中さん:2013/01/07(月) 08:18:58.96 ID:TLoo2iGe
4コアだけど不良があるから3コアとして出荷してるのは秘密だよ。
924774ワット発電中さん:2013/01/07(月) 09:12:32.32 ID:5aZXNCpu
Cellプロセッサが7個並列なのは、8個のうちどれか1個までなら壊れてても大丈夫、
という設計だったはず。
925774ワット発電中さん:2013/01/07(月) 11:02:15.95 ID:8EQlNN1z
初期の歩留まりが悪すぎで8から7になったんだっけ
926774ワット発電中さん:2013/01/10(木) 13:48:21.63 ID:HXSlwTie
今時はもっとコアを入れる事が出来るんだろうな。
927774ワット発電中さん:2013/01/10(木) 13:54:48.33 ID:F7W2Og6/
Cellは90nmと読んだから、28nmで10倍にできるかもな。
928774ワット発電中さん:2013/01/11(金) 04:38:26.74 ID:cXG1y5Vp
偶にFPGAをつかうが、すごいことになってるな。今回初めてNIOSをつかってみたの
だが、NIosだけだとリソースの1%程度だよ。エリアが腐るほど余ってる。
 もっともあまり詰め込むと、コンパイル時間がそうとうかかるのだろうが。
929774ワット発電中さん:2013/01/16(水) 18:46:26.99 ID:2GRsxXsQ
アルテラのVerilogで小さいモジュールが沢山あるんだが、いままで一つのディレクトリ
に全部入れていたんだが、数が多すぎて困る。ライブラリにする方法ってないのかな?
930774ワット発電中さん:2013/01/16(水) 22:21:01.01 ID:LURMPWYJ
サブディレクトリを掘って機能モジュール別に分類
931774ワット発電中さん:2013/01/17(木) 06:08:10.74 ID:X33THYnB
うーん…
932774ワット発電中さん:2013/01/17(木) 11:10:42.93 ID:o0jvScke
一つのファイルにまとめる
933774ワット発電中さん:2013/01/18(金) 01:22:20.47 ID:XbCV76pp
モジュールを一つのファイルにまとめると誤動作するだろ? しないか?
なんか不安だ。

それと、スケマチックを最上位に1個だけ置くのはいいのだが、IOPINが
多くて二つに分けたら、正常にアサインできなくなった。こんなもん?

それと、resetピンをアサインしているにも関らず勝手にクロックピンに
アサインする。何でだろ。モデルシムでシュミレーションするときグローバル
リセットがいるので、リセットを出しているがPORがあるので本来必要ない。
そういう時そういう時リセットってどうしたらいいんだろ。
934774ワット発電中さん:2013/01/18(金) 08:02:00.08 ID:MaiQJslQ
汎用ロジックIC数個程度で実装できる回路(シリアルの通信信号の加工)をコンパクトにしたいのですが
このような用途に適したPLDがあったら教えてください。カウンタと論理回路を数個で出来るはず
ピンは入力が4程度、出力が5程度です
機能的には小型のCPLDで実装できそうに思いますが足の数に無駄が多いように思います
10〜20pin程度のPLDってありませんかね?昔はGALなんて物もありましたが・・・
935774ワット発電中さん:2013/01/18(金) 08:21:56.60 ID:wkk7buS+
16F1822
936774ワット発電中さん:2013/01/18(金) 08:23:17.15 ID:wkk7buS+
× 16F1822
○ 16F1823
937774ワット発電中さん:2013/01/18(金) 09:32:25.28 ID:QpX98I5J
>>936
実は最初それでやろうとしたんですが、処理速度が足りず断念しました
32MHzで8MIPSしかないんですよね
AVRマイコンだと8pinの上は28pinしかないし・・・
938774ワット発電中さん:2013/01/18(金) 10:48:49.83 ID:SK1dwjuc
>>937
どれ位の処理速度が必要?
70MIPSだと28ピンしか無いけど
dsPIC33EP32MC202
40MIPSでよければ18ピンがある。
dsPIC33FJ06GS001
939774ワット発電中さん:2013/01/18(金) 12:57:51.38 ID:X3M9USHN
>>934
> 10〜20pin程度のPLD
それならPSoC1のCPLD部分だけ使えばいいよ。
940774ワット発電中さん:2013/01/18(金) 12:59:52.88 ID:X3M9USHN
>>935>>936>>938
適材適所って言葉知ってる?
941774ワット発電中さん:2013/01/18(金) 13:29:46.50 ID:rvP1ebOl
>>939
 割り込みで申し訳ないのだが、PSOCのPLD部分のプログラムってどうやって開発するのか
情報ある?
942774ワット発電中さん:2013/01/18(金) 14:20:46.93 ID:SK1dwjuc
>>940
PSoC1はツールがトロ過ぎるから、よっぽどマッチした目的で無ければ却下する。
しかもCPLD部分にしたって中途半端だし、ソフトで何か作るにしても中途半端。
量産で目的にぴったりな時以外は不便。特にちょこっと修正したい時とか。
943934:2013/01/18(金) 19:04:54.37 ID:+eD6D1ja
Σ(゚Д゚)!・・・自演された・・・出直します・・・orz

>>937は私ではないです
944774ワット発電中さん:2013/01/18(金) 19:24:26.89 ID:f+inSxAB
自己レス
>>939
> それならPSoC1のCPLD部分
PSoC1じゃないや、PSoC3だった。
PSoC1はCPLDじゃなかったね(´・ω・`)ショボーン
945774ワット発電中さん:2013/01/18(金) 19:33:16.68 ID:hjkhUV+p
自演って言うか?
騙られただろjk
946774ワット発電中さん:2013/01/18(金) 21:46:52.69 ID:8ltFLl+B
CoolRunner2の最小パッケージがQFN32だったかな。
IO数が21。
MachXO2もQFN32のパッケージがある。
こちらはIO数が22。

趣味レベルだと真ん中のパッドがハンダ付け出来ないのが難点。
947774ワット発電中さん:2013/01/18(金) 23:56:21.55 ID:sur6mbJL
>>946
AtmelのATF750LVCなら24ピンDIP/SOIC/TSSOPがあるね。I/O数は10pin。
ただ、「汎用ロジックIC数個程度」に対して割高な悪寒。

ピンが多くてもいいじゃない、MAX Vがおすすめ。
948774ワット発電中さん:2013/01/19(土) 00:02:21.62 ID:gOJ1Jw//
949774ワット発電中さん:2013/01/19(土) 04:05:08.35 ID:N6e1KDRE
>>946
自作レベルならXC9536(XL)のPC44かVQ44あたりの方がいいのかも。
変に低電圧電源作らなくてもいいし
950946:2013/01/19(土) 12:07:45.61 ID:W+8QxbyD
9500シリーズは熱食うからなぁ…

>>947
そのシリーズは中身GALだね。22V10サブセットって書いてある。
であればJTAG対応しているispGALの方がお勧めかな。
オンボードで書き換えできる。

あーデータシート見ようと思ったらLatticeのサーバ落ちてる…
951774ワット発電中さん:2013/01/20(日) 00:26:32.88 ID:rsRW4xty
>>950
主はGAL程度でいいんだろうし、インターフェースや電源楽な方がいいんじゃない?
952774ワット発電中さん:2013/01/20(日) 11:01:07.05 ID:r1vgTm7w
>>950
電気を食う、
熱が出る、

日本語、正しく使ってよ
953774ワット発電中さん:2013/01/20(日) 11:23:49.76 ID:DWNsYTbn
こっちにも小姑が湧いたかw
954774ワット発電中さん:2013/01/20(日) 14:23:46.24 ID:y1c8lLnu
でも、わかる気がする。

「熱食うからなぁ…」なんて聞くと、イライラする。
955774ワット発電中さん:2013/01/20(日) 14:52:26.57 ID:0ZsB0jKc
元気喰って!
956774ワット発電中さん:2013/01/20(日) 15:09:37.49 ID:3z2GDbLd
>>950
> であればJTAG対応しているispGALの方がお勧めかな。
ispMACH 4Aはどうよ?
957774ワット発電中さん:2013/01/20(日) 17:04:01.70 ID:96tveM1G
16bitくらいで特殊な命令セットのCPUを実装したいと思うのだが、
どの程度の規模のデバイスが適当だと思う?
958774ワット発電中さん:2013/01/20(日) 17:10:01.75 ID:utjT3hEg
そんなん、アセンブラで人工知能が書けるほどリッチな命令セットなのか
コンパイラ作成者が過労死確実な縮小セットなのかでぜんぜん違わんだろ。

予算の許すかぎり大きなデバイス使っとけでFA。
959774ワット発電中さん:2013/01/20(日) 17:13:40.01 ID:96tveM1G
>>958
サンクス
ちなみにお勧めの評価ボードある?
960774ワット発電中さん:2013/01/20(日) 17:31:09.09 ID:rTMyshao
>>959
純正
961774ワット発電中さん:2013/01/20(日) 18:23:29.89 ID:3z2GDbLd
>>957
ttp://opencores.org/projects に行って、参考になりそうなCPUを見てみたら如何?
962774ワット発電中さん:2013/01/20(日) 19:20:58.63 ID:weohBkPY
とりあえずDE0かBasys2。
足りなくなって買い直す羽目になっても金銭的にそんなに痛くないからな。
あと国内の流通を経由すると結構取ってる所もあるので注意。
963774ワット発電中さん:2013/01/20(日) 22:25:33.11 ID:96tveM1G
ありがとう。
取りあえず動かしてる人が多そうなDE0を買うかな。
964774ワット発電中さん:2013/01/20(日) 22:44:14.75 ID:Pgk0O93b
ペルチェ素子付きFPGA?!よく熱を喰い、より熱を吐く!!
965774ワット発電中さん:2013/01/20(日) 23:32:23.23 ID:96tveM1G
快食快便みたいだな。
966nami:2013/01/22(火) 17:43:38.34 ID:TQBWjbCZ
アプリケーション中に突然出力がハイインピーダンスになっていまい。
電源を再投入すると元に戻るのだけど、これはコンフィグが壊れたと
考えるのでしょうか。電源のノイズ以外でコンフィグがリセットされる
には、どんな理由が考えられますか?どなたかアドバイスください。
967774ワット発電中さん:2013/01/22(火) 17:47:39.15 ID:kE5TJqhH
電源電圧変動。
968774ワット発電中さん:2013/01/22(火) 18:28:39.55 ID:KSC9/nVs
>>966
そのFPGAはリセットせれるとHigh-Zになるの?
それともWeak Pull-upされるデバイス?

前者ならリセットされた。
後者ならI/Oの誤動作。
969774ワット発電中さん:2013/01/22(火) 18:39:16.50 ID:4u1T0gvk
コンフィグがリセットされたかどうかはdoneピン見てればわかるんじゃない?
970774ワット発電中さん:2013/01/25(金) 17:26:28.53 ID:zFtYVQV0
クロックを1khzから200Mhzまで切り替えたいのだが、なんかい方法
ないですか? PLLのパラメータを切り替えるようなことって出来るんですか?
971774ワット発電中さん:2013/01/25(金) 17:55:01.34 ID:c1i5h326
>>970
外部にPLL IC載せて制御する
972774ワット発電中さん:2013/01/25(金) 19:45:25.82 ID:M1eoBvko
>>970
200MHzとは欲張ったスペックだな

LTC1799とICS511を組み合わせる
973774ワット発電中さん:2013/01/25(金) 19:55:29.43 ID:KeXsmq1u
普通にカウンタとPLL併用すればいいんでない?
974774ワット発電中さん:2013/01/25(金) 20:01:22.32 ID:cmMPa4uO
>>970
Xilinxの内蔵PLLについて言うと、PLL内部の分周器を使った周波数合成の設定値はパラメーターだからコンフィグ時に固定されるな。
切り替えをしたいならPLLの外側に分周器を入れて自前で周波数合成器を作ればできるかも。
フィードバックループにM分周器を入れればM逓倍ができるようになるから、それとPLL前段か後段にD分周器を入れて組み合せると
f_out = M/D f_in の周波数合成ができるはず。
975774ワット発電中さん:2013/01/25(金) 20:10:28.39 ID:6wJbr4dj
周波数ステップとかどうなのよ。
しかし広帯域だな。まだ200MHz〜400MHzのほうが扱いやすい。
976774ワット発電中さん:2013/01/25(金) 20:32:55.04 ID:vY6z9a8U
>>974
ダイナミックリコンフィグで動作中に書き換えできるんじゃね?
割と制御も楽だと記憶してる
977774ワット発電中さん:2013/01/25(金) 20:35:47.55 ID:7qX5VCO7
>>972
いい組み合わせ GJ!
978774ワット発電中さん:2013/01/25(金) 20:39:29.09 ID:lZu/zvM0
979774ワット発電中さん:2013/01/25(金) 21:44:45.66 ID:dW1dPJKD
なぜにDDSの話が出てこないの?
980774ワット発電中さん:2013/01/25(金) 21:49:22.27 ID:cmMPa4uO
>>976
>>978
確かにPLL_DRPを使ってPLLのパラメーターの書き換えができるようだ。
あとDCM_CLKGENというのも周波数合成に使えそうだな。
981774ワット発電中さん:2013/01/26(土) 13:33:55.56 ID:it2CCgQ8
こんなのあったぞ。FPGAデザインコンテスト。
学生なんかの参加希望者にはFPGAボードを貸し出してくれるとか、小耳にはさんだ。

http://lut.eee.u-ryukyu.ac.jp/dc13/

http://www.cs.tsukuba.ac.jp/~yoshiki/FPGA/Contest/index.php?FPGA%C0%DF%B7%D7%A5%B3%A5%F3%A5%C6%A5%B9%A5%C82013%20%A1%CABlokus%20Duo)

http://shop.cqpub.co.jp/hanbai/books/MDD/MDD201211.html
982774ワット発電中さん:2013/01/27(日) 01:10:55.43 ID:pptt7Gt8
次スレはよ…
983774ワット発電中さん
次スレの御案内

 【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 18
 http://uni.2ch.net/test/read.cgi/denki/1359245319/