【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice/Actel 07

このエントリーをはてなブックマークに追加
1774ワット発電中さん
雑談歓迎です。ハメを外さない範囲でご自由にどうぞ。


前スレ
06 http://science6.2ch.net/test/read.cgi/denki/1154900133/
05 http://science4.2ch.net/test/read.cgi/denki/1139847266/
04 http://science4.2ch.net/test/read.cgi/denki/1129771211/
03 http://science4.2ch.net/test/read.cgi/denki/1119984464/
02 http://science3.2ch.net/test/read.cgi/denki/1104330395/
01 http://science3.2ch.net/test/read.cgi/denki/1081231226/


長くなりすぎなので他のリンクは >>2-10 あたりで


---- 他所の掲示板の話題をここに振ってるひとへ ----
技術的な問題に関するツッコミはOKですが、ただ晒すだけの書き込みは感心しません。
2774ワット発電中さん:2007/08/20(月) 21:14:52 ID:QMjhCRxO
3774ワット発電中さん:2007/08/20(月) 21:21:52 ID:QMjhCRxO
◆フリーのツール(情報追加よろしく!)
ALTERA Quartus II Web Edition http://www.altera.co.jp/
XILINX ISE WebPack http://www.xilinx.co.jp/

◆評価基板とか(追加情報よろしく!)
Spartan-3 Starter Kit http://www.xilinx.co.jp/products/spartan3e/s3eboards.htm
CQ出版のシリーズ http://www.cqpub.co.jp/eda/

◆雑誌
Design Wave Magazine(DWM) http://www.cqpub.co.jp/dwm/
※基板が付録で付いている号はバックナンバー希少になりがち
Interface http://www.cqpub.co.jp/interface/


◆関連スレ

【Verilog】記述言語で論理設計 Project5【VHDL】
http://science6.2ch.net/test/read.cgi/denki/1174319964/

暇だからVHDLでも書こうかな (inプログラム板)
http://pc11.2ch.net/test/read.cgi/tech/1043858153/

【アスナる日記】DWM1月号付録の基板で実験したぜぃ【夢ヲチ】
http://science6.2ch.net/test/read.cgi/denki/1108190169/

オープン開発でゲームコンピュータを作るスレ
http://science6.2ch.net/test/read.cgi/denki/1124621751/

MSXに関する話題はここだ 2詐欺目
http://science6.2ch.net/test/read.cgi/denki/1160676948/
4774ワット発電中さん:2007/08/20(月) 21:23:10 ID:QMjhCRxO
5774ワット発電中さん:2007/08/20(月) 21:26:00 ID:QMjhCRxO
◆Digi-Key (FPGA/CPLD オンライン購入)
ttp://www.digi-key.com/
ttp://jp.digikey.com/ (ALTERAも買えるようになったよ)
6774ワット発電中さん:2007/08/20(月) 21:26:50 ID:QMjhCRxO
 ___  見えませ〜ん
‖    |     ∨
‖現実 ∧_∧   .ヘ∧
‖ \ ( ・∀・) (゚A●)
|| ̄ ̄⊂   )  (   と)
凵    し`J   U U


 ___  読めませ〜ん
‖    |     ∨
‖空気 ∧_∧   .ヘ∧
‖ \ ( ・∀・) (゚A●)
|| ̄ ̄⊂   )  (   と)
凵    し`J   U U


 ___  知りませ〜ん
‖    |     ∨
‖常識 ∧_∧   .ヘ∧
‖ \ ( ・∀・) (゚A●)
|| ̄ ̄⊂   )  (   と)
凵    し`J   U U


 ___  ありませ〜ん
‖    |     ∨
‖未来 ∧_∧   .ヘ∧
‖ \ ( ・∀・) (゚A●)
|| ̄ ̄⊂   )   (  と)
凵    し`J   U U
7774ワット発電中さん:2007/08/21(火) 01:20:52 ID:5TTCRSxJ
8774ワット発電中さん:2007/08/21(火) 01:38:19 ID:dEAQQF3d
>生後18カ月のときにパソコン上でアプリケーションを動作させ
漏れの知り合いも、ダウンロードしておいたアプリをいつの間にか子供(乳児)に
インストールされてしまったと言うとったな。
何でもクリックしてしまうから、デスクトップに置いておくのは危険らしいww
9774ワット発電中さん:2007/08/21(火) 13:16:52 ID:xA1NEOfW
>>1
10774ワット発電中さん:2007/08/22(水) 19:44:54 ID:QlvgERcw
お聞きしたいことがあります。
秋月のMTV-54K0DNというITU656規格のCCDカメラを
なんとかしてFPGA使って外部SRAMに取り込みたいんですけど
どんな感じの手順でやるのがいいですかね?
どうもこのカメラ、27MHZのクロックを吐き出していて、それに同期してYUV
データを吐き出しているみたいです。
どなたかよろしくお願いします。
http://akizukidenshi.com/catalog/items2.php?q=MTV-54K0DN&s=score&p=1&r=1&page=
11774ワット発電中さん:2007/08/22(水) 19:47:58 ID:dbJhzM5e
カメラのクロックでYUVのデータを取り込んで、適当にSRAMに出力すれば良いんじゃないの?
12774ワット発電中さん:2007/08/22(水) 20:35:41 ID:QlvgERcw
>>11
その程度ならわかりますが、もう少し具体的に教えていただけないでしょうか?
お願いします。
たとえばひとつ気になることがあるのですが、27MHZのカメラの
クロックを使う以外に、もともとあるFPGAボードのクロック(30MHZ)
を使って取り込むことは可能でしょうか?もし可能でしたら方法を教えていただきたいです。
よろしくお願いします。
13774ワット発電中さん:2007/08/22(水) 20:45:31 ID:dbJhzM5e
内蔵クロックをDCMで倍に上げてカメラのクロックモニタリングしてやるとかかな?
使ったことないからどうやるかは知らんけど
14774ワット発電中さん:2007/08/22(水) 23:10:53 ID:bzhhD+rY
FPGAの内部メモリーを使ってFIFO呼び出せば簡単。
自力作成しても大した回路じゃ無い。
でもそんな面倒な事するより、27MHzで書き込む回路考えた方がもっと楽。
わざわざ30MHzで動作させる意味は何?
15774ワット発電中さん:2007/08/23(木) 12:51:24 ID:N5YijNkh
>>12
> その程度ならわかりますが、もう少し具体的に教えていただけないでしょうか?

わかってないやんけ。(w
お前はたぶん付きっ切りで指導してもらわないとわからんよ。
16774ワット発電中さん:2007/08/24(金) 02:51:57 ID:ZIRThsI/
>>12
十分に具体的だと思うが…
SRAMへは、書き込みアドレスを出して、WR信号を入れてetcのレベル?

取り込めるが、データは化けまくると思う。
17774ワット発電中さん:2007/08/27(月) 12:34:55 ID:aT9hp1sR
>>11 には無理(結論)
18774ワット発電中さん:2007/08/27(月) 12:35:32 ID:aT9hp1sR
× >>11
○ >>10
19774ワット発電中さん:2007/08/30(木) 10:55:25 ID:TxUEfnsq
かたつむり
20774ワット発電中さん:2007/08/30(木) 21:35:04 ID:O1tu1slr
@v <呼んだ?
21774ワット発電中さん:2007/08/31(金) 20:22:55 ID:9Nletc7F
   λλ
 / ゜Д゜) < 呼んだ?  それと絹さや
  ̄ ̄ ̄
22774ワット発電中さん:2007/08/31(金) 20:44:30 ID:XVYCv+6f
カタツムリといったらコイルの事だな
23774ワット発電中さん:2007/09/01(土) 03:34:12 ID:OktOlhD5
コイルといったらポケモンだな。
このゆとり世代は絶対に永久磁石とコイルを混同するはずだ。
24774ワット発電中さん:2007/09/01(土) 11:14:31 ID:XWUnxGnC
教えてください。
今まで、Spartan-3スタータキットを使っていましたが、今回Spartan-3Eスタータキットを購入しました。
(3Aではなく、3Eです)
簡単なテスト回路を組み、FPGAに直接プログラムするのは、うまく動作しました。
次に、日本語のユーザガイド(UG230)を参考にmcsファイルを生成し、xcf04sにプログラムしました。
書き込み自体は問題なくでき、Verifyしてもエラーがでないのですが、DONE LEDが点灯せず、実際動作しません。
PROGスイッチを押しても反応ありません。
なお、コンフィグジャンパーはマスタシリアルになっていて、その他のジャンパーも購入時のままです。
うまくいかないのは何が原因として考えられるでしょうか?
なお、同様の方法でSpartan-3キットのときは問題なく動作しました。
25774ワット発電中さん:2007/09/01(土) 12:14:18 ID:r9Ugl5OT
>>24
ファイル全部うpしたらもしかしたら見てみるかもしれない
26774ワット発電中さん:2007/09/01(土) 16:04:26 ID:tBrN1Low
>>24
mcsファイル形式じゃなくてexoファイル形式で作って書いてみそ
2724:2007/09/01(土) 17:48:44 ID:XWUnxGnC
>>26
exo形式でやっても同じ(ダメ)でした
>>25
ザイリンクスのサイトにあるサンプル使ってもダメなのですが、
生成したmcsをアップしたほうがよろしいでしょうか?
28774ワット発電中さん:2007/09/01(土) 17:49:36 ID:r9Ugl5OT
直接3Eのボードに書き込んでもダメなの?
2924:2007/09/01(土) 19:34:48 ID:XWUnxGnC
>>28
直接というのはxcf04sではなく、FPGAに直接ということでしょうか?
それでしたら問題なく動作します。

その後、ザイリンクスのサイトにあった、このボードに最初から入っていた
サンプル回路のmcsファイル(最初から生成されていた)を
こちらのサイト
ttp://be-con.jp/shiryo/xilinx/sp3e_prj/sub003.html
も参考に書き込みしてみたのですが、だめでした。
もう、ハードが壊れてしまったのでしょうか・・・?
30774ワット発電中さん:2007/09/01(土) 19:51:32 ID:r9Ugl5OT
直接書き込んで電源切って再度入れて動かないってことかい?
31774ワット発電中さん:2007/09/01(土) 21:34:31 ID:QL7QbdLi
>>22-23
こいるといったら昭和
3224:2007/09/01(土) 21:42:08 ID:XWUnxGnC
>>30
はい、電源入れなおしで動きません。
PROGスイッチを押してもだめです。
33774ワット発電中さん:2007/09/01(土) 22:04:57 ID:r9Ugl5OT
3Eはジャンパは購入時から一切弄らないままで、使ってるんだよね?
上の解説サイト見る限りは手順に問題ない気がするけど…
34sage:2007/09/01(土) 22:40:08 ID:DmBHHbhq
ボードが手元にあるから mcs アップしてくれたら試すよ。
3524:2007/09/01(土) 23:22:54 ID:XWUnxGnC
>>34
ザイリンクスのサイトのサンプルの一番上に、購入時に書き込まれているサンプルの
ZIPがあるのですが、この中にあるmcs書き込んでもダメでした。
ttp://japan.xilinx.com/products/boards/s3estarter/reference_designs.htm
3624:2007/09/01(土) 23:32:21 ID:XWUnxGnC
>>33
ジャンパはいじっていませんし、念のため、本来あるべきところに刺さっているかも確認しました。
また、念のため、別のジャンパピンとの交換もしました。
ISE9.2使っていたのですが、8.1にかえたり、USBケーブル変えたり、
USB直付けにしたり、パソコン変えたり。
すべて変わりませんでした。
気になるのはジャンパピンなどのところのハンダ付けが手直しさえている跡があることです。
37774ワット発電中さん:2007/09/01(土) 23:55:44 ID:DmBHHbhq
>>35
ISE8.2i webpack の IMPACT を直接起動して MCS を書いてみたけど
Verify OK 、Done LED 点灯、PROG sw OK と問題なく動いたよ。
ボードは Rev-D を使用。半田の手直し跡のようなものは無かった。
3824:2007/09/02(日) 00:11:49 ID:qANVCjYQ
私のボードもRev-Dです。
ハンダの修正は、明らかに手で直した後が7箇所ほど。しかもイモハンダ気味。
うち、一箇所(VGAコネクタピンが2箇所)はハンダ「かす」がついていて
隣の端子と、くっつく寸前というお粗末なものです。
ハズレを引いたのかな? ザイリンクスに問い合わせてみます。
39774ワット発電中さん:2007/09/02(日) 00:23:12 ID:7iXMkpt8
回答来たらもしくは解決したら教えてほしい。
4024:2007/09/02(日) 00:45:38 ID:qANVCjYQ
>>39
はい、そうします。お騒がせしました。
41774ワット発電中さん:2007/09/02(日) 17:06:25 ID:fihfp6lx
おまいら、FPGAの神の技を漏れは見たぞ。正直、紹介せずにはいられない:

ttp://nsa.unaligned.org/hw.php
> NSA@home is a fast FPGA-based SHA-1 and MD5 bruteforce cracker.

しかし廃棄物を再利用する↑の人もとんでもないが、企業な人は
これが日常だからまさに神の世界の住人。
42774ワット発電中さん:2007/09/02(日) 23:08:24 ID:Yo5uuHJB
工工工工工工エエエエエエ(´д`) エエエエエエ工工工工工工
firstならともかく、fastだろ。しかも、8文字のキャラクタ文字(64^8)までじゃん。

スゴくないことはないけど、SHA-1やMD5を本気で使わなきゃならない人らは8文字までなんてありえないし、
逆に、8文字以下で使う奴らはたいていパスワードに1とか1111とか1234をつかってるんじゃないの?
43774ワット発電中さん:2007/09/03(月) 02:17:23 ID:GvsKhw4F
44774ワット発電中さん:2007/09/03(月) 12:35:33 ID:okICsSAZ
Xilinx LogiCore の FFT 使ってる人います?
45774ワット発電中さん:2007/09/03(月) 22:43:53 ID:EyVKiX/t
>44
DWMの2007年FPGA基板付録号に書いてある
46774ワット発電中さん:2007/09/04(火) 02:52:00 ID:UKvo52RB
Alteraのcyclone使ってみたいのですが、昔の雑誌付録程度の評価ボードで
現在買えるようなのないでしょうか。周辺コテコテつけて高いのは避けたい。
47774ワット発電中さん:2007/09/04(火) 03:53:59 ID:PpCEIf/H
VHDLからFMSチャートを出せるツールない?
48774ワット発電中さん:2007/09/04(火) 06:44:19 ID:nQuQRpwN
FSMのバブルチャート表示の事ならば Synplify Pro とかで可能。
49774ワット発電中さん:2007/09/04(火) 14:23:58 ID:+B9u6UWo
CPLD開発の勉強にISE WebPack 9.11を使っていますが、Behavioralシュミレーションでは問題なく
動作してもPostFitシュミレーションでは、シュミレータから入力しているクロック信号まで'X'になってしまい
ます。ソースを何度も見直しているのですが、原因が良くわかりません。そもそもクロック信号まで'X'になる
のは、どう考えても不自然に感じるのです。
 シュミレータはメンター社の物もあるようですが、皆さんはどちらを主に使われているのでしょうか?
50774ワット発電中さん:2007/09/04(火) 14:38:29 ID:DAdzqh6a
シュミレータなんて言ってるようじゃXの意味もわからんのだろうな
51774ワット発電中さん:2007/09/04(火) 14:57:18 ID:V7lk9vkN
言葉狩り程度でしか自己主張できないのは悲しいな
52774ワット発電中さん:2007/09/04(火) 15:26:11 ID:DAdzqh6a
推して知るべしのタームのオンパレードを見ても
何も推測できない鈍感なのはみじめだな。
53774ワット発電中さん:2007/09/04(火) 15:29:51 ID:DAdzqh6a
もひとつ言えば、
信号値を決定できない条件がシミュレータの仕様にちゃーんと明記されてて、
配線遅延を加味するとその条件に合致するからXを出力してるのに、
その条件すら読めてないことがアホタームからすぐに読み取れるのに、
鈍い奴は首吊るしかないな
54774ワット発電中さん:2007/09/04(火) 20:07:19 ID:hY+nQuAw
>>49
明らかにソースが悪そうなのに、お前の言う「しゅみれーた」のせいにしたいわけね。
主に使ってるのは、ModelSimとGPL Cver+GTKWave。
以上。
55774ワット発電中さん:2007/09/04(火) 21:19:56 ID:+B9u6UWo
 >>49を書き込みした者です。
 その後、試行錯誤していていたのですが、最終的に.twbファイル
(テストベンチファイル?)をもう一度作り直すことで、現象は無くなりました。
まだ、ISEの使い方を十分に理解できていませんので設定方法やファイルの
作成手順におかしな点がるのではないかと思います。
 ただ、この(シュミレータではなく)シミュレータのメッセージにLite Verと出るので、
機能的に削除された部分があるのではないかと気になり質問しました。
これから操作を覚える段階ですので、あまり制限のあるものより多くの方が
使用されている物の方が良いかと思い質問しました。
 >>54の方には、質問に紳士に回答いただき、感謝いたします。
使い勝手や使用感などをお教えいただけると助かります。
 ID:DAdzqh6aの方には、2ch特有の反面教師として感謝いたします。
ただし、不愉快ですので今後、コメントいただかかなくて結構です。
56774ワット発電中さん:2007/09/04(火) 21:38:25 ID:dB6ATxav
自慢のメトカルくんが泣いてるぞ>>ID:DAdzqh6a
57774ワット発電中さん:2007/09/04(火) 21:50:18 ID:bUnxKsNx
58774ワット発電中さん:2007/09/05(水) 00:02:29 ID:9MGos7h2
>>55
>ID:DAdzqh6aの方には、2ch特有の反面教師として感謝いたします。
>ただし、不愉快ですので今後、コメントいただかかなくて結構です。

Simulateがようやくシュミレートではないことを気づかせてやったのに
感謝もせずにえらい言われようだな中卒小僧
2ヶ国語放送のsimulcastもsimultaneous も知らんアホだから趣味レートなんて書くんだヴぉけなす
しかも、アホ故の己の無恥を反省もせず、テメエの糞ソースの検証もしないでライトバージョンの
シミュレータに責任転嫁かアホンダラ
さらに、その上不愉快なのでコメント書くなだぁ?アホブリを罵倒されるんがいやなら
はじめから2chに書き込むな糞ったれ。
59774ワット発電中さん:2007/09/05(水) 01:30:51 ID:ahuYcNEy
>>58
コメントするなって言われてるのにコメントする文盲乙www
日本語すら読めないから、”ヴぉけなす”なんてDQNですら書かない日本語書くんだ

ゴメン飽きた
60774ワット発電中さん:2007/09/05(水) 01:55:56 ID:9MGos7h2
>>59
寝ぼけんな。ヴぉけなす。
コメントするなっていわれてハイそうですかと引き下がると思っとんのか糞ガキが。
コメントされるのが嫌ならその前にテメエが書き込むな低能
61774ワット発電中さん:2007/09/05(水) 01:58:29 ID:ahuYcNEy
うん、多分勘違いしてるけど俺>>55じゃ無いんだ。すまない
全部改変して夏休みで頭オカシクなってませんか?って言うのを遠回しに言おうと思ったんだが…
もう手遅れっぽいな
62774ワット発電中さん:2007/09/05(水) 02:20:29 ID:9MGos7h2
再び登場か?ヴぉけなす。
ヴぉけなすが悪くて世の中一般ではおよそ意味の通じないDQN がまともな用語かアホ
テメエに言われようが>>55に言われようが関係あるかよ。レスつけたときにつけるんや
正確に識別してほしけりゃトリップでもつけなッ
63774ワット発電中さん:2007/09/05(水) 02:24:39 ID:1sYRN0jd
ID:DAdzqh6a = 9MGos7h2は、夏休みで頭オカシクなったんじゃないよ。
見てのとおり、もともと基地外なんだよ。
64774ワット発電中さん:2007/09/05(水) 02:26:48 ID:ehsqicKL
伝説のQとは別人なの?
65774ワット発電中さん:2007/09/05(水) 02:32:59 ID:9MGos7h2
>>ID:1sYRN0jd
アホぶり指摘されたもんで何としても愚弄したい罠ギャハハハハ
中卒哀れ
66774ワット発電中さん:2007/09/05(水) 05:02:10 ID:MxoB1yZF
>>63
愉快犯には当事者以外の外野はコメントしないでスルーしれ。
67774ワット発電中さん:2007/09/05(水) 12:29:12 ID:LeAO7v+j
>>55

>>54が紳士かぁ?思いっきりバカにされてると思うが。




俺だけどな。(w
用語ちゃんと覚えないヤツとか、日本語ちゃんと書けないヤツ
(ex: 「そのとうり」、「わかりずらい」etc.)嫌いなのよ。
68774ワット発電中さん:2007/09/05(水) 12:38:56 ID:ahuYcNEy
かぁ?
(w
用語(を)ちゃんと覚えない
がちゃんとした日本語だと思っている>>67が居るスレはここですか?
69774ワット発電中さん:2007/09/05(水) 18:21:46 ID:oubUfbxe
?
70774ワット発電中さん:2007/09/05(水) 20:05:38 ID:GdR+3lvR
>>54
>(テストベンチファイル?)をもう一度作り直すことで、現象は無くなりました。

ハァ?エラーの存在を警告してくれてるテストベンチの方をいじって、
エラーを隠蔽してしまってどうするよ


    バ  カ  は  死   ね  !
71990:2007/09/05(水) 20:33:51 ID:0l+UzNJA
CPLDゲーマー 、、かよ(w
朝から晩までCPLDアドベンチャーゲームしてろ。
特に罪倫糞ISEはゲームソフトとしかいえないだろ。(wwwww
72774ワット発電中さん:2007/09/05(水) 20:49:03 ID:GdR+3lvR
あ!アンカー間違った >>55
73774ワット発電中さん:2007/09/05(水) 20:53:19 ID:GdR+3lvR
>>55
罵倒ついてに

>シュミレータではなく

そうシュミレータではない

>紳士に回答いただき、感謝いたします

単純変換ミスじゃないだろ?
”真摯” な!

 ヤレヤレ ┐(´ー`)┌ マイッタネ

 も う ね 生 き て る 価 値 な い よ お ま え
74774ワット発電中さん:2007/09/05(水) 23:59:57 ID:AOiTUl/s
寺のクマはまだ健在なの?
75774ワット発電中さん:2007/09/06(木) 01:35:24 ID:ns8jHC9K
荒れて参りました
76774ワット発電中さん:2007/09/06(木) 21:02:02 ID:2YHH3/3A
趣味でしかやってられないISEゲーマーなんだからさあ
シュミレーターが正解でしょ。それらしく聞こえるし、本当のことをズバリ突いているし
77774ワット発電中さん:2007/09/06(木) 22:36:21 ID:KuS58574
GdR+3lvRと
9MGos7h2はイタイなぁ
何で荒れるのかようワカラン
ジサクジエン乙

>>70
馬鹿は死んでもなおらん
78774ワット発電中さん:2007/09/07(金) 18:59:05 ID:mAiSa/9P
シミュレータとシュミレータと
使い分けを知らない人がいるみたいですね
79774ワット発電中さん:2007/09/07(金) 20:59:53 ID:zB+KIv3A
そう言えば昔、シュミレータを連発する営業が来たが言葉尻は無視して対応していたら、
「御社ではシミュレータと呼ばれているのですね」
と言われてマジ絶句。
80774ワット発電中さん:2007/09/07(金) 21:18:20 ID:lMP8DYV6
そこで返せないと座布団はあげられません。
81774ワット発電中さん:2007/09/07(金) 21:26:34 ID:3//U7wrg
英語辞書の該当ページを開いて
「これお使いください」
と渡してやるのが心配り
82774ワット発電中さん:2007/09/08(土) 00:56:17 ID:Jd0xYB14
営業「御社ではシミュレータと呼ばれているのですね」
漏れ「弊社では業務でやっておりますので」

が正しい返しだろ。
83774ワット発電中さん:2007/09/08(土) 01:08:02 ID:L9vwGntA
>>79
実は「シュミレータ」がその社の商品名だったんじゃないか?
84774ワット発電中さん:2007/09/08(土) 01:21:50 ID:T9K4AUcm
趣味れーたスレはここですか?
85774ワット発電中さん:2007/09/08(土) 06:26:43 ID:PlBxOPMU
シュミレータで問題なくても、シミュレータだと問題おおあり、、ってのが多いから、
「貴社ではシュミレータをお使いください」って売り込みにきたんだよ。
追い返せ!
86774ワット発電中さん:2007/09/08(土) 19:40:43 ID:X/ujtnDV
「ところで今日は手ぶらで来たの?」

が正しい返し。
87774ワット発電中さん:2007/09/09(日) 22:26:50 ID:BN4WTdWP
「山吹色の饅頭をご持参いたしました。 いっひっひ」
88774ワット発電中さん:2007/09/10(月) 11:58:33 ID:uhWhHTv2
バカスwww
89774ワット発電中さん:2007/09/11(火) 16:53:16 ID:GpWoChdD
わらわら
9024:2007/09/14(金) 21:37:23 ID:qVihczc+
少し前に、spartan-3Eスタータキットで、ROMからの起動ができないと書いたものです。
経過と結果を報告します。

ザイリンクスの技術サポートにWebより連絡を入れました。
技術サポートの方と電話およびメールにて数回コンタクトを取りました。
その結果、ザイリンクスが用意したサンプル回路を指示通り書き込んでも起動できないことを確認。
スタータキット自体の不良が考えられるとの結論をもらいました。
販売店を経由して新しいものと交換してもらい、さきほど書込みテストを行いましたが、
今回は何事もなく正常に起動できました。
念のためいろいろなサンプル回路や自分で作った回路を書き込みましたが、どれも問題なく起動しました。

やはり個体不良だったようです。
お騒がせいたしました。および、相談にのっていただき、ありがとうございました。
91774ワット発電中さん:2007/09/17(月) 13:06:37 ID:4tC7a7Co
罪倫は出荷前に製品のテストしていないのか?
92774ワット発電中さん:2007/09/17(月) 16:34:20 ID:ZB29qGo4
ACTELのFPGAは内蔵RAMがROMとして初期化できない件について

#冗談みたいが本当の話だ
93774ワット発電中さん:2007/09/17(月) 23:10:17 ID:Txs/LXxW
入手できないデバイスなんかどうでもいい
94774ワット発電中さん:2007/09/18(火) 00:14:28 ID:c1C9tFaO
RAMのヒューズは飛ばせないだろうからな。
95774ワット発電中さん:2007/09/19(水) 20:52:59 ID:msF3ginB
試験的なもので簡単なカウンターの回路を動かしたいです。
SynplifyProで合成かけてそっからISE立ち上げて書き込みます。
ISEを立ち上げると.edf形式のファイルが開かれています。
これから制約ファイル.ucfを作ってFPGAに書き込もうと思います。
.sdcファイルを既に作っているのでそれから.ucfを作ろうと思っています。
SynplifyProで合成かけると.ucfと同じ形式の.ncfを吐きますよね?
この.ncfファイルをそのままISEで読み込んで編集すればいいのですか?
また合成をかけたときに.sdcから.ncfが作られると思うのですが
.ncfの入出力に何もてきません。問題はどこにあるのでしょうか?
ちなみに.sdcファイルには入出力(clk等)はきちんと存在します。
96>>95:2007/09/19(水) 21:56:34 ID:msF3ginB
手書きでucfファイル作ったら
9. デザインに出力パッド接続がない場合、デザイン全体が未使用となって削除され、次のような MAP のパックに関するエラー メッセージが表示されます。
ERROR:Pack:198 - NCD was not produced. All logic was removed from design. This
is usually due to having no input or output PAD connections in the design and
no nets or symbols marked as 'SAVE'. You can either add PADs or 'SAVE'
attributes to the design, or run 'map -u' to disable logic trimming in the
mapper.
というエラーがでました。
もしかしたらncfファイルの入出力の定義が存在しなかったのはもとの回路の入出力が存在しないから?
でもHDLのトップファイルにはちゃんと入出力があるし。。。
ちなみに

<.sdc>
define_clock -name {clk} -freq 0.000000 -clockgroup default_clkgroup
define_input_delay {GlobalEnable1} 0 -ref clk:r
define_output_delay {Port_Out2} 0 -ref clk:r
define_output_delay {Port_Out1} 0 -ref clk:r
# Do not pack registers in IO pads
# This will be relevant if designer inserts IO
define_global_attribute syn_useioff {0}

<.ncf>
#
# Constraints generated by Synplify Pro ------
#

# Period Constraints

#Begin clock constraints
#End clock constraints

# Output Constraints
# Input Constraints

# Location Constraints
# Net Constraints

# End of generated constraints

クロックを入力してカウントし
上位2ビットをPort_Out1,Port_Out2から出力するだけです。
97質問です:2007/10/05(金) 23:55:23 ID:O3Du/Tz7
DesignWave 2007/1 の付属基板に載っているXC3S250E-VQ100
にコンフィグレーションROMを付けようと思っているのですが

手持ちの XCF02S(tm)V 78444 244 を使って大丈夫でしょうか?

雑誌には XCF02SV20C を使うように言っているのですが、同一の
ものかよくわかりません。
(形状は同じです)

雑誌の写真をよく見ると、XCF02S(tm)V6 78637 637 と刻印されているようです。

ttp://japan.xilinx.com/products/silicon_solutions/proms/pfp/spartan.htm

↑このページを見ると、 XCF02S と V20 で区分されているようですが、
V20が何を表わしているのかよくわかりません。

20ピンということなのでしょうか?

よろしくお願いします。
98774ワット発電中さん:2007/10/06(土) 00:32:42 ID:PcVCCFxN
なぜデータシートを読まないのかわかりません。
よろしくお願いします。
99774ワット発電中さん:2007/10/06(土) 01:57:46 ID:mzb6Fqhh
>>97
僕も以前それで困ってしまいました。(>>97さんも同じ仲間ですね)

僕の場合は、データシートをダウンロードして読んで解決できました。

>>97さんはここで聞いて、結果だけを安直にゲットしようとしているみたいですけど、
ご自分で

  デ ー タ シ ー ト ぐ ら い 読 め よ ボ ケ!

で、ございます。がんばってくださいね。

100774ワット発電中さん:2007/10/06(土) 05:54:22 ID:BxvAg1qV
大乗仏
10197:2007/10/06(土) 07:42:09 ID:t0frKLm+
英語なのでデータシートの読み方が分かりません…
何を読めば良いのでしょうか?せめてAVRのような日本語ドキュメントはありませんか?
102774ワット発電中さん:2007/10/06(土) 08:52:41 ID:aR32ztzk
>>97
義務教育くらい受けろ
10397:2007/10/06(土) 09:10:25 ID:CFxg+pnU
>>98-102
ワラタ

レスありがとなw

しょうがない、自分で調べるか
104774ワット発電中さん:2007/10/06(土) 09:23:49 ID:t0frKLm+
千石に売ってるの買ってくれば良いじゃない
105774ワット発電中さん:2007/10/10(水) 09:27:10 ID:sW54JbKx
一般的な語学学習に於いては
1)文法
2)単語
3)慣用句
4)その言語を話す国(地域)の文化・習慣
等と言ったものを学んでいきます。
文法だけ知っていても、単語だけを知っていてもカタコトしか話せませんし、
慣用句を知らなければ自然な言葉になりません。
また文化を知らない場合も同様にコミュニケーションに齟齬を来たします。

プログラミング言語にもこれと同じことが当てはまります。
106774ワット発電中さん:2007/10/10(水) 23:47:15 ID:g2iS/MiB
英語が読めない技術者って都市伝説じゃないのか?
どうやって仕事してるんだよ
107774ワット発電中さん:2007/10/10(水) 23:51:20 ID:ne/kspgS
>>106
俺本当に見たことあるよ、英語読めない技術者。
実在するよ。マジで。
英語読める技術者の下請けみたいな仕事してた。
108774ワット発電中さん:2007/10/11(木) 01:07:21 ID:TKqQseG4
>>105
ファンサブ最低


まで読んだ。
109774ワット発電中さん:2007/10/11(木) 01:14:55 ID:gW5ySVKA
俺も見たことあるな
Doneをどーんとか呼んでる奴
110774ワット発電中さん:2007/10/11(木) 10:19:58 ID:EswBW+0o
というか英語が苦手でもデータブックは読めるよ
111774ワット発電中さん:2007/10/11(木) 19:19:14 ID:8HQHjtrq
ていうかデータブックくらいしか読めません
112774ワット発電中さん:2007/10/11(木) 20:46:23 ID:dLv94zkX
C++で会話。
113774ワット発電中さん:2007/10/11(木) 21:56:03 ID:6V0VO5Ca
>>109
どーね
114774ワット発電中さん:2007/10/12(金) 05:26:57 ID:yRTDg23E
Fの次のアルファベットなんだっけ?っつーレベルの香具師もいた
115774ワット発電中さん:2007/10/12(金) 07:33:57 ID:/UAXtEV0
F の次は 10 とか言うオチを期待して「 F の次は ? 」と聞かれただけちゃうんかと
116774ワット発電中さん:2007/10/12(金) 10:00:36 ID:RqIouSPj
>>106
必要であれば英語のデータシートも読むけど、
英語の小説や新聞が読めるようなレベルではなかろ?
技術資料はカタカナが英語になってるだけみたいな感じなんで何とか読める。

俺はその程度では「英語が読める」とは言わないけどね。
117774ワット発電中さん:2007/10/12(金) 10:35:01 ID:r8vCFHX6
逆に言うと英検1級取ってる奴でもデータブックが読めない奴は大勢いる
118774ワット発電中さん:2007/10/12(金) 12:32:11 ID:T4AHPWUA
日本語ができても(日本語の)データシート読めない奴だって大勢いるだろ。
言語知識と技術知識は別腹ですんで。
119774ワット発電中さん:2007/10/12(金) 18:25:38 ID:aN+L3w9p
俺、オシロのメニューを日本語表示にすると全然使えなくなる
120774ワット発電中さん:2007/10/12(金) 20:18:54 ID:1gJD2ypt
無理矢理な日本語なんじゃないか?>>メニュー

「コイソいっこいれる」みたいな。
121774ワット発電中さん:2007/10/12(金) 21:56:29 ID:SoqqWLWU
前々から感じてる素朴な疑問なんだが、英語圏の人が測定器を前にして違和感とか感じないのかな。
オシロだったら「力開閉器・中1・中2・中3・中4・同期・時間・・・・・・」こんな感じに見えるわけだろ。
122774ワット発電中さん:2007/10/12(金) 22:04:28 ID:VaTuiq1J
>>121
完全に日本語と英語で単語同士の意味合いが1対1に対応している訳じゃ無いとか
言葉自体の成り立違うから、そう言う訳でも無いだろう。

ま、日本語表記されていても、見慣れればどうって事は無いだろうからねぇ…。
123774ワット発電中さん:2007/10/13(土) 00:01:25 ID:aGSgLZj/
伝票にAddress, Name, Phone#と書いてあるのが、ネイティブの目には
住所、名前、電話番号と見える、という程度のことなんじゃないのかね。
124774ワット発電中さん:2007/10/13(土) 03:06:35 ID:VZ7HC9XR
Sure, you must speak English just now.
Here we go!
125774ワット発電中さん:2007/10/13(土) 03:46:27 ID:3UJe1ZCr
>>124
you fuck'n stupid better get lost
126774ワット発電中さん:2007/10/13(土) 19:47:30 ID:1EITmkxt
>>124-125
俺にはお前らがかっこよく見える
127774ワット発電中さん:2007/10/13(土) 20:09:18 ID:QLn+Ae3V
うちのバカ上司がこれからはC言語によるハードウェア設計だ
C言語設計はコード量が1/7だと息巻いているんですが、なんかいい言語やサンプルはないでしょうか。
128774ワット発電中さん:2007/10/13(土) 20:18:59 ID:8wrSGiYJ
コード量が減ってもゲート量と遅延量は増えるよ
129774ワット発電中さん:2007/10/14(日) 01:05:08 ID:gcvTiaDl
ゲートはいいとして遅延はいただけないな。
130774ワット発電中さん:2007/10/14(日) 08:04:09 ID:5fjhEw6G
誰か実際にC言語でFPGA設計して製品に組み込んでたりしてませんか?
131774ワット発電中さん:2007/10/14(日) 10:13:11 ID:gesVibDI
>>127
オススメ言語は日本語。

1: 例のアレを今日中にやれ
132774ワット発電中さん:2007/10/14(日) 23:42:00 ID:heVYyujp
ANSI-Cだけで書けるんだったら使ってやる。
じゃなきゃCで書けるゆうな。
と思うんだが。
133774ワット発電中さん:2007/10/15(月) 00:00:30 ID:lXGKRPFt
>>127
n言語
134774ワット発電中さん:2007/10/15(月) 06:18:05 ID:pQRjLOdI
QurtasとかはCで書かれてるんだろ
間接的にはCで書いてることにならんか
135774ワット発電中さん:2007/10/15(月) 14:47:21 ID:NZYJehns
言語なんかどうでも良くて、
短期間、低コスト、正確な結果、保守性が良い環境であれば、なんでもOK
136774ワット発電中さん:2007/10/15(月) 21:59:20 ID:cKprSgAU
impulseCとかspecCとか調べてみたけど最終的にデバイスのこととかVHDLも知ってないとまともに使えないんですけど・・・
137774ワット発電中さん:2007/10/17(水) 01:09:36 ID:Q8HRKW+7
systemCいいぞお
138774ワット発電中さん:2007/10/18(木) 04:42:04 ID:MV56wJQ5
XYZ社のFPGAでは、C言語での完全なLSI設計が可能です。(注1)
...
..

(注1) STDIN、STDOUT、STDERR として外部I/Oピンが 3本、
   システム予約されます。
139774ワット発電中さん:2007/10/18(木) 08:22:58 ID:85PwozOQ
外部ファイル扱えるようにSATA用の信号線も用意しといてくれ。
140774ワット発電中さん:2007/10/18(木) 23:58:42 ID:L3zN28sV
>>137
ツールごとに対応状況違ってて結局ツール依存なんだもんな
標準化が泣いてるぜ…
141774ワット発電中さん:2007/10/22(月) 19:10:18 ID:2oqi36cT
ごく基本的な質問を。
PLDって、フリップフロップ使わないとか、ステートマシンみたいなことしないなら、
クロックなくても動くんでしょうか?
verilogで言えば、assignしか使わないような回路。
ちっちゃいデバイスに、138や139では対応しきれないようなデコーダ回路を
載せたりしようかと思ってるんですけど。
142774ワット発電中さん:2007/10/22(月) 19:16:15 ID:8vo58tSD
組み合わせ回路のみなら当然クロック入力は要らんよ。
ただしその場合はクロック専用ピンをGNDに落とす必要があったりするから注意しな。
143141:2007/10/22(月) 20:10:16 ID:2oqi36cT
>>142
ありがとうございます。
これで設計の自由度が広がります。

ついでにもう一つ。
それならエッジ(≠発振器)があればフリップも使えるかと思うんですが、
エッジの入力はクロック専用ピンの方がいいんでしょうか?
ほかの汎用ピン(?)でも大して変わらない?
144774ワット発電中さん:2007/10/22(月) 20:42:07 ID:8vo58tSD
クロックやリセット専用ピンがある場合は、それらを使うとフィッティング結果が
コンパクトになったり動作周波数があがったりするから使った方がいい。
常時発振しているクロックでなくても、DFFのクロック入力に接続したい信号は
クロック専用ピンに割り当てれば良い。

デコーダやバスコントローラなら、アドレスストローブやバイトイネーブルのような
デバイス内部でのファンアウトが多くなりがちな信号を入力専用ピンに割り当てると良い。

使うデバイスのアプリケーションノートを自分で確認しなよ。
145141:2007/10/22(月) 21:20:48 ID:2oqi36cT
>>144
丁寧な説明ありがとうございました。大変参考になりました。

まわり(職場)の人にも聞いてみたんですが、こんなしっかりした答えは
返ってきませんでした。はぁ…。
146774ワット発電中さん:2007/10/22(月) 21:50:58 ID:EX8uYlzl
> それならエッジ(≠発振器)があればフリップも使えるかと思うんですが、
こっちの世界では、フリップとか略すの?
147141:2007/10/22(月) 22:08:50 ID:2oqi36cT
>>146
すんません、タイプミスです。
148774ワット発電中さん:2007/10/23(火) 09:57:26 ID:j2EkJrTQ
使わないクロック入力ピンには


使わないクロック入力ピンにはあまった出力ピンをつないでおくとよい。


   _ (m) _
      |ミ|
   /  .`´  \
     ∧_∧
    <`∀´ ∩
    (つ  丿
    <__ ノ
      レ



GNDに落とすのはもったいない。
149774ワット発電中さん:2007/10/23(火) 19:37:42 ID:uet14wsa
>>148
すんません。
どこで笑えばいいんでしょうか。
150774ワット発電中さん:2007/10/23(火) 20:53:08 ID:Vb+N039B
使わないと言いつつ、結局使ってるところかな?
151774ワット発電中さん:2007/10/23(火) 21:09:41 ID:iZB28viM
Hi−Zどおしをつないでフローティングになるの気持ち悪いね。。。。。
と微妙な角度からコメントしてみる。
152774ワット発電中さん:2007/10/23(火) 22:43:28 ID:R/a3MQDc
さすがにレベルは固定すんだろ

設計中に気が変わったり、
内部で作った変な信号をクロックにしたい場合に対応が楽
153774ワット発電中さん:2007/10/23(火) 23:36:07 ID:Ll1o/Uhq
>>149
AAの使い方を間違えているところ(わざと?)
154774ワット発電中さん:2007/10/23(火) 23:46:47 ID:ifOd4wUD
それは間違ってるというかニュー速の定期スレのパロディじゃ
155774ワット発電中さん:2007/10/24(水) 19:50:39 ID:pLCM9n1T
156774ワット発電中さん:2007/10/25(木) 06:22:23 ID:ArPy7mAC
>>155
>本連載は、「これからFPGAを開発してみよう!」という入門者
FPGAを開発する連載ワクテカ
157774ワット発電中さん:2007/10/25(木) 20:45:33 ID:BVQh3Emu
>>134
>QurtasとかはCで書かれてるんだろ 

QuartusはJavaで書かれています
158774ワット発電中さん:2007/10/25(木) 23:07:13 ID:zpgSzb+x
うそ言っちゃいかん。

QuartusはPerlで書かれています
159774ワット発電中さん:2007/10/27(土) 10:02:48 ID:mKWGqqnm
 FPGAって回路図に描くときどうする? 200PINもあるとヤッパリ
何分割くらいにするよね。 JTAGと電源関係、信号1,2,3、余りピン
みたいに5分割くらいにしていたらクレームがついた。分りにくいので
1枚で書いてくれって。
 仕方がないんでA0で1枚にまとめたんだが、どうもそれでOKらしい。

160774ワット発電中さん:2007/10/27(土) 10:53:40 ID:DJxWq8Ev
うちの会社は分割して書いてるぞ
ちなみにクレームつけたのは、電気屋?ソフト屋?パターン設計屋?
161774ワット発電中さん:2007/10/27(土) 10:59:16 ID:AOtRuE2w
不動産屋?
162774ワット発電中さん:2007/10/27(土) 11:03:26 ID:UOpOnbV2
手ハンダの試作屋?
163774ワット発電中さん:2007/10/27(土) 16:07:16 ID:OCzDinct
>>158
その Perl は C で書かれてる
164774ワット発電中さん:2007/10/27(土) 16:19:35 ID:570R8ZKn
つーか、昔は回路の規模によらず一枚の基板の回路を一枚の図面に詰め込んでたよ。
デカくなるし読みにくいが、一品一葉だかなんだかいう管理手法らしい。
CADの普及で最近は流行らないね。
165774ワット発電中さん:2007/10/27(土) 16:23:58 ID:570R8ZKn
しまった、結論が抜けてたよ。

クレームつけたのは「ジジイ」だな。間違いない。
166774ワット発電中さん:2007/10/27(土) 19:52:54 ID:mKWGqqnm
 お前、その推理ははずれてるぞ。 A0をプリントしたら、ジジイには
見えんくらいの小さい文字になる。
 それともA0のプリンター持ってるんだろか?

「あのー、A0プリントできました?」
「ええ、我が社には高速のA0プリンターがありますので」
たぶん自慢したいんだろうな。



167774ワット発電中さん:2007/10/27(土) 20:43:31 ID:ElbrKH/r
昔、聞いたことがあるなぁ〜
A1つなげて、巻物になってる、配線図・・・ww

今なら、ロール紙使って、もっと簡単に出来そうだな
168774ワット発電中さん:2007/10/27(土) 22:57:33 ID:rJ0Orin9
回路図の分割って、やってもいいだろうけど、
頭の悪い人が分割すると、めちゃくちゃ見にくいんだよ。
回路が追えない。

なので俺は極力1枚で書くようにしてる。
やむなく分割するときは、接続部分をはさみで切ってつないだら
1枚の図面になるぐらいに、同じ位置で線を切ってる。

169774ワット発電中さん:2007/10/27(土) 23:13:34 ID:p5lQxRFI
回路図は機能ごとに分けて描いてる
最近は普通に1000pinとかあるしな・・
170774ワット発電中さん:2007/10/28(日) 00:23:39 ID:moiU0sg5
チップそのものを分割しては描かんなぁ。
信号線にラベルふって、他の図面に分配する事はあるが....
171774ワット発電中さん:2007/10/28(日) 03:50:50 ID:mygGB/A2
>>166
あまり見かけなくなったのはほんの10年以内のことなのに
既にペンプロッタを知らない世代がいるんだな・・・・
回路図に限らず、基板のパタン図なんかも普通はこれででっかい紙に出力してたのだよ。
172774ワット発電中さん:2007/10/28(日) 06:42:28 ID:gbg31Ydx
ASICの設計件数は減っているらしい。不況か
173774ワット発電中さん:2007/10/28(日) 08:29:56 ID:RsiY9soO
>>164
20年以上前の手書きの時代から、漏れや周囲は分割して描いていたが?
機能単位に分割されているので、ページ単位で使いまわしできる。

当時、回路図は1mm方眼のマイラシート(A3)に鉛筆で描いていた。

今は、CAD上での用紙サイズはA2で描いている。これなら、プリンタでA4
の用紙に印刷しても読める。老眼じゃ無理だけどな。

256ピンQFP程度では、回路が追いにくくなるのでチップを分割はせんなぁ。

>>166
基板の検図は倍寸でプロッタ出力した相手に、回路図とマーカーペンを
手に1日がかり。規模が大きければ、2人で翌日まで掛かることも。
174774ワット発電中さん:2007/10/28(日) 13:17:53 ID:EvARR+XP
そんなもんHDLで書いたら楽勝じゃん。
スケマで書くにしても信号名を S[999:0] とかにしてバス記述すればA6でも余裕。
175774ワット発電中さん:2007/10/28(日) 14:34:25 ID:RsiY9soO
また、ゆとり教育世代か?
176774ワット発電中さん:2007/10/28(日) 18:53:49 ID:OoF/60qt
>>174
 後からそのHDLを読まざるを得ない立場の人間にとって
究極の嫌がらせになるんじゃないか
177774ワット発電中さん:2007/10/28(日) 20:03:30 ID:9jfqUVPd
いまどき「漏れ」はないだろう・・・・何年前の2ちゃんねるから頭が止まってるんだ。
178774ワット発電中さん:2007/10/28(日) 20:04:44 ID:aJzRNAw1
うちのとなりは機構設計の人がいるので、A1対応の静電プロッターを使わせてもらってる。
あっという間に出力されるぞ。
179774ワット発電中さん:2007/10/31(水) 02:35:38 ID:TD4p9Ez6
>>173
基板の検図 は、今の話?昔の話?
180774ワット発電中さん:2007/10/31(水) 10:00:39 ID:/Xd1RJZw
昔のアートワークつったら手張りだな
181774ワット発電中さん:2007/10/31(水) 20:05:23 ID:mt94uBt4
ラインの曲げ方に腕前が出るんだよ。
182774ワット発電中さん:2007/10/31(水) 23:15:33 ID:2VjLGFO0
ttp://www.saturn.dti.ne.jp/~hsakai/fpga/index.html
ここにSpartan-3A スタータキット使ってVGAを使う記事が載ってて、
自分でもやってみたいなぁ、と思ってます。

目的としては、今後仕事でやっているFPGA回路作成に慣れるということと、
映像やディスプレイが好きなので、映像が映る仕組み(同期信号や画素信号の動き)を
自分で回路を組むことで実習したい、ということです。

ただ、仕事ではアルテラのFPGAと開発ソフトを使っていて、できればそれを使った
キット付書籍があるとうれしいです。
ご存知でしたら教えてください。
183774ワット発電中さん:2007/11/01(木) 00:24:14 ID:hljK5LJ3
>>182
ttp://www.altera.co.jp/products/devkits/altera/kit-cyc2-2C20N.html
Cyclone II FPGA スタータ開発キット
とか
ttp://www.altera.co.jp/products/devkits/altera/kit-cyc3-starter.html
Cyclone III FPGA スタータ開発キット
以外を探しているのでしょうか?

上のCycloneIIのキットなら、VGAも付いて、Digikeyで19000円以下で売ってます。
ttp://dkc1.digikey.com/jp/digihome.html

キット付き書籍は有った気がしますが、キットがもう古いので、あっさり諦めて
CycloneIIのスタータキットを買った方が、、、

ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=83
Terasicで買ってもUS$150なので、Digikeyで買っても変わらない気が。
184774ワット発電中さん:2007/11/01(木) 21:28:34 ID:BuuV5vI5
FPGAって個人の趣味でやるには敷居が高いよね
活用しようにも電子工作の趣味ないし
185774ワット発電中さん:2007/11/01(木) 21:37:57 ID:sZN+6ge4
> 電子工作の趣味ないし
な人間がFPGAに手を出して書くコメントじゃないと思うんだが・・・

でもメモリは必要だし(除くS3A)、ピン数多いし、大規模だし、
値段は高いわで敷居が高いのはたしか。他の手段でするのを考えれば
圧倒的に効率的ではあるけど。
186774ワット発電中さん:2007/11/02(金) 20:34:43 ID:R56AaElI
>>184
つまり、敷居が低くてもやらないんだろ?
>>185
5000LEぐらいでもFPGAに入れてください。ダメですか?
400円台のコンフィグROMが使えるの、このへんまでなんです。
187182:2007/11/03(土) 22:52:08 ID:Tx2COIcm
>>183
ありがとうございました!
検討してみます。
188774ワット発電中さん:2007/11/04(日) 03:52:38 ID:msFd8Cn0
VCDファイルのフォーマットの解説どこかにありませんかね?
ググっただけでは見つからなかった。
実際のファイルの中身みて何とかできないこともないだろうけど…。
189774ワット発電中さん:2007/11/04(日) 05:21:33 ID:xDYcfNY5
9.2i入れたのだけど、sp3だのip_updateのインストール時、後で入れるつもりで
ダウンロードのみのチェックを入れたら、何処にダウンロードされたかわからなくなってしまいました orz
何処にダウンロードされてるのでしょうか。
190774ワット発電中さん:2007/11/04(日) 07:26:32 ID:lJNYAUsx
>>5000LE
それはFPGAだろう。
191774ワット発電中さん:2007/11/04(日) 09:53:33 ID:s23W1xFX
>>188
gtkwaveあたりのソース見るとかじゃ駄目?
192774ワット発電中さん:2007/11/04(日) 19:37:36 ID:pKkVSgJt
>>190
5000でも端くれに入れてくれてありがとう。
これで心置きなく表示がおかしいdigikeyへ逝けます。

ところで5V系にCycloneIIつなごうとか、変かしら。
速度は要らないんで、クランプDとRだけでやってやろうかと・・・
193774ワット発電中さん:2007/11/04(日) 19:53:27 ID:0KZHMslj
FPGAのボードは、ぼやぼやしてると載ってるデバイスがすぐ古くなってしまって、
それに気づかないと大損する羽目になる。あんまり上位チップ載ってるものはやたらと高くなるし。
思い立ったらよく調べて、売れ筋チップが載ってるものをすぐ購入することだね。調べたのにぐずぐずしてると腐ってしまう

http://www.hdl.co.jp/home.html

ここらは昔からやってるのと、古い製品の値引きとかやってないので要注意
新しいものでも高いし
194774ワット発電中さん:2007/11/04(日) 20:46:15 ID:s23W1xFX
古い製品つながりだけど、いまさらALTERAのMAX7000とか入手したんだけど、
これ書き込み器ってあるの?Sとか付かない、素のMAX7000です。

純正は馬鹿高いし、普通のEEPROMライタベースでいけるようなので方法が
わかれば使いたいのだけど。
195774ワット発電中さん:2007/11/04(日) 23:23:27 ID:8VxaK5+0
確かHiLoシステムとかの奴だっけかナ
20万くらいの汎用ライタで書き込みできたハズ

196188:2007/11/05(月) 12:49:19 ID:W8tTL06v
>>191
それならVCDファイル実際に見ながら試行錯誤する方がマシな気が…。

でも意外と知られてるんですね> GTKWave
197774ワット発電中さん:2007/11/06(火) 16:21:18 ID:6H4vuomH
>>194
普通に自作ByteBlaster互換ケーブルでいけると思う
198774ワット発電中さん:2007/11/06(火) 17:30:20 ID:wR3K93P6
>>197
\               U         /
  \             U        /
             / ̄ ̄ ヽ,
            /        ',      /     _/\/\/\/|_
    \    ノ//, {0}  /¨`ヽ {0} ,ミヽ    /     \           /
     \ / く l   ヽ._.ノ   ', ゝ \       <   バーカ   >
     / /⌒ リ   `ー'′   ' ⌒\ \    /          \
     (   ̄ ̄⌒          ⌒ ̄ _)    ̄|/\/\/\/ ̄
      ` ̄ ̄`ヽ           /´ ̄
           |            |  
  −−− ‐   ノ           |
          /            ノ        −−−−
         /           ∠_
  −−   |    f\      ノ     ̄`丶.
        |    |  ヽ__ノー─-- 、_   )    − _
.        |  |            /  /
         | |          ,'  /
    /  /  ノ           |   ,'    \
      /   /             |  /      \
   /_ノ /              ,ノ 〈           \
    (  〈              ヽ.__ \        \
     ヽ._>              \__)
199774ワット発電中さん:2007/11/06(火) 21:19:47 ID:wUHKelfx
なんだこいつ?vipperか?空気嫁よ
200774ワット発電中さん:2007/11/06(火) 22:14:43 ID:AQ8TDstR
くだらんAAはともかく、197が何故嘘を書き込むのか教えてくれ。
あくまで「思う」だけだから何を書いても嘘じゃないって?
201774ワット発電中さん:2007/11/06(火) 22:28:35 ID:kjgehH2I
>>197は7000Sか7000Aとかと
同じようにISP可能だと思い込んでるに256ギル
202774ワット発電中さん:2007/11/07(水) 00:36:32 ID:W9LOLgKM
203774ワット発電中さん:2007/11/07(水) 00:46:04 ID:hBOC60DX
それだな。

しかし

>ただし、以下は有りません。
>※マスタープログラミングユニット MPU BASEをPCへ接続するための「ロジックプログラマーカードPLP6」
('A`)
204774ワット発電中さん:2007/11/07(水) 00:48:49 ID:ctXV7bbk
>>202
カードがあっても確かISAバスが必要で今時ISAバス付きのパソコンてあるのかな?

こっちの方がUSB接続でいいと思う。
ttp://www.datadynamics.co.jp/sgeneral/t9600.html
205774ワット発電中さん:2007/11/07(水) 00:50:57 ID:gmNerxUg
>>195,202,203
アリガトン。
> 現在の価格: 74,500 円
> 希望落札価格: 110,000 円

でもこれじゃね・・・MAX7000焼けるTopMax(EEPROM writer)でも買った方がまだ安い。
合成も古いソフト持ってこないとできないみたいだし、これ以上金をこれに投じるより
見切って諦めた方がよさそうですね。

実は他にもXC3000/XC4000とかあるのは秘密だ。
206774ワット発電中さん:2007/11/07(水) 00:51:17 ID:hBOC60DX
うんあれはISAだ。
こいつのせいでうちは古いPCが捨てられない。
207技術奴隷:2007/11/07(水) 03:43:14 ID:CqyNt9do
>>205

XC3000どころかXC2000が現役。当時はPC98だったのでツールもそれ用。
エミュレーターでBITファイルを作り自作ソフトでダウンロードしてる。
208774ワット発電中さん:2007/11/07(水) 19:51:43 ID:heUz/6QM
苺でXC95108のボードを買ったので、色々練習中。
ISEでLock Pinsをやった後、PACEでピンの編集をしていますが、
例えばリセットのピンをDesign Object ListのGlobalsでSRに選択しても
ピンの位置は変わりません。指定した機能のピンに移ってくれるかと期待したんですが、
位置は自分で変更をしないといけないのでしょうか。Globalsって何を設定するところなんでしょう?
そもそも、論理合成の手順もまだよくわかってないんですが…。
209774ワット発電中さん:2007/11/07(水) 20:19:30 ID:AEDJIS5A
>>205
それを「希少価値」を前面に出してオクで売って、バイトブラスタが使えるデバイスを買う。
210774ワット発電中さん:2007/11/07(水) 21:45:45 ID:4zK9zZdo
現在アーキテクチャの図を描くためにPowerPointを使用しているのですが、
みなさんはどのようなツールを使用しているのでしょうか?
Vsioとかですか?
211774ワット発電中さん:2007/11/07(水) 22:07:29 ID:+6rC3fbi
PowerPoint?
こんなもんはプレゼンツール
画を描きたいならMSのツールならVisioを使うのが普通
アーキテクチャの実態図を描きたいならAutoCADもしくはSolidWorksってのもある
俺は猫小屋作るのに会社のCADセンターのSolidWorks使ったことがある
212774ワット発電中さん:2007/11/08(木) 05:10:37 ID:9e3pVHKN
>>211
いろいろあるのですね。
レスありがとうございました。
213774ワット発電中さん:2007/11/12(月) 10:22:00 ID:hXovCPUO
214774ワット発電中さん:2007/11/15(木) 01:34:49 ID:/g6A4tSJ
Cool Runnerのキット半額キャンペーンの案内が来ました。
TELとAVNET。

両方申し込みましたが、かれこれ1週間放置プレイです。
そんなものでしょうか?クレームつけるとブラックリスト登録されて、納期で嫌がらせされるとかないでしょうか?待っていていいんでしょうか?打って出るべきでしょうか?

みなさんどうですか?
215774ワット発電中さん:2007/11/15(木) 10:50:13 ID:UQm7le1r
AVNETはメールすると、「明日発送予定です。」となると思う。
216774ワット発電中さん:2007/11/15(木) 12:59:47 ID:rYhEr/+J
ALTERAのFLEX 6000で、入力クロックは15MHzから3倍の45MHzにするものの
内部のロジックのクロック周期は変更したくないのですが、HDLの記述変更だけで対応できるでしょうか。
別の端子にクロックを入力して3分周するといったことになるのでしょうか。
217774ワット発電中さん:2007/11/15(木) 13:37:16 ID:DUfTOJws
>>214
のって、個人(名と住所)で買えるの?

#以前、TELだったか個人扱いでは断られた・・・。
218774ワット発電中さん:2007/11/15(木) 19:33:51 ID:1txbC+QF
打って出るべきでしょう
219774ワット発電中さん:2007/11/16(金) 10:48:21 ID:3+/XczAm
>>216
とりあえず適当な出力ピンをCLK入力ピンにつないどけば
何とかできる。
220774ワット発電中さん:2007/11/16(金) 23:53:24 ID:H/cBYR6l
2D-CADならふりーでいいのが。
AR_CADとかどうだい?

ISEもフルセット版(60日評価)がwebからダウンロード/インストロールで来るようになったのね。
で、インストロール後に、ちてりいまくりまくはりちきみ
221774ワット発電中さん:2007/11/17(土) 06:43:41 ID:lG6SszQX
ザイのほうはDCMってのがあるのは知ってるがアルテラはどうなんだろ?
222774ワット発電中さん:2007/11/17(土) 18:29:28 ID:bxCBkCRr
最近家のパソコンをビスタにかえました。
ザイリンクスのウェブパックの4.2iをいれたところパソコンが起動しなくなりました。
ビスタが悪いのか?ザイリンクスが悪いのか?結局パソコンは修理にだすことになりました。
223774ワット発電中さん:2007/11/17(土) 18:37:37 ID:lG6SszQX
4.2とか何年前のやつだ?
Vistaなんて企画としてすら上がってない時代のソフトじゃないか
224774ワット発電中さん:2007/11/18(日) 00:08:43 ID:P0Uwg9EH
>>217

個人は個人て書いてねって書いてあったお。
売ってやるとは書いていないことに気がついたorz。

"個人と書くことは認めてやるぜ、売ってやるのとは別の話だがな!"

ってことでつか?
225774ワット発電中さん:2007/11/18(日) 01:07:20 ID:UaoVknNG
昨日のエンベデッド展、
Xilinxの説明員が着ていた「エンジ色のベスト」かっこ良かった。
受付の「元お姉さん」に、ベストもらえないかと聞いてみたけど、
くれなかった。逆に「かっこいいですか?」と聞かれた。
226774ワット発電中さん:2007/11/18(日) 01:35:22 ID:QVl/IWlk
Xilinxブースはちと狭かったなあ
227774ワット発電中さん:2007/11/18(日) 14:55:11 ID:xkmSSrcy
>逆に「かっこいいですか?」と聞かれた。

「服だけはね」とハッキリ答えましたか?
228774ワット発電中さん:2007/11/18(日) 23:07:04 ID:XsmoM5W2
f@tf@Zt>
229774ワット発電中さん:2007/11/19(月) 00:21:05 ID:TCKGhxTo
ザイリンクスのCPLDで質問があります。
9.2iWebpackと4.2iWebpackをひとつの
パソコンでアプリケーションを持つことは
可能でしょうか?
4.2の時に製作したカウンターのソフトが
9.2で開くことが出来ず9500に書き込む事が
出来なくなりました。
よろしくお願いします。
230774ワット発電中さん:2007/11/19(月) 02:25:07 ID:FK38zs0z

ver4とver9を比べるなんて、あーた。まるで明治時代と平成時代を比べるようなものですぜ。
多くの点で互換性なしとみるのが正解だよ。
ver4のソースを、ver9にコピー&ペーストして、再度コンパイルすればいいんじゃないの?

あと、ver4.2の時に使った9500だと、Ver9.2でダウンロードすることはできないので注意。
数年前に書き込みソフト(iMpact)が変わったので、9.2の書き込みソフトで、古い9500は
認識できない。
Ver7以降のiMpactで書き込める9500は、パッケージ上の「XC95108....」などの文字が黄色(?)のもの。
古い白色文字の物はダメポ。

231774ワット発電中さん:2007/11/19(月) 02:35:00 ID:FK38zs0z
>>227
「服だけは...」とは言いませんでしたが、かっこいいですと答えました。
くれませんか? と聞くと、あげる物は無いですと言われたので、
少し若めのお姉さんの胸を見ながら、思わず「あなたの着ている、それがいいんです」
と言いそうになった。

4時からの抽選会で、その場で脱いで景品にしてくれたら、
帰りの電車の中でハアハアしていったのに。(笑)

232774ワット発電中さん:2007/11/19(月) 08:27:31 ID:0y+zjmvr
これはひどいwww
233774ワット発電中さん:2007/11/20(火) 11:39:43 ID:plGem3fY
>>230
げ!苺で売ってるVQ44の9536使おうかと思ってたのに、
今のバージョンでは書けないの?
これは非常にショックだ。
234774ワット発電中さん:2007/11/20(火) 17:40:07 ID:8WfOwD2x
XP発売以降に出た ISE WebPACK 4.2i がもはや明治時代級の過去環境ですかw
すいぶんとお粗末なサポートなんだな。
235774ワット発電中さん:2007/11/20(火) 19:37:09 ID:0U0lYCz7
だから4.2iで対応してる環境でやれよ
勝手にVistaで動かす分には動作対象外だろうが

もしくはデバイス含めて全部9.2iに合わせれば良いと思うんだが…
そんなに在庫が余ってるのか?
236774ワット発電中さん:2007/11/20(火) 22:12:04 ID:YdRDELQd
お聞きしたいことがあります。

今手がけているデザインはbitファイル作成までに30分程度かかるのですが、
この時間を半減させたいと思ってます。

そのために使用中の自作PCのパーツを交換したいと思っているのですが、
論理合成速度に最も効くPCパーツは何でしょう?

環境:
OS:XP
CPU:PentiumD2.8GHz
Memory:DDR2-533 2Gbyte
HDD:SATA 250G 7200rpm
論理合成ツール:Xilinx ISE9.2
237774ワット発電中さん:2007/11/21(水) 00:05:12 ID:Qtb8kTIx
スワップしてないならCPU
スワップしてるならメモリ
238774ワット発電中さん:2007/11/21(水) 01:48:58 ID:ZfqZCSYH
このスペックで時間を半減させるのはたぶん無理。

PCを使いながら裏で走らせるならCPUをCore2Duoにするといい。

239774ワット発電中さん:2007/11/21(水) 01:58:50 ID:66OR+phJ
9.2をダウンロードしたとたん4.2で開いたインパクトが
ケーブル接続エラーが出てしまい書き込めなくなりました。
何が問題なのでしょうか?
240774ワット発電中さん:2007/11/21(水) 02:03:18 ID:57mVj+n7
本当にダウンロードしただけなの?
実際はインストールしたんじゃないの?
241774ワット発電中さん:2007/11/21(水) 08:17:57 ID:LUm8IEKW
あ、揚げ足取りの軽いしだ!。

>>240
242774ワット発電中さん:2007/11/21(水) 08:20:16 ID:s53jGvnA
>>241
おまえ少しは>>239に協力してやれと

まあ実際インストールしたらドライバ更新するし対応デバイス変わるだろうな…常考
243774ワット発電中さん:2007/11/21(水) 09:58:40 ID:EIdmTFwW
つか、ずっと昔の製品のサポートの為に環境維持しなきゃならんのがタマランよな。
ISA付きのPCとか死んだら手当てすんのが大変よ。
244774ワット発電中さん:2007/11/21(水) 10:51:38 ID:aDTeZp4t
仕事なら、受注条件でちゃんとサポート期限決めとかないと
保守用のデバイス購入も見積もりに入れてる?
245774ワット発電中さん:2007/11/21(水) 11:43:46 ID:Jsgqpj3w
うちは保守は別見積もり
246774ワット発電中さん:2007/11/21(水) 15:38:37 ID:486/5gPC
スマートメディアの仕様が2MB以下とそれ以上ので変わって苦労してる
古い機械がまだ保守期間中なのにメディアがもう入手不能
消耗品なのになぁ
メーカーの責任重いよなぁ
247774ワット発電中さん:2007/11/22(木) 02:03:48 ID:2xpAJZEk
>>239です。皆さんアドヴァイスありがとうございます。
すみません。結局9.2をアンインストールしました。
症状が変わらなくなってしまい。4.2を再度
インストールしようとしたら、クラシックのページで
エラーが出てしまい。4.2もおかしな状況のままです。
何か良い方法はありませんでしょうか?
248774ワット発電中さん:2007/11/22(木) 07:27:24 ID:cYEd7qOM
OSごと入れなおして4.2i入れなおすぐらいしか思いつかない…
まあこう言うメジャーなアップデートの前にバックアップぐらい取ってあるでしょ?
それつかったらどうかな?
249774ワット発電中さん:2007/11/22(木) 13:31:03 ID:JdTv+AaJ
ISE9.2.03i+ModelSim XEIII/Starter 6.2gという組み合わせで使用しているのですが
CoreGenで作成したメモリブロックの部分がうまくModelSimで処理できません。
コンパイルの所で
># Loading work.blockmem
># ** Error: (vsim-3033) blockmem.v(128): Instantiation of 'BLK_MEM_GEN_V2_6' failed. The design unit was not found.
># Region: /TB_blk001/blk/blockmemoey
># Searched libraries:
># ./work
という感じで出てしまいます。
ModelSimのLibraryを見るとxilinxcorelib_verにBLK_MEM_GEN_V2_4までしか無いようです。
V2_6まで登録すればよさそうなのですが、追加方法が分かりませんHELP願います。
250774ワット発電中さん:2007/11/22(木) 14:46:04 ID:JdTv+AaJ
解決しました。
251774ワット発電中さん:2007/11/22(木) 22:20:55 ID:cYEd7qOM
解決方法も書いておくと後々に同じところでハマッた人が助かるんだぜ?
252774ワット発電中さん:2007/11/22(木) 22:30:54 ID:46bIFxh+
嫌だね。
だって俺の工数使って解決したんだもん。
253774ワット発電中さん:2007/11/22(木) 22:47:21 ID:q/hahxfe
じゃあ聞くなよw
254774ワット発電中さん:2007/11/23(金) 13:43:03 ID:NSEyAoKx
>>252
その論法だと人の工数を使う「質問」という行為はお前にとって恥ずべき
許されない行為のはずだが
255774ワット発電中さん:2007/11/23(金) 17:25:51 ID:1vOuqY4n
は?
どうせお前らニートなんだから工数もくそもねえ、1H0円の身分だろ?
256774ワット発電中さん:2007/11/23(金) 18:37:12 ID:elumtZ6Z
藻前らまさか、会社の工数使ってここにアクセスしてるんじゃないだろうな?w
257774ワット発電中さん:2007/11/23(金) 23:04:04 ID:ywSlFT3a
基本だろ?
258774ワット発電中さん:2007/11/23(金) 23:43:32 ID:hQAwnH2s
会社に利益をもたらしていると言えるなら
情報収集くらいは許されると思うよ
だけどひとに情報提供協力しておいて
結果的に全部自力で解決出来たから
協力依頼自体無かったことにしてくれとは
無視が良すぎる
259774ワット発電中さん:2007/11/24(土) 02:07:32 ID:eh/gpBDc
>>258
 激しく同意。
他人の質問に答えることなく、重箱の隅を突付くような非難ばかりを書く
人にも迷惑するが、質問する側がこの態度では非難を受けるのが当然。
 匿名の掲示板とはいえ、本当に困ってここに質問を書き込みしている人もいる。
そういった人から見れば、非常に不愉快。
260774ワット発電中さん:2007/11/24(土) 07:42:48 ID:B6o2/eFb
>>258-259
まあまあ。激しく不愉快な流れだが、一連の書き込みが同一人物とも限らん。スルーが適当。

会社の工数使ってアクセスする事が悪い事だとは思わんがね。情報収集の一環だろ。
就業中に書き込みまではしないが読む程度ならたまにやってる。
情報収集のお礼に、帰宅後知ってる範囲で回答してるだけだ。
261774ワット発電中さん:2007/11/24(土) 08:37:26 ID:ulkfbEjj
漏れは
>>252 == >>268
だと思うけどね
262774ワット発電中さん:2007/11/24(土) 08:41:32 ID:EfFg50Vi
>>268に期待
263774ワット発電中さん:2007/11/24(土) 09:01:56 ID:xZvqycLQ
最新のライブラリを当てただけだろ。

MXE-III Starter インストールガイドの一番最後。
http://japan.xilinx.com/ise/optional_prod/mxe.htm
264774ワット発電中さん:2007/11/25(日) 01:46:26 ID:/eg3QMEM
>>214
> Cool Runnerのキット半額キャンペーンの案内が来ました。
> TELとAVNET。
> 両方申し込みましたが、かれこれ1週間放置プレイです。
> そんなものでしょうか?クレームつけるとブラックリスト登録されて、

うちも放置だよ。2W。
これってちゃんと連絡来るものなのか?抽選じゃないよね。
265774ワット発電中さん:2007/11/28(水) 02:15:14 ID:0Uo1hsw7
腕に覚えのあるひとはどうぞ
ttp://www.cqpub.co.jp/DWM/contest/2008/youkou.htm
266774ワット発電中さん:2007/11/29(木) 18:11:46 ID:0OeaioJJ
267774ワット発電中さん:2007/11/29(木) 19:46:42 ID:16Q3oUke
>>266
ちなみに原価はいくらでしたか?
268774ワット発電中さん:2007/11/29(木) 21:22:37 ID:nriZl60h
EDK注文してから半月なのに、まだこない。
これって本国からだっけ?代理店に在庫ないのかなぁ?
269774ワット発電中さん:2007/11/30(金) 16:31:56 ID:bNpkHzqW
>>266

大売り出しなら金額1桁間違ってないかい?
270774ワット発電中さん:2007/12/05(水) 20:26:33 ID:dofxdj9A
CPLD XC9500で質問です。

XSTで開発してるのですが、任意のマクロセルだけローパワーモードに設定するってできますか?
時間制約書いて、Timing Drivenにしてもすべてのマクロセルがハイパワーになってしまいます。
assign out_pin = 1'b1;
みたいなピンだけ選んでローパワーで地球に優しくなりたいです。

よろしくお願いします。
271774ワット発電中さん:2007/12/06(木) 06:54:15 ID:27XIt51D
>>270
PWR_MODE を設定してね
272270:2007/12/06(木) 22:01:18 ID:rb/N2oVQ
>>271さん
全体はSTDにしといてucfで個別に指定できるってことですか!
ありがとうございます。早速明日やってみます!
273774ワット発電中さん:2007/12/07(金) 20:30:46 ID:mTywq089
質問です。ISE9.2でSVFファイルを生成しようとしているのですが、
HELPを見てもHELP通りの操作ができません(HELPに出るコマンドが存在しない)
何か注意点とかあるでしょうか?
274273:2007/12/07(金) 20:40:07 ID:mTywq089
追記です。
Optional Implementation Toolsという項目をいじればいいと書かれているのに、
その項目が見つかりません。
275774ワット発電中さん:2007/12/08(土) 01:56:17 ID:vPWPS1Gm
質問です.

ハードウェアで HTTP サーバを作って見たいのですが,
FPGA (できれば Xilinx) + LAN (できれば giga bit) + SDRAM (64 MB 以上) + USB (できれば)
載ってるボードでおすすめのってどんなのになりますか?
276774ワット発電中さん:2007/12/08(土) 01:59:55 ID:oOYVwcGd
ギガビット級のLANが必要って時点でギガビットLAN用の開発ボードぐらいしかないんじゃないか?
3Eと3Aの開発キットはそれぞれDDRとDDR2だから簡単には行かないけど、ハードでHTTPサーバを作ろうとするレベルの人だから…余裕かなぁ?
277774ワット発電中さん:2007/12/08(土) 02:35:05 ID:efK/885u
ハードでHTTPサーバを作るくらいだから、ギガビットクラスじゃないと勿体無いんだよ、きっと。
278774ワット発電中さん:2007/12/08(土) 08:34:47 ID:0NDHr/A4
全部載ってるの用意するより
モジュール組み合わせた方が
安くて良いのが出来そうだよね
279774ワット発電中さん:2007/12/08(土) 09:48:35 ID:JZ6/cCpe
VIAのPC用マザーボード(EPIA N/NL/NX)にmini-PCI用FPGAボード乗せるとかどう。
LANもUSBもソフト処理が必要だと思うがOS、ドライバ全て開発するってこと?
280774ワット発電中さん:2007/12/08(土) 10:45:01 ID:UeK5v97O
プロトコルスタックもHTTPDもワイアードロジックで作るって事だろ。
ハードウェアで HTTP サーバを作る、ってんだから。
281774ワット発電中さん:2007/12/08(土) 10:59:39 ID:qHQxjpYz
100BASEで妥協 ->適当なスタータキット (〜3万円コース)
1000BASEじゃなきゃやだ -> GbE付き評価ボード(20万コース)

くらいか?

10万以下で 1000BASE付いてるのあったっけ……
282774ワット発電中さん:2007/12/08(土) 15:51:56 ID:0NDHr/A4
>>280
もれもそういう意味かと思ったが
分断パケットとか受信バッファとか考えたら
ソフト付きで作るのにくらべて
すごい無駄な努力がいると思う
283774ワット発電中さん:2007/12/08(土) 16:35:48 ID:1HD6v7SB
>>282
それをしたいってことでしょ。勉強か何かのために。

CPUとか作りたい人もいるわけだから、物理層からアプリまで、ハードワイヤーで
作ってみたいということでしょう。

ついでに、IPv6(当然暗号化含む)も実装して発表してください。
284774ワット発電中さん:2007/12/08(土) 16:59:56 ID:Jk2Ll7iW
まずはL3スイッチ(ルータ)をワイヤードロジックで作るくらいのところから
始めてみてはいかがかな? いきなりHTTPDはチャレンジャブル過ぎるだろう
285774ワット発電中さん:2007/12/10(月) 10:47:53 ID:DgW0rfx2
苦行すぎる
286774ワット発電中さん:2007/12/13(木) 10:47:18 ID:5uSKUICn
MIPSベースでコンピュータシステム作りこんで、後はLinuxとかの
イメージが書きこまれてるだけ、というのは反則?>HDLでhttpd
そういうのなしでOSからなにからフルHDLでとかだと超大変そうだ。
287774ワット発電中さん:2007/12/13(木) 20:23:11 ID:MmCuB6Sg
それ誰かやってくれんか…
H8でuClinuxってのが動くらしいからH8マイコンのIP作れば良いのか?
アナログとかそこらへん全部オミットしたら自作出来るものだろうか…
288774ワット発電中さん:2007/12/13(木) 21:10:38 ID:u4G7HJgx
そんなの、匂酢かμ凍使えば、いきなり動くだろ。
275ともあろうかたがそんな低レベルの話するわけない。
289774ワット発電中さん:2007/12/13(木) 21:21:54 ID:MmCuB6Sg
日本語はおk?
290774ワット発電中さん:2007/12/13(木) 21:31:34 ID:u4G7HJgx
IPでH8おかMIPSを載せるのなら、NIOSかMicroBlaze使えば?
と書いたんだがおかしい?
291774ワット発電中さん:2007/12/13(木) 22:10:22 ID:MmCuB6Sg
そういう略仕方ってのは知らんかった
サンクス
292774ワット発電中さん:2007/12/13(木) 23:07:47 ID:TsxSzEOy
blazeって火炎のことなんだが、どうして>>288は凍なんて字を使うのか?
293774ワット発電中さん:2007/12/13(木) 23:28:44 ID:IOvzXWsD
>>292
なんで、おまいは解ったんだ??
294774ワット発電中さん:2007/12/13(木) 23:41:27 ID:cIOG/fD/
動かない、動かせない、微小火炎で微少凍
295774ワット発電中さん:2007/12/14(金) 08:42:38 ID:kg3AlGTf
微笑凍ならちょっと面白いけどもはや原形をとどめていない
296774ワット発電中さん:2007/12/14(金) 10:37:15 ID:G0dLKL/P
キモイ略称作って喜んでるんじゃねえよ糞ヲタ
297774ワット発電中さん:2007/12/15(土) 10:56:53 ID:rXbsHv8d
正直略称は寺と罪あたりまでにしてくれ
298774ワット発電中さん:2007/12/15(土) 11:14:44 ID:bYU4zobS
で、CPLD半額キャンペーンに応募して、返事キタ人いる?
東京エレクトロンもアヴネットもこない
299774ワット発電中さん:2007/12/15(土) 17:47:49 ID:PPg5mCP1
よ〜しパパSmileFreezeを作っちゃうぞ、と言いそうになった。

300774ワット発電中さん:2007/12/18(火) 15:33:07 ID:Q06g7ZI3
>>298
クールランナーのスターターキットのこと?
なかなか来ないので、
「納期は11月末の筈ですが何か?」
と連絡したら速攻で届きました
301774ワット発電中さん:2007/12/20(木) 00:04:52 ID:TbgA6C+v
質問なのですが、
今QuartusUのシュミレーションを実行しようとしたのですが、
「Warning: Found clock high time violation at 1.29 ns on register "|program_regs|clk~clkctrl_SIM_329_CE_DFF"」
と言うwarningの処理の仕方が分からなくて困っています。
どなたか分かる方教えてください!
302774ワット発電中さん:2007/12/20(木) 00:37:28 ID:cYz4/JI7
つ 時間制約を緩める
303774ワット発電中さん:2007/12/20(木) 01:14:23 ID:QK7686Kx
どこで緩めることができるんでしょうか??
ホント聞いてばっかりでごめんなさい・・・
304774ワット発電中さん:2007/12/20(木) 09:11:52 ID:gwXDYQ7y
わーにんぐなら無視すりゃいいじゃん。どうせタイミング制約はデフォになってんしょ。
305774ワット発電中さん:2007/12/20(木) 09:19:01 ID:gwXDYQ7y
って思ったけど、なんか、クロックにヒゲが出てないか?
クロックの切り替えか分周回路。まさか信号遅延させてクロック作ってないよな、verilog スレにいたヤツみたいに。
306774ワット発電中さん:2007/12/20(木) 16:06:15 ID:dCpOQiy0
超低消費電力のFPGAってない?
307774ワット発電中さん:2007/12/20(木) 18:23:13 ID:DcQ4MDcE
わーにんぐ馬鹿は無視できねぇな
308774ワット発電中さん:2007/12/20(木) 20:00:33 ID:qj8A8FeR
>超低消費電力のFPGA
CPLDならCoolRunner2とかあるけどな
309774ワット発電中さん:2007/12/20(木) 21:47:33 ID:Td63cuCq
>>306

具体的なスペックを示さないで質問するなボケ。
だいたいFPGAを出しているメーカは数えるほどしかないから要求仕様とマッチするグレードのデバイスを選択して調べればすぐにわかるだろう。

新しいプロセスのやつはコア電圧が下がって消費電力は減っているから10年前のデバイスに比べるとほとんど低消費電力品だぞ。
310774ワット発電中さん:2007/12/20(木) 22:28:16 ID:J/Ox05Sg
>>309
Vertex 5 とか Stratix 3 とかな。
65nm だから低消費電力なんだよね。
311ごるごるもあ ◆753Z/RLFiY :2007/12/21(金) 20:23:52 ID:QqMDrmM0 BE:70413072-2BP(210)
JTAGダウンロードケーブル自作したんだけどiMPACTでデバドラすら認識しないんだよね。
CPLDのクロックピンになんかつけないと動かないのか?
検索したらPCとの相性があるとかでPLD−PGMキットもやばそうなんだけど。
どっちだ!
312:2007/12/21(金) 20:53:05 ID:k4Qod9NC
ひるゆき君にそんなこと聞いたのか?
313774ワット発電中さん:2007/12/22(土) 12:23:30 ID:YwC6wl4r
趣味でFPGAのプロジェクトを始めてます。私はLSI屋で、
verilogはまあ慣れてるのですが、FPGAは今までやったこと
ありません。プロジェクトの計画を練っているのですが、
何しろ経験がないので、「もしかして全然はずしたこと考えて
るのでは?」と気にかかっています。

プロジェクトの概要ですが、ここに書くにはちょっと長いので、
以下のHPに用意しました:
http://www.geocities.jp/jiangqisys/

厳しい批評眼の2chの皆様から見てどんなものなのか、
ご意見をお聞かせいただけると幸いです。いろいろ細かく書いて
ますが、「ここは正しい」とか「ここは違う、こうだ」とかいう
情報をいただけるとありがたいです。(何しろ、今は全部あやふや、
という状況なので。)「こんなの絶対無理」でも参考になります。
もちろん具体的な案など聞ければ何よりです。
よろしくお願い致します。


314774ワット発電中さん:2007/12/22(土) 12:31:57 ID:7OxfAlRW
>>313
USB部分はFT245を使ったほうが安心。USBのデバッグをしたいなら別だが。
肝心の計算部は(秘)なのか…鳥計算でもさせんのかな?
315774ワット発電中さん:2007/12/22(土) 14:30:27 ID:YwC6wl4r
>>314
なるほど、コントローラがついたボードがあればいいのか。さっき
ざっと見たかぎりでは見当たらなかったですが、もう少し探してみます。
(EP1C6というのはあったが、これは小さい)
ていうかB端子のついたボードなら、もしかして載ってる?これも
確認します。
計算部は、秘ってほどたいそうなもんではないのですが、ここは自分の
知識で足りるので割愛しました。
316ごるごるもあ ◆753Z/RLFiY :2007/12/22(土) 16:40:17 ID:tHOVVQ/8 BE:201180285-2BP(210)
>>311

多分JTAGと通信するときはCPLD内部の通信プログラムを使うからクロック要るんだよ。
セラロックしか持ってないけど動くかなー。
317774ワット発電中さん:2007/12/22(土) 20:25:34 ID:HDuSUCXh
ごるごるもあって鬼畜スレという場所で見かけたことがあります。
318ごるごるもあ ◆753Z/RLFiY :2007/12/23(日) 00:20:49 ID:Yf2tG2Cu BE:120708083-2BP(210)
おまえ何言ってんだ?ここも鬼畜スレじゃないか。
319774ワット発電中さん:2007/12/23(日) 00:24:20 ID:RdeiKU4N
いいえ、社畜スレです。
社畜と将来の社畜(学生)で構成されています。

約一名の無職を除き
320あんごるもあ ◆Kq/hroLWiA :2007/12/23(日) 02:24:09 ID:pyQoXZsH
すっこんでな
321ごるごるもあ ◆753Z/RLFiY :2007/12/23(日) 08:01:14 ID:Yf2tG2Cu BE:50295252-2BP(210)
iMPACTでパラレルダウンロードケーブルが認識しない原因が判明。
ATXマザーボードではピンアサインの配置が変わっている。
この詳細は俺様が2時間で自作したパラレルポート制御ソフトでしかわからないし、当該類似ソフトはシェアウェアでしか存在しない。
322774ワット発電中さん:2007/12/23(日) 08:36:06 ID:thHbeLWo
そうか
よかったな
323ごるごるもあ ◆753Z/RLFiY :2007/12/23(日) 10:52:05 ID:Yf2tG2Cu BE:80472544-2BP(210)
JTAGダウンロードケーブル治ったどー!

>>324 バーカ
>>325 うせろ
>>326 おまえ氏ねば?
324774ワット発電中さん:2007/12/23(日) 11:38:39 ID:FGzXcE7K
 超低消費電力だと、アルテラとザイリンクスはどっちが有利?
325774ワット発電中さん:2007/12/23(日) 12:36:54 ID:gsDCLygb
>>313
FPGAの計算性能の低さにGIVE UP
326774ワット発電中さん:2007/12/23(日) 13:26:07 ID:c5t3n1Ni
FPGAに計算させるのか!?
CPU使うべきじゃね?
釣りだよね、ね?
327313:2007/12/23(日) 19:11:29 ID:Yzkd9v7D
う、こっちにつっこみが来たか…
もちろんアプリ専用に特化して並列に計算するように
作りますんで、Core2Duoとかで5,000サイクルかかる
ようなことをFPGAで1サイクルでやります。なので
10MHzくらいで動いてもCPUより10倍以上速くなる、と
皮算用してます。
328774ワット発電中さん:2007/12/23(日) 22:54:11 ID:VeXQJegd
> Core2Duoとかで5,000サイクルかかる
> ようなことをFPGAで1サイクルでやります。
このへんをある程度具体的に示さないと、食いつき悪いと思うよ。
かのページ見ても、スキルレベルがようわからんし。
20万もかけるなら、代理店とかにおんぶしたらいい気もするし。
329774ワット発電中さん:2007/12/24(月) 00:57:52 ID:Z2/UhAMp
>>328
たった20万で、代理店動いてくれるのですか?
そんないいところあったら紹介して欲しいです。
20万個買う、ってのじゃないですよね?
330ごるごるもあ ◆753Z/RLFiY :2007/12/24(月) 02:56:45 ID:T3CC0ZI7 BE:80472544-2BP(210)
分散コンピューターでしょ。
俺様もやったことあるけど集計に一番時間掛かるんだよ。盲目だねぐふふ。
331774ワット発電中さん:2007/12/24(月) 04:00:41 ID:1lR0CPMO
bonanza のFPGA版だったら興味ある。
332774ワット発電中さん:2007/12/24(月) 04:03:47 ID:Nqtg61uY
>>330 荒らしにコテハンなんてつけなくていいよ、ボケナス
333774ワット発電中さん:2007/12/24(月) 10:05:35 ID:1d9r0yrl
50万なら仕事受けます
334ごるごるもあ ◆753Z/RLFiY :2007/12/24(月) 17:11:48 ID:T3CC0ZI7 BE:407390099-2BP(210)
俺様なら5万円で仕事受けてやるぜ
335ごるごるもあ ◆753Z/RLFiY :2007/12/24(月) 17:16:59 ID:T3CC0ZI7 BE:160944184-2BP(210)
ジャンキーだからなぐへへh
336774ワット発電中さん:2007/12/24(月) 21:01:34 ID:fahslJd5
工作を避けたいってところが徹底的にダメポ
そこを避けてどうする?
337774ワット発電中さん:2007/12/24(月) 22:23:25 ID:mf2Pam+g
20年前の電子工作少年じゃあるまいし
工作なんて極力やりたくねえだろ
338774ワット発電中さん:2007/12/24(月) 23:06:47 ID:qABcpBM8
はぁ?ハードウェアいじってて工作厭うなら。はじめから触るな。ボケなす
339774ワット発電中さん:2007/12/25(火) 00:27:24 ID:xPf1gMd9
あ?工作したくねえからFPGAで組むんだろ糞が
フラックスの吸い過ぎで脳が溶けてんのか?
340774ワット発電中さん:2007/12/25(火) 00:34:01 ID:jxz2TkTw
工作したくねえからFPGAで組むんだけど、周辺回路は自分でなんとかしないとななわけで。
341774ワット発電中さん:2007/12/25(火) 01:26:14 ID:WId53L/e
>>339
なぁお前馬鹿だろ。
HDL書いてFPGA単体で完結するような作業が存在するとでもおもっとんのかボケ
電源はどうすんだよ。FPGAの実装はどうすんだ。I/Oはどうするつもりなんだよ。この糞野郎
342774ワット発電中さん:2007/12/25(火) 04:18:37 ID:a1s5rV0E
電源はFPGAにACコードが生えてるんだよ。
I/OはFPGAにコネクタが生えているんだよ。
おそらくそう。多分そう。きっとそう。
343774ワット発電中さん:2007/12/25(火) 08:14:15 ID:SjqH10LM
> I/OはFPGAにコネクタが生えているんだよ。

いまどきUSBはあたりまえ。
344774ワット発電中さん:2007/12/25(火) 09:05:14 ID:eoGQTeCO
74シリーズで全部組むくらいでないと工作厨失格だぜ。
345774ワット発電中さん:2007/12/25(火) 14:13:09 ID:S5yZ1v4W
工作なんて土方作業はどっかの業者にやらすなり
出来合いのボード買えばいいだろうが糞野郎
346774ワット発電中さん:2007/12/25(火) 14:18:23 ID:OXwvKEM8
FPGA なんかどっかの業者にやらすなり出来合いの IP 買えばいいだろうが、と糞野郎が申しております。
347774ワット発電中さん:2007/12/25(火) 14:22:46 ID:IATsnez/
その土方作業で飯を食っている人/趣味にしている人と、
土方作業は手段に過ぎない人の差だな。

>>344
ユニバーサル基板の表を全て74で埋めて裏を全てビニ線で埋め
半ベソ書きながらデバッグするのは二度とやりたくありません。
348774ワット発電中さん:2007/12/25(火) 14:29:05 ID:4AkiZcH0
仕事にはしてるけど趣味にはしたくねえなあ
実際嫌だしな
349774ワット発電中さん:2007/12/25(火) 15:05:37 ID:2dcGrzGG
趣味の工作といえば、ポリパテのかたまりから削りだしだな。
350774ワット発電中さん:2007/12/25(火) 19:51:43 ID:gUhilTci
> 裏を全てビニ線で埋め
ウレタン線を使えよ。
ケースに収まらないだろ。
351774ワット発電中さん:2007/12/25(火) 20:01:30 ID:7MQAaFvl
>>349
そんなのはオタクの造形だよ
光硬化樹脂を紫外線レーザーでスキャンして形成してこそ趣味の工作
352ごるごるもあ ◆753Z/RLFiY :2007/12/25(火) 21:14:56 ID:l8ePmrgf BE:100590645-2BP(210)
Verilogでコード書いたけどC言語で書いたPICと一緒にシミュレーションしたいんだよね。
たった1日でSystemCに乗り換えだな。

353ごるごるもあ ◆753Z/RLFiY :2007/12/25(火) 21:16:09 ID:l8ePmrgf BE:60354443-2BP(210)
C言語やっててよかったなー。ぐへへ
354ごるごるもあ ◆753Z/RLFiY :2007/12/25(火) 21:19:18 ID:l8ePmrgf BE:50295825-2BP(210)
C言語超便利ぐへへ
HDLなんて糞だね。
355313:2007/12/25(火) 21:20:04 ID:wQYyEGoh
>このへんをある程度具体的に示さないと、食いつき悪いと思うよ。
えーっと、アプリは「将棋」なんですよ。マニアックな世界なの
で、あまり普通の技術者の方には興味ないんじゃないかと…
(てか金にならんし)331さん正解。正確に言うとbonaと直接関係は
ないんですが。

スキルレベル:一応プロのLSI設計者です。ただ大規模のLSIは
分業なので、私はLSI内部の論理ばかりやってまして、I/Oとか
ボードとかあまりやったことないのですよ。エンジンのRTLは
一人でできたんですが、それをPCにつなげるところで苦労してる。
工作苦手なんだけど…どっちかてとソフト系。ほんと「HDLだけ」
に近い。USBとかI/Oとかは耳学問。

私も人に頼むと百万単位で飛ぶと思ってました。
ボード込みで50万で一切がっさい、ならまじ考えます。

AlteraボードDK-DEV-3C120Nは、もろFT245BLのってました。これなら
工作もデバドラもいらんし、FPGA側も簡単にステートマシンできそうだし。
なんか突然楽勝っぽく思えてきた。USBってFPGAでやるもの、と
思いこんでたんですよねぇ…
356774ワット発電中さん:2007/12/25(火) 22:43:25 ID:5yNCmgwK
>>355
いいんでねの?

ただ、Altera のDEVボードのUSBは、USBブラスター互換になっていたような
気がする。オレが使ったことがあるのはDE2だけど。

>一応プロのLSI設計者です
アマチュアはいないだろ :-P
357774ワット発電中さん:2007/12/25(火) 23:29:51 ID:i/aFZyUM
>>355
おおー。コンピュータ将棋は専用HWってIBMみたいにとことん支援する
企業もないから作られた事例ってまだないんじゃないでしたっけ?
完成の暁には超注目浴びそう。
358ごるごるもあ ◆753Z/RLFiY :2007/12/26(水) 04:04:04 ID:GeAdYJeB BE:181062566-2BP(210)
SystemCってVerilogに変換できねーじゃん。バーカ
359774ワット発電中さん:2007/12/26(水) 09:19:41 ID:WQzCJWRg
>>355
完成したら動いてるとこの動画うp希望
2台作って対戦させてくれ
360774ワット発電中さん:2007/12/26(水) 11:30:27 ID:XCHXog/l
>IBMみたいにとことん支援する
力強い味方が出来たな。
Deep Blue って10億円とかそんくらいはかかってそうだから…
オレにも少し仕事回してくれ
361774ワット発電中さん:2007/12/26(水) 12:39:45 ID:qZPAtzmK
10億円なんてそんなはした金でDeep Blue作れるわけないじゃん
人件費込みなら1億ドル超してると思うぞ
362313:2007/12/26(水) 22:14:21 ID:urJMWrCW
をぉ何か心強い反応。できたら報告します。(ってほんとにできるか
まだわからんが)
Alteraボードはいちおう「ほんとにUSBデバイスになんだろうな」と
代理店に確認中。ここOKなら発注してしまう予定。ボードによっては
(たとえばスターターは)USBはconfig専用だったりするみたい。
しかし、誰か10億くらい出してくんないかな…そしたら1億くらい
外注出しますが。
363774ワット発電中さん:2007/12/26(水) 22:30:25 ID:qZPAtzmK
拙速主義で「そろそろやってみるか」と思ったとか?
ところでB/SやP/L読めるんだろうな

ご連絡先
364774ワット発電中さん:2007/12/27(木) 17:25:04 ID:wK8RleMD
>>316
思わず実験しちまったぢゃねーか。クロックなくても書けるやんけ。
デコーダだけのクロックなし基板を設計してる最中だからあせった。
365774ワット発電中さん:2007/12/27(木) 21:05:15 ID:oUYKZAju
トラ技読んでる初心者はみんな知ってること。
366ごるごるもあ ◆753Z/RLFiY :2007/12/28(金) 12:37:28 ID:8p+eIXE1 BE:120708364-2BP(210)
CPLDにカメラとSRAMとdsPICを繋げたいんだけどパスコンと3端子イレギュレータ入れなくても動きますか?
動作周波数は24MHzで電池3本ぐらいの3.3V使おうと思ってまふ。
あとPIC内臓のA/Dコンバータで電圧監視して10〜100Khzぐらいのスイッチング電源作った場合必要なコンデンサ容量教えてください。
367ごるごるもあ ◆753Z/RLFiY :2007/12/28(金) 12:41:31 ID:8p+eIXE1 BE:105620437-2BP(210)
1ヶ月で物覚え過ぎたぜ。頭いてぇー
368774ワット発電中さん:2007/12/28(金) 12:46:45 ID:8v9ZcHsK
>>364
やるまでもないだろw
>>366
理想的な定電圧電源と電源の配線を持ってくれば、何も入れなくても動くんじゃね?
もしくは電圧のリップル無視して駆動すればコンデンサがどんなに小さくてもおkだよ?

…………………ん?イレギュレータ?
369ごるごるもあ ◆753Z/RLFiY :2007/12/28(金) 13:08:27 ID:8p+eIXE1 BE:362124498-2BP(210)
CPLD初めてでいきなりコーディング行数が1000ステップぐらいになっててもう大変なんだよね。
370ごるごるもあ ◆753Z/RLFiY :2007/12/28(金) 13:09:32 ID:8p+eIXE1 BE:271593296-2BP(210)
物理デバッグとかできないもんね。
371774ワット発電中さん:2007/12/28(金) 14:40:55 ID:oYwojtOu

>>ごるごるもあ ◆753Z/RLFiY

Cベースの論理合成ツールって何使ってんの?

>物理デバッグとかできないもんね。

XiだとChipScopeとかあるけどこれじゃだめ?
あとはこんなんとか↓
http://www.nahitech.com/jtag/mitoujtag.html
372774ワット発電中さん:2007/12/28(金) 15:32:08 ID:S+gKQcGw
アクテルの ProASIC3 って何であんなに安いんだ?100万ゲートで $52 って…
何か裏があるんでしょうか?教えて、エロい人
373774ワット発電中さん:2007/12/28(金) 19:58:36 ID:VIbeT9nw
1万個から・・・とか
374ごるごるもあ ◆753Z/RLFiY :2007/12/29(土) 05:44:54 ID:wbtQAsmE BE:211239476-2BP(210)
フリーのsystemCの論理合成ツールなんかまだないよ。
375ごるごるもあ ◆753Z/RLFiY :2007/12/29(土) 05:45:52 ID:wbtQAsmE BE:120708364-2BP(210)
RTL変換ツールぐらいなら作ろうと思ったら作れるけどね。
376774ワット発電中さん:2007/12/29(土) 11:46:57 ID:oj8XkvrB
>>372 自己レスです
どうもアクテルの ProASIC3 は、ロジックセル(tile) が小さいので利用効率が
悪いみたい。FFだけ大量に使うなら効率がいいようですが、演算回路を
作ると、それだけで大量に tile を使用します。Xilinx や Altera と比べて
1/5 くらいの効率になりそうな悪寒。
Spartan3 や Cyclone3 もずいぶん安くなっているのですね。
ProASIC3 は、130nm プロセスなので集積率も上がりそうにないし。

というわけで世の中、甘い話には気をつけましょう。
お騒がせしました。
377774ワット発電中さん:2007/12/29(土) 12:33:17 ID:2zB6EHRE
>>374
だからCベースって言ってるだろ。おまえ>>352でCベースで設計したいと書いてるだろ。
>>354でもHDLなんて糞って書いてるじゃねーか。CベースだとDKとかあるだろ。
ちなみにSystemCはC++ベースな。
抽象度の低いCベースの方がまだまともな合成できるだろ

それとRTLに変換せずともSyetemC→HDLに変換するフロントエンドが自前でできれば大したもんだ。
基本的に言語コンパイラとか f2cあたりのトランスレータと同じだから
売りに出せよ。そんなに簡単にできるなら。
あと、HDLの論理合成ツールもお試し版とかQuartusやXIlinxのフィッタ付属のメーカ製ツール以外フリーのものは
性格上できないと思うよ
378774ワット発電中さん:2007/12/29(土) 15:59:09 ID:KGhI7ate
ちょっと前にどっかのblogでC->Verilogなら誰かが数日で作ってたな・・・と
具具って見たら変なもの発見:

つ Excelで設計するVerilogのエントリツール
http://vector.aol.co.jp/soft/win95/edu/se250544.html

くっ、時代は進化して行ってるぜ・・・                    #でもたぶん使えない
379774ワット発電中さん:2007/12/29(土) 19:57:11 ID:pE5yhvmF
>C->Verilogなら誰かが数日で作ってたな・・・と

そういうツールがあれば非常にありがたいんじゃないかな
380ごるごるもあ ◆753Z/RLFiY :2007/12/31(月) 18:27:33 ID:cZ63xA2z BE:181062094-2BP(210)
>>377-379

儲かるわけねーだろ。おまえで作れよバーカ
381774ワット発電中さん:2007/12/31(月) 18:58:17 ID:r1npMBMU

どうせできねぇくせにでたらめ言うなよバーカ
大うそつきのペテン師野郎 >>ごるごるもあ ◆753Z/RLFiY
382ごるごるもあ ◆753Z/RLFiY :2007/12/31(月) 23:05:30 ID:358CDFdF BE:40236342-2BP(210)
ところで0.3mmピッチTQFPの秋月CPLDをハンダ付けしたいんだけど、ピッチ変換基盤高いからウレタン線で空中配線しようと思うんだよね。
なんか注意することある?
383774ワット発電中さん:2007/12/31(月) 23:08:43 ID:fj4tNpa3
最も注意すべきは、そんな馬鹿なことをやろうと思わないこと。
384774ワット発電中さん:2007/12/31(月) 23:27:54 ID:buR2j2Aw
その通り、余計高くつく。
今年最後の釣り人かな。
皆さん、良いお年を
385774ワット発電中さん:2007/12/31(月) 23:28:00 ID:65esBqfG
>>382

まず、データシートチェック汁

http://japan.xilinx.com/support/documentation/package_specs/tq100.pdf

0.3ピッチちゃうやろ。
0.5の100ってそんな高くないぞ。ダイセンとかなら。
デバイスパーにするくらいなら....。
電源、IOは複数あるけど、JTAGは1ピンでも折ったらアウト...。
386774ワット発電中さん:2007/12/31(月) 23:29:48 ID:buR2j2Aw
なんだよ、ごるごるさんかよ、また来年な。きばんは基板だよ。
387ごるごるもあ ◆753Z/RLFiY :2008/01/01(火) 01:27:50 ID:C58vk8cA BE:181063049-2BP(210)
配線できたどー!一辺だけだけど。
もはや芸術だな。
ビビらせやがって。
388ごるごるもあ ◆753Z/RLFiY :2008/01/01(火) 01:32:31 ID:C58vk8cA BE:135797639-2BP(210)
俺様はもしかしてプロではないのか。
389 【大吉】 【1676円】 :2008/01/01(火) 01:45:59 ID:UeCqA6zl
線で延ばすって...リードインダクタンスぐぁ
電源はデバイスに銅箔張ってパスコンつけて、太い線で電源へ。
390774ワット発電中さん:2008/01/01(火) 07:18:51 ID:PvkKn61r
ごるごるもあってのは冬休み中の中学生? なんつーかお目出度い
オツムを持った奴だな。まあ風物詩だから許してやっけど。

>>384
>その通り、余計高くつく。

変換基板を使おうが使うまいが完成させられないんだから、
使わない方が安くつくんじゃない?(笑
391774ワット発電中さん:2008/01/01(火) 13:44:41 ID:rqPDIfFh
>>390
爆弾予告して逮捕されたアホ
392ごるごるもあ ◆753Z/RLFiY :2008/01/02(水) 09:44:14 ID:BE78YkDg BE:281652678-2BP(210)
CPLDの電源ピンがいっぱいあるんだけどさー、全部つなげないと駄目なのか?
なんか説明書には内部で結線してあるって書いてんだけど。
393774ワット発電中さん:2008/01/02(水) 10:01:13 ID:AooK0vBL
気分的には数本繋ぎたいね

だがお前は帰れ
394774ワット発電中さん:2008/01/02(水) 10:44:10 ID:XDR3f/GI
>>392
電源なんて一本つながってればいいに決まってるだろ。
電源コードも、影響を小さくするために、細くて長い線をくるくる巻いて引っ張っておくのがいい。
パスコンも一個でいいぞ。
容量が大きければ大きいほどいいから、安くても大容量の電解コンデンサを
一個だけつけておけば無問題。
395774ワット発電中さん:2008/01/02(水) 11:08:47 ID:AooK0vBL
数ヶ月後…
「過去ログとして>>394を読みこのスレには初心者以下しかいないのか!?」
と言う初心者の姿が
396774ワット発電中さん:2008/01/02(水) 11:28:13 ID:Qz3xaZbO
>>392
いらないステージの電源ピンはGNDに落とすべし
あと、使ってないポートはすべてVccに直結して
397774ワット発電中さん:2008/01/02(水) 11:28:52 ID:Qz3xaZbO
>>394
初心者にでたらめ教えるのはやめとけ。
398774ワット発電中さん:2008/01/02(水) 11:30:26 ID:Qz3xaZbO
>>392
電界コンデンサなんか使うのは何も知らない素人。
スーパーキャパシタを電源ピンのすぐ近くに使うのが今時の設計
399774ワット発電中さん:2008/01/02(水) 11:35:51 ID:AooK0vBL
ところでFPGAのまとめWikiとかって無いのか?
このスレ値引きとかどっかで何か出来た程度の情報を得るぐらいにしか役に立たないから、他にまともな情報サイトが欲しいんだが…
400774ワット発電中さん:2008/01/02(水) 11:37:25 ID:xMf6q1Fi
なんせ、スーパーだからね。
0.1uFのセラコンなんかゴミ。
配線はコイル状もいいが、抵抗もそれなり。
車のノイズ防止プラグコードってあるでしょ。
大車メーカーが採用するくらいだから、コストパフォーマンス最高。
401774ワット発電中さん:2008/01/02(水) 11:48:03 ID:Qz3xaZbO
そうそう0.1のパスコンなんかちまちま使う設計見てるとしろーとだなコイツって思うもん。
軽蔑するわマジ
402774ワット発電中さん:2008/01/02(水) 11:49:39 ID:Qz3xaZbO
>>392
あと信号ラインにLつなぐのも今時だなできるだけ大きいLを早いディジタル信号線につなぐのがいいよ。
雑音フィルタになるから
403774ワット発電中さん:2008/01/02(水) 12:53:41 ID:BfdTuGG8
スーパー使うのって、セットの電源落としても
長時間電源Onのままになったりしないの?
404774ワット発電中さん:2008/01/02(水) 13:17:05 ID:OdnDCZ6Y
>>403

軽石じゃあるまいし、Reset入れろよ。
何時間も持つ訳じゃあるまいし、無問題。
405774ワット発電中さん:2008/01/02(水) 13:23:51 ID:bLatkGFQ
>>402
ついでに低ESRの大容量のコンデンサをピンに直結するといいんじゃなかたっけ?
406774ワット発電中さん:2008/01/02(水) 13:34:14 ID:BfdTuGG8
>>404
それって趣味の話?
何時間じゃなくても、何十分も電源入りっぱなしはダメだろ?
407774ワット発電中さん:2008/01/02(水) 13:36:53 ID:WaR3YN+M
このスレには危険な冗談も混ざっているので、
初心者の方は自分で理解して納得した上で設計しましょうね
408774ワット発電中さん:2008/01/02(水) 13:47:02 ID:Qz3xaZbO
信号ラインにL入れるのはエッジをはっきりさせるためだよ。
できるだけ早い信号ラインに大きいLを入れようね
409774ワット発電中さん:2008/01/02(水) 14:59:31 ID:XDR3f/GI
信号と電源の間だけではなく、信号間の容量などにも気を配らないといけない。
信号ピンと信号ピンの間を、0.1uFくらいの積層セラミックで接続しておくと
安定に動作するよ。
410774ワット発電中さん:2008/01/02(水) 15:17:05 ID:XDR3f/GI
もう一つ、プロの技を教えておこう。

ノイズ対策のために、信号ラインにダンピング抵抗を直列に入れているが、
本当は、電源からの混入ノイズが一番問題になるから、電源にも抵抗を入れるといい。
しかしそうすると、いろいろ問題があるから、出来るだけ細い線でつなぐと、配線抵抗で
同じ効果がある。

電源のピンが複数あるのは、違うブロックごとに、異なる電源やGND電位をつなぐことが
あるためだ。フォトカップラで絶縁するのと同じ理由。
同じ電源なら一つにつなぐだけでいい。

基準電位が異なる複数の電源をつなぐ場合は、それぞれに違う電源をつなぐ。
それを利用して、ブロックごとに、電源をプラスマイナス逆につなぐと
逆論理で接続できるから、やってみるといい。
その場合は、出来るだけ大容量低インピーダンスの電源を使うこと。

がんばっていろいろやってみてくれよ。
411774ワット発電中さん:2008/01/02(水) 15:19:01 ID:w8cqm3eK
Qz3xaZbO,XDR3f/GIはNGワード確定
うその塊。
正月早々基地外が湧いている。
こんなところでしか日ごろの不満を吐き出せないお子様だね。
「見た目は大人、頭脳は子供」の典型。
たぶん会社じゃ嫌われ者なんだろうな。

412774ワット発電中さん:2008/01/02(水) 15:46:08 ID:BfdTuGG8
まぁ、この程度の話に騙される方もどうかしてるが。
413774ワット発電中さん:2008/01/02(水) 16:11:37 ID:lD5rgf1/
ごるごる相手にマジレスするほうがどうかしてる。
414774ワット発電中さん:2008/01/02(水) 17:23:33 ID:iMQtklb4
常識的に考えて、ごるごるもあもNGだろw
415774ワット発電中さん:2008/01/02(水) 19:02:01 ID:KxhPPz8F
本当の初心者も読んでる可能性があるので、にわか知識を記述しておくと。

・複数電源ピンがある場合は、全部電源に繋いでおくこと。
本当は内部で繋いでおくのが理想だけど、半導体のメタル配線の断面積が非常に小さいので
抵抗値が高くなりやすく電流値が増えてくるとGNDが浮いてくる。
今だと1.8V位のロジックとかあるので0.数V浮いてしまうだけで動作が不安定になることや、
半導体の配線ほどではないが基板の配線の取り回しが悪いと、更に浮く事になる。
アナログ、ロジック混載ものだとロジック側のノイズが直接アナログ側に回り込む事になるので
いったんチップ外に出て入るだけでもアナログ側のノイズを抑えることが出来る。

・未使用端子はLowレベルにしておく。
未使用端子については、未使用で何も繋いでいないとしても基板と容量結合したり、
電波等の外部ノイズによって誤動作の元になるんじゃなかったかな。
素人工作でリセットすればいいやと言うレベルなら適当でいいが。
416ごるごるもあ ◆753Z/RLFiY :2008/01/02(水) 19:15:19 ID:BE78YkDg BE:60354634-2BP(210)
ちなみにTQFPのCPLD84ピンは2辺までできたけど作業効率20倍くらい悪いからやめた。
どうやらこれはアートネイチャーのようだ。
417774ワット発電中さん:2008/01/02(水) 19:23:00 ID:lD5rgf1/
>>411
日ごろの不満は吐き出せた?
よかったね。
418ごるごるもあ ◆753Z/RLFiY :2008/01/02(水) 19:55:33 ID:BE78YkDg BE:316859279-2BP(210)
ファンクションブロックが4つあるんだけど、PICからのデータバスが2つに被っちゃったんだよね。
これってCPLD内部でどう影響するの?
殆ど影響無かったらファンクションブロックの意味ないわけだよ。
419電気覚えたい子:2008/01/02(水) 20:45:22 ID:iEhpwRqZ
回答ありがとうございます!

1次コイルのインダクタンスが2[H]で、2次コイルのインダクタンスが4.5[H]で結合計数が0.5であれば、相互インダクタンスは?[H]でしょうか?[][][]
420774ワット発電中さん:2008/01/02(水) 21:06:00 ID:AooK0vBL
誤爆乙!
421774ワット発電中さん:2008/01/02(水) 21:32:27 ID:w8cqm3eK
まじめにレスしてみる。

・電源ピン
複数ある電源、GNDピンはきちんと全部接続するのが望ましい。
理由はインピーダンスを少しでも小さくするため。

・未接続端子
お仕事で設計する人は予備ピンとなるので未使用ピンは基本的にない。
とりあえず設計時にすべてのピンに対して入出力を決定する。
入力専用端子はHi又はLowになるようにプルアップ、プルダウンする。
入出力端子で出力指定ができる場合には出力ピンに設定、出力設定についてはHiでもLowでもお好みで。
422774ワット発電中さん:2008/01/02(水) 21:50:14 ID:Qz3xaZbO
>>421
しょーもないことにレス使うなよ
正月だというのにおもしろくもなんともない
Vdd 1Vに14V突っ込めとか何故言えん?
423774ワット発電中さん:2008/01/02(水) 21:54:14 ID:LcU7MOdc
電源ピン、GNDピンは全部つなげ。
望ましいとかじゃなくてMUST。
中でつながっていない場合もあるから基板上で全部つなげ。

未使用I/Oは放っておいて構わない。
KEEPER回路や内蔵プルアップ/プルダウンが入っているし、未使用ピンの状態は回路のロジックに影響を与えない。
全部GNDにつなぐとかVCCにつなぐとか、プルアップ抵抗をつなぐとかいうのは10年以上前の話。
424774ワット発電中さん:2008/01/02(水) 22:26:08 ID:I2bm1JPx
> 入出力端子で出力指定ができる場合には出力ピンに設定、出力設定についてはHiでもLowでもお好みで。

これはLOWにしてGND接続が望ましいです。
GNDピンと等価になるので、ノイズイミュニティ向上が期待できます。

勇気が要りますが...。
425774ワット発電中さん:2008/01/02(水) 22:32:52 ID:Qz3xaZbO
>>423
>未使用I/Oは放っておいて構わない。
>全部GNDにつなぐとかVCCにつなぐとか、プルアップ抵抗をつなぐとかいうのは10年以上前の話。

未使用I/Oって、お前I/Oなんて教えてもらったんか?10年以上前でも出力は放っておけと言われてるがな。
んで、入力ピンまで放っておけって?一体何を根拠に言ってる。
426774ワット発電中さん:2008/01/02(水) 23:18:04 ID:WaR3YN+M
いやいや、最近の微細プロセスによるLSIではESD耐性を上げるために
未使用ピンはロウ・インピーダンス処理した方がいいと言われてる。
入力・出力どちらでもいいけど。
427774ワット発電中さん:2008/01/02(水) 23:29:59 ID:I2bm1JPx
> 未使用I/Oって、お前I/Oなんて教えてもらったんか?

よく読めって。この場合、I/OといったらIにもOにも設定できるPin。
Iだけは入力専用Pinと表記するよ。
入力専用ピンは処理しないといけないのは今も同じ。
空きPinは、ほっときorGND接続推奨。

電源直結はラッチアップの可能性からあまり...今はかんけいないかな。
428774ワット発電中さん:2008/01/02(水) 23:37:33 ID:I2bm1JPx
デデデン♪×8

よく読めって。
でもそんなの関係ねぇ!この場合、ウェ〜!I/OといったらIにもOにも設定できるPin。
でもそんなの関係ねぇ!
Iだけは入力専用Pinと表記するよ。でもそんなの関係ねぇ!はい!オッパッピー!
入力専用ピンは処理しないといけないのは今も同じ。
でもそんなの関係ねぇ!
空きPinは、ウェ〜♪ほっときorGND接続推奨。
でもそんなの関係ねぇ!

電源直結はラッチアップの可能性からあまり...今はかんけいないかな。
でもそんなの関係ねぇ!

もんじろう(コトバ変換)
http://monjiro.net
429774ワット発電中さん:2008/01/03(木) 00:16:17 ID:z3jIpzv8
もんじろう作者乙
430774ワット発電中さん:2008/01/03(木) 00:21:42 ID:oJ1rJvox
XILINXのCPLD(95/95XL・・)ではノイズとか推奨とかの問題はあるけど、
オープンでも損傷することはないとありますね。
http://japan.xilinx.com/support/answers/1536.htm
XILINXの95系5V CPLD(10年以上前だねこれは)はちょっとやばいかも。
XC9500XL以降はバスホールド回路(KEEPER)回路があるので、ほっとけ。

CoolRunner(XPLA3)は内部プルアップ抵抗があるので安心だが、
http://japan.xilinx.com/support/answers/8458.htm
めちゃくちゃ古いの(これはP社製だったか)や入力専用クロック端子はそうではない。
http://japan.xilinx.com/support/answers/7649.htm

CoolRunner-II は、「常に終端しておく必要があります」と書いてあるけど、
「未使用の I/O ピンは、デフォルトでバスを保持するキーパ回路で終端されます。」だそうです。
http://japan.xilinx.com/support/answers/8458.htm
下手にプルダウンとかGND直結とかすると、リークが増えて消費電流増につながるというアンサーもある。
431774ワット発電中さん:2008/01/03(木) 00:22:45 ID:oJ1rJvox
Spartan-3/-3E の未使用I/Oは、
「内部プルダウンがイネーブルになったハイ インピーダンスです。」だそうです。
http://japan.xilinx.com/support/answers/19808.htm
(それをハイインピーダンスと呼んで良いのかどうかしらないけれど。)
Spartan3Eには入力専用ピンがあるけど、入力専用ピンも含めて
内蔵プルアップ/プルダウンがあり、デフォルトでPULLDOWNになっている。
(データシートより)
つまり入力専用ピンでもプルアップを外付けしなくてよい。

XC3000 および 4000 ファミリ(超古い!)でも、
「IOB が未使用の場合、プルアップ付き入力として設定されます。 」
http://japan.xilinx.com/support/answers/152.htm

432774ワット発電中さん:2008/01/03(木) 00:23:06 ID:oJ1rJvox
まとめると、XILINXデバイスでは
未使用の端子には何らかの終端をしなければならないけど、
もともと内蔵プルダウンやバスホールド回路が入っているから、
デフォルトの設定で論理合成すれば、ほっとけばよい。

もし内蔵プルダウンが信じられないなら自分で入れてください。
でも内蔵プルアップ/プルダウンと同時に使うと消費電流が増えるから
気になるなら内蔵のほうを切り離すように指定して
論理合成してください、ということ。
433774ワット発電中さん:2008/01/03(木) 00:44:49 ID:5QviwrE8
正月早々盛り上がっているね。

Qz3xaZbO最高。
自分の無知をさらけ出しまくり。

I2bm1JPx乙

434774ワット発電中さん:2008/01/03(木) 00:56:32 ID:ikc9JYFp
>>433
はぁ?何言ってんの
入力Pinに関してはプルアップもしくはプルダウンは必須だろうが、
ID:oJ1rJvox の挙げてるXiデバイスは内部でプルダウン処理されてるから放っておいても
まず大丈夫ってことだろ。
>>423の言うように、今のチップだから放っておいてもいいってわけじゃない。
その点は>>427も言ってるだろ。
435774ワット発電中さん:2008/01/03(木) 01:08:40 ID:ikc9JYFp
あ、>>427>>423レスはバスラインについて言ってると教えてくれたわけね。
436774ワット発電中さん:2008/01/03(木) 01:23:51 ID:1pW4ShgK
>下手にプルダウンとかGND直結とかすると、リークが増えて消費電流増につながるというアンサーもある。

レモン電池で動作させる場合はそうかもね。
デバイス、動作次第ということで。

高速動作させるFPGAだったら、STRONGでGNDにして、GNDバウンズ抑制した方がいいと思う。ALTERAはGNDがデフォが多い。
ASICとかの同時スイッチング制約からすると、FPGAはずいぶんゆるいなぁと思ったりして。

でも、ALTEAのGNDがデフォって、開発初期に周辺デバイスに迷惑かけることも多く、とりあえずWeak pullupですけどね。
明示的にあきピン処理すればいいんだけど、面倒なことも多く...。

437774ワット発電中さん:2008/01/03(木) 20:05:56 ID:xI6FwSWm





                 なんか、どうでもいい流れだな。





438774ワット発電中さん:2008/01/04(金) 14:16:18 ID:6eV95dFs
ザイとアルテラで、コンフィグ中のピンの状態って同じだっけ?
439774ワット発電中さん:2008/01/04(金) 20:43:19 ID:8NY3cRGn
XilinxのEDK9.2をインストールしたんですが、EDK9.1ではOPB経由で接続された各IPが、
見事に(全部?)PLB経由で接続する仕様に変更されています。
ちょっとびっくりしました。

440ごるごるもあ ◆753Z/RLFiY :2008/01/06(日) 19:58:42 ID:xGxfN/77 BE:176033257-2BP(210)
84ピンCPLDのIOが足りなくなったんだけどGCKとかGTSとGSRって普通のI/Oみたいに使えんの?
441774ワット発電中さん:2008/01/06(日) 20:18:55 ID:OU1W9ZpF
>>440
マニュアルも読めねえのかお前。
どこまで程度が低いんだよ。
442リア・秘蔵映像:2008/01/06(日) 21:02:58 ID:VOkaii2+
443ごるごるもあ ◆753Z/RLFiY :2008/01/06(日) 21:18:46 ID:xGxfN/77 BE:321888588-2BP(210)
おまえははいかいいえだけ答えればいいんだよ社畜のクセに。
444774ワット発電中さん:2008/01/06(日) 21:20:41 ID:Hu7yhGht
おまえははいかいいえだけ答えればいいんだよ犯罪者のクセに。
445774ワット発電中さん:2008/01/06(日) 21:23:53 ID:T52ekbZ1
おまえはいかかかにかだけ答えればいいんだよ海の生き物のくせに
446774ワット発電中さん:2008/01/06(日) 21:39:52 ID:y9nJjO5K
いかとかにとったどーーーー
447774ワット発電中さん:2008/01/07(月) 19:25:54 ID:ldFX4a6E
ごるごるもあ ◆753Z/RLFiY ってなんでここに巣食ったの?
448774ワット発電中さん:2008/01/07(月) 20:54:27 ID:o4TupP0A
ここ3ヶ月でイラク情勢がアメリカに都合よく展開したからじゃまいか
449774ワット発電中さん:2008/01/07(月) 23:15:56 ID:ikQnhtin
最近、FPGAを始めたんでねの?
450774ワット発電中さん:2008/01/08(火) 00:46:53 ID:H/DfFc+P
>>447
カメラの画像をとりこみたいそうだ。もっと簡単な方法をいくつも提案されたが
要求性能も何も示さず「要求を満たさん。満たすのは俺の考えた方法だけだ!」と主張。
CPLDとデュアルポートRAMとマイコンで回路をくみたいんだとよ。
451ごるごるもあ ◆753Z/RLFiY :2008/01/08(火) 02:00:23 ID:TeIG7LMB BE:70414027-2BP(210)
カメラ、SRAM、PIC、D/Aコンバータの基盤実装が終わってこれから各モジュールのロジックテストするところだよ。
デュアルポートSRAMの代わりに秋月から10nsの高速SRAMが出たから問題ない。CPLDで96MHzもあれば十分だ。
452ごるごるもあ ◆753Z/RLFiY :2008/01/08(火) 02:07:30 ID:TeIG7LMB BE:125738055-2BP(210)
っていかCPLD内部でデュアルポート作れるけど。
453774ワット発電中さん:2008/01/08(火) 08:37:53 ID:esMvPxTY
CPLD内でRAMを作るとかどんだけでかいの使う気だ? と言った気がするが?
454774ワット発電中さん:2008/01/08(火) 11:48:02 ID:XDtZz403
>>450
まあやろうとしていることは既に多くの人がやっていることだし
なまあたたかい目でみまもればよいかと
455774ワット発電中さん:2008/01/08(火) 23:06:17 ID:Uzf1zpj7
それ以前から荒らしてる気もするが
456774ワット発電中さん:2008/01/08(火) 23:11:44 ID:nLPhmFhc
ちゃんとスルーして下さいよ>>all
457774ワット発電中さん:2008/01/08(火) 23:33:26 ID:Uzf1zpj7
せっかくだから

http://cuddle.cc/golgolmois/
458ごるごるもあ ◆753Z/RLFiY :2008/01/12(土) 11:13:18 ID:fAEmn+G1 BE:60354162-2BP(210)
FPGAでPLCCねーの?また配線すんのめんどくせぇぜ!
459774ワット発電中さん:2008/01/12(土) 12:22:41 ID:a4kQ1Hsp
PGAとかBGAの方が楽なんでね?
ピッチ1mmだし。
460774ワット発電中さん:2008/01/12(土) 19:37:31 ID:YOWlJneM
最近BGAもありかな、という気がしてきた
けど仮にヒートガンで実装できても検査ができねぇ
やっぱ空中配線か
461774ワット発電中さん:2008/01/12(土) 20:41:53 ID:Yr3gFrHJ
何のためにJTAGはあるんでつか。
462774ワット発電中さん:2008/01/12(土) 20:43:23 ID:bKCxtN8L
デバグや書き込みのため。
463774ワット発電中さん:2008/01/12(土) 20:46:43 ID:Yr3gFrHJ
FPGAって書き込みするんでつか。
464774ワット発電中さん:2008/01/12(土) 21:33:22 ID:51nQugr8
白ペンでなら、するかもしれません。
465774ワット発電中さん:2008/01/12(土) 22:38:27 ID:g1Nm28cU
>>464
Quick Logic のワンタイム使ってた時は、バージョン番号とか書き込んでたな。ナツカシス
466ごるごるもあ ◆753Z/RLFiY :2008/01/13(日) 02:00:27 ID:UmUkDHiG BE:160944184-2BP(210)
Verilogの代入文でif文とassign文が使えるんだけど、どっち使ったほうがいいんでつか?
前者はReg、後者はWire宣言で(式)?n1:n2の違いがあるでつ。
467ごるごるもあ ◆753Z/RLFiY :2008/01/13(日) 02:07:29 ID:UmUkDHiG BE:321888588-2BP(210)
マクロセルが超少ないでつ。
468774ワット発電中さん:2008/01/13(日) 08:30:48 ID:v5LLCMvF
使いたいほう使えばいいと思うよ
if文とcase文
staticとdefine
配列とポインタ
ぐらいの微妙な差で一部を使いたかったらこっちじゃないと、ってのは有っても絶対どっちじゃないといけないってのは無いから
469ごるごるもあ ◆753Z/RLFiY :2008/01/13(日) 12:37:02 ID:UmUkDHiG BE:120708083-2BP(210)
QuartusUWebEditionでMAX 7000AにMAX 7000で書き込みできまつか?
コア電圧が3.3VでPLCC84のやつを使いたいのでつが一覧に載ってないからでつ。
ちなみにまだ買ってないでつ。
470774ワット発電中さん:2008/01/13(日) 12:51:38 ID:v5LLCMvF
ここで出来るって言ったらお前は確認もせずに買ってくるのか?
調べろ
471ごるごるもあ ◆753Z/RLFiY :2008/01/13(日) 13:37:19 ID:UmUkDHiG BE:181063049-2BP(210)
普通に考えたらできるわけないわな。
472ごるごるもあ ◆753Z/RLFiY :2008/01/13(日) 13:39:38 ID:UmUkDHiG BE:362124498-2BP(210)
QuartusIIにXILINXのダウンロードケーブル使えまつか?
473774ワット発電中さん:2008/01/13(日) 13:41:00 ID:mkltuf6r
>>470
そこは敢えて「できる」と断定してピ-クスクスクスと様子を伺うべきでは。
474774ワット発電中さん:2008/01/13(日) 16:28:56 ID:TGWTELe6
>>472
使えるよ。




ソフト作れば
475774ワット発電中さん:2008/01/13(日) 20:43:51 ID:50PTGTY/
聞く前にやってみるべきだ。

まったく、いつからそんな、行動力の無い軟弱なゴミ野郎に成り下がって
しまったんだ。おれは悲しいぞ。
476774ワット発電中さん:2008/01/13(日) 22:00:14 ID:PfrUdOJ1
ごるごるもあ降臨で殺伐としてますね。
477774ワット発電中さん:2008/01/14(月) 00:16:21 ID:BJzcdobO
電子工作・自作PC・無線・ジャンクなどハード専門の画像掲示板群
http://aikofan.dee.cc/
478774ワット発電中さん:2008/01/14(月) 00:25:10 ID:G15fg2JB
verilogはregとwireを使い分けないといけないし、VHDLは出力信号を参照できないなんて、なんでどっちも使いづらいんだ。
479774ワット発電中さん:2008/01/14(月) 00:51:55 ID:F61q0wPl
文法チェックは、厳しい方がケアレスミスが減っていいとおもってる(w
480ごるごるもあ ◆753Z/RLFiY :2008/01/14(月) 07:03:22 ID:uoeeBi+N BE:150885465-2BP(210)
>>475
お前がやれ。
2千円やるから。
481774ワット発電中さん:2008/01/14(月) 08:20:15 ID:aOMqU1tQ
他人任せにする奴はイラネ
適当に助言して損したわ
482774ワット発電中さん:2008/01/14(月) 11:21:40 ID:vLmNOD0d
適当かよ!
483774ワット発電中さん:2008/01/14(月) 11:32:56 ID:O8jCXVt9
適当=適切かつ妥当だからいいじゃん
484774ワット発電中さん:2008/01/14(月) 13:26:53 ID:0NHaSurx
ただしその判断は主観による
485774ワット発電中さん:2008/01/14(月) 23:59:10 ID:OZKhVir5
>>479
regとwireを使い分けると防げるミスがどのような事例か、思い浮かばない・・・
486774ワット発電中さん:2008/01/15(火) 05:07:04 ID:RGyd36Ao
昔は、レジスターが生成されないのに reg って書くのが気持ち悪かった。
でも、もう慣れた。Verilog2001 では、variable って呼ぶしな。

>>479 は、Cでなくて、パスカルでも使ってるんだろ
487774ワット発電中さん:2008/01/16(水) 16:44:19 ID:jrv8GylU
止むを得ず試作でCPLDを使う羽目になりました。
初心者ですが、ALTERAとXILINXどちらがおすすめですか。

アプリケーションはモータドライバです。
手付けしたいのでBGAパッケージは除外したいと思います。
あとできればEEPROMの代用にしたいとも思います。

宜しくお願いします。
488ごるごるもあ ◆753Z/RLFiY :2008/01/16(水) 17:30:27 ID:oZ5wa9Z7 BE:181062566-2BP(210)
Verilogの遅延制御で、`timescale 1ns / 1nsで#nとしてもコンパイルで無視されるのですが、
遅延制御というのは実はシミュレーター上のもので本当は物理レベルではできないんですか?
489774ワット発電中さん:2008/01/16(水) 18:41:25 ID:h1Q/tohH
>>487
ツール落して馴染んだ方にすれば良いと思うよ。
490774ワット発電中さん:2008/01/16(水) 19:00:58 ID:p8iwgzVC
>>487
まず最初に必要なロジックを見積もって適当なパッケージを選定して入手性を確認。
次に値段でどちらにするか決めたらええんじゃないかな?
書き込み器とか持っていないのであればそれらの入手性の良い方を選べばいい。

趣味でやるならxilinxを薦める。
理由は部品の入手性が良いから。
CPLDだったら秋月や千石、マルツですぐに入手可能だ。

491774ワット発電中さん:2008/01/16(水) 19:49:36 ID:yh+lrkDU
>>487
CPLDでメモリの代用はものすごく向かないと思う。
似非CPLDのMAX2あたりだと、PROMが少し使えるけど。
492774ワット発電中さん:2008/01/16(水) 20:10:08 ID:jrv8GylU
>>489-491
ありがとうございました。

XILINXの方が入手性良いのですね。
早速両社のデバイスと書込みツールの見積出してみます。
これからならUSB Blasterでしょうか。XILINXのは知らないので調べてみます。

MAX2はEEPROMの代用できますよね。
CoolRunnerは無理なのかな?XC9500でも良いけど。
493774ワット発電中さん:2008/01/16(水) 21:33:11 ID:9Ts/QkIi
ごるごるもあはスルーするという流れが出来たことはとりあえずよかったw
494774ワット発電中さん:2008/01/16(水) 21:43:13 ID:pUPkVKY2
>>492
代理店と付き合いがあるなら代理店に用件を伝えて
オススメのデバイスを聞いてみるとかはどないでしょう。
495774ワット発電中さん:2008/01/16(水) 22:09:35 ID:jrv8GylU
>>494
ALTERAは直接取引可能な所(2次代理店)を知っていますが、
XILINXの方は心当たりが全くありません。
とりあえず、いつも頼んでいる部品商社にあたって見ます。
496774ワット発電中さん:2008/01/16(水) 22:56:08 ID:6DoKMQaP
ノートPCに、ISEの9.2i入れたら、やたらHDDが少なくなってることに気がついた。
xilinx92iの下の.backupってフォルダが2G近くあるんだけど、
これって削除してもいいものでしょうか?
497774ワット発電中さん:2008/01/17(木) 09:12:56 ID:/iMrpolD
試して報告汁
498ごるごるもあ ◆753Z/RLFiY :2008/01/17(木) 11:17:23 ID:R06LpUcP BE:30177432-2BP(210)
遅延なんか使わなくても同期回路で事足りるんだよバーカ
499774ワット発電中さん:2008/01/17(木) 18:31:46 ID:S8rId/nx
久々に来たけどスレの延びに仰天
内容がほとんどなかったのがせめてもの救い

====とりあえずここまで読んだ====
500774ワット発電中さん:2008/01/17(木) 19:43:49 ID:dycn9tg0
500
折り返し地点だな
501774ワット発電中さん:2008/01/18(金) 09:28:53 ID:7vUcO2rO
いや 1から始まっているのだから
真の折り返し地点は501だろう
502774ワット発電中さん:2008/01/19(土) 01:57:53 ID:/elQFrDu
>>501
ざんねん、折り返し地点は500.5だ。
503774ワット発電中さん:2008/01/19(土) 08:46:10 ID:rG4mkw24
いやいや ゴールは1000ではなく
1001の「もう書けないよメッセージ」なんだから
501が1〜1001の折り返し地点という解釈こそ正解
504774ワット発電中さん:2008/01/19(土) 10:58:42 ID:o1nNRKSE
1001はゴールテープの向こうの世界
501はすでにこっち向いてんだから500が折り返し地点という解釈も良かろ
505774ワット発電中さん:2008/01/19(土) 12:34:50 ID:vRLDkR5G
過ぎた折り返し地点を振り返るのはもうやめよう。
506774ワット発電中さん:2008/01/19(土) 13:49:41 ID:/qMaCLwc
折り返しって何の話しているんだよw
507774ワット発電中さん:2008/01/19(土) 15:23:06 ID:fk9Q11lb
ナイキスト周波数じゃねの?
508774ワット発電中さん:2008/01/19(土) 15:29:17 ID:N6ASBBR/
回線折り返し試験じゃね?
509774ワット発電中さん:2008/01/19(土) 15:44:32 ID:zJtjI2Sr
小田急線は藤沢でスイッチバックするよ。

今日は電車男見ようぜ
510774ワット発電中さん:2008/01/19(土) 16:41:31 ID:A9hNQcld
42.195/2kmのことだろ?明日女子マラソンあったよな
511774ワット発電中さん:2008/01/19(土) 19:51:26 ID:DuYW6Vhp
8bitだと127とか128とかが折り返しだろうけど、
いきなりどかーんとマイナスになるのは許せない。
512ごるごるもあ ◆753Z/RLFiY :2008/01/21(月) 17:18:22 ID:mM6l4Tkl BE:150885656-2BP(210)
ところでverilogの代入文で"<=" をブロッキング代入というヤシとノンブロッキング代入と言い張るヤシの両方いるんだが、どっちなんだよ。
513774ワット発電中さん:2008/01/21(月) 20:00:17 ID:3pKDHK97
>>511
許しを請おうとは思っていない。
514774ワット発電中さん:2008/01/21(月) 20:09:46 ID:QTMNikBg
>>511
上司に怒られむしゃくしゃしていた。
相手は誰でもよかった。
グレーコードじゃなかったからカッとなって-1したら-16383になった。
反省はしていない。
515774ワット発電中さん:2008/01/21(月) 21:19:06 ID:AWzYoEIn
なんか、微妙。
516774ワット発電中さん:2008/01/21(月) 21:46:32 ID:GxSU4wIA
>>512
どう見ても条件文だろ
517質問:2008/01/22(火) 14:23:58 ID:M3tBjvU1
後閑てつやのpic本で、cpldの速度表があって、
ザイリンクcpldでxc95108やら80Mhzが最高速度って書いてありますが、

実際、webpackでカウンタやら、データのひきわたしやら、結構、ピンを
多く使用してプログラムしてみても、webpackのリポートでみると
111Mhzだとか、結構高速ででていますが、
実際はどうなんでしょうか?

現在、秋月で購入した80MSPのADCをsh7144に直接つないで、50Mhzのsh7144
を80Mhzにクロックアップしてやっていますが、
sh7144の速度にも限界があるし、ADCは結構高速で安く手にはいりましたが、
結局、これを制御したり、 瞬間値を書き留めるメモリの 問題があります。

ただ、メモリも同じく 秋月で 高速安価なものが手に入ります(10N秒)ので、

後は、制御だけになります。

メモリとADCを直接接続してもいいかと思いますが、メモリの動作などを
手順を踏んで、どこまでいけるかなどを確認するために、マイコンやらCPLDを
中間につけてやろうとしています。

さて、ここで、CPLDがWEBPACKでしめされるように100MHZ程度で動いてくれれば
メモリも、ADCも最高の状態で機能させることができますが、

どうーも、後閑てつやの本をみていると、実際は50MHZがいいところだみたいなことが
書いてありますが、実際はどうなんでしょうか?
518774ワット発電中さん:2008/01/22(火) 14:28:45 ID:EBNGUngD
>>517
これ、ごるごる?

笑うところなの?
519774ワット発電中さん:2008/01/22(火) 14:33:25 ID:lL5iFra9
笑って華麗にスルーすればいいよ。

http://science6.2ch.net/test/read.cgi/denki/1195360883/936-
ごるごるってのは違う可能性があるが、笑う所ってのは正解。
質問が要点を得ないわ、連続カキコするわ、独り言延々続けるわで、
ごるごると大差ない。いつかれると、大変なことになる。
520質問:2008/01/22(火) 14:44:54 ID:M3tBjvU1
もう、いいです。
分からないものには、 いっさい 金を掛けない でokです。

ザイリンクもpicも 少なくとも 日本法人 は 糞のかたまり、

picに関しては 丸紅が 大嘘広告を ばら撒いていることは、
発行した書籍に証拠があるし、(印刷ミスってほざくだろうが)

ゴカンの糞テツの 詐欺集団の メンバーか?
521質問:2008/01/22(火) 14:46:53 ID:M3tBjvU1
なんたって、ザイリンクは
”いかに、いいものを 売るか”ではなくて、
”いかに、糞に 不良債権(日本法人)を 付け加えて
糞の団子を処理するか”
なので、

技術を明確にしないところが特徴。
522質問:2008/01/22(火) 14:48:53 ID:M3tBjvU1
これが、”これからもつづく、日本の不良債権問題”なのである。
-->はやく くたばれ!
523774ワット発電中さん:2008/01/22(火) 14:48:54 ID:DH0wFggV
>>517
そんなにsh7144が好きなら、ルネサススレへ行けw。
524774ワット発電中さん:2008/01/22(火) 14:50:39 ID:DH0wFggV
しまった彼岸の人だったのか。
525質問:2008/01/22(火) 14:57:31 ID:M3tBjvU1
>>524
すきなだけ、言え(言うのは タダ だしな)
だけど、 値段に見合わない能力の低い製品は なにを ほざこうが
価値はかわらねーー!!
価値を判定できないことだけが 唯一売れる(糞をつけても)可能性が残されている。

まあ、がんばれ。
526774ワット発電中さん:2008/01/22(火) 15:34:06 ID:h4vY7LmP
みんな釣られすぎ。
て、もしかして>>518とか自作自演なのか?

>>517
自己完結早すぎだお。
バグ小盛りのツールだけど、基本的にはツールの結果はほぼ真実だお。
もしかして>>520を言いたい為のまえふりなのか?

CPLDとかFPGAとかの速度は設計をちゃんとやらんと性能は出ない。
クロックはクロックラインで入力しないと性能は出ない。
論理圧縮もクロック指定をまじめにやらないといい加減に配線される。
回路がでかくなるとスピードは落ちる。

何も考えずに適当にピン配置すると全然スピードでない場合もある。
このあいだ40MHzクロック使ったとき、設定を手抜きしたら不安定で使い物にならなかった。
パラメータをいろいろ弄ったら安定したので何とか助かったけど、その設定方法は雑誌とかで見た事なかった。

527質問:2008/01/22(火) 15:35:49 ID:M3tBjvU1
たぶん、2チャンの電気電子スレには
業者の人間がたくさん入っている。
都合の悪い情報はでてこない。
とりあえず、情報は得られないの判断で、ことを進める。

100MHz程度のサンプリングができれば、ほぼ、高速処理には
用がないので、低速 安価なマイコンで十分遊べる。
(このあたりの周波数で、基本を学習できれば(ラジオ波など
適当な材料がそろっている。その先は自分でなんとかなるが、
この周波数のオシロはぼった糞高い-->その値段掛けるなら自作する
-->ここの過程にザイリンク他の糞地雷がばら撒かれている
-->工作員を配置して地雷源の特定をはぐらかす --> 判断ができなければ
なにがあろうとも”いらない”が 地雷を踏まない手段)

したがって、俺が、なんとか、この100Mhz程度のサンプリング
を安価に処理する方法を実験して、うまく言ったら公開しよう。

結果、俺と同じ用件なら、こういった糞業者の被害者が減ることになるし、
この工作員(日本の技術の妨げ ごみ)連中の繁殖を防ぐことになる。

”面倒だから”といって、ゴキブリに餌(それらの商品を買う)を与えてはならない。
528774ワット発電中さん:2008/01/22(火) 15:37:20 ID:ftVs86Sl
1匹(ごるごる)見つけたら30匹は居る虫乙
529質問:2008/01/22(火) 15:50:55 ID:M3tBjvU1
>>526
ゴカンの本 xc95108 の最高クロック数が 80Mhz で実際の設計では 50Mhz程度と書いてある。
実際webpackでプログラムしたら ソフトの表示では 111Mhz ってなっている。

根本的に ゴカンの 本に書いてある 80Mhz 以上の理論的な値が出ているのは

どういうことなんだってことなんだが、

つまり、実際の回路の話よりは、

設計根本のはじめの段階で、 理論的な上限速度が ぜんぜん違うわけだし、
ここが違うってことは、

たとえば、100kgの人がのっても大丈夫な椅子を 200kgでも
安全だといって売るのと同じように、

製品規格が 全然いい加減なものを紹介しているってことじゃあないか?

たしかに、俺はcpldははじめたばかりなので、良く分からないが、分からない人にも
説明しなければならないことはあると思うのだが。
530質問:2008/01/22(火) 16:00:49 ID:M3tBjvU1
それで、この段階のことを知りたければ
5万も10万もする実験ボードを買えってか?

そして、使い方が分からなければ、さらに5万 10万のセミナーを受けて、

そして、さらにいらない用事やら問題がおきて、そのつど、5万10万の追加料金ですかあ?

--> 詐欺以外に判断はない。
531774ワット発電中さん:2008/01/22(火) 16:09:57 ID:ftVs86Sl
その意見に関しましてはこのスレッドにおいて取り扱っておりません。
対象製品を取り扱っている会社のサポート、または対象製品の本国の代理店にメール等をお送りください。
532774ワット発電中さん:2008/01/22(火) 17:24:20 ID:DH0wFggV
>>530

>それで、この段階のことを知りたければ
>5万も10万もする実験ボードを買えってか?

買う人間もいるし、買わない人間もいる。
533774ワット発電中さん:2008/01/22(火) 17:27:50 ID:DH0wFggV
>>532

>そして、使い方が分からなければ、さらに5万 10万のセミナーを受けて、

他の参加者に迷惑だから参加するな。
534774ワット発電中さん:2008/01/22(火) 17:40:22 ID:HtkP7x8m
相手すんな
535774ワット発電中さん:2008/01/22(火) 18:03:21 ID:/XghNCk4
初心者スレとか秋月スレとかを「質問ですが」で過去ログ検索するといいかもしれない。


ちなみにこんなんでも動くものを作れているから驚きだ。
536774ワット発電中さん:2008/01/22(火) 19:33:00 ID:TEqbhShw
>>532
聞きかじりの知識を羅列して出来る奴になった気でいる。
一般のセミナーが学校のゼミみたいに一から十まで問題解決の
方法を教えてくれるもんだと思っている。
問題にぶちあたったら解決方法を模索する前に情報提供者が
不親切だとなじる。

典型的なゆとりだな、技術者に向いてないよ
その姿勢を変えない限り歳を取るほどバカにされて居場所が
なくなるから技術者を目指すのを辞めた方がいい。
537536:2008/01/22(火) 19:34:34 ID:TEqbhShw
失礼 >>532>>530
538sage:2008/01/22(火) 20:35:26 ID:h4vY7LmP
>5万も10万もする実験ボードを買えってか?

すまん。今回の要求仕様を満足するのにおれはこんなに出せない。
CPLDのスタータキットだったら1万円以下で入手可能。
メモリがちょっとむずいがSpartanのスタータキットでも5万以下で買える。

5−10万が途方も無く高い金額と感じるのはもしかして「お年玉2年分」だからなのかな?


539774ワット発電中さん:2008/01/22(火) 20:45:30 ID:GzTUvX/N
もうすぐ年度末だし、予算消化のために買うという手もあるが、10万じゃ大して消化できないな。
540774ワット発電中さん:2008/01/22(火) 20:59:28 ID:EBNGUngD
相手するのもどうかと思うが、
スピードうんぬんいうのに、7145じゃなくて7144を使い、
FPGAの動作クロックと、バスウェイト無視のアクセス速度の話を
している時点で、出直して来い。
541774ワット発電中さん:2008/01/22(火) 21:16:37 ID:Qw0WhyNc
どうかと思うね。
542774ワット発電中さん:2008/01/22(火) 21:26:09 ID:DH0wFggV
>>540
秋月の10nsRAMと80MHzADCを一緒に載せようとしてる時点で
とても高いハードルに朝鮮しているのに気づいてない人だシナ。
543774ワット発電中さん:2008/01/22(火) 21:45:21 ID:kiz5NRFL
まず、デバッグ用に1Gspsくらいのオシロを買おうな。
544774ワット発電中さん:2008/01/22(火) 22:24:27 ID:n21ikAWR
http://digitalfilter.com/products/usblog2/usblog2.html

↑ここにCPLD使ったロジアナのVHDLソース一式等あるから、
改造すればデジタルオシロに出来るかも?
漏れはスパルタン3内のRAMを使ったロジアナに改造しました。
545774ワット発電中さん:2008/01/22(火) 23:27:25 ID:qDL/10JF
トラ技の基板というのがうさん臭い。

おいらはおっさん臭い。
546774ワット発電中さん:2008/01/23(水) 00:57:05 ID:pNSTvT5u
某コテハンといい、なんで境界例みたいな奴ばっか集まって来るんだココ(w。
547774ワット発電中さん:2008/01/23(水) 01:31:20 ID:BAA9G0Cf
>たぶん、2チャンの電気電子スレには
>業者の人間がたくさん入っている。
>都合の悪い情報はでてこない。
>とりあえず、情報は得られないの判断で、ことを進める。

業者とか居るだろうが、漏れみたいなマトモな技術屋も居る。
欲しい情報が得られないとすれば、何を欲しがっているかが伝わっていないか、
それが判っても内容を知らんということだよ。

電気板は2ちゃんの中では非常にマトモな板だと思う。
548774ワット発電中さん:2008/01/23(水) 01:48:16 ID:SuWDwdMb
>>547
>漏れみたいなマトモな技術屋も居る。

マトモな技術屋の一人称が漏れというのもすごいがw。
確かに揶揄とかあるが、まともな質問は誰かが拾ってくれるしな。
549774ワット発電中さん:2008/01/23(水) 02:14:09 ID:HK2fxW7f
>>547
そうですね
大人が多いスレだとは思います
550774ワット発電中さん:2008/01/23(水) 08:12:39 ID:/ulfKVta
>>544
…Verilog移植はやってないかい?
551774ワット発電中さん:2008/01/23(水) 08:33:09 ID:e7S3IfP1
VHDL→Verilog は、敷居が低いと思うからチャレンジしてみたら?
552774ワット発電中さん:2008/01/23(水) 08:47:06 ID:/ulfKVta
やはりVHDLも覚えるしかないのか…
553774ワット発電中さん:2008/01/23(水) 09:13:34 ID:vJJiJd8H
書くのはムズいけど、読むだけなら。英語と同じ。
554失礼しました。:2008/01/23(水) 19:02:11 ID:aaWCGwLn
>>547
興奮して言いすぎた。すまん。

>>542
帰ってから気がつきました。
いろいろ考えたところ、SDRAMなら俺の持っているオムロンのやつ 7n秒程度の
速度でいけるし、SDRAM自体、自動的に処理する以外使ったことなかったので、
説明書をみると、1パルスで書き込みが 連続でできるみたいですね。(バーストモード)
コマンド等をのぞけば、SRAMの扱いにくさを 全て克服しているかもしれない。
(アドレスも先頭を指定すれば 後は自動? ならアドレスのインクリメントのパルス処理が
いらないよね? よくわかっていないのですが)

adcの方は既に検証していますが、パルスの立ち上がりで高速にad変換値を出力します。
連続的に安定して出力しています。

adcの方は1パルス使わなくてすむ。



555失礼しました。:2008/01/23(水) 19:34:46 ID:aaWCGwLn
sdram購入先
http://www.omron24.co.jp/products/semi/sdram.aspx

書き込みタイミング
http://www.gazoru.com/g-01d9805c7212bb563ac26df10b637b50.jpg.html
http://www.gazoru.com/g-8ad1539eb103856807ca70cb62b33492.jpg.html

なんだか、100Mhzは無理かもしれないが80Mhz近くならいけそうな気がするのだが。
556失礼しました。:2008/01/23(水) 19:43:13 ID:aaWCGwLn
上の書き込みタイミングはメモリのもの
1パルスで書き込み完了できそう。
たまたま、adcも同じ立ち上がりで変換開始なのですが、タイミング的には
ぴったし同じクロック1パルスのタイミングでいけるかな?

adcとsramではいけたので(ただし、sramの書き込みにcpuが自動で割り当てている
540のいうようにバスウェイトはcpuの対応レジスタで最小にセットしたのですが、
実際はクロックより何倍かの時間をかけていますが。)

とりあえず、結構高速のadcなので、マニュアルどうり、基準以内のパルスならokだと
思いますが。

他、デザインウェーブのfpgaの本に、たしか、他adcの場合アンダーサンプリングがどうのこうのって
60Mspsのadcで240Mhzくらいのサンプリングをしてたの見た記憶が少しありますが、
実際は?? やってみてみたいが、現在回路作成中。
557失礼しました。:2008/01/23(水) 19:47:06 ID:aaWCGwLn
ちなみに、上sdramとadcのタイミングはあまりにも同じなので、
adcの変換完了にsdramの書き込みタイミングが間に合わないかもしれない。

そうした場合? 入力パルスを2つに分岐して1方を1/4波長ずらすとかって
できないでしょうかね? コンデンサとかインダクタで位相をずらせるとは
思いますが、なにぶん、こんな高周波でやったことないので、なにが起こるか
はなぞ。
558774ワット発電中さん:2008/01/23(水) 19:47:34 ID:17qRPmFC
>コマンド等をのぞけば、SRAMの扱いにくさを 全て克服しているかもしれない。

マジに言っているのか?
俺としてはSD-RAM使うよりSRAMの方がはるかに簡単だと思うぞ。

>なんだか、100Mhzは無理かもしれないが80Mhz近くならいけそうな気がするのだが。

脳内妄想で終わるな。ちゃんと検討、設計、確認汁。

ADCのスピードとバッファ時間の関係がわからんが、ADCが80MHzで動いているならメモリはそれよりも早いスピードで動かないとまずいぞ。
D-RAMにはりふれっしゅサイクルが必要なので、これが結構面倒なのよ。
559774ワット発電中さん:2008/01/23(水) 19:53:42 ID:17qRPmFC
aaWCGwLn2年分のDW熟読しろ。

>そうした場合? 入力パルスを2つに分岐して1方を1/4波長ずらすとかって
>できないでしょうかね? コンデンサとかインダクタで位相をずらせるとは

何処のFPGAを使うつもりなのかわからんけど、無駄な事考えるの止めろ。

あ、もしかして此れは釣りなのか。orz

すまん、味噌汁で顔洗って出直してくる。
560失礼しました。:2008/01/23(水) 19:59:11 ID:aaWCGwLn
>>558
まだ、説明書全部はよんでいません。 リンクした画像 (タイミングの
クロック)は平坦な幅が6〜7ナノ秒とdatasheetに書いてありましたので、
書き込み自体は 相当早い? リフレッシュは扱ったことがないので、
これから勉強します。
561失礼しました。:2008/01/23(水) 20:02:11 ID:aaWCGwLn
>>559
それが駄目なら、高速(Ghz)トランジスタを1つかませて、パルスの
凹凸をadcとsdramのどちらか1方への入力を反転させて90°位相を
ずらしてもいいと思いますが。(まったくの素人でやったことはないので
口だけですが)
562失礼しました。:2008/01/23(水) 20:05:17 ID:aaWCGwLn
あれ? 180°反転の間違え?
失礼しました。
563失礼しました。:2008/01/23(水) 20:17:14 ID:aaWCGwLn
http://www.gazoru.com/g-b689a584500e4cfb252277b4df8f60bb.jpg.html
英語で全部見ていないが、これみて、速度は相当いけてると思う。
今日は帰って、これ読んできます。
564774ワット発電中さん:2008/01/23(水) 20:54:45 ID:41tx7tjn
おいらも手持ちのADC(100M)でロガーを設計中だぜ
565774ワット発電中さん:2008/01/23(水) 20:56:28 ID:J4JfRU6z
>>559
下らんことで味噌汁を無駄にするでない。
566774ワット発電中さん:2008/01/23(水) 21:21:26 ID:PP34xNhd
はじめまして。
CQのボード付入門書。「FPGAボードで学ぶVerilogHDL」を買いました。
私のPC(AMD64x2 Vista)にパラレルポートは付いてないので、
パラレルポートのカードを買おうと思っているのですが、
特に注意点はありますか?
USB接続パラレルポートじゃなければ大丈夫なんですよね?
567774ワット発電中さん:2008/01/24(木) 00:44:04 ID:r/NwW2Ff
>566
vista対応のパラレルカードあるのかな?
購入する時にちゃんとドライバーの確認汁べし。

ということで中古のパラレル付でXPのOS付PCを購入がベスト。
568774ワット発電中さん:2008/01/24(木) 00:48:20 ID:JpKMV+uK
レガシデバイスならVista対応もクソもないけどね。
PCIかPCI Expressのパラレルカードにしとけ。
569774ワット発電中さん:2008/01/24(木) 00:54:10 ID:cuEJ6xd7
Xilinx 用の USB ライターを買えよって思ったが、
基板がプリンターポート付きなのね〜
570774ワット発電中さん:2008/01/24(木) 05:20:45 ID:45WO+q2O
みなさん、お早うございます。
質問です。

FPGAの通信講座(通信教育)を行っているところを
ご存知ないでしょうか?
一応ググりましたが、入社前の研修の他は見つかりませんでした。
宜しくお願い致します。
571774ワット発電中さん:2008/01/24(木) 08:09:26 ID:j9hxa/su
Xilinxのウェブセミナじゃダメか?
http://www.webinar.jp/xilinx/gate/login.php
572774ワット発電中さん:2008/01/24(木) 20:10:58 ID:ca7VoaMp
>>566
>>569
USBダウンロードケーブル用のヘッダを取り付けれる
箇所があるから、なにもLPTポートで無ければ駄目
ということはない。

俺はDLC9LPつなげて、ダウンロードしている。
573774ワット発電中さん:2008/01/24(木) 20:17:42 ID:EMKFNmvO
>>571
てんきゅう。
アル寺にも通信講座があったけど、こっちは案内から英語だった。
まあ、別に英語でもいいけど、やっぱ日本語の方が理解し易いw
574774ワット発電中さん:2008/01/24(木) 20:36:18 ID:cuEJ6xd7
パシヒコでやってるEDSフェアに行ってきた。
Xilinx 用のシステムC合成ツールが55万円だった。
欲しくなった。
575774ワット発電中さん:2008/01/24(木) 21:14:24 ID:gzodjZ6P
評価キット(学習用ボード)を使ってFPGAの実習をしたいのですが、
オススメのキットには何がありますか?
あくまでも、入門用なので小規模ゲート数のもので良いです。

デザインウェーブマガジンのHPによると、ここの関連キットは絶版に
なっていて入手不可です。
576774ワット発電中さん:2008/01/24(木) 21:45:30 ID:Ye8+uz1X
577774ワット発電中さん:2008/01/24(木) 21:59:33 ID:Yv5H7vMl
578774ワット発電中さん:2008/01/24(木) 22:02:53 ID:Yv5H7vMl
>>574
安いSystemCの合成ツールはVerilogよりもハードを意識して
記述しないといけなからよけい気を使っちまう
579575:2008/01/24(木) 23:56:58 ID:stO0M2n+
>>576-577
Thx こんなのも見つけました。
ttp://www.hdl.co.jp/altera/index.html → Altera → 学習用ボード
580774ワット発電中さん:2008/01/25(金) 00:49:18 ID:5CM8QHpQ
>>576
それ周辺回路というかコネクタやPHYが実装されているから便利だけど
入門用としては高いようなキガス
581774ワット発電中さん:2008/01/25(金) 01:37:53 ID:MoPetP/v
582774ワット発電中さん:2008/01/25(金) 21:18:40 ID:jE2CY4ec
デザインウェーブ2007年10月号のメモリがついた
FPGA用の基板買った人いますか?

あれのサンプルがどうにも動かなくて・・
583774ワット発電中さん:2008/01/26(土) 17:45:44 ID:DWTFDOO7
あ゛ーデュアルエッジなFPGAが使いたくて仕方ねー。
CoolRunnerIIはマクロセルが足りない。
584774ワット発電中さん:2008/01/26(土) 17:58:13 ID:/EoAjcnv
>>582
JTAG-TDI/TDOラインのジャンパー、カットしたか?

ハンダ付けするだけじゃ動かんぞ
585774ワット発電中さん:2008/01/26(土) 18:36:55 ID:gS/eYgw3
>>583
普通に使えるだろ。でなきゃDDRなんか使いようがない。
それに、Cool Runner は、FPGA じゃないし。
586774ワット発電中さん:2008/01/27(日) 15:42:45 ID:A7r9FhSp
だれか、FPGAボードを用いて、VHDL言語でステッピングモータの制御をしているのですが、
詳しい人いませんか?
卒研でこれ関係やってるんだが、わけわかめすぎて泣きそうです。
全く動かないよ::
587774ワット発電中さん:2008/01/27(日) 15:44:48 ID:A7r9FhSp
使ってるFPGAボードです。
http://www.cqpub.co.jp/hanbai/books/33/33461.htm

ステッピングモータドライバです。これのSG55MAってのを使ってます。
http://www.sigma-koki.com/D/SteppingMotors/Drivers/SG-55M/SG-55M.html

系統図はこんな感じです。
ユーザーコントローラのところにFPGAを組んでます。
http://www.sigma-koki.com/D/SteppingMotors/Diagram/Diagram/Diagram.html

回転ステージ(ステッピングモータ)
http://www.sigma-koki.com/D/MotorizedStage/SGSPSeries/SGSP-40YAW!60YAW!60YAW-W/SGSP-40YAW!60YAW!60YAW-W.html

このFPGAにスイッチ(4つ)、7セグメントLED(3つ)が搭載されているので、
例えば、スイッチ1で押した数を7LEDに表示して、スイッチ2を押すと、その分だけ
パルスモータが回転するって感じのものを作りたいのですが、この設備で可能でしょうか?
このドライバはTTLに対応しているので、FPGAのLVTTLでも理論上は制御可能だとは
思うのですが。。

せからしい質問ですみません^^;
もし、わかる方居ましたら、ヒントだけでもいいのでお願いします(mm)
588774ワット発電中さん:2008/01/27(日) 15:47:36 ID:A7r9FhSp
VHDLも基礎しかわからないので、どんなソースプログラムを作ったら動くのかがわかりません^^;
まず、どんなのでもいいので動かしてみたいのですが、どこにどのパルスを送ったら、
制御できるかわかりません。。

PC→ポート接続→FPGA搭載ボード→拡張ヘッダからモータドライバ→専用コードでモータ
って感じに組んでます。

ドライバの画像を見てもらったらわかると思うんですが、CN2の入力信号にパルスを送ったら、
動かせると思うのですが、どうしたらいいでしょうか?

CN2の1,2番(F+、F−)が2ステップ方式時の正転信号入力とか、よくわからんことが書いてあります。
勝手で申し訳ないんですけど、めっちゃ初心者です^^;

あと、ドライバの仕様書画像をスキャナで取り込んだので、ここにUPしたいのですが、
どうやったらUPできるんですかね^^;
589774ワット発電中さん:2008/01/27(日) 15:59:44 ID:luobSsCY
どんだけゆとりなんだよ。卒研ぐらい自分でやれ馬鹿。
590774ワット発電中さん:2008/01/27(日) 16:02:24 ID:FIV+WCnu
お前、その構成でPCからパルスを制御する仕様って事なら、FPGAはいったい何をするんだ?
FPGAが必要な理由の説明を求めたい。
何がどう理解出来ないのか良くわからんが、まずモーターとドライバーの仕様を良く読めと言いたい。
591774ワット発電中さん:2008/01/27(日) 16:18:44 ID:suDOYz+X
モータドライブの方式そのものだけでも、それなりに壁が高いことだし
ましてやHブリッジ組んだり、FPGA内部にカウンタ部とPWM部を実装する
ということを、書かれても「ぼんやりとでもいいから」想像できなければ
それはちょっとヤメテオイタホウガ。。。というレベルだよ。

>PC→ポート接続→FPGA搭載ボード→
この時点でWINDOWSなどからの制御用コードとドライバを用意するし

>このドライバはTTLに対応しているので、FPGAのLVTTL
>でも理論上は制御可能だとは思うのですが。。
厳密には違う。VOH=2.0V(MIN)と2.4V(MIN)というところもちゃんとみたか?

ゆとりっていうか見積もりが甘いっていうか。

あなたが旧帝国大学やCランク以上の大学でないことだけを祈る。
うちの会社ではちょっと働けないな。。。

東芝とか富士通とかがいいぞ。あそこはFランクでも入れるから。
592774ワット発電中さん:2008/01/27(日) 16:32:06 ID:OSh8fWy+
> 全く動かないよ::
訳「ふはははは、俺様のためにデバッグしろ下民共ww。
  給料? 無 償 に き ま っ と ろ う が 黙 っ て 働 け」
593774ワット発電中さん:2008/01/27(日) 16:47:07 ID:0Xr8ES7v
> ドライバの画像を見てもらったらわかると思うんですが、CN2の入力信号にパルスを送ったら、
> 動かせると思うのですが、どうしたらいいでしょうか?

モータドライバ(SG55MA)のデータシートなりマニュアルなり読めば
制御方法書いてあるじゃない?
マニュアルの用語等が解からないなら、トラギの別冊など読んで
勉強すればいいと思うけど。
594774ワット発電中さん:2008/01/27(日) 16:53:46 ID:SBhGRJKt
教授に聞け
もし、本当にもし教授が分らないと言うのならその大学を辞めろ
卒論を書く寸前で悪いがその大学まともじゃない
595774ワット発電中さん:2008/01/27(日) 16:58:22 ID:FIV+WCnu
>>591
質問者の書き込み読んでやれよw  HブリッジもPWMも必要ねーだろ。
ドライバーのドライブ回路まで書いてくれてあるのに・・・

>>588
> CN2の1,2番(F+、F−)が2ステップ方式時の正転信号入力とか、よくわからんことが書いてあります。
まず1クロック方式か2クロック方式か好きな方を選べ。これは好みの問題でどっちでもいい。
DS1-NO2で好きな方を選んでから、もう一度F±とR±の欄を読んでみろ。
596774ワット発電中さん:2008/01/27(日) 17:06:57 ID:pp4lKHMl
だから相手にすんなよ。
こんな能力も向上心も羞恥心もない奴が面接で「はい、卒業研究はVHDL言語で
ステッピングモータの制御を行う回路を設計しました」なんて言って入社してきて
お前らの後輩になる事を想像してみろ。
597774ワット発電中さん:2008/01/27(日) 17:17:15 ID:ZH3zYf7d
598586:2008/01/27(日) 17:35:39 ID:A7r9FhSp
返事が遅くなってすみません。
FPGAで専用コントローラの代わりをしたいと考えています。
ドライバがあるので、FPGAから正転、逆転のパルスを送るだけでモータは駆動するはずなので、
駆動する目的だけなら、FPGAの用途はそれだけです。
駆動できるようになったら、>>587の例のようなことを行いたいと思っています。

甘えな質問ですみません。自分でいろんな本を探して読んでるのですが、
H8を使うタイプとか、ドライバなし、C言語で制御する、
などのステッピングモータの駆動説明はよく見かけるのですが、
私のように行う例は少ないみたいで。
教授は全くわかってなくて、助教授の先生が多少詳しいのですが、
いそがしいみたいで、なかなかお願いしにくいんです^^;
でも大学がどうっていうよりは、理学系(物理学科)なのに、このテーマに
してる自分が悪いんですけど。。

>>593
マニュアルは、>>587で載せてるのと同程度のものがあるだけです^^;
他に(これが一番大事な気もするんですが)、
(1)入力パルス信号と回転の図
(2)入力信号回路(TTLによる駆動回路図)
(3)励磁タイミング出力(出力信号)の説明
などの図がさらっとあります。

>>595
レス本当に感謝します。
DS1をググったんですがよくわからなくて、
私の場合だとどれがその規格にあたるんですか?^^;


599586:2008/01/27(日) 17:48:37 ID:A7r9FhSp
接続と信号

CN2
1(入力) F+  2クロック方式時の正転信号入力
2(入力) F−  (1クロック方式時のパルス信号入力)
3(入力) R+  2クロック方式時の逆転信号入力
4(入力) R−  (1クロック方式時の回転方向指示入力)
5(入力)H.O +  モータ励磁OFF制御信号
6(入力)H.O −  「ON」でモータ励磁OFF
7(入力)D.S +  分割数選択信号
8(入力)D.S −   「ON」で4ビット信号入力、「OFF」でデジタルスイッチの設定
9(入力)Z.P +   原点励磁出力信号(励磁タイミング出力)
10(入力)Z.P −

>>595さん
1クロック方式を選択した場合は、
F− にパルスを送って、R− で回転方向を決める。

2クロック方式を選択した場合は、
F+ にパルスを送る。

ということですか?
プログラムが合っていたのか自信はないのですが、F+に
FPGAのスイッチを押すとLEDを光らせるプラグラムを書いて
それのピン番号をLEDの番号からF+にして、やってみたのですが、
動きませんでした^^;
600774ワット発電中さん:2008/01/27(日) 17:58:55 ID:++Vj1rUG
>>599
まずドライバにトグルスイッチでもつないで、ステッピングモータを
手動で動かしてみたほうがいいんじゃないの?

で、それがわかったら、今度はVHDLで、LEDをそのとおりに光らせて
みて、あとはそれをトグルスイッチのかわりにつないでおしまい。

そこらへんの参考書見れば。二日で終わるだろ。

ていうか、最近の卒研で、回して終わりなのか?
俺らの頃は、そこから始まりだったものだが。回してそれをどう使って
データ取るかが課題だったか。
601595:2008/01/27(日) 18:05:04 ID:FIV+WCnu
>>598
>DS1をググったんですがよくわからなくて、
取 り 扱 い 説 明 書 !!
購入したら付いてこなかったのか?無かったらネットで探せ。
俺はこのメーカー知らないが、2分で取扱説明書見つけたわい。

>>599
違う。取り扱い説明書1page目の下の方に結線方法書いてあったろーが!

ってか俺、釣られてんのかな・・・
602586:2008/01/27(日) 18:06:50 ID:A7r9FhSp
素人なりに私が考えたのが、光らせるだけのプログラムだと、モータのドライバが脱調の危険を感知して
動かなくしてるのかなと。
あとは、私が使っているFPGAボードのクロックに問題があるのかなと。

必要と思われるFPGAボードのスペックです。

クロック:1個 発信周波数33.000MHz 
拡張ヘッダ: I/Oピンのインターフェイス・レベルは3.3LvTTL
FPGA :アルテラ社のEP1k10で搭載ゲートは1万 4kビット組み込みメモリ3個(12.288ビット)
です。

失礼や甘えがありましたら、自分でやります。
この本をやれば良い、又、ヒント方法だけでも構わないので
教えて頂けないでないでしょうか。

今までで、読んだ本、読んでる本、手元にある本です。↓

FPGAボードで学ぶ論理回路設計(読みました)
VHDLによるマイクロプロセッサ設計入門(ステッピングモータの箇所だけ)
FPGA/PLD設計スタートアップ(必要な箇所)
VHDLによるハードウェア設計入門(現在、半分ぐらい読んでます)
ゼロからはじめるデジタル論理回路(読んだ)
603774ワット発電中さん:2008/01/27(日) 18:13:19 ID:OSh8fWy+
>>601
まぁなんだ、かなりの高確率でプギャ-----だろうな。
604774ワット発電中さん:2008/01/27(日) 18:14:05 ID:++Vj1rUG
>>602
FPGAにもクロックにも問題はない。
そんなのは、ゲートだけでも実現可能だ。
スケマで書け。
605774ワット発電中さん:2008/01/27(日) 18:16:35 ID:oAmLoeN8
回路図うp
VHDLうp
話はそれからじゃないかな。
606774ワット発電中さん:2008/01/27(日) 18:21:07 ID:ZH3zYf7d
それなんて中学生の夏休み自由研究だよ・・・
607774ワット発電中さん:2008/01/27(日) 18:24:58 ID:H3NBsWwV
だんだん独り言っぽくなってきてるのが、あやしいな。
そのうち、日記書き始めるぞこいつ。
608586:2008/01/27(日) 18:28:38 ID:A7r9FhSp
>>601
釣りじゃないです;;
むしろ卒研が釣りになってくれれば。。

ありがとうございます。おもっきりDS1〜4のスイッチありました。
あ、これのon/offで方式を変換ですね。

やっぱりプログラムは間違ってたのか。。
結線方法ってのは、入力信号回路の図のことですか?

>>600
そうなんです。
本当は、それでもいいから動かしてみたいんですけどね^^;
でも、卒研のテーマが「FPGAを用いた光学機器制御」にしたので。
動いたら、これにレンズなどを入れて、測定機器にしようと考えています。
(一応、研究室が、物理の光関係なので)
609586:2008/01/27(日) 18:33:10 ID:A7r9FhSp
うpしたいんですけど、ここ画像貼れないですよね!?
一応、スキャナで全部取り込んだんですけど、UPの仕方がわからない。。

スイッチでLEDを光らすプログラムを今から載せます。
(このピン番号をF+のとこに変えました)
610774ワット発電中さん:2008/01/27(日) 18:37:33 ID:L2iZpw8S
なかなか熱意があっていいじゃないか。
みんなでバックアップしてやろうぜ。
このスレの存在意義を示すんだ。
611774ワット発電中さん:2008/01/27(日) 18:39:47 ID:oAmLoeN8
>>609
うpローダ探すのだ。
612774ワット発電中さん:2008/01/27(日) 18:50:54 ID:pfep9jTC
>>610
示さなくておk
613586:2008/01/27(日) 18:52:39 ID:A7r9FhSp
>>611
ありがとうございます。
初めてなので出来てるかわからないのですが、これで見れますか?
No284 回路図です。 パス0127です。
見れるようでしたら、他もうpしていきます。
面倒掛けてホントすみません。ありがとうございます。
614586:2008/01/27(日) 18:53:20 ID:A7r9FhSp
URL貼るの忘れたw
http://www4.uploader.jp/home/genzaburo/です
615774ワット発電中さん:2008/01/27(日) 19:04:15 ID:oAmLoeN8
みれたよ。
616586:2008/01/27(日) 19:06:43 ID:A7r9FhSp
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity ugoke is

generic (
div_bits : integer :=15);

port (
clk : in std_logic;
sw_in : in std_logic;
led_out : out std_logic);

end ugoke;

architecture rtl of ugoke is

signal led_node : std_logic := '0';
signal div_counter : std_logic_vector(div_bits-1 downto 0) := (others => '0');
signal sw_in_node : std_logic;
signal sw_latch_on : std_logic := '0';

begin

process (clk)
begin
if clk'event and clk = '1' then
div_counter <= div_counter +1;
end if;
end process;

process (div_counter(div_bits-1))
begin
if div_counter(div_bits-1)'event and div_counter(div_bits-1) = '1' then
sw_in_node <= sw_in;
end if;
end process;

process (clk)
begin
if clk'event and clk = '1' then
if sw_in_node = '0' and sw_latch_on = '0' then
led_node <= not led_node;
sw_latch_on <= '1';
elsif sw_in_node = '1' and sw_latch_on = '1' then
sw_latch_on <= '0';
elsif sw_in_node = '0' and sw_latch_on = '1' then
sw_latch_on <= '1';
end if;
end if;
end process;

led_out <= led_node; ←led_outのピン番号をF+にしました。

end rtl;
617586:2008/01/27(日) 19:21:24 ID:A7r9FhSp
>>615
ありがとう御座います。
すでに>>587で載せたもの2枚(少し詳しくなってる)も載せました。
系4枚
>>616のプログラムは、いまさっと書いただけなのでスペルミスなどあるかもしれません。
618774ワット発電中さん:2008/01/27(日) 19:24:02 ID:EjuxHlUB
ugokeワロタ
619774ワット発電中さん:2008/01/27(日) 19:29:07 ID:oAmLoeN8
>>617
んで一番重要なFPGAとドライバの接続周りの回路は?
620586:2008/01/27(日) 19:55:24 ID:A7r9FhSp
>>619
遅れてすみません。
その資料を大学に置いてきてたので、取って来ました。
いま、うpしました。

ちょっと見難いのですが、これの表C−3(右側の表)です。
どっちもCN2って名前がついてるので注意です。

 FPGA側      ドライバ側

A02〜A05     CN2 1〜4
A07〜A10         5〜8 
A12,A13         9,10

B02〜5       CN3 1〜4

って感じで繋いでます。
FPGAは26ピンで、コネクタ、線は自分で買って、ドライバにつなげてます。

ドライバのCN1は、シグマ光機の専用のMINIケーブルを割いて、5本を結線しています。

CN4は、電源です。
大学にあった、変電圧器で(24V、1.2Aに設定)行ってます。
電源をつけると、ドライバの中にあるライトみたいのが点等するので、
これは問題ないかなと思います。

FPGAボードの全回路図もうpしたほうがいいですか?
621586:2008/01/27(日) 20:04:21 ID:A7r9FhSp
一応、FPGAボードの全回路図うpしました。
他に必要なものがあったら、言ってください。
622774ワット発電中さん:2008/01/27(日) 20:10:20 ID:oAmLoeN8
>>616
ざーっとみた個人的感想

1. reset 無いのが気味悪い
2. div_counter(div_bits-1) で sw_in をラッチしているのが気味悪い
3. led_out が F+ に接続されているようだけど、そのときの F- は?
623586:2008/01/27(日) 20:27:50 ID:A7r9FhSp
たしかに、resetないとおかしくなりますよね。
動くかどうかの確認のために作ったプログラムだったので、
多少無理があってもいいやとは思ったのですが。
すみません。不勉強で2はどうしていいかわかりません。
Dラッチのことですよね?

3: そうなんです。それをどうしたらいいかがわからなくて。。
   どこに、何を出力したらいいのかが。。
624774ワット発電中さん:2008/01/27(日) 20:34:13 ID:oAmLoeN8
>>623
とりあえず 2 は保留
3 はうpされてるドライバのマニュアルの「(2)入力信号回路」ってところにヒントがあるじゃん
使用しているFPGAボードの電源は+3.3Vみたいだから工夫はいるけど考えれ
625774ワット発電中さん:2008/01/27(日) 21:05:33 ID:L2iZpw8S
ugokeワロタ
626595:2008/01/27(日) 22:28:35 ID:FIV+WCnu
めし食って風呂入って今見たらまだやってる・・・
はっきり言ってやる。ドライブ回路がまずいんじゃね〜の。
「入力信号回路」の欄を良く読んでその通りに結線してみろ。
このドライバーのHレベルは4Vからだ。3.3VのFPGAから何の工夫も無しに繋いでも動かん。
TTL対応ってのは、説明書の回路のようにすればTTLでドライブできますって意味で、
TTLだったらどう繋いでも動作するって意味じゃ無い。
点線から右側はドライバー基板の中がそういう回路になってるって意味で、左側を作るんだよ〜。

1Kシリーズだったらオープンドレインが使えたかもしれないが、はっきり覚えてねー。
627586:2008/01/27(日) 22:33:37 ID:A7r9FhSp
>>624
パルス電圧ですよね。
この説明書を見ると、Hが4〜8VでLが0.5〜−8VでONですよね。
これに見合うような電圧にFPGAの入力電圧を変更すればいいんですよね!?
これの変え方を調べてたのですが、どうやったら変えられるんですか?
628774ワット発電中さん:2008/01/27(日) 22:40:46 ID:SBhGRJKt
>>627
電圧を変えるにはバッファ(TTLレベルでHになる5Vを出力するIC)間にぶちこまなきゃだめだよ
629595:2008/01/27(日) 22:41:11 ID:FIV+WCnu
あほか。そんな事したらFPGA燃えるぞ。
「説明書の入力信号回路に記載されていた回路を作れ。」と遠まわしに言っているのに
いい加減気付け。
630774ワット発電中さん:2008/01/27(日) 22:41:25 ID:oAmLoeN8
>>627
使用しているFPGAによる。
所謂I/O電圧に+5V突っ込んでもOKなデバイスならそれでOK(ボードの改造必須だろうけど)
できないデバイスなら出力電圧を変換する必要がある。
>>626がヒント書いてる。オープンドレイン、5Vトレラントあたりを調べれば答えにたどり着けるとオモ

FPGAうんぬんよりデジタル回路(アナログとのインタフェースなど)について勉強した方が良いと思われ
631774ワット発電中さん:2008/01/27(日) 22:50:35 ID:L2iZpw8S
「これを機に>>586は偉大なデジタル信号処理回路設計者へと成長していくのであった・・・」
632774ワット発電中さん:2008/01/27(日) 22:55:29 ID:zByjzifU
めんどくさくなって放り投げる方に一票
633586:2008/01/27(日) 23:40:38 ID:A7r9FhSp
>>632
投げ出しませんよ。
メシ抜きで調べてますよw

みんなマジでありがとうございます。
>>630
そうなんですよね。絶対的な知識が足りないですよね。。
理学系なのに、FPGAに興味を持ってこれをやりだしたのは後悔してないんだが、
卒研を前にすると、自分の不甲斐なさに不安になる。
毎日研究室にこもってはいるけどいっこうに進まんし。。
これで院(他大の情報、制御系)でやっていけるのだろうか。。

でも、これだけは絶対やり遂げます。
回路を作るための具体策をしっかり調べてから、明日レスさせてもらいます。
貴重なレス、本当に感謝です。
634774ワット発電中さん:2008/01/27(日) 23:41:20 ID:EjuxHlUB
ていうか友達に聞けよ

というのはナシか?
635586:2008/01/27(日) 23:55:39 ID:A7r9FhSp
>>634
いや、物理学科なので誰もわかんないんですよ^^;
636774ワット発電中さん:2008/01/27(日) 23:59:14 ID:ABsC+8Q9
普通にH8とかでやりゃいいじゃんwww
637774ワット発電中さん:2008/01/28(月) 00:44:05 ID:c5FDWFgW
速度気にしないんならCMOSバッファで受ける
638774ワット発電中さん:2008/01/28(月) 02:07:41 ID:ewh/d7cM
FPGAの入力ダイオード壊さない程度に、恐る恐るプルアップ汁。
639774ワット発電中さん:2008/01/28(月) 08:08:41 ID:a+yBc9RP
>>635
専攻うんぬんより趣味でもやっている人いないの?
ぶっちゃけて言うとつまづいてる部分は夏休みの工作レベル・・・
640774ワット発電中さん:2008/01/28(月) 09:17:42 ID:c5FDWFgW
>>586
可能
初めてなら一歩づつ確実に押さえていくしかない
がんがれ
641774ワット発電中さん:2008/01/28(月) 09:20:42 ID:c5FDWFgW
ステッピングモーターのドライバって高いの買ったんだね

ここは読んだか?
ttp://www.sigma-koki.com/D/SteppingMotors/Diagram/Diagram/Diagram.html
642774ワット発電中さん:2008/01/28(月) 14:37:05 ID:RG+tpxPr
こいつ見てると、ごるごるが偉く思えてくるな。

知識うんぬんよりも、自分のわからないことに対する
理工学的なアプローチの方法がなってないような気が
するんだが。

これで院行くのか。というより行けるのか。

643774ワット発電中さん:2008/01/28(月) 21:37:09 ID:HnyI0rus
>>642
そういう心理的錯覚を利用しようという、ごるごるもあの策略だよ
644774ワット発電中さん:2008/01/28(月) 21:44:12 ID:kavBtm5g
ごるごるもあ、なかなか策略家だな。
645586:2008/01/29(火) 03:42:17 ID:a76ROZ8w
586です。
レス遅れてすみません。今、帰ってきました。
大学パソでは投稿が出来なかったのでレス出来ませんでした。
頂いたアドバイスで、自分なりに回路を組んで見ました。
1クロック方式にして、FPGAからの出力を5Vにする回路を組みました。
こっちの回路は、プログラムか回路に問題が有ったのか、未だ駆動させることは出来なかった
のですが、トグルスイッチだけで作った回路では駆動させることが出来ました。
って言っても、こっちの回路は、F−はグランドで、
5Vに出力したF+をスイッチで切り替えるだけのものですけど。(Rは両方グランドで一方向のみ)
でも、一応駆動するさせることが出来ました。
どうして良いかわからなかったので、打開策が見えて本当に感謝しています。
物理しかやってなかったから、こういうのはやっぱ楽しい><w
明日は、本来の目的であるFPGAでの制御をなんとか出来るようにしてみます。
恥は承知です。
でも、一からでも頑張りますので、アドバイス頂けると有りがたいです。

646774ワット発電中さん:2008/01/29(火) 04:22:51 ID:m2gHiwrl
というかこれくらいだったらCPLDでできるよね。
647774ワット発電中さん:2008/01/29(火) 04:43:34 ID:SvqIlj6x
とにかくどっかにFPGAを使うのが目的なんだろう。
648774ワット発電中さん:2008/01/29(火) 06:58:14 ID:3hpqND4o
FPGAでなんかやってますよー風味を醸すとしたらこんな感じかw
F回転1〜99step、R回転1〜99stepを設定でき、PSW(0)を押すとモータ回転実行。

PSW(3) = 回転方向トグルスイッチ(押すと7SEG(2)が"F"<=>"R"トグル)
PSW(2) = STEP回数+10スイッチ(押すと7SEG(1)の表示がインクリメント)
PSW(1) = STEP回数+1スイッチ(押すと7SEG(0)の表示がインクリメント)
PSW(0) = モータ回転実行スイッチ
7SEG(2) = 回転方向表示("F"or"R")
7SEG(1) = STEP回数(10の桁)表示(0〜9)
7SEG(0) = STEP回数(1の桁)表示(0〜9)

Niosとかを積んで、UART 経由で PC からコマンドを受け取って
モータ制御可能にする所まで行けたらいいねぇ。
649774ワット発電中さん:2008/01/29(火) 09:18:45 ID:Ap0KeCTd
まさかとは思うけどパルスが本当にパルスになってる(30MHzの1クロックだけON)とかなってるってのは無いよね・・・?
650774ワット発電中さん:2008/01/29(火) 09:23:54 ID:KDtPwCKN
> Niosとかを積んで、UART 経由で PC からコマンドを受け取って
> モータ制御可能にする所まで行けたらいいねぇ。
ほら、H8 の出番
651774ワット発電中さん:2008/01/29(火) 09:47:24 ID:nbx9SRrg
>>648
>Niosとかを積んで、UART 経由で PC からコマンドを受け取って
>モータ制御可能にする所まで行けたらいいねぇ。
niosにIOだけでいいじゃん。HDLイラネ
652774ワット発電中さん:2008/01/29(火) 11:40:45 ID:CVNVJCWX
>>645
おめ。とりあえず卒論の謝辞か参考情報にここのURL貼れよなw
653774ワット発電中さん:2008/01/29(火) 12:41:25 ID:Ap0KeCTd
そういや参考情報て調べたURLとかも書くんだよなwwどうすんだよwww
654774ワット発電中さん:2008/01/29(火) 14:43:13 ID:a+BY0xjK
1. CPUで使用されるキャッシュは構成によらず、一般に各ブロック毎にValid,Tag,Dataというフィールドを持つ、3つのフィールドに入る情報と、その役割を説明せよ。

2. FPGAを用いたISP(In-System Programming)とは何かを述べよ。また、その特徴、利点を述べよ。

3. CPU高速化のためのアーキテクチャ上の工夫で、パイプライン以外の手法を3つあげ、その手法を簡潔に説明せよ。

4.DMA(Direct Memory Access)とは何か?その目的と機構、動作を説明せよ。

5.仮想メモリにおいてPage Faultが発生するのは如何なるときか?Page Faultが発生したときの、CPU内の動作を説明せよ。
655774ワット発電中さん:2008/01/29(火) 14:52:18 ID:Ap0KeCTd
宿題ぐらい自分でやれ
656774ワット発電中さん:2008/01/29(火) 15:19:32 ID:a+BY0xjK
そこをなんとか。。。
657774ワット発電中さん:2008/01/29(火) 15:22:51 ID:CVNVJCWX
そもそも2以外はスレ的にも関係なさげ
658774ワット発電中さん:2008/01/29(火) 15:25:08 ID:qdQL79uv
659774ワット発電中さん:2008/01/29(火) 15:31:41 ID:a+BY0xjK
実は明日テストでして、過去問でわからないところがこの5つなんですよ。
友人に658さんの本薦められたんですが、図書館に置いてなくて。
660774ワット発電中さん:2008/01/29(火) 15:34:22 ID:MbQrnauG
>>659
このスレの話題じゃない。別なスレで質問しなさいな。質問スレは複数あるでしょ。
661774ワット発電中さん:2008/01/29(火) 15:36:10 ID:CVNVJCWX
>>654はなぜわざわざこのスレを選んだのか理由を説明せよ。

662774ワット発電中さん:2008/01/29(火) 15:46:36 ID:a+BY0xjK
質問スレ探してみたんですがこういった質問をするスレがなかったので。
FPGAの勉強をしていたんでこちらのスレに質問をした次第です。
663774ワット発電中さん:2008/01/29(火) 15:55:25 ID:MbQrnauG
「近くにごみ箱がないからポイ捨てしました。」見たいな理由だなぁ
とりあえず初心者質問スレとか行ってみたら?宿題スレってのもなかったっけ?
664774ワット発電中さん:2008/01/29(火) 15:57:54 ID:CVNVJCWX
http://science6.2ch.net/test/read.cgi/denki/1182252313/
ここかな?丸投げは嫌がられるっぽいけどね。
665774ワット発電中さん:2008/01/29(火) 16:57:08 ID:a+BY0xjK
そちらの方に質問してみました。ただ問題がわからなかったので丸投げのようになってしまいました。。。
666774ワット発電中さん:2008/01/29(火) 21:18:55 ID:/zx6isq/
おまいらやさしいな
667774ワット発電中さん:2008/01/29(火) 21:46:35 ID:pCfnfWt/
ほんとうに皆やさしいね

はっきり、わからないといえばもっと優しい人になれるのに
668774ワット発電中さん:2008/01/29(火) 21:57:18 ID:mgp/CxRY
いざ説明しろと言われると難しいよな。
CPU高速化の工夫か・・・2つは思い浮かぶが、あと一つなんだろな?
669774ワット発電中さん:2008/01/29(火) 22:04:25 ID:cnzB2dXW
1. CPUで使用されるキャッシュは構成によらず、一般に各ブロック毎にValid,Tag,Data
というフィールドを持つ、3つのフィールドに入る情報と、その役割を説明せよ。

 わからん。
 
2. FPGAを用いたISP(In-System Programming)とは何かを述べよ。また、その特徴、利点
を述べよ。

基板上に部品を実装した状態で、FPGAのロジックを書き込むことが出来るようにした
プログラミング方法。従来は部品実装の前段階でソケットを使用して専用プログラミング
装置で書き込んでいたが、この方法では、再書き込みの時は部品を取りはずす必要が
あり不便であった。また書き込み装置が高価になる傾向があった。

3. CPU高速化のためのアーキテクチャ上の工夫で、パイプライン以外の手法を3つあげ、
その手法を簡潔に説明せよ。

 マルチCPU化: 同時処理により速度を上げることが出来る。
 命令単純化: コマンドの利用頻度を分析し、より使用頻度の高い命令に絞り込むこと
        により機能を単純化し配線効率を上げることによりスピードを上げる
        ことが出来る。
 低電圧化:  クロックスピードを上げることが出来る。

4.DMA(Direct Memory Access)とは何か?その目的と機構、動作を説明せよ。
 大量のデータをメモリー、メモリー間あるいはメモリー、I/O間でやり取りする場合
 メモリーアドレスバスにカウンターを接続してカウントアップするごとにリード、
 ライト信号を送りCPUの介在なしにデータを転送する方法。

5.仮想メモリにおいてPage Faultが発生するのは如何なるときか?
 Page Faultが発生したときの、CPU内の動作を説明せよ。
  
  わからん。
  
670774ワット発電中さん:2008/01/29(火) 22:05:58 ID:cnzB2dXW
あってるかなー。だれか1,5教えてくれ。
671774ワット発電中さん:2008/01/29(火) 22:09:11 ID:dW+xHBBB
2相クロックって速くなるんだっけ?
672774ワット発電中さん:2008/01/29(火) 23:18:09 ID:QiK0BCTB
さすがにうざいな
673774ワット発電中さん:2008/01/29(火) 23:25:49 ID:0O1GEzeo
マジレスしてどうする。
ネタで答えるのが粋ってもんだろ?
674774ワット発電中さん:2008/01/30(水) 00:47:40 ID:rNL/LwR2
>>669
3.高速化手法

コンプレックス命令とかVLIWはどうよ?
どう見ても相反する手法で高速化を目指すと言う(w
675774ワット発電中さん:2008/01/30(水) 02:29:30 ID:d7gENSF5
てかぐぐればそれくらい載ってると思うんだけど。
1はその名前の通りだし、
5は無いメモリアクセスした時、(大抵バグ)普通はアドレスエラーで割り込みが入るな。

3はアウトオブオーダーとか、分岐予測とか、最近のCPU仕組みみればいくらでも。
とマジレスしてみる。
676774ワット発電中さん:2008/01/30(水) 02:57:18 ID:lylx/ba7
俺ならBusのbit数を増やす
677774ワット発電中さん:2008/01/30(水) 04:20:00 ID:/vDcQNud
>>675
>5は無いメモリアクセスした時、(大抵バグ)普通はアドレスエラーで割り込みが入るな。

仮想メモリ上だからバグでなくてもPage Faultするさ〜
678774ワット発電中さん:2008/01/30(水) 09:11:08 ID:DVZq89Gz
FPGAを使ったISPったって、プログラミング対象はシリアルROMだよな。
アンチヒューズからS-RAMタイプになったことのほうが大きくね?外付け部品が増えたけど。ROMもワンタイムだと開発には不便。
679774ワット発電中さん:2008/01/30(水) 09:17:42 ID:y2x/5fUk
どう考えても低電圧化はアーキテクチャ上の工夫じゃないだろ
680774ワット発電中さん:2008/01/30(水) 09:27:03 ID:DpCWuM9O
Cじゃなくてアセンブラで書けば早くなるよ。開発やデバッグには時間かかるけど。
681774ワット発電中さん:2008/01/30(水) 11:06:17 ID:L5YYuY1U
コンパイラの最適化を超えるような記述をアセンブラでやろうとするとな・・・
682774ワット発電中さん:2008/01/30(水) 11:43:35 ID:nVMbeKB6
古いCPUをヤフオクで売って、新しいCPUを買う。
683774ワット発電中さん:2008/01/30(水) 15:27:48 ID:fZBNAUEX
古いP5を売った50円で新しいPICを1個買ったら禿しく性能低下w
684774ワット発電中さん:2008/01/30(水) 19:41:27 ID:6UbsjyxW
> 古いP5を売った50円で
バカだな。
アクセサリと銘打ってぢょしこうせいとかに売れよ。
685586:2008/01/31(木) 19:20:45 ID:d+3ofMVv
レス遅れてすみません、586です。
追い込まれて大学に泊まってまして^^;

おかげさまで、FPGAからの出力に回路を組んで、
FPGAからのスイッチでステッピングモータを制御することが出来ました。
頭が悪いから、3日も掛かっちゃいましたけど。。
電子系の常識がなくて、つまらないミスに気づかず偉い苦労しましたけど、
自分の手を試行錯誤しながらやってみたので少しですけど経験値がついた気がします。

明日はそれを応用させて、>>648さんの仕様に取り組んでみます。
これは、加算器、順序回路を応用させて記述すれば良いのでしょうか?
また、バカな質問をしていたらごめんなさい。
686774ワット発電中さん:2008/01/31(木) 19:53:17 ID:RNwTVw/n
>>685
がんばれ。

ところで、7Segで「R」って、「A」とどう違うんだ?小文字でやるの?
687774ワット発電中さん:2008/01/31(木) 20:47:19 ID:voURD5Y3
_
|
688774ワット発電中さん:2008/01/31(木) 21:09:56 ID:xEDtTH8Q
こんな感じかな。
AbcdEFGH・・・
続きは↓
689774ワット発電中さん:2008/01/31(木) 21:10:58 ID:RNwTVw/n
>>687
やっぱそうですよね。大文字は無理だよな。
690774ワット発電中さん:2008/01/31(木) 21:21:47 ID:blr17dDW
エJ・・・
691774ワット発電中さん:2008/01/31(木) 22:36:01 ID:1H0rG9oA
>>685
よくがんばったな!!
やっぱオレが見込んだだけあるぜ。
692774ワット発電中さん:2008/02/01(金) 19:27:41 ID:p0w9osJL
>>690
I を7セグで出すと1と同じジャマイカ?
まあ、そういうときのために14セグとか16セグとかがあるのだ。
もっと行くと、5x7マトリクス。
693774ワット発電中さん:2008/02/01(金) 19:49:12 ID:Wcrvl3U8
AbcdEFGH・・・エJ・・・kL・・・
694774ワット発電中さん:2008/02/01(金) 20:32:25 ID:mb2/hwse
m = n¬で行けるんじゃね?
695ごるごるもあ ◆753Z/RLFiY :2008/02/01(金) 23:12:07 ID:5p44OHXZ BE:140826274-2BP(210)
ALTERAのMAXUの書き込み回数って何回までなんだよ!!
こんなもんいちいち調べさせんじゃねーぞボケ!!
696774ワット発電中さん:2008/02/01(金) 23:26:41 ID:k4gPjKvF
>>695
コンフィグ用フラッシュは100000回保障だけどUFMは1000回まで
コンフィグは実質制限なしってとこか
697ごるごるもあ ◆753Z/RLFiY :2008/02/01(金) 23:56:01 ID:5p44OHXZ BE:50295252-2BP(210)
残念だったな。俺様に嘘を教える前に見つけちまったぜ。1時間掛かったぜ糞野郎。
http://www.altera.co.jp/literature/hb/max2/max2_mii5v1_01_j.pdf
表5?. MAX II デバイスのプログラミング/ イレース仕様
消去および再プログラムのサイクル数
100 (1) サイクル数
表5? の注:
(1) この仕様は、ユーザ・フラッシュ・メモリ(UFM)およびCFM ブロックに適用されます。

698774ワット発電中さん:2008/02/02(土) 00:13:29 ID:ioiommw+
それ書き込みに何クロック必y(ry
699774ワット発電中さん:2008/02/02(土) 13:26:55 ID:VRVoHsUO
ごるごるさん、意外にイイやつだな。口は悪いけど。
700774ワット発電中さん:2008/02/02(土) 13:29:22 ID:xGGUaGYC
ごるごるはツンデレ。
701774ワット発電中さん:2008/02/02(土) 14:08:42 ID:8DyWxyp3
ツンデレではなくヤンデレ。
どっちかっつーとツンデルかヤンデル。
702774ワット発電中さん:2008/02/02(土) 14:09:48 ID:31qagqsc
単なる病んでる
703774ワット発電中さん:2008/02/02(土) 15:02:37 ID:+6VTWoCC
>>697
待て、その日本語版では最小100回になっているが、
最新の英語版では最大100回になっているぞ。
http://www.altera.com/literature/hb/max2/max2_mii51005.pdf
704774ワット発電中さん:2008/02/02(土) 15:14:20 ID:oB/qpkNa
つまり、最初の1回がいきなりだめな場合もあるわけか。
705774ワット発電中さん:2008/02/02(土) 15:54:52 ID:+vKyvcjc
>>692
7セグの右側の縦棒を点等させたら”1”、左側なら”I"にするんだとな
706774ワット発電中さん:2008/02/02(土) 15:57:30 ID:8DyWxyp3
それよりも縦棒2つで1、縦棒一つでI(i)の方が分かり易くない?
707774ワット発電中さん:2008/02/02(土) 17:57:48 ID:zP9TtzlY
iを左下1個だけにするといいと思うよ。
708774ワット発電中さん:2008/02/02(土) 18:23:08 ID:+H8BR2Yw
AbcdefghiJkL
M?
709774ワット発電中さん:2008/02/02(土) 19:06:23 ID:Akl8rMUh
>>707
上の点無しか。それが一番分かりやすいかも。
>>708
間違ってる。やり直し。
710774ワット発電中さん:2008/02/02(土) 20:54:18 ID:gBLeqijs
711774ワット発電中さん:2008/02/03(日) 02:35:59 ID:ZAnlwclq
FPGAのロジック開発をノートPCでやってるんですけど、みなさんはパワフルなデスクトップとか
使わせてもらってますか??
カット&トライの連続のときは少しでも早くコンパイルを済ませたいので、デスクトップでやらせて
ほしいです・・・。
712774ワット発電中さん:2008/02/03(日) 08:31:14 ID:EYe4Sqr+
贅沢言うな
cycloneとかSpartan程度だったらノートPCのパワーで十分だろう。

カット&トライとか何でやるの?
ちゃんとシミュレーションやれば何度も繰り返す事はないんじゃないか。
713774ワット発電中さん:2008/02/03(日) 14:19:39 ID:dIsiPHfr
ノートPCが欲しいけど、買ってくれないからデスクトップで
やってるんだよ。
714774ワット発電中さん:2008/02/03(日) 16:03:23 ID:294WHkhC
ちゃんとシミュって
一つの時間軸に対するシミュしかできんだろ?
イベントの順序を変えて経過を観察したいとか、
パラメータを変えてそれに対する経過を見たいとかなれば、何度も繰り返すしかないだろ。
パラメータとかはHDL書く前に、別な言語でシミュすればいいかも知れんが、
イベントの順番に対する反応を見たければ何度もシミュするしかないがな。
715774ワット発電中さん:2008/02/03(日) 18:32:11 ID:YOpWmaGl
>>714
はあ?
716774ワット発電中さん:2008/02/03(日) 20:04:42 ID:294WHkhC
ま、馬鹿にはわからんのだろうな。馬鹿には
717774ワット発電中さん:2008/02/03(日) 20:16:08 ID:/+CgT6Pm
> ちゃんとシミュって
この一行目の時点で、読む人にとっては茨の道。あともボロボロじゃん。
複数の時間軸があるのかと思わせる文章とか、
パラメータを別言語で書くとかシミュするという記述とか。
718774ワット発電中さん:2008/02/03(日) 20:58:46 ID:294WHkhC
>>717
つくづく馬鹿だなお前
HDL言語ってのはtを一定時間で増加させることしかできない。
つまり、一つの測度軸しか持ってないんだよバカたれ。
イベントの順序を入れ替えてそれに対する反応を見たいような場合、
いったんリセットするようなシミュ記述を書いといてやる、バッチファイルあたりで、複数のシミュレーションを繰り返すしかない。
実装そのもの以前の、パラメータに対する反応を見たいような場合は、HDLシミュレートでは時間がかかりすぎるから、
Cなどのソフトウェアシミュレーションの段階で不明な部分はできるだけ詰めておく必要があるつってんだよアホ
719774ワット発電中さん:2008/02/03(日) 21:11:13 ID:YOpWmaGl
>>718
はあ?
720774ワット発電中さん:2008/02/03(日) 21:17:23 ID:XFh7NGHI
滅茶苦茶すぎてワラタw
本人のノーミソでは完結しているのやもしれんが、
その説明で相手に理解させるなんて無理。

意志疎通できない場合は原因の最低半分は自分にあると理解すべき。
721774ワット発電中さん:2008/02/03(日) 21:25:37 ID:294WHkhC
測度もわからんアホのお前にとっては理解できんだろうな。
これだからデジドカは話にならん。
お前のおツムレベルに合わせてレスする義務はこっちにゃないんだよ。無知の知ぐらいは知っとけ禿
722774ワット発電中さん:2008/02/03(日) 21:35:39 ID:Y6Yp5tnc
自分の体重とツベルクリン反応で名前を当てろ、って言ってるくらいハイレベルな解説でっすね。
723774ワット発電中さん:2008/02/03(日) 21:42:08 ID:EYe4Sqr+
>>714
すごすぎ。

なんの為にモジュール化するのか理解していないんだろうな。
とりあえず天才の俺様が>>712の言いたい事を教えてやろう。

>>711
>カット&トライの連続のときは少しでも早くコンパイルを済ませたいので

ついては何度もコンパイルしなくてもシミュレーションでできるだろうと言っている。
724774ワット発電中さん:2008/02/03(日) 21:42:50 ID:pYvJWcl+
14Vにエサをやってはいけませんww
725774ワット発電中さん:2008/02/03(日) 21:57:23 ID:YOpWmaGl
>>723
あんがと

HDLシミュレーションはイベントドリブンだから、Δtずつ進むわけじゃないよな。SPICEじゃないんだからさ。
726774ワット発電中さん:2008/02/04(月) 04:26:05 ID:F+/+di2L
>>718は実体験からなんとなく分かったけど
コンパイルとは関係ないよなあ、フィット後のシミュ?
カット&トライってなんだろう、フィットできてない?
727774ワット発電中さん:2008/02/04(月) 08:59:41 ID:fwTAyUTk
もともと、>>711 が回路のカット&トライしたいけどPCが遅いって話だったのを、シミュレーションでちゃんとやろうぜってのが >>712 の主張。そこに >>714 がちゃんとしたシミュがどうたらバカを言い出すからこうなった、とオレのエスパーが言っている。
728774ワット発電中さん:2008/02/04(月) 14:48:50 ID:Ngu1JeOv
使用OS:WinXP-Pro,ソフト:Web-ISE 9.2i,FPGA:Spartan2 XC2S15-5VQ100C

FPGAのI/Oバンクについて質問です。

ピンをバンクを使い分けるというのは、同一Vccoであれば可能なのでしょうか?
つまり、ある回路で3個のスイッチに接続されているピンがバンク6のP61〜P63
であったとき、回路を変更して、これらをごっそりバンク3のP31〜P33に移動する
とういのは可能でしょうか?

よろしく願います。
729774ワット発電中さん:2008/02/04(月) 15:07:49 ID:aZ4epzHZ
FPGAに不可能は無いよ
730774ワット発電中さん:2008/02/04(月) 15:41:57 ID:+UvwxUxT
フィットする->タイミングNG->制約つけて再配置->入らない->回路いじる->フィットする->タイミングNG

以下ループ。
731774ワット発電中さん:2008/02/04(月) 17:09:28 ID:7DfYZRp7
回路変更ではなくて、ピンの指定を変えるだけだろ。
ってか、やってみればいいのに。
732774ワット発電中さん:2008/02/04(月) 17:11:06 ID:q3BPhakT
コンフィギュレーションのROMとか
2.5VのDDR−DRAMなどでバンクのVCCOに
接続がある場合、決して自由ではないよ
733774ワット発電中さん:2008/02/04(月) 17:45:54 ID:xDFy2n2I
どんなに制約をつけてもタイミングがOKにならない理由の多くは設計が悪い場合が多い。
そんな時には人間オプティマイザーの出番です。
ボトルネックな部分を分散させるようにソースを修正すればなんとかなる事もある。

でもピン配置がバラバラだったり、使用CELL数が80%越えたりするとどんなにがんばっても入らないんだよね。

>>730のように何度もやるより、1サイズ大きなデバイスを使うとそれだけで終わる時もある。
余裕の無い設計をすると最後の最後で苦労する時が多い。


734728:2008/02/04(月) 19:01:26 ID:LyYi6bBf
>>729-733
さんきゅうです。
可能ではあるけれども、単純な移動では
済まないということですね。
735774ワット発電中さん:2008/02/04(月) 20:21:37 ID:S1mxJBoG
イッペン100%でフィットしたなあw
回路修正して97%まで落としたけど
736774ワット発電中さん:2008/02/04(月) 21:13:22 ID:DHwSA5wK
いや、限りなく単純な移動と思われ
737774ワット発電中さん:2008/02/04(月) 22:00:12 ID:xDFy2n2I
>>735
すげー。

CPLDではたまに聞くけど、FPGAでは聞いた事ない。

738774ワット発電中さん:2008/02/04(月) 22:07:57 ID:CtRyFsPm
100%は不具合出た時に修正できないからなあ。
85%以上にはできないおれはチキンでしょうか?
739774ワット発電中さん:2008/02/04(月) 23:15:58 ID:LJ8ZnJjQ
Synplify を使うと、純正の合成ツールよりずいぶんましだよ。
脳内合成には劣るけどな。
740774ワット発電中さん:2008/02/04(月) 23:29:03 ID:OsTZQwdO
割れ使ってんだろ?
741774ワット発電中さん:2008/02/05(火) 20:13:18 ID:U09V4lX+
今のSynplifyはましなのか?
だいぶ前に試した時はQuartusIIと変わらなかったけど・・・
742774ワット発電中さん:2008/02/05(火) 20:26:16 ID:PyObBVZ0
Web-ISEで質問です(バージョンは9.2i)。
PC:WinXP-Pro(Mem=1GB),FPGA:Spartan2 XC2S15

ピン固定ツール(Xilinx PACE)でピンの設定を行い、ucfファイルにピン情報を保存しようと
すると、「Bus Delimiter」ダイアログが表示されます。
この場合は、「Select IO Bus Delimiter」の「XST Default <>」にチェックマークを入れれば
良いのですが、何故このようなダイアログが現れるのでしょうか?
743774ワット発電中さん:2008/02/05(火) 21:13:31 ID:Ki7VedSr
”EDK”は Embedded Development Kit の略らしいけど
”ISE”は何の略ですか?
744774ワット発電中さん:2008/02/05(火) 21:15:36 ID:flMa5fek
伊勢
745774ワット発電中さん:2008/02/05(火) 21:49:21 ID:j3dpGjEj
>>742
Synplify("[]"表記)ユーザー向けの小さな親切大きなお世話ってヤツじゃないかな。
746774ワット発電中さん:2008/02/06(水) 00:07:49 ID:mC9g4VCt
>>743
Integrated Software Environment?
747774ワット発電中さん:2008/02/06(水) 01:33:51 ID:TUi1pGnN
>>745
Thx
748774ワット発電中さん:2008/02/06(水) 02:10:30 ID:WbY7tfFK

厨房みたいな質問かも知れないが、マルチサイクルパスというのは何のことですか?

ひとつのデータパスに複数のクロック信号が通うということかな?
それは結局、速いほうのクロックで間に合わせるように合成ツールが最適化をするっていう認識でよいでしょうか?
749774ワット発電中さん:2008/02/06(水) 02:13:20 ID:wo/xFO1Z
>>748
逆かな。
クロックは一つなんだけど、複数サイクルかかって計算するような
パスのことだよ

合成ツールがどうするのかは知らないや。あとはまかせた。
750774ワット発電中さん:2008/02/06(水) 02:50:39 ID:J5SHUymd
>>746 Integrated Software Environment?

正解みたいですね。
ttp://www.ryoyo.co.jp/xilinx/seihin/design/index.html
751774ワット発電中さん:2008/02/06(水) 12:44:53 ID:yM0RSoB4
用語で質問です。

「スレッショルド基準電源ピン」というのは、何かのI/Oに対してこのピン電圧を閾値として
例えば、A>閾値 → Abcの動作,A<閾値 → Xyzの動作 を行わせるためにあるもの
というのは正しいでしょうか?

ググってみたところ、上のような解釈になりました。
752774ワット発電中さん:2008/02/06(水) 12:50:07 ID:Mfkoo0vh
「ロジックレベル」でくぐれ
「ロジックレベル変換」の方がわかりやすいかもな
753774ワット発電中さん:2008/02/06(水) 14:21:51 ID:yWAo0XIk
おまえらどうやって新人を教育するんだぜ?
「俺はソースやるから勝手に覚えろ」だったがそれじゃダメらしい。
754774ワット発電中さん:2008/02/06(水) 14:31:01 ID:Fq+EY84Z
MIL記号から覚えてもらう
755774ワット発電中さん:2008/02/06(水) 14:34:06 ID:N++36yhI
>>753
育つ奴は放っておいても育つ。
1を教えなくとも興味or必要性があれば10まで覚える。
育たない奴は育てても育たない。
10まで教えても1を理解する意志も持たず、理解もしない。

本人が望んだときに支援する、望まねば放置するのが本人の適正にあった最良の教育。
756774ワット発電中さん:2008/02/06(水) 14:39:46 ID:EWxYbtqx
>>753
知恵使えやボケ。
まず相手のレベル確認を最初にする。すでに経験あるなら途中から、童貞だったら手取り足取り教えてやる。
素人同然だったら、本を与えて観察する。当然何処まで読んだかとかチェックする。
過去設計した仕様書とソースを渡して動きを理解できるか確認する。
ツールの使い方を教えて簡単な練習問題をやらせる。練習問題は最初はAND、ORなど、次にカウンター、最後がシーケンスかな?
ここまで理解できたら後は小さなブロックの設計をやらせていく。

757774ワット発電中さん:2008/02/06(水) 14:44:51 ID:KGiBEYOt
よくワカランのが、自宅にPCも高速回線もあるのに自分で
調べようとしない連中。
答えそのものは無くても、ヒントを集めて推測すれば80点位の回答
は出るはず。

昔みたいに、図書館の閉館・会館時間を気にしつつ、自転車で30分かけて
行って調べた時代に比べれれば遥かに楽。
データシートも郵送ではなくPDFで簡単に入手できるのになあ。
758774ワット発電中さん:2008/02/06(水) 14:56:23 ID:5Um0Yztp
>>752
ありがとうございます。
つまりは、ロジックレベル判定(0か1か)の基準電圧ということでつか?
759774ワット発電中さん:2008/02/06(水) 15:00:45 ID:IwnhadAG
>>757

軽石乙
760774ワット発電中さん:2008/02/06(水) 17:36:34 ID:MsY83we0
>>データシートも郵送ではなくPDFで簡単に入手できるのになあ
でもそれも考えものなんだよな。
今にして思えば、データシートや基板パタン図を郵送や宅配で
やりとりするのにかかっていた時間は貴重な余裕になってくれていたものだよ。
761774ワット発電中さん:2008/02/06(水) 17:40:29 ID:ux2pID25
>>760
ある意味同意。
それって、営業の人間が携帯電話で束縛されるのと同じ感覚ですな。

文明の利器は時にゆとりを奪う。
762774ワット発電中さん:2008/02/06(水) 17:49:56 ID:4vlKmyjL
製品リストは、PDFは、紙にプリントせんと理解できない世代
763774ワット発電中さん:2008/02/06(水) 17:55:39 ID:+JZF2vH4
お前らに新人を鍛える能力がないのはよくわかったw
764774ワット発電中さん:2008/02/06(水) 17:57:58 ID:IwnhadAG
> 製品リストは、PDFは、

日本語が使えない世代。

>760

だよねー。
今はノンストップで掛け持ち当たり前。
納品後や期末とかのお勉強期間が...なくなったなぁ。
765774ワット発電中さん:2008/02/06(水) 19:06:40 ID:mbGXcSjS
スキル低下は利便さゆえの犠牲か
プロセス改善って結局はエロイ人の自己満足なのかな
効率化の名の下に休憩所もなくなったし
766774ワット発電中さん:2008/02/11(月) 22:11:09 ID:68VDBGd6
スレと全然関係ないけど、
今度CMOSイメージセンサを初めて使うんだけど、
回路図上のリファレンスは何使ってます?
"IC1"じゃ変だし"CMOS1"じゃそのまんま過ぎるかなと思って。
767774ワット発電中さん:2008/02/11(月) 22:15:40 ID:sqsi7KBK
IC1とかU1だなぁ。
768774ワット発電中さん:2008/02/11(月) 22:17:30 ID:jY2pr0iC
IC1は全然変じゃないが、CMOS1は変だな。どれもこれも今時ほとんどCMOSだろ。
IMG1とでもしといたら。
769766:2008/02/11(月) 23:06:37 ID:68VDBGd6
>768

"IMG1"頂きです。
ありがとう。
770774ワット発電中さん:2008/02/12(火) 10:08:57 ID:u13MTiZZ
社内ルールとかねえのかよ
うちもないけど
771774ワット発電中さん:2008/02/14(木) 22:37:55 ID:yxCp9JTQ
そういやUってなんのUだ?
DSとかQとかYとか
772774ワット発電中さん:2008/02/15(金) 12:50:42 ID:v3G1Xya8
UはUnitの頭文字
Qはトランジスタの記号のQから
 トランジスタのQは鉱石ラヂヲの鉱石結晶(Quartz)の頭文字Qが由来らしい
DSはData SelectorまたはData Set
multiplexerのYはたぶんYieldの頭文字
773774ワット発電中さん:2008/02/15(金) 22:28:02 ID:Rfjd6kp2

教えてください。
2つあります。
1つ目は、FPGAを周波数発生器として使えるかどうかということです。
例えば、38KHzの矩形波をFPGAで生成。その周波数を0.1Hz刻み、つまり
38.0000KHzの次は38.0001Hz、その次は38.0002Hzという具合に可変したいのです。
0.1Hzでなくても1Hz刻みでも良いです。
範囲は+/-1KHzもあれば良いので、その中を細かくデジタル設定したいということです。
DDSを使えばよいのでしょうが、そんなに細かく、かつclockと同期が取れる回路が
作れるかどうか...できれば、FPGA1個でできないかしらと思っています。

2つ目は、FPGAやCPLDのピンには、Clock1とかClock2など、複数のCLock端子がありますが、
これはどのような時に使うのでしょうか。1つのClockを入力して、
内部で割れば、同期も取れるし、それでいいんじゃないかと思うのです。
あるいは、全く絡みのない複数の回路を、1つのFPGAの中に作る時につかう、
と言うことなら、激しく納得できますが、異なるClockを使った1つの回路って
あるのかな?と疑問に思うのです。

どうぞ宜しくお願いします。
774774ワット発電中さん:2008/02/15(金) 22:44:21 ID:Iw5QBdeF
>>773
その矩形波の周波数の精度というか平均的に指定の周波数になっていれば良いならできそうだけど
1周期がぴったり指定の周波数でないと問題があるなら難しいかも

あと異なる周波数のクロックを1つのチップで使用することは結構あると思う
たとえばSDRAMなどのクロックと表示用のクロックを別々に入力するとか
まあこの場合は1つの回路とは言わないかもしれないけどね。
775774ワット発電中さん:2008/02/15(金) 22:49:45 ID:eZ9/tMRP
>>772
トンクス。でも記号が示す部品がうちと少し違うなぁ。
って、どこの手のものかお互いバレてしまうかもw

>>773
2つ目だけ簡単に答えると、
>全く絡みのない複数の回路を、1つのFPGAの中に作る時につかう
これももちろんあるけど、
自分は、例えば異なるクロックで動作しているブロックを仲介するときとか使うな。


あと1つ目は、
十分に速いクロックでカウンタ作って、
カウンタ=0(0じゃなくてもいいけど)でトグルする信号作ればいんじゃない?
カウンタのリセット値を変えてやれば任意の周波数作れる
「十分に速いクロック」が分解能になるけど。だめか?
776774ワット発電中さん:2008/02/15(金) 22:56:03 ID:Iw5QBdeF
>>775
その方法だと1Hzの分解能でもものすごく高い周波数が必要じゃないかな。
1 / (1 / 38.000KHz - 1 / 38.001KHz) * 2 = 2.8GHz
777774ワット発電中さん:2008/02/15(金) 22:56:04 ID:6MD3RE0U
>>773
1.できるとオモウ

XILINX の FPGA だと、DCM(Digital Clock Manager) でのクロック合成周波数を
ダイナミックリコンフィギュレーションポート(DRP)を使って動的に変更可能です。
DCM1個だと設定の範囲は狭いけど、多段接続したり、内蔵PLL(Virtex-5のみ)を
併用したりする事で、ある程度自由な周波数合成が可能です。

2. 異なる Clock を使った1つの回路の例

要は「非同期FIFO」みたいなアプリケーションですね。
Video 入力信号(PCLK) を一旦 SDRAM(MCLK) でバッファリングし、
再度表示用クロック(DCLK)を使って Video 出力するような回路とか。

778774ワット発電中さん:2008/02/15(金) 23:03:02 ID:CaI2nDql
>>776
源クロックのサイクルタイムが出力1サイクルの時間の許容ジッタの範囲内であれば、
フラクショナルNカウンタで分周することで、いくらでも分解能は上げられる。
779774ワット発電中さん:2008/02/15(金) 23:25:01 ID:oQV6SVXO
どのくらい細かい所までできるかは分からないけど
Spartan-3E starter kitのリファレンスデザイン
ttp://japan.xilinx.com/products/boards/s3estarter/reference_designs.htm
の「PicoBlaze Processor Frequency Generator」にDCMの裏技みたいなのが
載っていて周波数可変ができるみたいだ。
780774ワット発電中さん:2008/02/15(金) 23:27:35 ID:H4mVx0Ck
>>773

これでいいんじゃね。

http://www.picfun.com/appframe.html

XC95108一個だお。
781774ワット発電中さん:2008/02/15(金) 23:32:05 ID:H4mVx0Ck
ごみん、こっちだ。

http://www.picfun.com/equipj55.html
782774ワット発電中さん:2008/02/16(土) 00:43:02 ID:2yZBZb1h
FPGAでDDSを作ったらイイのでは?
DAC付けなくても8ビット程度のR2Rでいけると思う。

FPGA屋さんはDDSではなくてNCOと呼ぶのかな?
783774ワット発電中さん:2008/02/16(土) 01:44:03 ID:WZGDpH5P
>>773
1.理論的には可能でも現実的には無理な気がする。
  DDSで作れそうな気もするが、その精度を出すのは非現実的なような・・・良くわからん。

2.4clockくらいは普通に入れて使ってる。
  入力data_clock、出力data_clock、内部処理用clock(DDR,SDRAMなど用含む)、
  計測clock(入出力レートの計測用)

俺の場合、Clock生成はFPGA外部に専用IC(PLL)実装したりしてるけどな〜。
784774ワット発電中さん:2008/02/16(土) 16:50:48 ID:hF7Id5vQ
>>783
秋月のDDSは、「1Hz〜16.7MHzまで1Hzステップで
歪の少ない滑らかなサイン波を発生できます。」だそうだ。

>>781
100ns 単位でしか指定できないから、1Hz 単位は無理だな。
純粋にデジタルでやろうとすると、>>776 の言うように
高い周波数が必要になる。周波数は、時間の逆数だから、
Hz 単位という部分に難しさがあるね。


インターフェース周りは、そのクロックに同期させないと行けない
から、それ専用のクロックを入れる必要があるね。USBの
48MHz とシステムのクロックとか。USB をマスターにすると
USB が差さってないときに動けないからな。
785774ワット発電中さん:2008/02/17(日) 17:07:04 ID:LWQRjuP8
>>781
最後の
>ロリータエンコーダは未使用
にワロス
786774ワット発電中さん:2008/02/17(日) 17:11:44 ID:isV/QQso
>>785
釣られますた
787774ワット発電中さん:2008/02/17(日) 17:44:52 ID:lPftz+55
>>791

の原形、こっちの方が動作解説もあり勉強になるかも。

http://www.picfun.com/vhdl44.html

ほかにも
http://www.picfun.com/vhdleqframe.html
788774ワット発電中さん:2008/02/26(火) 13:45:42 ID:maFoswwx
FPGAの勉強をしようと思ってます。windowsマシンがなくても開発できて、
(linuxマシンはあります)、予算5〜7万円くらいのよい環境を教えてください。
789774ワット発電中さん:2008/02/26(火) 14:00:51 ID:Aik2pqyF
Spartan-3シリーズのStarter Kitかな。
790774ワット発電中さん:2008/02/26(火) 20:52:27 ID:zcSA+BBe
PLDならPCなしでも
791774ワット発電中さん:2008/02/26(火) 21:22:11 ID:IlPkB9m1
Altera DE1 一択だな
評価ボード 2万円
QuartusII 無償
あとは外付け基板の制作費にまわす
792774ワット発電中さん:2008/02/26(火) 21:38:42 ID:4rdRIWM9
>791
QuartusII Web Edition (無償版)は Linux に対応してないよ。
793774ワット発電中さん:2008/02/26(火) 22:17:44 ID:cfI9FSqT
>> 792
WINE使えばOK
794774ワット発電中さん:2008/02/26(火) 23:00:19 ID:maFoswwx
788です。ありがとうございます。Spartan-3シリーズのスタータキットを調べてみましたが、
よさそうですね。これで始める人ってどんな本をみてるんですか?

あと、LinuxとFPGAで検索していて出てきたのですが、
朱雀(suzakuという名前でした)というのはどうですか?

ありがとうございます。
795774ワット発電中さん:2008/02/26(火) 23:05:00 ID:xip7C9ya
>>794
SUZAKUはEDK必要になると思うけど
796774ワット発電中さん:2008/02/26(火) 23:05:04 ID:8rxGmZH8
それ結局遠回しなWindowsマシンだよね
797sage:2008/02/26(火) 23:33:06 ID:2Fg5cObO
>>794
初心者にはまず無理だと思う。

EDKとISEの間を行ったりきたりとか、MHSファイルをいじらないと
バージョンがあがったらコンパイルできなかったり。

決して不安を煽る気はないが、スターターキットという位置づけなら
ほかの製品がいいと思うよ。
798774ワット発電中さん:2008/02/27(水) 00:11:57 ID:j4+bO46a
788です。
なるほど。ありがとうございます。
あんまりLinuxにはこだわらない方がいいんですかね。。。
windowsマシンがないといっても、インストールすればいいんだけど。

やりたいのは、物理とかのシミュレーション用の計算を色々とさせることなんですが、
その際に計算を命令する側のマシンがLinuxになると思うので、開発環境とかもLinuxで
できるのを探そうと思ったのです。

とりあえず、
Spartan-3 Starter Kit
Altera DE1
の二択で検討してみます。
ありがとうございました。
799774ワット発電中さん:2008/02/27(水) 00:15:53 ID:A0aUtnrM
>>798
命令を与えるってことはPCを接続して使用することが前提なのかな?
接続方法は何を考えているのかな。ってもう遅いかな。
800774ワット発電中さん:2008/02/27(水) 00:18:32 ID:u/9ghBAE
>>794
SUZAKUは、どっちかというと、FPGAのコアにLinuxが乗ってるというイメージで、
開発環境がどうこうというものでもない。
もちろんLinuxでソフトウェアはクロス開発することになるけど、FPGAはWindowsが
あったほうが有利。

ただ、FPGAで作ったコアを、他のハードなしで、すぐにOSから叩けるのは便利ではある。
801774ワット発電中さん:2008/02/27(水) 00:50:33 ID:j4+bO46a
ありがとうございます。

>>799
そうです、PCを接続して使用することが前提です。接続方法は、ネットワークかUSBにしたいと
思ってます。ノートパソコンから接続して使いたいので、パラレルポートとかPCIとかは避けたいです。

>>800
なるほど、とりあえず、windowsマシンを一台調達することにします。

802774ワット発電中さん:2008/02/27(水) 03:06:01 ID:xpa/D1Ae
>>801
Altera DE1よりもDE2の方がいいかも
教育機関割引(使えるなら)で3.7万円だし
イーサやらUSBのI/Oやら付いてるし(使えるかどうかは別問題)
通信速度20KB/s程度でいいのならUSB-RS232C変換ケーブルでokだけど
803774ワット発電中さん:2008/02/27(水) 11:39:06 ID:hlg9VbRo
周辺回路がゴチャゴチャ載った基板って、使いにくくないか?FPGA+電源の基板に自分でLEDとかを付け加えていくほうが分かりやすいと思うよ。イーサネットやUSBなんて、かなりハードル高いし。
804774ワット発電中さん:2008/02/27(水) 11:44:53 ID:b2Td5ng6
まあそれは各々の目的しだい
805sage:2008/02/27(水) 12:41:37 ID:j4+bO46a
>>803
じゃあ803のお勧めは?
806774ワット発電中さん:2008/02/27(水) 13:20:13 ID:kPtR3cej
HuMANのボード
807774ワット発電中さん:2008/02/27(水) 13:26:20 ID:TAuVmWV/
>>803 だけど、Spartan3 スターターキットでいいか…
808774ワット発電中さん:2008/02/27(水) 19:54:39 ID:k0yWxjVZ
axeの中のひといるのかな
809774ワット発電中さん:2008/02/28(木) 16:15:50 ID:5T3TkgsI
あれ?opencores死んでる?
810774ワット発電中さん:2008/02/29(金) 17:25:56 ID:SRV436EA
test
811774ワット発電中さん:2008/02/29(金) 17:41:37 ID:SRV436EA
すみません、教えてください。

DSPについて勉強しています。DSPといえばTIというほど定着していますが、
FPGAでもDSPブロックを内蔵したものがありますよね。
それで、両者の処理速度について知りたいのです。

例えばFIRのフィルタを作るとした場合、
TIのDSPで作ることもできますし、FPGAでも作れると思うんです。
TIのDSPではDSPブロックが数個と少ないので、積和を何回も繰り返す必要が
あるので高速な内部クロックが必要と考えています。
これに対しFPGAの場合だと、DSPブロックがたくさん内蔵されているので、
これをシリーズ接続で使用すれば、1クロックで答えが出るような
気がするのですが、この考えは間違っているでしょうか?

もし、この考えが正しいとすれば、
DSPブロックが8個入ったTIのDSPを100MHzで回したときのデータ生産量は
FPGAでDSPブロック80個を使った場合は、クロック10MHzで良いと考えていますが、
この考えは正しいでしょうか?

FPGAだと、1クロックでDSPの答えが出るので、
例えば、ADコンバータから50MSPSのデータが入ってきて、これをFIRかけて
50MHzごとに答えが欲しいという場合、
FPGAクロックは50MHzまたは100MHzあればOKになると思うのですが、
この考えは正しいでしょうか?

すみません、どうぞ宜しくお願いします。

812774ワット発電中さん:2008/02/29(金) 19:30:14 ID:fFUmunSY
>>811
MHzオーダーの信号処理だと、DSPじゃキビしいかもしれんね。

> これに対しFPGAの場合だと、DSPブロックがたくさん内蔵されているので、
> これをシリーズ接続で使用すれば、1クロックで答えが出るような
> 気がするのですが、この考えは間違っているでしょうか?

正確に言うと、スループットで1クロックは簡単に達成できる。
レイテンシを1クロックつーのはいろいろ問題がある。つーかFIRだったらほぼムリ。
(そもそもFIRフィルタそのものが位相差出るものだから)

ところでTIのDSP(いまc54xやってるが)ってMACを並列に動かせたっけ?

> FPGAでDSPブロック80個を使った場合は、クロック10MHzで良いと考えていますが、
> この考えは正しいでしょうか?

ケースバイケース。
用途によっては Yes.

> FPGAだと、1クロックでDSPの答えが出るので、
> 例えば、ADコンバータから50MSPSのデータが入ってきて、これをFIRかけて
> 50MHzごとに答えが欲しいという場合、
> FPGAクロックは50MHzまたは100MHzあればOKになると思うのですが、
> この考えは正しいでしょうか?

何段のFIRを想定してるか知らんが、DSPブロック(つーかただの乗算器)を
使い尽くしたらロジックセルを多量に消費する乗算回路を
併用することになり、そこからだんだん限界に近づいていく。

50MHz程度はよゆーで、100MHzも他に制約がなければいけるだろう。
あくまでもスループットの話で、レイテンシ削減は茨の道だぞ。


つーか I/O のこと考えてないだr
813774ワット発電中さん:2008/02/29(金) 20:16:41 ID:SRV436EA
>>812
早速の回答ありがとうございます。
ピントぴったりの的確な回答、ありがとうございました。

>正確に言うと、スループットで1クロックは簡単に達成できる。
はい、スループットが1clock毎であることが大事だと思っています。
プロパゲーションディレイは、一定であれば良いと思っています。
勉強の内容が違っていなくて良かったです。

> ところでTIのDSP(いまc54xやってるが)ってMACを並列に動かせたっけ?
C62では、複数のMACを並列やカスケードで処理速度が上げられるような
気がします。勘違いかな。

>レイテンシ削減は茨の道だぞ。
はい、そう思います。
なるべくレジスタを使わないで済む回路構成にしないといけないですよね。
マイコンのプログラム技法で処理能力がゴロゴロ変わるのと似たものだと
思います。

> 50MHz程度はよゆーで、100MHzも他に制約がなければいけるだろう。
これは、FPGA内のDSPブロックをしようした時の話でしょうか。
それとも、FPGAの内蔵かけ算器とAdderを組み合わせたときの話でしょうか。

ありがとうございました。

814774ワット発電中さん:2008/02/29(金) 21:28:43 ID:wzhQPqMi
> これは、FPGA内のDSPブロックをしようした時の話でしょうか。
> それとも、FPGAの内蔵かけ算器とAdderを組み合わせたときの話でしょうか。

内蔵乗算器と加算器(というか加算に最適化されたマクロセル)の対を
売り文句としてDSPブロックと呼んでいるだけなんで両者は同じものだよ
815774ワット発電中さん:2008/03/01(土) 21:19:41 ID:ZBMt+bVi
質問

趣味でFPGA使ってる人ってFPGAで何をしてるんですかね?
816774ワット発電中さん:2008/03/01(土) 21:30:40 ID:5ZDXGCMB
いけないこと
817774ワット発電中さん:2008/03/01(土) 21:36:49 ID:Wzh8ZHpK
ひ・み・つ
818774ワット発電中さん:2008/03/01(土) 21:40:21 ID:SoT8iLz9
LED光らせたりチカチカさせたり凄い勢いでチカチカさせたり
無駄に高機能で低速なマイコン作ってみたり
PSのコントローラ繋いで認識させてみたり
逆にPSのコントローラとして認識させてみたり
VGA表示させてみたり音出してみたりシリアル通信してみたり
819774ワット発電中さん:2008/03/01(土) 21:48:31 ID:UDDOZFIp
>815
近い将来は
FPGAつかってデジタルテレビチューナを作るのが流行る筈だ。
B-CASが地団駄踏むだろうが、その流れを押さえればデジタルテレビの普及が遅れる。
820774ワット発電中さん:2008/03/01(土) 23:08:28 ID:rkJrki1c
FPGAのDSPブロックは、演算専用ロジックは
HDLで書きにくいから用意されたと思った方がいい

Cで書くほど、演算の論理回路は、容易ではないし
動作スピードは、速くならないから
821774ワット発電中さん:2008/03/01(土) 23:21:44 ID:Md5mGsBU
>>819
つか、既にそんなの簡単にできる現状ですよ
各社の最新ビデオ関係チップ調べてみなさいよ
化け物!と叫びたくなるラインナップがずらずら出てくるから
822774ワット発電中さん:2008/03/01(土) 23:49:18 ID:c0UJOqS7
>>821
> 化け物!

つか、突然全部入りでやることナイってな感じですな。
セット設計者としては正に線引くだけっていうか、
これじゃ製品の差別化なんか出来ないよねぇ>>フナイとかバイデザインとか。
823774ワット発電中さん:2008/03/02(日) 00:10:52 ID:/RR5bWax
>>822
今は1チップにデコーダ、変換器、DSP、いろんなROMなど七つほど入ってたりするのが普通になってるからね
その上、性能も桁違いに高いので動画とか扱うなら
プラモデル感覚で簡単に作れる時代になってしまいましたね
824 ◆YMO/ALTERA :2008/03/02(日) 00:12:04 ID:gbLb2s/s
>>815
自宅でトリップ回す。
825774ワット発電中さん:2008/03/02(日) 00:25:03 ID:kwRsQaGI
>>815
FPGAのおかげでデジタル系の電子工作がすごく楽になったよ。
FPGA+液晶の組み合わせで30年くらい前のゲーム作って遊んだ事もある。
(CPU使った方が早いと言われたら、返す言葉が無いが・・・)
826774ワット発電中さん:2008/03/02(日) 00:25:48 ID:ngR7AN5H
>>823
ユニデンのチューナを買って中を見たけど、放熱板のついた謎のチップと
DRAM&FLASHだけだった。改造の余地すらない。
下手にデーターバスを出すとPCに吸い出す香具師が出てくるかも知れないしな。
まあ、すでに台湾製のチューナがあるからどうでもいいのだが。
とりあえず、B-CAS の信号線だけ外に引っ張り出したけど。
827774ワット発電中さん:2008/03/02(日) 08:02:15 ID:2Etu9ORf
>>820
> FPGAのDSPブロックは、演算専用ロジックは
> HDLで書きにくいから用意されたと思った方がいい

そんなことはないだろ

LUTとレジスタの組み合わせで演算回路作ってもリソースばっかり食って全然遅い
だから少ない面積で性能出すためにハードマクロを用意してる

DSPブロックを使おうが使うまいがHDLでの表現は基本的に変わらないしな
828815:2008/03/02(日) 11:36:26 ID:w8nBFrDc
もう一個質問

FPGAって普通のマイコンと違うんですか?
H8使ってLEDチカチカさせたことはあるんですけど、何が違うんでしょ?
829774ワット発電中さん:2008/03/02(日) 11:38:05 ID:QfuFYD0C
>>828
普通のマイコンとはちょっと違うんだよ。
プログラマブルゲートアレイだから、ポートの自由度が大きい。
だから、FPGA=PIC と覚えて置けばよい。
830774ワット発電中さん:2008/03/02(日) 12:05:31 ID:Mtu/+95S
>>828
H8はプログラムでチカチカさせるけど
FPGAは回路でチカチカさせる
831774ワット発電中さん:2008/03/02(日) 13:44:03 ID:cki+0Ixv
>>815
鳥腑の解析とか
832774ワット発電中さん:2008/03/02(日) 17:20:41 ID:ngR7AN5H
>>830
さらに、FPGAでCPUを作ってLEDをチカチカさせると
わけが分からない。
833774ワット発電中さん:2008/03/02(日) 17:38:14 ID:cki+0Ixv
>>815
もう一つあった

ttp://www.amazon.co.jp/products/dp/4839909865
ここのTD4を移植した
834774ワット発電中さん:2008/03/02(日) 18:14:17 ID:0e+Ze1/5
>>821

FPGA使って自作するって流れの話なのに既成のチップ使ってなんの意味があるのか?
835815:2008/03/02(日) 19:38:47 ID:w8nBFrDc
みなさん、色々教えていただきありがとうございます。

マイコン = 中身変更不可
FPGA = 中身も色々変更可能

っていう認識でよろしいんでしょうか?
836 ◆YMO/ALTERA :2008/03/02(日) 19:56:50 ID:bhS6+qMO
>>831
解析の成果について軽く教えてちょ。
ぜひ参考にしたい。
837774ワット発電中さん:2008/03/02(日) 20:03:11 ID:wpJEwXvZ
>>835
最近はプログラマブル・ロジックを搭載したマイコンもあるみたいからなあ。

電源オンですぐ起動して使えるのが「マイコン」、電源オンしたダケでは真っサラで
まずはハードウェア記述を書き込まないとダメなのが「FPGA」で良いんでね。

要はマイコン(ASIC)とFPGAの違いは何か?を問いたいのだろうし。
838774ワット発電中さん:2008/03/02(日) 20:16:27 ID:oZ4aNyaF
ハードウェア記述を解釈しながら動くマイコンがあっても良いじゃないか
839774ワット発電中さん:2008/03/02(日) 20:37:23 ID:cki+0Ixv
modelsimに実際のIOくっつけたら
840 ◆YMO/ALTERA :2008/03/02(日) 20:50:13 ID:OeIXTvRn
>>838
非ノイマン型マイコンは有望だぞ。
世のファーム屋がことごとく首吊りそうだけどね。
841774ワット発電中さん:2008/03/02(日) 21:32:54 ID:CjNYFSAf
>>840
>◆YMO/ALTERA

なんでALTERAなのか不思議なんですがALTERA社員の方ですか?
842 ◆YMO/ALTERA :2008/03/02(日) 21:46:15 ID:OeIXTvRn
罪より寺の方がトリップが出やすかったからw
843774ワット発電中さん:2008/03/02(日) 23:08:49 ID:kwRsQaGI
>>835
その認識が間違いとは言わないが、
 CPU:低消費電力のものがある。
 FPGA:電池駆動は厳しい。

 CPU:高速(GHz)のものがある。
 FPGA:300MHz(?)でも厳しい。
って辺りの認識もしといた方がいいよ。
844774ワット発電中さん:2008/03/02(日) 23:14:12 ID:XIrnAtKL
炊飯器と鍋焼きうどんってどう違うんですか?
っていうぐらいおかしな質問だろ
マトモに答えても誤解が増すばかりだ
845774ワット発電中さん:2008/03/03(月) 00:10:23 ID:qkAxPMkG
低消費電力だと GHz は出ないんだからさぁ…
846774ワット発電中さん:2008/03/03(月) 00:48:25 ID:CifGSB6n
>>844
両方とも中身は炭水化物。
847774ワット発電中さん:2008/03/03(月) 00:50:49 ID:zahceNXr
「低消費電力」って、どのくらい? 1kW, 1MW とか、いろいろあるよ。
自分が消費せずに、人に渡せばいいわけだろ。
848774ワット発電中さん:2008/03/03(月) 05:30:45 ID:p2Wi4Fcc
AlteraのCYCLONE III(EP3C120F780C8ES)がdigi-keyで
5万弱なのでこれで遊んでみたいのだが、
このクラスの評価基板は20万ぐらいする。

俺の場合、趣味で使ってみたいだけなので、
電源とJTAGの端子と数本のI/Oだけあれば
それでいいのだが、なんとかならないだろうか。

数本のピンとは言え、FBGAの半田付けは手でやれる自信がない。

PGAの変換ソケットがあれば良いのだが、こんな足の多いFBGAの
変換ソケットは市販されてない。(?)
849774ワット発電中さん:2008/03/03(月) 06:05:09 ID:p2Wi4Fcc
>>848
自己レスですまないが
http://www.ironwoodelectronics.com/
のこの石用の変換ソケット SG-BGA-6123 が $752

何万回も抜き差しできるとか何とか書いてあるが、
1回はめたら、それ以降、抜き差しなんてするつもりはない。

俺は基板を起こすべきなのか?
850774ワット発電中さん:2008/03/03(月) 06:55:18 ID:1/bdO3OJ
>>843
発熱も考えたら、FPGAに対して1GHz超のDSPはかなり魅力的ですよね。
851774ワット発電中さん:2008/03/03(月) 07:28:55 ID:LkLFGyni
>>840
>非ノイマン型マイコンは有望だぞ。
まぁ確かに有望ではあるね。既に大量に使われてるくらいだからね。
AVRしかり、PICしかり。
852774ワット発電中さん:2008/03/03(月) 11:25:51 ID:QdCVRLiz
>>848
つ 不貞寝しながらDWMが再度FPGA特集の付録基盤付けるのを待つ
2005、2007で出してるから次は2009かな?
853774ワット発電中さん:2008/03/03(月) 11:31:12 ID:qkAxPMkG
>>848
EP3C40 なら QFP だから簡単じゃん。なぜに120?
Stratix を使いたいのなら別だが…
Humandata あたりに頼んでみるとか。
854774ワット発電中さん:2008/03/03(月) 12:01:31 ID:Hkv3+Sqr
>>851
AVRやPICって、非ノイマン型なの?
ノイマン型のような気がするけど。
855774ワット発電中さん:2008/03/03(月) 12:05:52 ID:FjMGjx3V
>>854
ノイマン型の定義である「メモリ上に命令とデータを区別することなく格納」と言う点で
ハーバード・アーキテクチャに基づくAVRは非ノイマン型と言って良いと思う。
856774ワット発電中さん:2008/03/03(月) 12:10:47 ID:JMlse+d9
>>855
全く違う。ハーバードは当然ノイマン型に分類すべき
どうせWikiあたりの糞情報見たんだろうがな。
ストアドプログラムがノイマン型分類のポイントだ。
857774ワット発電中さん:2008/03/03(月) 12:15:35 ID:QdCVRLiz
>>856
すると定義「メモリ上に命令とデータを区別することなく格納」と言う点はどうなるの?
858774ワット発電中さん:2008/03/03(月) 12:17:41 ID:5RUbawLr
ノイマン型
ハーバード・アーキテクチャ
って並べて書いてて気持ち悪くねえの?
型をあわせろよ
859774ワット発電中さん:2008/03/03(月) 12:27:40 ID:Hkv3+Sqr
>>857
「区別することなく格納」の「区別することなく」というところが引っかかっているようだけれども、
そもそもノイマン型の定義で「区別することなく」ってあったの?
誰が定義したの? あまり聞いたことのない定義だけれども。
ノイマン型の要点は、「ストアドプログラム」でしょ?
単にプログラムメモリとデータメモリが分かれているから非ノイマンだっていうのはムリがあると思う。
860774ワット発電中さん:2008/03/03(月) 12:35:08 ID:Hkv3+Sqr
うひゃ!ソースは Wikiか。
これによると、ノイマン型とハーバードが対比する様に書いてあるね。
おかしくない?これ。
ノイマン型のポイントと、ハーバードのポイントって別のことなのに。
...ここは、FPGAスレか・・・。退散します。
861774ワット発電中さん:2008/03/03(月) 12:37:12 ID:32e8K+cO
>>848

780ピンBGAで原価5万円の石が載った基板が20万円で高いの?
一度自分で作ってみればいいんだよ。
そうすれば安いと思える様になるから。
862774ワット発電中さん:2008/03/03(月) 12:45:44 ID:JMlse+d9
>>861

775pin PGAで売値3万円のCPUの載ってない基板が1万円ぐらいからあるがな
CPU載せても4万円
しかもノース、サウスブリッジ、ペリフェラル用の石満載
一度自分で作ってみればいいんだよ。
そうすれば高いと思えるようになるから。
863774ワット発電中さん:2008/03/03(月) 12:49:03 ID:P8odjjq3
何枚作るのか語らないでコストの話はナンセンスなキガス
864774ワット発電中さん:2008/03/03(月) 12:51:14 ID:BHs3v2S5
>>862
お前ふつーに馬鹿だな。
出る枚数がどんだけ違うか考えろ。
865774ワット発電中さん:2008/03/03(月) 12:54:53 ID:QG/cB36W
つまり、862は

>775pin PGAで売値3万円のCPUの載ってない基板が1万円ぐらいからあるがな
>CPU載せても4万円

で、作れるわけですね。

速攻、外注に出したいので、連絡先を教えてください。
x86アーキテクチャで構いませんが、部品レイアウトと基板サイズは指定しますので、
よろしく。
866774ワット発電中さん:2008/03/03(月) 12:56:58 ID:/j++l1gV
うわきめぇ
そんなネチネチした奴の仕事欲しくねえww
867774ワット発電中さん:2008/03/03(月) 13:00:16 ID:QdCVRLiz
>>865
最低5k枚からならうちに良い人材がいるんでやらせますよ!
868774ワット発電中さん:2008/03/03(月) 13:01:13 ID:2Y0BWAoV
ネチネチしてないエンジニアなんか使いモンにならんよ
869774ワット発電中さん:2008/03/03(月) 13:01:53 ID:JMlse+d9
>>865
受けてやるが、100万枚以上からな。
それが出ない場合ペナルティとして設計、製造コスト含めて請求する旨の契約書を交わしてからな。


>>864
>お前ふつーに馬鹿だな。
>出る枚数がどんだけ違うか考えろ。

はぁ?どこに枚数書いてるのか言ってみろカス。
>>848のようなFPGA基板で遊んでみたいようなユーザからすると基板単体で20万というのは、
べらぼうに高いと映るのは当然なんだよバカたれ
870774ワット発電中さん:2008/03/03(月) 13:04:13 ID:QdCVRLiz
>>869
     /\⌒ヽペタン
   /  /⌒)ノ ペタン   ちょっともちつけ
  ∧_∧ \ (( ∧_∧
 (; ´Д`))' ))(・∀・ ;)
 /  ⌒ノ ( ⌒ヽ⊂⌒ヽ
.(O   ノ ) ̄ ̄ ̄()__   )
 )_)_) (;;;;;;;;;;;;;;;;;;;)(_(
871774ワット発電中さん:2008/03/03(月) 13:04:26 ID:2Y0BWAoV
小学生のケンカかよw
872774ワット発電中さん:2008/03/03(月) 13:11:14 ID:P8odjjq3
>>869
製造コストってその「基板が1万円」うんぬんに含まれてないの?
873774ワット発電中さん:2008/03/03(月) 13:15:28 ID:JMlse+d9
含まれてるよ
Millionに達しない場合の違約金はそれも含めて返還してもらうって話だ。
874774ワット発電中さん:2008/03/03(月) 13:22:48 ID:P8odjjq3
ああ仕掛かり分の製造コストってことね。
875848:2008/03/03(月) 13:23:58 ID:p2Wi4Fcc
>>852
DWMは年間購読してて前回の付録のFPGA基板は持ってるんだ。

>>853
俺がいま書いているプログラムがEP3C120でちょうどおさまるぐらいの回路規模なんだ。

>>861
電源とJTAG端子とI/O数本以外不要な俺には石が5万で基板が20万というのは俺にはやはり高い。
876774ワット発電中さん:2008/03/03(月) 13:34:33 ID:P8odjjq3
>>875
使用するI/Oが数本で他のピンはNCで良いなら層数も少なくて良いだろうから。
自分で基板おこした方が安いかもね。P板とかPBとかでも実装とかお願いできるから
そういうところに依頼するのもヨサゲ
877774ワット発電中さん:2008/03/03(月) 13:41:47 ID:xhZRZcFz
基板作ってもよ、ボールグリッドをどうやってマウントするだベ?
878774ワット発電中さん:2008/03/03(月) 13:56:32 ID:QG/cB36W
>>877
クリームハンダとヒートガンでがんばれ。

試作用実装サービスも期待できる。
ただ歩留まりはそんなに良くはないので、損失も考慮しておくこと。

>>873
まぁ普通はそういうもんだ。
枚数かいてないから、862は一枚から作ってくれるってことだろ?
879848:2008/03/03(月) 15:54:37 ID:p2Wi4Fcc
>>876
P板で50mm×50mmの基板を1枚起こすのが 27,058円。
実装サービスで、BGA1つの「マスク用ガーバーデータから製造する場合」を選択 44,517円

合計 71,575円。石が5万弱だからおおよそ12万。

ちなみに、TERASICで扱っている Stratix II 60 C5 のモジュールは $1190
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=66

Stratix II 60では俺的にはLE数が足りないが、このモジュールに使われている
Stratix II 60はdigi-keyで

EP2S60F1020C5N(トレイ) 104,967円
EP2S60F1020C5(バルク) 115,464円

だから、$1190は凄くリーズナブル。こういうFPGAのモジュールを小売しているところ、
TERASIC以外に無いものか?
880774ワット発電中さん:2008/03/03(月) 17:41:08 ID:byJGz3JY
>>862 というか、JMlse+d9 の言うことが訳分からん
ということだけ分かった。
881774ワット発電中さん:2008/03/03(月) 18:02:05 ID:P8odjjq3
>>879
探せばあると思うがその手間が面倒なら作った方が早いでそ。
882848:2008/03/03(月) 19:00:33 ID:p2Wi4Fcc
>>881
やはり、そういうものか...

当方、プリント基板は2回しか製作したことがないのでメタルマスクが何なのかもよくわかってない。
だからなるべくなら出来あいのものを買いたい。
883774ワット発電中さん:2008/03/03(月) 19:21:10 ID:AVHiFgVm
>>877
試作業者に出せばBGA1個だけとか取り付けてくれるぞ。
当然メタルマスクも不用。


884 ◆YMO/ALTERA :2008/03/03(月) 20:13:27 ID:vvyCsn/R
PICの類を"非ノイマン"と位置づけずに先の発言。
いたずらにスレを浪費させてスマンカッタ
885774ワット発電中さん:2008/03/03(月) 20:21:26 ID:p2Wi4Fcc
>>883
そうなのか..。それはいいことを聞いた。ありがとう。
886774ワット発電中さん:2008/03/03(月) 21:38:07 ID:cLaOYEJK
>>884
だからPICもハーバードアーキもノイマン型だと言っとろうが。何度言ったらわかるんだたわけが。
887 ◆YMO/ALTERA :2008/03/03(月) 21:41:18 ID:iYCvmfqe
>>886
たわけで悪かったが"PICは非ノイマンに類する"と認めてるつもりはない。
念のため。
888774ワット発電中さん:2008/03/03(月) 23:58:28 ID:U5a6QcSF
EP2S60って10万以上もするのか?
889774ワット発電中さん:2008/03/04(火) 00:12:06 ID:VD+GeyYD
非ノイマン型だとヒューリスティックな解法になるんですかね?
DNAプロセッサーでアルゴリズムをどうやって実現できるのかよく分からんです。
890774ワット発電中さん:2008/03/04(火) 02:51:30 ID:yw9suIIA
>>888
digi-keyで見た限りはそうだ。

Altera的には、StratixシリーズはCycloneシリーズの上位モデルという位置づけで、
Core2Duoに対するItaniumみたいなものではないか。

そんなに速度が変わらないのにべらぼうに値段が上がるのはこの手の商品に
ありがちなことで..
891774ワット発電中さん:2008/03/04(火) 07:43:50 ID:X3t4OXgK
歩留まり悪そうだしな
892774ワット発電中さん:2008/03/04(火) 11:16:55 ID:z34dkFAE
不良品でも、不良箇所を避けてくれる配線情報を添付して
試作用として安く外販してほしいところ。
893774ワット発電中さん:2008/03/04(火) 11:24:13 ID:I3sKUd/m
>>892
フィッティングが特定の個体に縛られてるとトラブりそうじゃん?
避けて通るとデバイスの能力使い切れてないから試作としてもアレだし。
894774ワット発電中さん:2008/03/04(火) 12:00:02 ID:E8mS+N8D
QuartusII Web版でMAX IIの内部プルアップ抵抗の設定方法が判らず困っています。
デフォルトではピン割当設定画面に出てこない様ですが何処を設定したら出てくるのでしょうか。
895774ワット発電中さん:2008/03/04(火) 12:11:12 ID:wCAY7+dy
>>892
それどこのC級の付録
896774ワット発電中さん:2008/03/04(火) 14:11:20 ID:VJDj9BFY
>>892
それを普通に外販したら中華なリマーク品に生まれ変わる可能性に3万ゲート
897774ワット発電中さん:2008/03/04(火) 15:02:45 ID:E8mS+N8D
894です。
お騒がせしましたが解決しますた。
ありがd。
898774ワット発電中さん:2008/03/04(火) 16:52:22 ID:yw9suIIA
AlteraのStratix IIIと言えば、世界最速のFPGAらしいが、speed grade 2,3,4でどれくらい速度が変わるんだ?

http://www.altera.co.jp/products/devices/stratix3/overview/architecture/performance/st3-performance.html
の表1.を見ると、高速(speed grade 2?)でも低速(speed grade 4)の25%しか速くないように読めるんだが。

speed grade 2と4とでは値段は倍(20万)ぐらい違うのにな..

使ってる人の意見を聞きたい。
899892:2008/03/04(火) 16:53:21 ID:z34dkFAE
>>896 の言うとおりだ
甘かった
試作用途つーかオモチャだったら十分なのにな
900774ワット発電中さん:2008/03/04(火) 17:17:46 ID:4b1/Nmu8
>>898
設計しないとわかんないよ。

遅いデバイスだとタイミングエラーになるようなロジックの場合、早いデバイスだとサクッと通る。
ぎりぎりの設計しているときにはスピードグレードの違いでコンパイラーの時間は劇的に変わるぞ。

スピードグレードは選別しているだけだけだから劇的には早くはならない。
なったらそれこそばらつきが大きすぎる事になるのでそっちが怖いわ。

こんなこともあった。

プロジェクトの指定を間違えてなぜか最速でコンパイルしていた。
出来上がったらロジックの動きがどうも不安定。
タイミングアナライザーでも問題はない。
ふとデバイスのグレードを見たら使っているのと違う。
変更して、コンパイルしなおすと今までよりも時間がかかるようになったが出来上がったbitfileでは安定して動くようになった。
某社のコンパイラのデバイスグレードはデフォルトで最速なのは勘弁して欲しかった。orz
901774ワット発電中さん:2008/03/04(火) 20:17:12 ID:yw9suIIA
>>900
> スピードグレードは選別しているだけだけだから劇的には早くはならない。

えっ?speed gradeって同じ製造工程で作って、そのバラツキによるものなの?

そのバラツキでこんな値段差..マジですか。
902774ワット発電中さん:2008/03/04(火) 20:43:59 ID:cKrUBiad
スピードグレードによって製造プロセス変えてるとでも思ったか?
903774ワット発電中さん:2008/03/04(火) 20:54:14 ID:vOnJEWJk
CPUも昔はそうだった気がするが今は違うのか?
904774ワット発電中さん:2008/03/04(火) 22:36:41 ID:VD+GeyYD
レアものは金を出してでも欲しがるヤツがいるのだよ。
905774ワット発電中さん:2008/03/04(火) 23:27:18 ID:mCrnGrc1
24時間前の28号「もうちょっとで終わりですね」
906774ワット発電中さん:2008/03/05(水) 00:37:41 ID:BOhe5uPJ
選別どころか、core2duoとceleronも中身は一緒で、
celeronは2次キャッシュをわざと使えなくしてあるんでしょ。
2次キャッシュ不良の選別品かとも思ったけど、
そんな大量に不良品なんてでないしな・・・
907774ワット発電中さん:2008/03/05(水) 00:57:59 ID:xTX4KpjD
>>879

いまP板で試してみたけどそんな値段じゃできないって。
780BGAを2層基板で実装しようとしてるでしょ?
おまけに製造と実装だけで設計代が入ってないよ。

BGAの全ピンをただ引き出す様な基板を1枚作るとして、
50mm角、最低6層、ピン数1560本で見積もるとだね・・・
設計代:233940円
製造代:86782円
実装代:45990円
合計で366712円+デバイス代の5万円

電源やコンフィグ回路も自作しなといけない事を考えると、
20万なら買った方が絶対に安いって。
20万出せないならSIM上で動かして満足しなってことだね。
908848:2008/03/05(水) 01:55:09 ID:bmm+w5/+
>>907
全ピン引き出すつもりはないんだ。
>848で書いてる通り「電源とJTAGの端子と数本のI/Oだけ」なので。
設計は自分でするつもりだった。

が、出来あいのものを買ったほうがずいぶん安いというのはよくわかった。

Stratix IIIの評価基板
http://www.altera.com/products/devkits/altera/kit-siii-host.html

が$2,495とアナウンスされているので20万出すなら、↑を買ってみようかと
考えている。
909774ワット発電中さん:2008/03/05(水) 06:09:11 ID:esrets1C
>>903
今も同じ
やっぱり端の製品は出来が悪いし

こんな事も知らないと本気で言っている >>902
はやばい
910774ワット発電中さん:2008/03/05(水) 08:59:38 ID:FLa9Nq+J
やばいのは>>901
911774ワット発電中さん:2008/03/05(水) 09:17:53 ID:QCSo50Eq
ビンボー人の味方、OLIMEXとフリーウェアで頑張るんだ!
912774ワット発電中さん:2008/03/05(水) 09:42:23 ID:esrets1C
>>910
ありがとう
俺も訂正
>>902 さん悪かった
>>901です
913774ワット発電中さん:2008/03/05(水) 14:10:07 ID:RnKHWz0l
ビンボー人がOLIMAX使って
カード番号スキムされて、
保険会社に損害扱いしてもらえず、
すっからかんって奴だろ
914774ワット発電中さん:2008/03/05(水) 14:22:44 ID:NUxA6CGs
FAXの場合ってどこらへんでスキムされるの?日本って事はないだろうし国境も考えにくい
あとはOLIMEXの会社付近で全部抜いてる可能性だが
とりあえず最安値の会社って事はある程度いろんな国から注文来てるだろう
って事は抜かれた事が事件になったとしてカード会社が対応できないって事がまず考えられないんだが

まあOLIMAX社なんてパチモンに注文したなら仕方がないか
915774ワット発電中さん:2008/03/05(水) 14:57:46 ID:tT7tCfdD
OLIMAXワラタ
http://www.olimax.com/
916774ワット発電中さん:2008/03/05(水) 16:49:50 ID:RnKHWz0l
>>914
NTTの社員
電柱昇って"もしー"言ってる香具師
917774ワット発電中さん:2008/03/05(水) 23:41:39 ID:BgwXTi4F
>>914
JCB 使えるところが良心的ww
918774ワット発電中さん:2008/03/12(水) 00:10:53 ID:DdVeKFOz
質問
XilinxのFIFO Generator v4.2で生成される非同期FIFOを問題なく使えている方いますでしょうか?
当方、非同期FIFOのシミュレーション(Post-Route Simulation)を行い、ユーザガイド通りの動作を
確認しましたが、実動作にて問題があるようです
ただ、シミュレーションパターンがどこか抜けているかもしれませんが・・・
919774ワット発電中さん:2008/03/12(水) 00:40:33 ID:zo6dwSqA
> 実動作にて問題があるようです

kwsk
920774ワット発電中さん:2008/03/12(水) 07:51:57 ID:u7NF/SJe
>>918
普通に使えているよ
921774ワット発電中さん:2008/03/12(水) 10:47:01 ID:HFnusRHu
>>918
俺も、問題あることを確認した
922774ワット発電中さん:2008/03/12(水) 10:48:28 ID:04h6hhUI

>>921
おまいのでいいから実動作にて問題についてkwsk
923774ワット発電中さん:2008/03/12(水) 10:50:12 ID:HFnusRHu
日本語でOK?
924774ワット発電中さん:2008/03/12(水) 19:02:43 ID:4QvtpovC
>>918
こんな見落としは無いとは思うけど、実機だと非同期リセットのアサート条件を満たせてないとかは?

FIFO Generator で作ったFIFOは、内部ステートの初期化のために非同期リセット(RST)を
RCLK と WCLK 換算で少なくとも 3 cycle 分 High にしておく必要があります。
925774ワット発電中さん:2008/03/13(木) 20:41:20 ID:3l6x4dgg
いままでDesignWaveの付録のヤツ使って遊んでたけど、
FPGAって単体でチップだけ売ってたりしないのでしょうか?
先日秋葉原のICショップにFPGAありますかって聞いたら、なにそれって言われた。
926774ワット発電中さん:2008/03/13(木) 20:52:39 ID:HGsHBVpg
927774ワット発電中さん:2008/03/13(木) 22:35:58 ID:3l6x4dgg
千石で手に入るのですね;^^)
でもXC2S200で5754円となると、DesignWaveのバックナンバー2500円で
XC3S250が付くっていうのは超破格なんだな・・・
書泉でまだバックナンバー売ってるし買いだめしておくかな。
928774ワット発電中さん:2008/03/13(木) 22:37:25 ID:ulKUVkwX
>>927
digikey
929918:2008/03/13(木) 23:17:15 ID:yfCqU+OV
>>919
FIFOへの書き込みタイミングはランダムです
FIFOが満杯にならない条件でテストを行っているので、FIFOが満杯であるかのチェックは行わずに
FIFOへの書き込みを行っています

FIFOからの読み出しは、FIFOが空でないときのみに限り、1ワードだけ読み出します

ライト側のクロックとリード側のクロックは同じものを使いました

デバイスはVirtex2を使いました

>>920
僕の使い方が間違っているんですかね・・・
問題なく動作しているとHPに書いている人もいますし・・・

>>921
まじすか・・・

>>924
ありがとうございます
が、非同期リセット信号はオプション信号だったので、現在使っていません・・・
930774ワット発電中さん:2008/03/14(金) 08:46:39 ID:NbBFcYzI
>>929
検証条件はわかった
んで、結果はどうダメだったの?
動かない 動かない だけじゃ何もわからんよ

たとえば
・違うデータが出てきた
・最後の1ワードが出てこない
こんな感じで具体的に何が期待値と違ったか説明してくれ
931774ワット発電中さん:2008/03/14(金) 10:32:00 ID:dGzb3drZ
932774ワット発電中さん:2008/03/14(金) 21:32:24 ID:AV7cpLHm
チップ単体でって言ってるのに馬鹿高い戦国とか基板だけの苺はないだろうよ
関係者か?
933774ワット発電中さん:2008/03/14(金) 22:10:59 ID:yj4QF7Yv
オプティマイズも忘れないでね。
秋葉でも買えるお。
934774ワット発電中さん:2008/03/14(金) 22:20:58 ID:dGzb3drZ
苺はチップ単体あるよ
935774ワット発電中さん:2008/03/15(土) 01:11:10 ID:woVK4RYn
トンカツマネージャー氏ね!
936774ワット発電中さん:2008/03/15(土) 22:06:53 ID:nFhdSl75
XILINXのロケットオッパイの使い方がよく分かりません。
わかりやすい使い方がのっているサイトとかありますか?
937774ワット発電中さん:2008/03/15(土) 23:30:07 ID:i65g9INL
xilinx.comのアプリケーションノートに詳しく出てる
英語だけどな
938774ワット発電中さん:2008/03/16(日) 14:55:57 ID:WtSjQCJw
千石で売ってるCoolRunner II(XC2C256−7TQ144C)が適当そうだと思って
目をつけてたんだけど、これって電源電圧1.8Vじゃないの?IO用の電源には3.3Vとか
突っ込めるような変な石みたいだけど。困るなー適当なこと書いてもらっちゃ。

ちぇ、やっぱXC9500XLあたりかなー。
939774ワット発電中さん:2008/03/16(日) 15:29:00 ID:n2Lb1OXa
> これって電源電圧1.8Vじゃないの?
> IO用の電源には3.3Vとか突っ込めるような変な石みたいだけど。

FPGAならいまどき普通だよ。1.2Vとかじゃないだけマシす。
CPUと同じような微細プロセスで作るんで。

> やっぱXC9500XLあたりかなー。

で済むならそれがよし。

940774ワット発電中さん:2008/03/16(日) 16:23:48 ID:wGv62Sc6
トンカツジャーマネ氏ね!
941774ワット発電中さん:2008/03/16(日) 22:41:21 ID:wGv62Sc6
トンカツ市ね!死ね!師ね!
942774ワット発電中さん:2008/03/16(日) 22:53:34 ID:WtSjQCJw
>>939
いやー、千石の「コア電圧3.3V」おー、これいいじゃんと思ってたんでがっくりきた。
この表記にだまされて面食らった人いるんじゃないかなー。
943774ワット発電中さん:2008/03/17(月) 21:36:50 ID:jqyoD9RL
>>942
CPLDやFPGAは書き込み環境やプログラミング用のROMなんかも必要なんだから
最低限の下調べもせずに買う奴は少ないだろ。
944774ワット発電中さん:2008/03/18(火) 05:15:31 ID:46AUirLD
945774ワット発電中さん:2008/03/18(火) 07:45:32 ID:upa73BqJ
>>943
とりあえずcpldはROMも要らないらしいと言う事で
買ってから詰んだ俺は少数派ですか、そうですか
946774ワット発電中さん:2008/03/18(火) 12:46:30 ID:oXjBgUNs
>>943は正論だけど、特にCPLDでは>>945みたいな人いるだろな。
947774ワット発電中さん:2008/03/18(火) 12:57:00 ID:8+MbUrUi
>>943
買ったとは書いてないだろ、
自分も最近は入手性->価格->スペックの順で調べることが多いから
喜んでデータシートを調べてみてこのやろと思う可能性はあるな
948774ワット発電中さん:2008/03/18(火) 13:52:25 ID:hGk98gSE
FPGAで時間測定器を作る方法を教えてくれ!たのむ!お願いだ!
949774ワット発電中さん:2008/03/18(火) 14:30:04 ID:9qgKNm/m
百均でストップウォッチを買う
950774ワット発電中さん:2008/03/18(火) 15:00:46 ID:hGk98gSE
FPGAじゃないからダーメ
951774ワット発電中さん:2008/03/18(火) 17:02:11 ID:8K2XDW6P
どのくらいの時間幅を測りたいのかわからないとマジレスできないよ。
952774ワット発電中さん:2008/03/18(火) 17:26:31 ID:oXjBgUNs
・動作周波数
・測定精度
・UI

マジレスするにはまだいろいろ必要。
953774ワット発電中さん:2008/03/18(火) 17:28:38 ID:00sMZzcw
>>948
インタフェースはこんなもんだろう。
スタートスイッチ、ストップスイッチ、正確なクロック、表示する7セグLEDが数個。
内部はスタートスイッチで回るカウンターを作って、ストップキーで停止。
カウンターの値を7セグ用に変換して出力する。
954774ワット発電中さん:2008/03/18(火) 19:01:28 ID:XBmujai0
>>951-953
とりあえず、クロック周期以下の精度で2つのパルスエッジ間の時間間隔を測ることきぼんぬ
精度は、例えばクロック周期の1/10とか

クロックをカウントするだけなら簡単なので、それ以上のことをしたいんだけど

さらに希望を言えば、できるだけデッドタイム無く、連続測定可能なものが欲しい
955774ワット発電中さん:2008/03/18(火) 19:40:28 ID:R4PGnTsg
>精度は、例えばクロック周期の1/10とか
なんかおかしいぞ。
具体的な数字を出してみろ。架空の例でいいから。
956774ワット発電中さん:2008/03/18(火) 19:49:12 ID:XBmujai0
>>955
例えば、40MHzクロックなら逆数の25nsの1/10の2.5ns精度
     400MHzクロックなら逆数の2.5nsの1/10の250ps精度
を希望します。

だからカウンタでクロックを数えられる限界を超えています。
それはできるんですが、誰も知らないですよね・・・・・

NIのFPGAプラットフォームで自作して原理検証できないかなぁ・・・・・
957774ワット発電中さん:2008/03/18(火) 19:58:36 ID:qT8xgYh6
>>956
質問じゃなくてクイズなの?
958774ワット発電中さん:2008/03/18(火) 20:04:07 ID:dn9wVF1s
ロジックで組むのは無理じゃないか?>1周期以下を測定
959774ワット発電中さん:2008/03/18(火) 20:04:14 ID:l/TFZSaM
数ns のパルスを連続計測しても表示とかできないよな。
960774ワット発電中さん:2008/03/18(火) 20:09:13 ID:XBmujai0
クイズを出しているわけではありません。
そんなテクを知ってる人がいないかな?って思って。

ttp://www.ee.technion.ac.il/courses/048878/048879-206/Sergey%20Rudko%20TDC%2028%20May%202007.ppt

にあるようなことをしたいんですよね
961774ワット発電中さん:2008/03/18(火) 20:19:54 ID:qT8xgYh6
>>960
TDCのことか。FPGAでの事例をどこかでみたことあるな。
けど同期回路じゃなくて非同期な回路だった印象が残っているけど
測定の精度とかはよくわからない。
962774ワット発電中さん:2008/03/18(火) 20:20:23 ID:00sMZzcw
>>956 (XBmujai0)
基地外と判定。

10本の指では100までの任意の値を正確に表現できない。

>だからカウンタでクロックを数えられる限界を超えています。
>それはできるんですが、誰も知らないですよね・・・・・

できる理由を詳しく説明しほしい所ですね。
963774ワット発電中さん:2008/03/18(火) 20:23:43 ID:hGk98gSE
>>962
まぁ、>>960を見てくださいよ
なるほどと思えるところがあると思いますよん

>>961
非同期を同期回路であるFPGAで疑似実現すると言うところがたいしたもんだと思ったんですよね
私も、最近までFPGAロジックで非同期計測回路を実現するのはできないと思いこんでましたもん
964774ワット発電中さん:2008/03/18(火) 20:25:40 ID:qT8xgYh6
>>963
べつにFPGAだろうがCPLDだろうが非同期回路は組めるでしょ。
けどTDCの場合は遅延時間の精度がそのまま測定の精度に影響するよね。
どこまでの精度がだせるものなのかは正直疑問が残る。
965774ワット発電中さん:2008/03/18(火) 20:29:11 ID:hGk98gSE
>>964
うちの上司も同じことといってましたが、キャパシタへのチャージとADCを利用した(いわゆるTAC)でもそれなりの
精度のモノは作られているので、そこそこいけるってことなんでしょうね

FPGAロジックでどこまでできるものか、知りたーい
966774ワット発電中さん:2008/03/18(火) 20:30:44 ID:hGk98gSE
IDがころころ変わってますが、XBmujai0=hGk98gSEっす。(m´・ω・`)m ゴメン…
967774ワット発電中さん:2008/03/18(火) 20:54:32 ID:00sMZzcw
可能性は考えていたけど、真剣に考えていたとは思わなかった。
基地外は取り下げる。ドンキホーテに置き換えてくれ。

内蔵のPLLを使えばたぶん似たような事を出来るけどクロックの位相をずらせる数の制限があるはずだからその当たりはデバイス次第。

精度についてはチップの性能とコンパイルの結果次第と思われる。
400MHzクロックで40GHzの精度は無理。

10MHzクロックで100MHzだったらコンパイラーががんばってくれれば出来るかも知れないけど、やる意味わからん。
だって、100MHzのクロックを入れた方が確実に出来る。

FPGAは非同期回路で非同期クロックのディレイ調整とかは難しいと思うぞ。
ソースにもあるけどこれはASICだから実現できている。

遅いクロックで論理の検証程度であれば設計は可能かも知れないけど、同期回路でない回路のデバッグは出来ないからイバラの道である事は間違いない。

おれだったらCPLDを複数個使って実現する方法を選択する。回路規模も大きくないからちょうど良いと思う。
968774ワット発電中さん:2008/03/18(火) 20:55:38 ID:qT8xgYh6
>>965
セミカスタムかフルカスタムあたりなら遅延管理もできそうだけど
FPGAでどこまでできるのかな。まあそういうところが技術的に
面白いっちゃ面白いんだろうけどね。
969774ワット発電中さん:2008/03/18(火) 21:01:14 ID:hGk98gSE
>>967
ご意見承りました

そういったところを注意して、調べてみます

>>968
どこまでできるんでしょうね?

私の興味は、あくまで実用的な条件の動作であって、限界は求めてはいません
たぶん、精度を上げるとデッドタイムが増えるとか、連続動作に制約が出るとかありそうなので
400 MHzクロックで100 ps精度とかいけるんだったら使いたい技術だなぁと
970774ワット発電中さん:2008/03/18(火) 21:01:38 ID:00sMZzcw
>400MHzクロックで40GHzの精度は無理。
4GHzの間違い。orz

最近のFPGAのPLLは機能が上がっているので。1/10は無理でも/8程度は何とかなるかもしれない。
971774ワット発電中さん:2008/03/18(火) 21:06:39 ID:hGk98gSE
>>970
1/8ぐらいのモノですかφ(`д´)メモメモ...
972774ワット発電中さん:2008/03/18(火) 21:07:30 ID:qT8xgYh6
>>969
元クロックの周波数は忘れたけど50psだか100psだかの精度がだせたとかどうとかって話は聞いたことある。
たしかXilinxのFPGA使ってたかな。実用レベルなのかは不明だけどねw
973774ワット発電中さん:2008/03/18(火) 21:10:46 ID:hGk98gSE
>>972
情報dクスです(_o_)
974774ワット発電中さん:2008/03/18(火) 21:17:44 ID:hGk98gSE
>>967
参考までに、

「CPLDを複数個使って実現する方法」

っていうのは、クロックカウンティングする時間計測回路を複数個位相をずらしながら動作させるイメージでしょうか?
975技術奴隷:2008/03/18(火) 21:37:25 ID:icwCLNrF
>>962
>10本の指では100までの任意の値を正確に表現できない。

俺の指は10本で0〜1023迄正確に表現出来る。
976技術奴隷:2008/03/18(火) 21:50:09 ID:icwCLNrF
>>969
昔、XC2064やXC2018の時はXACTで手配線してたからタイミングは自由だったけど
HDLとかじゃ無理だろね。
ちなみにXC2018の時内部遅延を計った事があったけど、隣あう配線の信号で遅延が変わってたし
当然温特や電源電圧、プロセスのバラつ等が大きく影響するから相殺、校正しながらでないと精度が出ないかもね。
977774ワット発電中さん:2008/03/18(火) 21:57:53 ID:hGk98gSE
>>976
道理で

autocalibrationをテーマにした研究が見られる理由ですね
978774ワット発電中さん:2008/03/18(火) 22:20:18 ID:wvZqA5+i
千手観音!神光臨!
979774ワット発電中さん:2008/03/18(火) 22:31:32 ID:0DxtGJQS
>972
100psの粒度は作れても精度は出ないと思う。
PLLのジッタ、最悪値で300psとかだし。
Vertexの上のほうのクラスは良いの積んでるのかな。


980774ワット発電中さん:2008/03/18(火) 22:37:58 ID:qT8xgYh6
>>979
たしかPLLとかは使わないですよ。
入力信号を遅延回路をつなげたものに通して、それぞれを同一の元クロックで叩くイメージだった。
その遅延回路の遅延ばらつきが精度になるらしいけど実際どのくらいの精度がでるかはナゾ
981774ワット発電中さん:2008/03/18(火) 23:35:09 ID:fzxA0Zh7
>>975
座布団2枚!

>>962
座布団全部持って池!
982774ワット発電中さん:2008/03/18(火) 23:43:17 ID:R4PGnTsg
結局、>>948の回答としては
・非同期回路を手記述せよ
・フロアプランも手作業で行え
ってことかな。
できることは明らかだけど、実際にやった人はあまりいないだろうから、
それ以上の回答は無理でしょ。
遊びでいいから>>948がやってここに発表してくれれば、一躍ヒーローじゃない?
「www」の渦だろうけど。
983774ワット発電中さん:2008/03/19(水) 15:54:29 ID:eD9XG4HI
>>980
すいません。

ttp://research.kek.jp/people/ikeda/KEK_REPORT2002-8/Electronics/day_10.pdf

これ↑を見て、どんな感じかわかりますか?
984774ワット発電中さん:2008/03/20(木) 00:06:51 ID:ej3XKeVi
KEK だ!ナツカシス
985774ワット発電中さん:2008/03/20(木) 17:33:57 ID:NpcigVME
>>975
がんばって11本目のアレを制御して2047に挑戦してくれ。

# 足の指はムリでも脚だったらどうにか使えるな…
986774ワット発電中さん:2008/03/20(木) 17:58:47 ID:5swgZwpu
>>983
素直にCAMAC計測モジュール使え
987774ワット発電中さん
>>986
カスタム化したい事情があるんです

でも、自己調整回路まで実装されたCMOSチップがあるようなので、それを使うのが現実的みたいです