SystemC、SpecCについてのスレ 2

このエントリーをはてなブックマークに追加
54774ワット発電中さん:05/01/17 21:09:34 ID:pdg84cG1
復活まだ?
55774ワット発電中さん:05/01/17 22:28:28 ID:BUX9zbhe
シミュ板でいいんでない?
56774ワット発電中さん:05/02/05 11:33:34 ID:0VZC/+3k
保守
57774ワット発電中さん:05/02/05 12:51:40 ID:TpnQpsAd
-------------------------終了-----------------------------
58保守:05/02/11 14:02:38 ID:OgYM3GDI
59774ワット発電中さん:05/02/11 19:27:03 ID:HP7UV3CJ
なるほど
60774ワット発電中さん:05/02/11 19:28:32 ID:HP7UV3CJ
来週はSystemC関連株が急騰 間違いない
ディープチップドットコムのレポートは面白杉
61774ワット発電中さん:05/02/11 23:06:40 ID:nvcPTGsH
ここで話そうね
62774ワット発電中さん:05/02/12 00:06:39 ID:LI1JaXD0
ここでやれ!
http://science3.2ch.net/test/read.cgi/sim/1104385750/


-------------------------終了-----------------------------
63774ワット発電中さん:05/03/08 23:00:43 ID:1D+Rioc0
保守
64774ワット発電中さん:2005/06/08(水) 22:34:46 ID:MnBRT7dx
ここ人気ねー
DAC記念柿弧
65774ワット発電中さん:2005/08/10(水) 22:45:37 ID:gaLmcsGD
RTLをSystemCにする方法知ってたら教えてくれ!
RTCというのがあるらしいが、それは意味ある?
66774ワット発電中さん:2005/08/14(日) 07:51:43 ID:mDWRbAs8
>>65
http://www.cqpub.co.jp/dwm/design_resource/EV573.HTM
によればVerilog2SystemCというのが存在してるらしい
でも開発元のサイトの製品一覧には載ってない
開発中止になったのかもしれないけど、問い合わせてみたら?
6767:2005/08/19(金) 23:14:38 ID:uDgkT8x1
VTOCってのもあった
http://www.tenison.com/

日本の代理店はCoWareになったみたいなので、問い合わせしる!
68 :2006/03/11(土) 20:48:51 ID:36xK3p/D
hoshu
69774ワット発電中さん:2006/03/20(月) 04:43:20 ID:0rlJ78na
やはりSystemCも一時のブームで終わりか
SystemVerilogの方に移っていくんだな

と言うわけで 終了
70hoshu:2006/03/29(水) 22:23:52 ID:D8kGLZB9
71 :2006/03/32(土) 01:18:34 ID:cKISKT4B
72sage:2006/04/25(火) 10:37:32 ID:fPyq0Vs2
73774ワット発電中さん:2006/04/26(水) 02:26:30 ID:s1F/uCK5
藻前らPSLとかSVA(SystemVerilog)とかOVLとか
使ってる?
74shoshu:2006/06/28(水) 02:20:03 ID:8zpFu5qR
75774ワット発電中さん:2006/07/19(水) 21:20:39 ID:uZi7dEHo
SystemCは不人気か・・。でも、遠い将来、ハードウェア設計と
ソフトウェア設計が融合したときにはVerilogベースじゃなくてC言語
ベースのほうがありがたい。

でも、ハードウェア設計とソフトウェア設計の融合は10年以上先だろう
から、そのときの人気のソフトウェア言語をベースにすればよくて、
今の人気言語であるC/C++/Javaを使う必要はないな。
76774ワット発電中さん:2006/07/19(水) 23:30:19 ID:9+pmH7KZ
Cがいまだに不滅なように、十年後Java/C#とかでやってそう…

D位が組み込みまで降りてきてるかな?
77 :2006/07/20(木) 03:24:29 ID:NTxPKU7A
78774ワット発電中さん:2006/09/21(木) 04:02:33 ID:+0s9rHO5
age
79名無しさん@5周年:2006/11/14(火) 11:12:27 ID:wUgTpJCB
hoshu
80774ワット発電中さん:2007/03/06(火) 17:35:00 ID:YHhAZzc8
SystemCがとうとう、ここまで来た
http://www.atmarkit.co.jp/fembedded/special/systemc/systemc01.html
81774ワット発電中さん:2007/03/07(水) 13:29:50 ID:Q5yRbLcu
どっちかというと
こっちの記事に興味が湧いた
http://www.atmarkit.co.jp/fembedded/special/fpga/fpga01.html
82774ワット発電中さん:2007/03/11(日) 21:19:18 ID:xup+62H2
もっとも、初期の動作合成ツールは必ずしも評判が良かったわけではありません。
というのも、動作合成ツールの登場当時は「SystemCのコードから、
人間がコーディングしたRTL回路よりもスピード、面積などに優れたコードが生成される」
「SystemCで開発を行えば、もうRTLの設計は必要ない」など、非常に期待されていたのです。
こうした期待と現実とのギャップの大きさが、実際よりも印象を悪くしたといえるでしょう。
83774ワット発電中さん:2007/03/11(日) 21:25:41 ID:uOg/t/2K
>遠い将来、ハードウェア設計とソフトウェア設計が融合したときには
>VerilogベースじゃなくてC言語ベースのほうがありがたい

禿しく同意。(遠い話だけどね)
84774ワット発電中さん:2007/03/12(月) 00:00:02 ID:ZwiAdeum
Handel−C
極めてC言語だった
85774ワット発電中さん:2007/03/12(月) 00:29:17 ID:Awfu1jal
CでLSIの動作を書くのがどだい無理ね
86774ワット発電中さん:2007/03/12(月) 00:45:22 ID:GfaTzqtJ
LSI-C
87774ワット発電中さん:2007/03/12(月) 00:45:35 ID:ZwiAdeum
CRTCとか、シリアルポートとか・・・ブロック崩しも作ってみせてたでしょ?DW誌で
数日で全部できちゃったとか
88774ワット発電中さん:2007/03/12(月) 01:49:35 ID:Awfu1jal
その程度の簡単なもんならできる罠
89774ワット発電中さん:2007/03/12(月) 02:32:58 ID:s5WxRt2O
C++ベースなんて余計冗長だろ
C99ベースでちょうどいい
90774ワット発電中さん:2007/03/12(月) 05:18:48 ID:0GquC0If
ポリモルフィズム無しでいいから継承は使いたいな。
91774ワット発電中さん:2007/03/13(火) 09:44:31 ID:QNl7Ht1K
EDAはハッタリだらけだから信用できんのが困り者
92774ワット発電中さん:2007/03/13(火) 09:56:50 ID:U+FPMGNO
>>88
でも、ブロック崩しをCPUコア使わず全部VerilogなりVHDLで書けと言われたら
漏れには2日程度じゃきつい
93774ワット発電中さん:2007/03/13(火) 22:21:03 ID:gjKD6WCd
それインターフェースの記事じゃなかったか
94774ワット発電中さん:2007/03/14(水) 09:45:29 ID:WnowQgn6
テニスゲームをverilogで2日か3日で作ったというのは見たことがある
95774ワット発電中さん:2007/03/14(水) 12:32:26 ID:kyLOVAmi
別な言語で書いたことがあるかがキモだと思う
コーディングは半日もあればいけど、設計は2日じゃ終わんないな
96774ワット発電中さん:2007/04/12(木) 13:17:30 ID:aju/xod4
SystemC使えるエンジニアで転職希望者いませんか〜?
97774ワット発電中さん:2007/04/15(日) 04:52:39 ID:IC2o2Jhj
SystemCで、CPUというモジュールを複数インスタンス作成してかつ、そのインスタンス内で
が自分がいまどのCPUかを識別したいとき、どのようにモジュール化&インスタンス化すれば
よいのでしょうか?
自分が以下のようなコードで試すと型が違うといわれコンパイルできません。
SystemCというよりC++の質問かもしれませんが...
コンパイラはVC++6.0です

SC_MODULE(CPU) {
sc_in<int> id;
...
};
sc_main() {
CPU* cpu0 = new CPU("cpu0");
cpu0->id(0);
CPU* cpu1 = new CPU("cpu1");
cpu1->id(1);
...
}
→main.cpp : error C2664: '()' : 1 番目の引数を 'const int' から 'const struct sc_bind_proxy &' に変換できません。
98774ワット発電中さん:2007/04/18(水) 13:20:05 ID:fUvCreMA
>96
いたら良いことはありますか?
99774ワット発電中さん:2007/04/21(土) 18:53:59 ID:VPxlA42S
SystemCとSpecCは別物だよなあ
どちらにしろ使いこなせなかったけど
100774ワット発電中さん:2007/06/16(土) 22:56:30 ID:YE7ipy3v
100アヒョ
101774ワット発電中さん:2008/03/15(土) 20:29:31 ID:+4aiBjJ9
http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline

「ソフトの皆様,お待たせしました」,NECシステクがC言語入力のLSI設計環境の最新版

NECシステムテクノロジー(NEC ST)は,ドイツのミュンヘンで開催中のDATE’08で,
C言語を使ったLSI設計環境「CyberWorkBench(CWB)」の最新版(version5.0)を発表した。
CWBの開発者である同社の若林一敏氏に,新機能について聞いた。
同氏によれば,今回のバージョン・アップによって,当初から目標としてきた「C言語を
使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現したという。

この記事へのコメント
管理職の暴走だね
久しぶりに笑ってしまったな。うちも、自分では何もしなくなって久しい管理職が、外で血圧上がってトチ狂ったことを言うから、どこも同じだなあと。うちの幹部連中、...(2008/03/15 17:32:47)

ピントがずれすぎ
| 「C言語を使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現 |「処理の詳細な調整を可能にしてきたが,ソフトウェア設計者にはそれが...(2008/03/14 22:13:50)

オオカミ少年
いつも大風呂敷を広げれば皆寄ってくると信じているのがCAD屋さん。ソフトウェア設計者がLSIを設計って、HDLのときにも聞いたな。(2008/03/14 13:18:16)

停滞気味のEDAの法螺吹きでしょ?
ここに限ったことじゃないですがまるで実体が伴わないいつもの話題づくりとしか。。。値段が10万円、よいハードが普通のC++を変えずにワンタッチで出る、が完全...(2008/03/14 09:37:51)
102774ワット発電中さん:2008/03/15(土) 20:37:16 ID:+4aiBjJ9

http://techon.nikkeibp.co.jp/members/01db/200301/1010509/

http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline


CAD屋は脊髄反射で嘘言ってすぐ忘れる。5年経つのに進歩なし。(爆笑



103774ワット発電中さん
結局RTLレベルまで落とすにゃHDLで設計するのが
一番手っ取り早いってことか・・・
ちょっと期待してたのに・・