シミュレーション言語SystemCを語る

このエントリーをはてなブックマークに追加
680名無しさん@5周年:2006/03/10(金) 03:39:37
>>679
Domino Logicっすか? そりゃあ、大変ですね。
681名無しさん@5周年:2006/03/19(日) 15:15:49
大規模化著しいFPGA,米XilinxがESLツールの利用促進の活動を開始
http://techon.nikkeibp.co.jp/article/NEWS/20060316/115019/?ST=edaonline

↑ メインは動作合成らしいんだが、BluespecにHandel-C、ImpulseC、
SystemC(但し、SystemCはほぼRTL記述しか無理)と「出来るなら何でも良い」
ってノリみたい。
682 :2006/03/29(水) 22:23:20
683名無しさん@5周年:2006/03/31(金) 20:30:16
STOCって未だ活動してるの? つうか、↓ これって??

 http://www.interdesigntech.co.jp/modules/news/article.php?storyid=50
684名無しさん@5周年:2006/04/05(水) 22:26:39
結局、SystemCの合成ってどうなったの? 使えるの? OSCI準拠じゃない
へんちくりんなライブラリ使わないといけないような感じだったけど、
デバッグとか大丈夫なんでそか?
685名無しさん@5周年:2006/04/06(木) 01:50:33
>683
洩れみたいな納期短縮民生品ばっかりやってると
こーいうのとても魅力的だな。
洩れのとこにもここの会社からメール入ってたけど、
逝ってきた神いる?
686 :2006/04/07(金) 09:36:53
 
687名無しさん@5周年:2006/04/07(金) 17:19:11
自分で書き起こす部分を全く無くして、
全てライブラリ使うと結局回路図入力するのと同じなんだよな
688名無しさん@5周年:2006/04/15(土) 00:27:44
>>685
ライブラリをSpecCで作らにゃならんし、全部プロセッサみたいなModule
しかないChip設計ってどう思うよ?
689名無しさん@5周年:2006/04/22(土) 02:38:51
そーいや、BachCとかHY-Cってどうなったん? 完全に死滅した? wwww
690名無しさん@5周年:2006/05/09(火) 23:58:04
盛り上がらんね。それだけ、SystemCが普及したって事?
691名無しさん@5周年:2006/05/17(水) 00:06:52
>>690
いや、SystemVerilogなんでないの? 実際に普及してるのは。
692名無しさん@5周年:2006/05/17(水) 01:45:47
>>24
抜けあったよ。つうか、このスレ長いが結構勉強になったよ。
↓ BluespecのLRM
http://www.bluespec.com/support/documents/bsc-3.8.61-reference-guide.pdf
693名無しさん@5周年:2006/05/29(月) 20:09:24
>>689
今年、YXIはDAC展示会場に居ないみたいだね。

http://www2.dac.com/data2/43rd/43exhibitorArea.nsf/%28exhibitshtml%29/$first?OpenDocument

死んだのかしら?
694名無しさん@5周年:2006/06/10(土) 04:44:53
最近ベンダから良く聞く言葉は、

  「SystemC使ってないから高速です!」

という力強い言葉なんだけど。おまいら、未だSystemCなんて、C++の
Dead Copy使ってるの? だとしたら、アホだねwwww
695名無しさん@5周年:2006/06/21(水) 17:22:46
>>693
新製品がでてますね
696名無しさん@5周年:2006/06/21(水) 18:20:29
割り込み出すハードとソフトを

a. 通常のスレッド
b. 割り込みスレッド
c. ハードのスレッド

というふうにモデル化したいんすけど、
a<bとスレッドに優先順位をつける&排他実行させるには
どうやればいいですか?
697名無しさん@5周年:2006/06/24(土) 20:38:04
>>693
生き残ってるみたい。Cooleyのサイト

 http://www.deepchip.com/items/else06-08.html

で、ユーザサイドからのコメントがあったみたい。

 ○ C2RTLはちゃんと機能してるけど、使うのチト難しい。サポート
  重要なんだけど、米国じゃ手薄。

 ○ タイミング情報を付加して記述可能なHyCも、もう使える。
698名無しさん@5周年:2006/07/06(木) 13:14:06
おまいら、Cyberが売りに出るみたいでつよ、と。

NECの動作合成,ようやく市場へ出る
http://techon.nikkeibp.co.jp/article/NEWS/20060628/118677/

同業他社へどうやって拡販するかは意味不明だけど、全部揃ってるのは
これだけだね。大手EDAベンダの動向が楽しみだね。
699名無しさん@5周年:2006/07/16(日) 12:10:41
SystemCの合成サブセットがOSCIから出たお。まぁ、納得はできるし妥当とは
思えるが、この程度の結果を出すのに時間かかり杉。既存技術で合成可能な
部分の寄せ集めであって、なんらブレークスルーを含むものじゃないので、
マジ時間かけ杉。

で、この言語サブセットでISSCCに投稿採択されるレベルの演算主体のDSP
アルゴリズムのアーキ構成を動作合成で効率良く実装しようとすると、RTLで
書く事になっちゃうので、やっぱプロには無用だね。アマチュアにはいいの
かも知れないけど。

「徐々に進歩していけば良いんだ!」と将来に先送りする事で擁護する白雉
が湧いて出てきそうだが、その進歩がないの事が大前提ってのがSystemC
だからね。この理由さえ、白雉には分からんのだろうけどね。

やっぱ、産官学関係なくEDAに集まってくる人間って、学術能力のレベルが
低いのかしら? そーとしか思えない。
700名無しさん@5周年:2006/07/19(水) 21:41:51
SystemCは、時期が早すぎたか・・・。

HDLとSystemCの差をアセンブラとコンパイラに例える人もいるけど、
アセンブラに相当するのはゲートレベル設計だろう。CとC++の差に例える
のが適切かな・・。

でも、ソフトウェア開発とハードウェア開発が完全に融合したときには、
ソフトウェア言語でシームレスにハードウェアを設計できるようになってほしい。

701名無しさん@5周年:2006/07/19(水) 21:45:29
>>699
今のところ、HDLのユーザーは職業設計者が多いだろ。

現在のソフトウェア言語のユーザーのようにアマチュアが
非常に多くなったらどうだろうか。遅くても効率が悪くても、
とりあえず簡単に作れるなら良い、と考えれば。
とはいえ、ソフトウェアより遅かったら意味無いけど。
702名無しさん@5周年:2006/07/20(木) 02:28:40
>>701
Pentiumの性能向上が凄すぎて、その頃にはPentiumに勝てる専用ハードを
作る事自体が難しくなっているかもね。

汎用ハードと専用ハードが交互にドミナントになるんだが、この先どうなる
んだろうね。ダイナミックリ・コンフィギャラブルなんてのもあるけど、
現状じゃ高性能汎用DSPに勝てなくて苦戦してるみたいだしね。

まぁ、ハード設計が商売である限りは、TAT重視の動作合成使いになるか、
チューニング命のRTL書きになるか、のどっちかなんだろうね。
703名無しさん@5周年:2006/07/20(木) 20:30:47
>>702
Pentiumなどのプロセッサは頭打ちになりそうな気がする。プロセス
の微細化は遅くなっているし、クロック周波数もあがりにくくなっている。

妄想だけど、プロセッサとダイナミックリ・リコンフィギャラブルが同一の
チップに乗っているシステムがあったとして(例えば、ダイナミック・リコ
ンフィギャラブルプロセッサ付きPentium)、C/C++のような普通のソフト
ウェア言語が簡易の回路設計言語になれば良いな、と思ったりする。

各C/C++関数に対して回路化オプションを指定すると、回路化の候補に
してくれる、とか。で、回路化に失敗すると警告が出力される。

704名無しさん@5周年:2006/07/21(金) 11:37:32
1ポートをHi/Loできる速度というかクロックは頭打ちでも、
プロセッサに求められる四則演算に関しては、しばらくは並列化で速くなるだろ。
除算もニュートン法使うわけだし。
でも、2倍/2年とかはもう無理だけどな。
並列化を進める毎に低クロック化して、どこでギブアップするかは確かに感心あるけど。
705名無しさん@5周年:2006/08/11(金) 12:25:25
SystemC用のISSはどこが用意しているのですか?
販売している会社とか探しても見つからないです。
NECのマイコンをSystemCに繋げたいのです。
それにソフトウェアを載せて協調検証みたいなことが出来たらと思います。
誰か教えて。。
NECのSyber買わないとだめなのかな?
706名無しさん@5周年:2006/09/03(日) 01:05:55
IEEE1666になってからというもの、SystemCの活動ってどうなんでそか?
GreenBUSとか出てきたけど、使えそうなんかいね。ライセンス形態とか
どうなんでそか?

結局、TLMとか騒いでたけど、アプリ開発には糞遅くて使い物にならんという
のが白日の下に晒しだされたので、Simulationでも使えないって事になって
しまったけどね。

>>705
大口ユーザだったら、ISSを提供してくれるんでないの?
707名無しさん@5周年:2006/09/07(木) 16:47:04
大阪狭山市在住・自称19歳のスロット板のコテハン
おっぱい☆ミシェル◆HmqLf3qSgkこと藤井隆史をよろしく頼むおノシ

 近畿大阪○行狭○支店 支○番号35*
 □座○号065*320 フジイ○カシ

( ^ω^)1000円以上で振込み頼むお

【機械割】乞食?詐欺師?藤井隆史【0%】
http://news18.2ch.net/test/read.cgi/slotk/1157603558/l50
708名無しさん@5周年:2006/09/18(月) 22:53:14
ここも閑散としてるな。ここで議論してた連中は、MIXIにでも移った
んだろな。まぁ、OSCI含め素人集団が何を頑張ろうが、ゴミの開発・
流布を更に進めるだけの可能性が高いから、もうどうにでも、って
感じではあるが。

頑張れば良いってもんでも、尊敬されるわけでもないからね。本気で
頑張ってるって主張したいなら、HDLと共存ではなくて、HDLの完全な
代替手段として普及させるという実績を作るこったね。

「SystemCとHDLは補完関係にある」とかいう敗北宣言にはマジ笑ったよ(嘲笑
709名無しさん@5周年:2006/10/19(木) 01:54:19
最新版のCygwinで、SystemCをInstallしようとしたんですが、上手く行かないです。
どうすればInstallできるのか、どなたか教えて頂けないでしょうか?
710名無しさん@5周年:2006/10/24(火) 21:47:05
>>709
どのへんで詰まっているの?
Cygwinは開発ツールも一緒にインストールしなきゃだめ
だけどそれはOK?
711名無しさん@5周年:2006/11/27(月) 04:45:11
wait使わずに時間管理で同期させる方法、どなたか知らないですか?
SystemCのスケジューラを殆ど動かさずに並列動作を実現する方法が
あれば嬉しいです。
712名無しさん@5周年:2006/12/27(水) 16:14:05
age
713名無しさん@5周年:2007/01/07(日) 02:16:18
誰だよ、SystemCなんてゴミ屑を流布させたの? 正直迷惑なんだけど。
714ho:2007/05/26(土) 20:53:17
shu
715名無しさん@5周年:2007/06/16(土) 21:14:39
保守age
716名無しさん@5周年:2007/07/07(土) 15:44:04
開発
717名無しさん@5周年:2007/09/10(月) 02:37:24
このスレとともに、SystemCもこの世から消えてはくれないものだろうか?
718名無しさん@5周年:2007/10/08(月) 01:02:14
OSCI様、SystemCシミュレータ販売開発会社様、SystemCモデル販売開発会社様へ

 長時間シミュレーションできないクラスライブラリやモデル、本当に有難うございます。
719たぬき:2007/11/21(水) 16:45:50
SystemCをダウンロードしたいのですが、手持ちの本と
ホームページの構成が変わっており、どこからアカウントを取り、
ダウンロードするのかわかりません。誰か教えてください。
720ho:2007/12/23(日) 02:43:44
shu
721shu:2008/02/10(日) 09:57:31
ho
722名無しさん@5周年:2008/03/15(土) 00:11:38
「ソフトの皆様,お待たせしました」

http://techon.nikkeibp.co.jp/article/NEWS/20080314/148965/?ST=edaonline

今回のバージョン・アップによって,当初から目標としてきた「C言語を使う
ソフトウェア設計者がLSIを開発できる環境を提供する」ことが実現したという。

ピントがずれすぎ
| 「C言語を使うソフトウェア設計者がLSIを開発できる環境を提供する」ことが
実現 |「処理の詳細な調整を可能にしてきたが,ソフトウェア設計者にはそれが...
(2008/03/14 22:13:50)

オオカミ少年
いつも大風呂敷を広げれば皆寄ってくると信じているのがCAD屋さん。ソフト
ウェア設計者がLSIを設計って、HDLのときにも聞いたな。
(2008/03/14 13:18:16)

停滞気味のEDAの法螺吹きでしょ?
ここに限ったことじゃないですがまるで実体が伴わないいつもの
話題づくりとしか。。。値段が10万円、よいハードが普通の
C++を変えずにワンタッチで出る、が完全...
(2008/03/14 09:37:51)
723名無しさん@5周年:2008/03/20(木) 09:00:55
>>722
ちょw おまwww

> 値段が10万円、よいハードが普通のC++を変えずにワンタッチで出る、が完全...

世界最先端の超並列コンピューティング環境向けコンパイラを、10万円で提供しろって
言ってるに等しいぞw

つか、そんなの出来たらハード屋即死だしwww
724名無しさん@5周年:2008/03/20(木) 14:45:17
EDAのニュースソースとして全く見てないから放置汁
725名無しさん@5周年:2008/03/29(土) 02:24:42
>>724
心配すんな、後数年すれば、ハァ!? ってツールが登場する悪寒がしてるから。
つか、RTL職人さんの大量失業時代が直ぐそこまで来てるって感じ☆
726名無しさん@5周年:2008/08/04(月) 03:07:51
SystemCなんて、所詮はシステムのモデリング言語。

主なターゲット・ユーザーはセットメーカのシステム・アーキテクトだろう。
すでに部品の切り分けが完了した下請けのLSI屋さんには余り重要でない。
また、システム・アーキテクトにしてもシコシコとシステム(セット)全体をモデリングする変態はいないと思う。
結局は、経験と知識と前例から殆ど適当(センスの問題もあるが)に機能の切り分けを行うんでしょ?
部分的なモデリングは、CでもMatlabでもはたまたエクセルでもできるしね。
さらに、SW屋さんのコミットメントも取ってないし、運用に少し無理がある。

ただ、LSI内部のSWとHWの協調検証にC/C++を使用するのは賛成。
この場合、シミュレータとデバッガが重要な位置をしめる。
HWをC/C++に変換するツール類もあるし後は、どうやって簡単に高速実行可能な環境を構築できるかだと思う。

ということで、SystemCは逝って良し
ただ、いつでも飛び乗れるように少しばかりは勉強はしとくがね。
727名無しさん@5周年:2008/08/04(月) 03:13:44
>>726

補足

オーバーヘッド(変な継承やオーバーロード)の少ない
純粋なC/C++等で設計および検証できるなら是非使いたい。
728名無しさん@5周年:2009/01/02(金) 13:37:03
謹賀新年! 記念パピコ!!

SystemC詐欺はいつまで続く事やらwww
729名無しさん@5周年
(・∀・) 今年初の書き込み (・∀・)