ALTERAとXILINX その2

このエントリーをはてなブックマークに追加
1名無しさん@3周年
XILINXとALTERAについて熱く語ってください。
前のスレがすでにDAT落ちしているので、テンプレはオリジナルです。
2名無しさん@3周年 :03/03/29 22:09 ID:0D2+Vm3r
3名無しさん@3周年:03/03/29 22:10 ID:0D2+Vm3r
XILINX、ALTERAにかかわらず、FPGA,CPLDに関することならなんでもおけ。
質問する前にメーカーホームページのアンサーサーチなどで
ソリューションが載ってないか調べておくこと。
4名無しさん@3周年:03/04/01 08:32 ID:mDA703Cv
なんか、面白いネタないの?
5名無しさん@3周年:03/04/01 10:42 ID:xqcrbpHV
>>4
また、アルテラのチップが付録だってさ。
http://science.2ch.net/test/read.cgi/kikai/1048693626/7
6名無しさん@3周年:03/04/01 12:39 ID:AA8veqV7
この春、機械科に入学した、大学生です。
機械科といっても、メカトロとかがあるので、この際、CPLDもやっておこうと
思うのですが、
とりあえず、安価な
http://www.hdl.co.jp/xsp007kit.html
を買って、LEDでも光らせてみようと思うのですが、
なんか「初歩の第一歩」的な学習書なり参考Webなぞないでしょうか?

ロジック回路は、TTLのNANDとか、JK-FFとかそんな石を買って
HLのロジック出力をテスターで見たことはある、という程度です。

7ONLY AHDL:03/04/01 12:46 ID:oDAKCh1R
>>5
ネタでしょ
8名無しさん@3周年:03/04/01 13:06 ID:ZcxLF2X/
正直、XILINX使いたいけど、modelsimが面倒くさすぎる。
9名無しさん@3周年:03/04/01 23:57 ID:GDnUMnhl
>>6
CQから販売されている
FPGA/CPLDスタートアップ本でも買っておけ
10名無しさん@3周年:03/04/02 09:09 ID:xcDSUI3m
>>9
アルテラのは
http://www.cqpub.co.jp/eda/CPLDSET/leonardo.htm
というわけで、なんかちょっと不安なわけです
11名無しさん@3周年:03/04/02 13:43 ID:161xqzm3
>>10
<<LeonardoSpectrum Altera OEM の代替製品>>
※LeonardoSpectrum Altera の代替といたしまして、下記のツールが
対応しております。
−QuartusII、QuartusII Web Edition の HDL 合成機能
−MAX+PlusII ユーザ向けの新しいスタンドアロンの HDL 合成ソフトウエア
モジュール(2003年4月、Web ダウンロードの開始予定)
−3rd パーティ HDL 合成ツール
(Mentor Graphics 社、Synopsys 社、Synplicity 社)

今月中に、なんとかなる!と思うのですが、どうでしょか?
12名無しさん@3周年:03/04/02 15:39 ID:Ow1OGo3j
>>6
つーか、メカトロだったらまずはマイコンから入るのがいいのでは?
136:03/04/02 17:38 ID:6zD3XJ7G
>>12
あ、PICマイコンは、単なるシーケンサーとして、ですが、
ちょっとやってみました。なんで、あとCPLDの知識もちょっと
入れておきたいと思いまして。
実際に使う時は、マイコンかPLDかDSPか、って事になるんでしょうが、
選択肢は広くしておきたいと。
149だが:03/04/02 19:27 ID:2j14+jUA
>>10
FPGA/PLDスタートアップ本はALTERA専用本じゃないよ
XILINXのWebPACKの解説もちゃんと載ってる
156:03/04/03 10:24 ID:GpASXT1E
>>14
あ、
http://www.cqpub.co.jp/eda/CPLDSET/default.htm
これの事かと思いました。
普通の書籍のほうですか。ちょっと探してみます。
16ONLY AHDL:03/04/08 09:23 ID:Kp+yQAbx
このスレなんとなく活気がないですね。景気のせいかなぁ?

17名無しさん@3周年:03/04/08 12:42 ID:LQHsss9d
>>16
全てはALTERAのOEM打ち切り騒動のせいです
18あぼーん:03/04/08 12:43 ID:a3b9C6gX
   ,.´ / Vヽヽ
    ! i iノノリ)) 〉
    i l l.´ヮ`ノリ <先生!こんなのがありました!
    l く/_只ヽ    
  | ̄ ̄ ̄ ̄ ̄|
http://saitama.gasuki.com/koufuku/
19名無しさん@3周年:03/04/08 15:13 ID:bHby6dJw
>>11
<代替製品及び今後のサポート体制について>
■アルテラ社より
・QuartusII、QuartusII Web Editionのシンセシス機能をご使用頂けます。
・MAX+PlusII、BASELINEユーザー向けの新しい無償シンセシス・ツール
をWEBサイトからダウンロードしてご使用頂けます。
 (2003年4月中旬以降アルテラ社ホームページ上で提供開始予定)

まだ〜?(AA略)
20ONLY AHDL:03/04/10 09:48 ID:UiB+LJlK
◇無償サポート・プログラム
2003年6月30日まで、メンターグラフィックス社の Webサイトから、既存ユー
ザー様のお申し込みに対して、LeonardoSpectrum-Altera OEM版の延長ライ
センスが発行されます。
http://www.mentorg.com/alterapromo/jp/
ライセンス期限は2003年10月15日となります。
それ以降は使用出来なくなります。

◇有償サポート・プログラム
・LeonardoSpectrum Level-1 Altera Edition を 2003年6月30日お申し込み
分まで
 定価\730,000 ⇒ \115,000(89% OFF) でご購入可能です。
  (LeonardoSpectrum-Altera、永久ライセンス、初年度保守込み)
本内容を含みます、キャンペーン情報は下記をご参照ください。
http://www.mentorg.co.jp/solution/hdl/campaign_2003/altera_oem.pdf

いままでタダだったものを89%オフと言われてもねぇ...
21名無しさん@3周年:03/04/10 12:35 ID:EDL/K7Ic
これを機会にXilinxのシェアが伸びるのであった。
22名無しさん@3周年:03/04/10 17:09 ID:ZJpobzLG
>>21
半分同意w
半分は魅力なFPGAがないのでアル寺でいいやって感じかな
23名無しさん@3周年:03/04/10 21:22 ID:6YdpxqNz
ってことは、次のOEM合成ツールが発表されるまで、無料の合成ツールは宙ぶらりんということか。。。
FPGA Express、Leonardo Spectrumと来て、次は何で来るんだろう?

ちなみに、QuartusやMaxPlusについてるHDL合成機能は、
「おまけみたいなもんです。あてにしないでください」と代理店の人から言われてるのに、
気休めにもならないこと、言わないでほしい。
24名無しさん@3周年:03/04/10 23:23 ID:n1tz6SeW
>>21
せっかく新入社員とか新入生とかが「そろそろCPLDでもやってみるか」と思ってみる4月なのに
ツールが宙ぶらりんではなぁ、Xilinxの方に食われちゃうよねぇ。

あとはVrilog-HDLにするかVHDLにするか、、だが、世の中の解説本はVHDLの方が多い?
2522:03/04/11 19:12 ID:cEej5nU1
>>23さん
いや,そのはなしはもうふるいよ.Quartus-2.2から
導入されている合成エンジンからの出力品質は相当なものよ。

XILINXのXSTだって,昔はカス扱いだったけれどいまはFEXPなんかと
比べモンにならないぐらいよくなっている。

LUT(ALT的にはLEか)を限界まで使い込む合成結果をALTもXILの内蔵
合成エンジンが出しているから,昔のDISTYの話はほおって置いても良いと思う。
(だって,Quartus-IIの合成エンジンって,アレじゃん・・・違いあるの?)
ということで,クオータスユーザは安泰だとおもう。

・・・・・問題はMAX+PLUS2ユーザだな.FLEX10KA,使わせろ>ALT
26名無しさん@3周年:03/04/12 13:10 ID:oPVlnr9+
結局VerilogがVHDLに勝ったということですね?
27名無しさん@3周年:03/04/13 01:42 ID:XSCMIE76
ネタがないということなので、こんなネタをば。
4月14日、Spartan-3のプレスリリースが発表される。
アーキテクチャーはVirtex-IIを踏襲し、エンベデド乗算器を持ちながら、
価格は同程度の集積度のSpartanIIEよりも安い。
90nmプロセスを使用し、3S5000(500万ゲート)までの集積度を誇る。
しかし、スピードグレードは-3のみ
28名無しさん@3周年:03/04/13 03:33 ID:KEygmFqd
>>27
Spartan3の I/Oが 3.3V torelantなのかどうか、それが一番気になるよ

ゲート数を表す数字は VirtexIIの数字じゃなくて、SpartanIIEの数字と
同じスケールなので、 3S2000が2V3000に相当するらしいです

しかしファインなプロセスなのにスピードグレードが3だけなのってマジかい?
VirtexIIは一番遅いやつが4じゃなかったっけ?
29名無しさん@3周年:03/04/13 12:51 ID:XSCMIE76
>>28
初期ロットはできませんが、最終的には3.3Vトレラントになります。
スピードグレードはギャグです。(゚ー゚;A
SpartanIIIでなく、Spartan-3にしたXilinxのネーミングセンスから。
3028:03/04/13 13:10 ID:KEygmFqd
不条理ギャグかよ! 機械・工学板 恐るべしだわ〜
ギャグが全然笑えん オマイラ芸人にならずにエンジニアになったの正解!
3122:03/04/13 16:24 ID:gXgFwHHt
>>28
ぶっちゃけ,3.3Vはもういらんだろう.
LVCMOS2.5があればカバーできるし・・・・・・・と思う
32名無しさん@3周年:03/04/13 17:51 ID:W8Zgjjjz
>>25
>(だって,Quartus-IIの合成エンジンって,アレじゃん・・・違いあるの?)
同じなんだけど2世代くらい古いらしいって話を聞いたよ
だからやっぱり外部ツールにした方がいいですと

Leonardoってインターフェースにかなり疑問があったし、バグらしき物も
多かったと思いません?
3322:03/04/14 01:12 ID:7lUmPlIf
>>32
たとえば日本語版WINで落ちたりとかね、、、、

本当にLeoには苦労させられましたよね>同士
3432:03/04/14 02:12 ID:f8ZWJc+G
自分が参ったのは、プロジェクトの構成ファイルを変更したり、追加したりすると
余計な気を効かせて、出力ファイル名を変更してくれること。_0,_1...を追加して。
どう考えても過去のバックアップ対象の方のファイル名を変更するのが普通だと
思うんだが(emacsとかもそうだし)なんで変更後の方を変える?
Quartusに食わせるときに気づいてもファイル名を変えるだけではだめで
(中のモジュール名まで変えやがって!)ぶちきれそうになりながら
合成やり直しってのが、、、、、一回や二回じゃないんだぞ!!!

3528:03/04/14 12:42 ID:eWKbpiUm
>>31
3.3Vを出力できる必要はないが、3.3Vトレラントは欲しいよ
双方向信号だと単純に抵抗で分圧って逃げもできないしね

Xilinxもわかってるから、無理してでも3.3V I/Oに対応しようとしてるんだろう
36名無しさん@3周年:03/04/14 22:51 ID:jmZlLmK1
Max+Plus2のVerilogエントリに失望して
Quartusのも使わなかったんだけど、ここの
スレ見て試してみたら思ったよりいいね。
Leonard Spectrumより合成結果もかなり
コンパクトになったし、クリチカルパスも
短くなっている。何でも試してみるもんだ。
37名無しさん@3周年:03/04/15 09:51 ID:McJJzUKp
>>36
そいえば、ALTERAってライセンス申請するとき、
VerilogかVHDLかどちらかしか選ぶんだっけ?
まぁ両方申し込めば両方もらえるのかもしれないけど(そう?)
38名無しさん@3周年:03/04/15 12:10 ID:4hWrQG3x
>>37
正規版の話をしているなら、どちらかだよ。
いったんVHDLで申請したラインセンスでは、Verilogのライセンスは
取得出来ない。

変更するには、代理店に連絡いれないとだめ。逆に言えば、代理店に
連絡すれば変えてくれる。
39名無しさん@3周年:03/04/15 17:29 ID:cTwYWDsY
>>38
いわゆる無償版の方は?
この制限のおかげで、いまだにVerilogにするかVHDLにするか悩んでいる苦学生。
40名無しさん@3周年:03/04/16 02:59 ID:bCszrR14
>>36
えっ?
LeoよりもQuartusの方が合成結果がいいの?
偏見持っていて、一度も浸かったことないです<Quartusの論理合成
いつ頃から変わったんだろ?
以前に浸かったときは、構文解析すらいい加減だった記憶があるんだけど。
41(σ・∀・)σ:03/04/16 04:04 ID:toI03YY1
42名無しさん@3周年:03/04/16 14:40 ID:IVLx0MFl
Xilinx CoolRunner-II
http://www.solitonwave.co.jp/product/hdl/index.html
●余裕の256マクロセル!
●超低消費電力のXilinx CoolRunner-IIデバイス
●詳しい操作マニュアル付属
●4桁7セグLED表示/RS232Cインターフェース
●簡単なA-D変換、D-A変換の実験可能
●テスト用ブザー、スイッチ付き
●ダウンロードケーブル付属のPC直接書き込み
●このクラスで群を抜く低価格!


発売記念特価
9,800円
(先着100名様)
--------------------------------------------
とりあえず買っておいたほうがいいすか?
43名無しさん@3周年:03/04/16 23:21 ID:SY21oCxq
ステート図を入力するのに適したツールはなんでしょうか?
Visioを使っているのですが、いまいちしっくりこないんですよね。
44名無しさん@3周年:03/04/17 00:54 ID:olcZCCQw
VerilogにするかVHDL

おれも悩んでる・・ どうしよ
45名無しさん@3周年:03/04/17 08:12 ID:PK6LyJIt
>>40
QuartusIIのエントリは自動的についてくるんだから、
両方試してみたら? 俺は低レベルなRTLでエントリしたから
ビヘビアで記述している奴の話は分からないよ。

>>44 VHDLにしなさい(笑)
前にどこかの会社のページに
「ライバルがVHDLを使ってくれることを期待する」
って書いてあった。自分達はVerilogでさくっと
論理を作る間に彼らが苦労してくれれば競争力になるってね。
46名無しさん@3周年:03/04/17 09:10 ID:pBDdKdcE
>>44
誰かが「Cでプログラムを作ったことあるなら、Verilogにしとけ」っていってたよね
47名無しさん@3周年:03/04/17 13:32 ID:pitWqcqa
>>40
Quartus2.1からは大幅にカシコク変わってる。

おれも偏見はあったけれど、いつまでもバグ付を出しているわけではないだろうし
コンペもバージョンアップしているから、がんばったのではないかと勝手に推測。

ところで今日気づいたけれど、サイクロンはByteBlasterMVには非対応の模様。
ByteBlaster2というのでしか、ダウンロードできんのだと。

某氏の日記でみたよ。
48名無しさん@3周年:03/04/17 15:55 ID:NklSeRSY
あげっと

>>47

サイクロンの情報は厳密にいうと不正確
CycloneデバイスをコンフィグするのはByteBlasterMVでもOK。
だけど、専用コンフィグROM EPCSをコンフィグするのは、
ByteBlasterMVは不可でByteBlaster2だけが対応というのが正確な情報。

ヒューマンとPSIでCycloneボードをもう発売してるよね。
PSIはByteBlaster2不要って書いてあるので、
エミュレーションか書込みツールが付いている模様。

http://www.hdl.co.jp/CSP-024/index.html
http://www.prime-sys.co.jp/products/cx-usb.htm
49名無しさん@3周年:03/04/18 08:53 ID:aRaaqO76
>>43
http://www.molips.com/jp/
ダイナミックドローは?
50山崎渉:03/04/20 03:59 ID:62iGJiyF
   ∧_∧
  (  ^^ )< ぬるぽ(^^)
51名無しさん@3周年:03/04/21 09:56 ID:GPfLHu0l
>>43
おれはこれ使ってる。
特別便利ではないけど、さくさく動く。
んで、ワードにはりつけ。

http://homepage3.nifty.com/indysoft/pf_frm.html
52名無しさん@3周年:03/04/21 10:00 ID:GPfLHu0l
FDAのC言語設計使っている人います?
http://www.future-da.com/

一度来て頂いた営業に価格知りたくなって電話したら
「退社しました」だって。

「代わりの営業の人お願いします」って言ったら「誰がいいですか?」と
ハァ?な事を聞かれたので、「じゃ、いいです」って切ってしまった。

この会社、大丈夫なのか?
53名無しさん@3周年:03/04/24 19:32 ID:Ab4l7nDC
Xilinx社のProject Navigatorを用いているのですが、
配置配線後のトランジスタ数を調べたいのです。
その際のMap Reportにある
Total equivalent gate count for design:
は、pMOS+nMOSなのでしょうか?

また面積評価も行いたいのですが、こちらの正確な値はReportから得られないのでしょうか?
単純な質問で申し訳ないのですが、何かご存知であればよろしくお願いします。
54名無しさん@3周年:03/04/24 22:37 ID:lqYrhxH8
ちょっと質問です。
ソフトウェア設計上がりで、RTL記述でのFPGAの回路設計を2年ほど経験しました。
FPGA専門の設計職の場合、この程度のスキルはどのように評価されるものなのでしょうか?

ちなみに、ASICともなるとテスト設計や、プリミティブの知識も要求されるので敷居が高そうに感じてます。
また、FPGAの外側やアナログ回路は苦手です。
でも、デジタル回路に興味あるんですよね。
SOCの時代というわけで、こういうアプローチをしているソフトウェア出身のエンジニアも増えているかなと思うんですが、
どうでしょうか?
55名無しさん@3周年:03/04/25 09:34 ID:ha6v0PAa
>>54
2年だと期間的にはそんなにアピール出来ないので、何の回路を
作ったか、がポイントだと思う。

ただ、組み込みプログラマ上がりなら、CPUとのI/F周りの仕様
検討や、ソフト、ハード合わせての仕様検討、デバッグの能力は
評価されると思われ。

んで、FPGAの開発環境が言語ベースになっているから、バージョン
管理ソフトとか、ちょっとしたスクリプトとか、品質を上げるための
ソフトウェア工学の知識、小技は重宝されるよ。

逆に、基本的な電気の知識があるプログラマなら、組み込みの
方でも評価されるよ。最近、知らない人多いから。
56名無しさん@3周年:03/04/25 17:49 ID:Dxumy6zw
>>42
●価格について
 発売記念特価9,800円は、先着100名様とさせていただいておりましたが、
発送の遅れのお詫びとしまして、しばらくの間、9,800円据え置きとさせていただきますので、
ご了承下さい。

5756:03/04/25 17:53 ID:Dxumy6zw
誰だよ↓こんな事かいてる奴は(w

>クールランサーとかいうPLD使ってるらしけど、この写真見る限りでは
>25芯のコネクターか9芯ぽいコネクターがついてるので、
>プログラムはパソコンと通信するっぽいんだけど、
>もしかして、ケーブル別売?だとしたらフザケンナだよな。
>つうか、仕様わからなさ杉。1万近くも出すんだから、もっと情報開示汁!

58名無しさん@3周年:03/04/26 10:10 ID:3VAYUycI
>>57
>クールランサ
>プログラムはパソコンと通信する

頼むから2cherのレベルを下げる発言は他所でするな
59名無しさん@3周年:03/04/27 19:04 ID:Wf2qleKr
>>55
ありがとうございます。
たしかに経験年数が短いので、どんな回路を作ったかで評価は変わるのでしょうね。
RTLでFPGAの回路設計なら、入門しやすいので、それだけだとあまり評価されないと思います。
せっかくかじってみた回路設計だけど、ソフトの世界に戻ろうかな。。。
(でも、ちょっと未練あり)
60名無しさん@3周年:03/05/05 11:12 ID:1nQmyEHL
CQからFPGAキット
キタ━━━━━━(゜∀゜)━━━━━━!!!!

 ttp://www.cqpub.co.jp/hanbai/books/33461.htm

せっかくだからサイクロンでやってほしかたよ
61無料:03/05/05 11:17 ID:w+Xt+3Js
62名無しさん@3周年:03/05/05 13:55 ID:OTqP0qLx
>>60
Verilogじゃないから却下
63名無しさん@3周年:03/05/05 15:39 ID:kCkmCmCX
>>60
どうやって見つけたの?
トップページからはリンクが張られていないみたい。
本はいらないから基板だけ安く売って欲しい。
6460:03/05/05 16:04 ID:1nQmyEHL
>>63
メイリングリスト
6563:03/05/05 16:27 ID:kCkmCmCX
>>60
TNX!
やっぱ、ML入っておこうかな。。
目次見てもやっぱ書籍はいらんな。
66名無しさん@3周年:03/05/06 21:07 ID:RLAt358b
>>59
>せっかくかじってみた回路設計だけど、ソフトの世界に戻ろうかな。。。

ソフトウェアのエンジニアが、C言語でASICの設計をしてみた、という記事が日経エレクトロニクスに出てたね。
もう何年かしたら、FPGAでも当たり前になるかもしれないから、経験は無駄ではないよ、きっと。

ところで、ALTERAがレオナルドのOEMをやめたという記事のURL、誰か知らない?
上の方で出ているのは、リンク切れしてる。
67名無しさん@3周年:03/05/07 01:48 ID:QTlnkrUS
>>66
> ところで、ALTERAがレオナルドのOEMをやめたという記事のURL、誰か知らない?
ちょっと違うけど
http://www.cqpub.co.jp/eda/CPLDSET/license.htm
MentorGraphics社/Altera社の契約終了に伴い,OEM供給が終了されることとなりました.

http://www.altera.co.jp/support/licensing/lic-index_j.html
注: Mentor Graphics LeonardoSpectrum-Altera OEM の契約が2003年3月31日で終了しました。
4月1日以降は、LeonardoSpectrum-Altera のライセンス発行は致しませんのでご了承ください。



68名無しさん@3周年:03/05/10 00:22 ID:vVhgIVkh
QuartusII 2.2 使ってるけど、合成結果も良くなったよ。
昔はひどかったけど、もうそろそろ Quartusで合成して
も大丈夫じゃない。
69名無しさん@3周年:03/05/12 02:58 ID:R1uYGjuB
http://www.jma.go.jp/JMA_HP/jp/quake/

平成15年 5月12日01時14分 気象庁地震火山部 発表
12日00時57分頃地震がありました。
震源地は千葉県北西部 (北緯35.8度、東経140.1度) で震源の
深さは約60km、地震の規模(マグニチュード)は5.1と推定されます。
70名無しさん@3周年:03/05/12 16:44 ID:4txKa7kc
Xilinxのダウンロードケーブルですが、プリンタポートの無いノートパソコンで
USB−プリンタ変換ケーブルを使ってみたら、見事にダメだったので、
PCMCIA−プリンタポート みたいな物を探しているのですが
なんかありますかね?
71名無しさん@3周年:03/05/12 21:42 ID:LHgmu/ZB
72名無しさん@3周年:03/05/12 22:21 ID:lFGB4W47
>>71
マニアックなものがあるなあ。
表題どおりModelSIM-PEがDynaB●●Kで動くならば、興味あるけれど。
うちの代理店はP社だから、買えるかな。
73名無しさん@3周年:03/05/13 08:25 ID:bnmmP9CD
74名無しさん@3周年:03/05/13 09:20 ID:OQFAlJAO
>>73
Printer PC Card
REX-5053
標準価格¥29,800

高いなぁ。マウスコンピュータのDuronデスクトップが1台買えるねぇ。

▲ KEI-EVCBUS/LPT   40,000円(税別・ネット通販価格)
こっちはもっと高い(w

ヒューマンデータの
http://www.hdl.co.jp/DLC-006/index.html
これに期待したほうがいいかなぁ
75名無しさん@3周年:03/05/13 17:17 ID:WIPlNZL/
>>74
4万出すんならもう2万ほどだして、純正のUSBダウンロードケーブル買うほうが吉?
76名無しさん@3周年:03/05/13 22:23 ID:Tw5XUX7O
>>75
それで、ダウンロード時間にイライラするという(w
所詮USB、きっと期待はずれするとおもうよ。
当社にもあるがだれもつかっていませんし、ゴミ扱いです。
77名無しさん@3周年:03/05/14 00:47 ID:MGBZKmMl
アルテラからフリーのOEMツールは出ないのかな?
ザイリンクスのEDAツールの環境は、あまり評判聞かないけど、
コストパフォーマンスなんかは、どんな感じ?
78名無しさん@3周年:03/05/21 20:51 ID:uhhSSMoT
>>70

ちょっとずれるけどさ。
↓ここのUSBボード使っているけど、
USB経由のFPGAコンフィグはかなり早いよ。
EP20K600Eでもコンフィグするのに1秒かからない。

ttp://www.prime-sys.co.jp/
79山崎渉:03/05/21 21:32 ID:7juH6kVC
━―━―━―━―━―━―━―━―━[JR山崎駅(^^)]━―━―━―━―━―━―━―━―━―
80山崎渉:03/05/21 23:08 ID:7juH6kVC
━―━―━―━―━―━―━―━―━[JR山崎駅(^^)]━―━―━―━―━―━―━―━―━―
81名無しさん@3周年:03/05/23 22:40 ID:WkFqHijP
某超大手AV機器メーカーでは、xilinxは使うなというお達しが出てるらしい。
Altera、及び、Latticeの使用を推奨してるみたい。
理由は、鉛フリーに対応していないからだって
82名無しさん@3周年:03/05/24 14:07 ID:U+wVV+d+
>>81
そうなのか。

僕の関連企業では、Xに全面移行しているところの方が多いよ。
まあ、開発と量産では考えも変わってくるだろうけど。
83名無しさん@3周年:03/05/26 19:25 ID:mD+wcJSG
modelsim SE 5.7C でPLIが動かないと嘆いてみるテスト。
# ** Warning: (vsim-PLI-3003) XXXX.v(1704): [TOFD] - System task or function '$lm_model' is not defined.

が出てるので、PLIの設定が間違ってるよって、代理店から言われました。
どこみりゃいいんだ
84名無しさん@3周年:03/05/26 22:39 ID:Z9qZkROt
>>83
PEでなく SEかよ!
うらやましいっす(涙

ごめん,回答にはならんくって.たんに,うらやましいだけ.
うち,PEだから.
85名無しさん@3周年:03/05/26 22:48 ID:WUOVvi6/
そこまで投資して貰えて羨ましいな。
で、使いこなせてないとは・・・
8683:03/05/27 08:17 ID:JEye3zV6
いや、PEだったんだけど、今回使うシミュレーションモデルがSWIFTの
インターフェイスとやらを持っているの。

使い方わかんない、って代理店に言ったら、PEじゃできないからSE買え!
と言われて、慌てて評価ライセンスで評価中。

つーか、最初のライセンス買うときに、「SEの機能なんて、僕たちレベルじゃ
つかわないっすよ。PEで十分っすよ。その分デジタルオシロを買いましょう」
って提案したのが自分なので、激しく責任感じているところ・・・
87名無しさん@3周年:03/05/27 10:43 ID:uWEF5rym
>>81
逆じゃねーの?
客にいわれて調べたら
Alteraのほうが鉛フリーじゃなくって
Xilinxにした記憶があるんですけど…
8884:03/05/27 15:21 ID:UCJuOoCm
>>85
SWIFTということは,Rocket-I/Oのシミュレーションですね.
いやはや,最先端をなされているとは,脱帽です.

>つーか、最初のライセンス買うときに、「SEの機能なんて、僕たちレベルじゃ
>つかわないっすよ。PEで十分っすよ。その分デジタルオシロを買いましょう」
ご理解します.
まさに,それを先日上司に進言したのも,僕ですから.

でも,メソタの技術サポートはかなり優秀でない?うち,聞けばすぐに教えてますよ.

>>87
Alteraのほうが鉛フリーへの着手は早かったですね.業界で一番早かった.
8987:03/05/27 15:32 ID:uWEF5rym
>>81 >>88
激しくスマソ。調べたらそうだったわ
代理店のゆーことあてにならねーな…
これで安心してAHDL書ける(藁
90名無しさん@3周年:03/05/27 15:44 ID:Qm24Inql
流石にシンプリファイと同じで、五百万近いソフトを簡単には
導入出来ないからなぁ。
ウチにも一本あったがPEで出来る事以上の事をしてなかった
気がするな。(w
91山崎渉:03/05/28 14:28 ID:/6YB7YdC
     ∧_∧
ピュ.ー (  ^^ ) <これからも僕を応援して下さいね(^^)。
  =〔~∪ ̄ ̄〕
  = ◎――◎                      山崎渉
92名無しさん@3周年:03/05/30 06:15 ID:oBXWf+Ih
>>74
 73紹介のPCMCIA-LPTカードを会社で買ってもらったけれど
 だめじゃん………ムダ金使ったな。

 K栖川のは26日のメソタセミナでアルチマが紹介していて
 ダウンロードとドングルが読めるって解説していたから
 さっそくコンタクトしてみるよ。
93名無しさん@3周年:03/06/03 04:38 ID:Jx6qEXCT
>>92
人に聞いて文句いうなら最初から聞くなっつうの。
大体、おまいは
>>PCMCIA−プリンタポート みたいな物を探しているのですが
>>なんかありますかね?
と聞いてるだろ。
3F8のデコーダでも作ってつないでなさいってこった
94_:03/06/03 05:25 ID:SlxTi86t
95名無しさん:03/06/03 10:38 ID:7xQek/a6
>>92
パラレルポートのドングルや周辺機器を使いたいなら間違ってもパラレルポート無し
のノートパソコンは買わないこと。
一時期レガシーフリーということでノートパソコンからパラレルポートのコネクタが消
えたけど最近のThinkpadでは復活してるね。
シリアルはUSBの変換アダプタで結構代用できるけどパラレルはポートを直接叩い
ていることが多いので内蔵でないと動かないことが多い。
96名無しさん@3周年:03/06/03 11:32 ID:eIikX7bC
【クレジットカードのショッピング枠を現金化!】

クレジットカードで買い物をすると、商品代金の80〜90%のキャッシュバック!!
10万円の買い物をすれば8〜9万円の現金がお手元に!!

■■朝日カード■■
http://www2.pekori.to/~ryu/asahi/
97名無しさん@3周年:03/06/03 13:36 ID:XmPR3de0
>>95
Xilinx 対応ダウンロードケーブル 
http://www.hdl.co.jp/DLC-006/index.html

これ、まだでつか?
9892:03/06/05 01:32 ID:0RIM1C1p
>>93
ごめんよぉ

K栖川のは一応注文してみたっす
99名無しさん@3周年:03/06/05 13:36 ID:KU4G4Uqc
明日、大阪のアルテラなんちゃら行ってくる。
サイクロンかDSPキット当たって欲しいな。
10092:03/06/05 22:30 ID:0RIM1C1p
>>99
漏れも行ってきます。
101名無しさん@3周年:03/06/06 15:59 ID:KF6xXBba
現地から

みんな眠そうだ。抽選まであとひといき。当たれ、キット
102名無しさん:03/06/06 17:18 ID:CPufEDSN
>>101
何か当たりましたか?
103名無しさん@3周年:03/06/06 17:49 ID:vMd2Gt7A
104名無しさん@3周年:03/06/07 02:59 ID:39z6mARB
>102

>99,100ではないが、レポート書く役が当たりましたとかなら
大笑い。内容的にはどうだったか、レポートを(ry
105101:03/06/07 13:28 ID:bYfo6qmn
はずれました。

しょうがないのでクーポン使ってNiosサイクロンキットを買う予定。
106名無しさん@3周年:03/06/08 20:10 ID:QpjUk9mn
なんだ cycloneのセミナー開催されないのか・・・
107名無しさん@3周年:03/06/13 03:05 ID:hLukPZMR
flex10KE => cyclone 代理店の言うほど使えるものじゃないような気がするが
メモリ周りのアーキテクチャや配線遅延時間。
Spartan => Spartan-2ほどの良い良いインパクトさは感じないな。
I/Oのスイッチング速度もこんなものか?程度。stratixと比較しちゃイカン。

みんなはどう?採用はじめた?
108名無しさん@3周年:03/06/13 14:12 ID:O3DBfHy4
>>107
10Kがこれによって収束方向だからどうしようもなく
変更なんだろうな。
109FLEX10K:03/06/13 18:15 ID:CXGQ+DnA
今までMAX+PLUSIIしか使ったことがなかったため、そろそろQuartusでも
触ってみようと思いWebEditionの最新版をインストールしてみました。
試しにインバータ1個に入出力ピンをつけただけの回路をコンパイルした
ところいきなり致命的エラーが出てQuartusはお亡くなりになりました。
パソコンのOS(Win98SE)が悪いのか、スペック(Pen2-450/256M RAM)が
低すぎたのか分かりませんが、一気に使う気が失せてアンインストール
してしまいました。
110名無しさん@3周年:03/06/14 03:31 ID:LYqR1xg/
>>109
CPU・メモリはいいとおもうけれど、OSが悪い
Win2K以降が必須だよ
111名無しさん@3周年:03/06/14 03:32 ID:iBxDmJDn
だね、Win2Kにしたら嘘のように機嫌が良くなったって話はよく聞くよ。
112名無しさん@3周年:03/06/14 09:32 ID:+UDmLxpz
>>109
誰もが通る道だ。だましだまし使え。

とりあえず、Windows2000だな。
113名無しさん@3周年:03/06/14 10:46 ID:dfySxD4N
確かに。WindowsXPはまだ見送っておけ…
114110:03/06/14 22:02 ID:LYqR1xg/
>>113
この書き込みに激しく同意(死語
115113:03/06/15 08:43 ID:4dmPgvGK
あ、あともう一つ。
Meなんて、無かった事にしておけ…
116名無しさん@3周年:03/06/16 14:33 ID:AJcjXyCy
すげ〜お気に入り↓↓

ttp://www.prime-sys.co.jp/products/cx-usb.htm

USB経由でCycloneのコンフィグできるし、
ByteBlaster2のエミュレーション機能も付いてる。

基板も赤いからCycloneも3倍高速に動くかも!?
117名無しさん@3周年:03/06/16 15:14 ID:Eq9G/hBK
>>116
社員の方でしょうか?
118名無しさん@3周年:03/06/16 18:31 ID:CGqEM/uJ
>>116
しつこいって
このまえも張ってなかったっけ?>社員さん

おまえんところ、たけーんだよ!んで、ハブ経由だとコケるって話だしよ
119名無しさん@3周年:03/06/18 10:05 ID:OTUTEp03
>>97
これまだぁ?(AA略

120名無しさん@3周年:03/06/18 21:39 ID:lYFb+O/n
Quatus 3.0 の情報ある?
121名無しさん@3周年:03/06/19 12:12 ID:2BxzWJAv
>>120
初めて聞いた。

なんか、聞いたらここにかくよ。
122名無しさん@3周年:03/06/20 20:10 ID:8/eYt6GE
>>120
水曜日正式に発表だそうです。
123120:03/06/20 23:18 ID:wDaQ2lc7
そうなんだ。ありがとう。
124名無しさん@3周年:03/06/22 23:12 ID:HCmYyqPC
え? 3.0が出るの?はじめてきいた
125名無しさん@3周年:03/06/24 17:56 ID:6awIHU9y
新しければいいというものでもないけど、
3.0 あげ
http://www.altera.co.jp/products/software/pld/products/q2/qts-index_fl_j.html
126名無しさん@3周年:03/06/24 21:37 ID:Gq5qc/b7
FLEX 10K サポートか
127名無しさん@3周年:03/06/25 10:24 ID:SFiTKF04
今、アルテラの仕事抱えてないから、ちょっと入れてみようかな・・・
128名無しさん@3周年:03/06/25 10:27 ID:SFiTKF04
コマンドラインからの自動フローいいね。試す価値あるな。

Xilinxは夜中にバッチでフィッティングが簡単だった。
アルテラもいつかバッチでフィッティングできるようにせねば
と思っていたので、時期的にはばっちりだ。
129名無しさん@3周年:03/06/25 12:22 ID:R0fnoGM2
学生です。
無料版のXilinXのツール(XilinX ISE)とModelSimを入れてみたのですが、
これらって使用期限あるんですか?
XilinXの方は、起動すると「評価版は60日有効だよん」という表示が下記に出ているし
ModelSimの方は、ライセンスファイルの有効日付(?)が1年後になっているし。

学生といっても個人の趣味でやっているので、学校で買って貰うわけにも
いかないのですが、どうなんでしょうか?
130名無しさん@3周年:03/06/25 13:00 ID:SFiTKF04
>>129
モデルシムは規模制限あるでしょ。
機能も結構削っていあるけど、規模制限が一番つらかったと思う。

ISEの方はデバイスに制限がある。
この辺りかな。
http://support.xilinx.co.jp/ise/products/webpack_config.htm

昔はXilinxもstudentエディッションみたいな形で、一万かそこらの
ツール売ってたんだけどね。いい時代だな。
131129:03/06/25 15:21 ID:7OsR5oHH
>>129
こんなやつです。

WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software.
In 60
days, this program will not operate. For more information about this product,

please refer to the Evaluation Agreement,
which was shipped to you along with
the Evaluation CDs.

To purchase an annual license for this software, please contact your local

Field Applications Engineer (FAE) or salesperson. If you have any questions,

or if we can assist in any way, please send an email to: [email protected]

Thank You!


132名無しさん@3周年:03/06/25 15:32 ID:qiNIrM3D
test
133名無しさん@3周年:03/06/25 17:23 ID:VTl1X5Ym
>>131
それって、ISEのWebpack版じゃないんじゃ?
134あぼーん:あぼーん
あぼーん
135FLEX10K:03/06/26 17:04 ID:seXPU17B
>>128
XILINXっていまだにフィッティングを夜中にやらせるほどコンパイルに時間が
かかるのですか?
136名無しさん@3周年:03/06/26 19:34 ID:qnfuK3pO
>>135
今使ってるのがVirtex2の6000、だいたい30分くらい。

外部メモリ周りの回路で、DCMの位相をちょっとずつ変えて、
一番よいところを探すときに便利。
位相の設定が違うucf(アルテラだとcsf)のファイルを複数用
意して、取り替えながらバッチで走らせる。

あと、基板の電源の安定性見るときに、いろんな周波数で、
I/Oトグルさせて傾向見たりするときに、DCMの周波数だけが
違う同じ回路がいくつかいる。

それくらいか・・・

アルテラでもAPEX1500Eとか、Stratixのでかいのになると
30〜1時間かかるでしょ。
137名無しさん@3周年:03/06/27 00:53 ID:80bwkOMv
皆、スペック高いマシン使ってるんだね…
138名無しさん@3周年:03/06/28 18:27 ID:+EAOZNIn
クオータスのバージョンにやられました。

APEXでPLLの出力を、そのまま使う回路と、反転して使う回路を
混在させたら、クオータス2.0の時はOKだった回路が、2.2では
フィッティングが通らなくなってた。
代理店聞いて、いろいろやったけど、結局2.0に戻してしまった。

月曜日また代理店聞いてみるけど、3.0にしてくれって言われる
んだろうなぁ。

マイナーバージョンでこれだから、メジャーバージョン上げるの
怖いな。どうせすぐに、「こんなに早くなりました!」って3.1
出るよなぁ。
139名無しさん@3周年:03/06/29 01:27 ID:r3yHZjYw
大阪のcycloneのセミナーって7月4日じゃなかったっけ??
今見たら7月1日になってるけど、なんなのこれ??
140名無しさん@3周年:03/07/03 16:46 ID:rUfBYtDg
MAX+PLUSII Advanced Synthesisってどう?
使い物になる?
141名無しさん@3周年:03/07/06 01:16 ID:r57wxkcw
なんでquartus 2 v3の話が伝わってこないんだ??
アルチマだかアルティマだか知らんがあそこはなにやってるんだ??
10KAに使える環境があるならさっさと伝えろ
根本的になってないよとおもうよこの企業は。
142名無しさん@3周年:03/07/06 05:12 ID:161SL7WF
>>141
あるちま・・・それでよく開発やってられるな。(プ
143名無しさん@3周年:03/07/06 12:29 ID:yWRG7cur
AとXしか選択肢ないからなぁ。
144テ゚汁 ◆Db/E231N/A :03/07/06 21:06 ID:ihjDLx+m
QuartusII 3 WebEdition ダウソ記念age

合成効率はよくなった。エンジンが変わったかな?
これで Leonardo Spectrum の無償版を使う理由が激減した。
フィッティングが良くなったかどうかはノーコメント。

あと、ライセンスマネージャが改良されていて、
Default route のインタフェイスじゃないNICのMACを
拾ってくれるようになった。
145名無しさん@3周年:03/07/06 23:32 ID:yg1K8heD
私とうとう脱いじゃった♪
http://alink3.uic.to/user/angeler.html
146名無しさん@3周年:03/07/08 22:49 ID:W4TsJD5g
安いのでXにしました。てへっ。
147名無しさん@3周年:03/07/10 16:31 ID:x0tTabgz
サイクロンキットなかなかこないな・・・・
148名無しさん@3周年:03/07/10 21:27 ID:5Mqxmuk0
Design Wave MagazineにてNios大特集記念あげ
149名無しさん@3周年:03/07/12 06:44 ID:cbLZqf/4
ちくしょー!!win98で3.0つかえねええええ!!
これはwin2000を買えという啓示ですかねえ。
150名無しさん@3周年:03/07/12 06:57 ID:x/CJ8kTs
いまどきW98を使ってるアフォがいたのかね
151昭和枯れススキ:03/07/14 17:27 ID:KIKBM5D/
ちょっと古い開発ツールのハードウェアキーがWin2000では認識してくれない
ので仕方なくWin98SE使ってます。あとパラレルポート接続のROMライタも
DOS窓で使うのでWin98はまだ現役です。
152???:03/07/14 17:47 ID:6gkntZv8
153名無しさん@3周年:03/07/15 00:50 ID:ERUqYStQ
そろそろパラキーはやめて欲しい
154名無しさん@3周年:03/07/15 03:34 ID:JsItjnpR
LogicLockって、フリー版にもつけてくれよ。
絶対必要だと思うんだけど。。。
155山崎 渉:03/07/15 12:38 ID:RDs8FXK6

 __∧_∧_
 |(  ^^ )| <寝るぽ(^^)
 |\⌒⌒⌒\
 \ |⌒⌒⌒~|         山崎渉
   ~ ̄ ̄ ̄ ̄
156名無しさん@3周年:03/07/16 12:49 ID:rArnGlvb
>>154
何のために有料の製品があるんだろうね?(w
157名無しさん@3周年:03/07/16 19:19 ID:/L5D8sBY
HDL屋向けの資格って何かねえの?
基本情報処理はもってるけど、イマイチずれてるからな。
158名無しさん@3周年:03/07/16 19:23 ID:WwaKxtzk
☆頑張ってまーす!!☆女の子が作ったサイトです☆
       ☆見て見て!!
http://yahooo.s2.x-beat.com/linkvp2/linkvp2.html
159名無しさん@3周年:03/07/17 00:27 ID:SyLeOc3F
>>157
強いて言うなら名刺代わりになる開発経験。
国の資格などテクだけで取れるから正直無意味。
160名無しさん@3周年:03/07/17 08:50 ID:XBUa6o/0
>>159
まぁ派遣なら取っておいても邪魔にはなるまい。
 かといって潜水夫とか危険物丙種とかボイラーとか、資格マニアと見られかねない
 資格は邪魔かもしれないけど
161名無しさん@3周年:03/07/17 11:06 ID:OoSPkkUp
転職の時に多少は有利になるのかね?
162名無しさん@3周年:03/07/21 02:19 ID:1kpRK8Pa
>>156
石屋は石で稼げ、と言いたい
163名無しさん@3周年:03/07/22 00:49 ID:mF9ACIdO
>>162
数回開発に使えば償却。
小遣いでも買える低価格。

貧乏人か開発ツールの意味を
わからない奴以外は買うわな。
164LPM:03/07/24 00:28 ID:cnfdP0xC
ちょいと質問です。

QuartusII 2.2 を使っているのですが、
MigaWizard PlugーIn Maneger の操作を
tcl とかで自動化できませんか?

165名無しさん@3周年:03/07/25 13:42 ID:CfMcyvHv
>>164
代理店聞いた方が確実じゃなかな。
ちなみにどんなことするの?

パラメータ変えたLPMなんちゃらをいっぱい作りたいの?
166名無しさん@3周年:03/07/25 13:44 ID:CfMcyvHv
そして、ISE 5.2 SP3 についてくるIMPACTが、WindowsXPで激しく
動かない。

パラレルポート+JTAGでコンフィグレーションできない。エラーが
出るときやら、ケーブルを認識しないときとか、いろいろある。
(パソコン依存)。

ちょっと、代理店確認してみるけど、「こうしたら動くよ」みたいな
情報キボン
167名無しさん@3周年:03/07/25 14:16 ID:CfMcyvHv
解決したよ!

FlashLinkのドライバを無効にしたら動いた。

チップスコープと、FlashLinkとどっちか選ばないと
いけないようだ。
168名無しさん@3周年:03/07/26 00:36 ID:37gxZkxk
>166-167
メモさせていただきます
169LPM:03/07/29 00:09 ID:WQvs0iKe
>>165
他人、あるいは自分が時間がたってから設計を確実に再現するのにどうするか考えていました。

生成したファイルやドキュメントを残してけばいいのですが、
生成スクリプトが作れるのであれば用意しておいても親切かと。

代理店に聞いてみようと思います。
ちょっと望み薄のような気もするのですが。

170名無しさん@3周年:03/07/29 11:56 ID:Lc1jVr1B
この年になって、オシロのプローブ付けたら安定する回路を
作っちまった。鬱だしのう。

みんなもPLLの電源には気を付けてね。
171名無しさん@3周年:03/07/29 12:26 ID:mURNc0RD
>>170
マニュアルにはうるさく書かれているけど実際体験しないとなかなか
実感出来ないよね。
漏れはPLLの電源関連ではOSコンの世話になってる。(w
172名無しさん@3周年:03/07/29 13:00 ID:x6RVa7E9
プローブってC成分?
173_:03/07/29 13:51 ID:zb6X0knN
174ななしさん:03/07/30 10:57 ID:QMoHCYHn
>>170
最悪オシロのプローブ付けたまま出荷してください。
175名無しさん@3周年:03/07/30 17:45 ID:t2o2SpRI
こんなに見えちゃってヤバクない???
抜いても抜いても また勃起しまくり・・・
 ↓ ↓ ↓

☆★☆★ 海外サイトだから安心無修正 ★☆★☆
http://upbbs.s2.x-beat.com/linkvp/linkvp.html
http://upbbs.s2.x-beat.com/linkvp/linkvp.html
☆★☆★ 本気汁丸出しのお○○こが! ★☆★☆
176ぼるじょあ ◆yBEncckFOU :03/08/02 02:59 ID:CoKLCWZs
     ∧_∧  ∧_∧
ピュ.ー (  ・3・) (  ^^ ) <これからも僕たちを応援して下さいね(^^)。
  =〔~∪ ̄ ̄ ̄∪ ̄ ̄〕
  = ◎――――――◎                      山崎渉&ぼるじょあ
177147:03/08/05 21:57 ID:v2f7dT9j
サイクロンキットまだこないな
178名無しさん@3周年:03/08/07 20:55 ID:CqXfvnDF
すいません、ご存じの方がいれば教えてください。

FPGAでPCIのI/Fを作っているのですが、マザーボードからの
クロックが来ません。

最近のマザーボードって、ブート時にどこかの信号を見て、
CLKを止めたりしていますか?

当然、市販のボードを指したときは、CLKは出力されています。
179名無しさん@3周年:03/08/08 00:32 ID:z9vNkx7b
>>178
デバイスが挿さってない停止する物もある。
しかし、最初のコンフィグサイクルでは絶対出てるから
よく観察してみる事。
どうせ測定ミスか、クロック入力のつもりで出力端子でも
接続してるんだろ?
180名無しさん@3周年:03/08/08 01:11 ID:Y11jKG2y
>>178
179のいうとおり。
コンフィグレーションサイクルで失敗すると以降のCLK発行を
停止するチップセットがほとんど。
シミュレータ使ってコンフィグレーションサイクルに正しく
応答できるか、もしくはコンストレイン間違えていないか
よくチェックしてみな。

ちなみにこの現象、CQの別冊PCI本にも書いてあったなぁ。
181178:03/08/08 10:17 ID:8gosYiRE
>>179
>>180

ありがとうございます。
ダメもとでROM化したら、PCがブートしなかったので、
どこか信号設定を間違っていると思います。

もうちょっとしらべてみます。
182 :03/08/08 18:02 ID:aqMP5QpE
そんな未完成なものを突っ込んでおいて質問に来るなタコ
183名無しさん@3周年:03/08/09 12:14 ID:VhVQzeZV
スマソ。
マックスPlusIIをPCにインストールしたいんだけど、
モジュールがダウンロードできません。
モジュールそのものが無いっぽいんだけど、
どうしたもんだろ?
184名無しさん@3周年:03/08/09 12:22 ID:5csKT1ku
モジュールって何でしょう?
MAX+PLIS2使っているけどモジュールというのを意識した
ことないです。

アルテラのサイトからBaseLineが落とせないというのなら、
私もそうです。
185名無しさん@3周年:03/08/09 12:39 ID:U13Vcf1l
>>184
今、上手く行っているぽいです。(昨日はダメだったのに理由不明)
Web上から勝手にインストールされるもの
なんですかね?
一生懸命、ftp://ftp.altera.com/outgoing/release/baseline10_2.exe
を実行しています。
186185:03/08/09 12:46 ID:U13Vcf1l
. .: : : : : : : : :: :::: :: :: : :::::::::::::::::::::::::::::::::::::::::::::::::::::::::::
    . . : : : :: : : :: : ::: :: : :::: :: ::: ::: ::::::::::::::::::::::::::::::::::::::
   . . .... ..: : :: :: ::: :::::: :::::::::::: : :::::::::::::::::::::::::::::::::::::::::::::
        Λ_Λ . . . .: : : ::: : :: ::::::::: :::::::::::::::::::::::::::::
       /:彡ミ゛ヽ;)ー、  ダメっぽい・・・
      / :::/:: ヽ、ヽ、 ::i . .:: :.: ::: . :::::::::::::::::::::::::::::::::::::::
      / :::/;;:   ヽ ヽ ::l . :. :. .:: : :: :: :::::::: : ::::::::::::::::::
 ̄ ̄ ̄(_,ノ  ̄ ̄ ̄ヽ、_ノ ̄ ̄ ̄ ̄
187184:03/08/09 13:33 ID:5csKT1ku
おととい、ア○ティマに「ベース・ライン落とせません。確認してよ」
って聞いたら、「今は落とせますよ〜」って回答もらったので、
てっきりうちの会社の問題かと思ってました。

やっぱ、だめなのか・・・
188185:03/08/09 21:58 ID:NcLgXPBd
baseline10_2.exe はFTPソフトでダウンロードできたけど、
実行すると、強制終了されてしまいます。
ヽ(´▽`;)/
OSは98 2nd、2Kともに×
189185:03/08/09 22:17 ID:NcLgXPBd
自己解凍データじゃなかったのか(゚▽゚*)
・・・
インストール完了。
・・・
ライセンスファイルはどこのディレクトリにブッコム??
190185:03/08/09 23:03 ID:NcLgXPBd
readmeのとおりにライセンスセットアップして
フィーチャーしたのにグラフィックエディタを
起動できないぞ ゴルァ!!!(゚Д゚)

191185:03/08/09 23:07 ID:NcLgXPBd
ちなみに、

FLEXlm error : -88,309
For further information ,reter to the FLEXlm End User Manual,
available at "www.globetrotter.com"
192185:03/08/09 23:31 ID:NcLgXPBd
おそらく、このラインが妖しいと思うのだが、
line 5 の<port>が何を示しているのかが分からない。
(;´Д`)

1>(For Windows 98 only) Specify the name of the server where your license file is
2> stored by adding a line to your autoexec.bat >file, which is usually located in your c: directory.
3>To specify the license.dat file, add one of the following lines to your >autoexec.bat file:
4>
5>set LM_LICENSE_FILE <port>@<license server name>
6>
7>You must restart Windows after saving changes in the autoexec.bat file.
193185:03/08/09 23:55 ID:NcLgXPBd
キタ━━━━━━(゚∀゚)━━━━━━ !!!!!
PCの時計が1998のままだったのが原因でした。
194名無しさん@3周年:03/08/10 00:24 ID:v+KGntEB
おいおい


おつかれ。
195名無しさん@3周年:03/08/10 00:36 ID:tyA/ruUD
つまらん書き込みでスレッドを汚すな馬鹿
196馬鹿(185):03/08/10 00:39 ID:bI9RzrK3
ゴメンネ。
197名無しさん@3周年:03/08/10 00:49 ID:tyA/ruUD
>>196
意外に良い奴だな。(w
お馬鹿さんに格上げする。
198名無しさん@3周年:03/08/10 03:33 ID:EGLByrRM
Altera社の5万ゲート相当(2,910ロジック・エレメント)のFPGA「Cyclone EP1C3」
http://www.cqpub.co.jp/dwm/next_issue/pcb2.htm

XilinXじゃないし、CPLDじゃないし(´・ω・`)ショボーン
199名無しさん@3周年:03/08/10 12:26 ID:Sbwp8Mml
コンフィグROMが実装できるスペースがあるようだ。
200名無しさん@3周年:03/08/10 14:43 ID:v+KGntEB
夏休み前の大掃除でEPC1が大量に出てきた。
201名無しさん@3周年:03/08/10 18:14 ID:2pAcgcR7
サイクロンキットきたのに、遊ぶ時間がない。
202名無しさん@3周年:03/08/11 02:41 ID:3ZnPyT2T
>>198
この写真の奴は手半田ぽ
203_:03/08/11 02:42 ID:OUWkTFkf
204名無しさん@3周年:03/08/12 11:19 ID:MPU/Aau/
>>199
こんふぃぐROMは別売ですか?高いですか?素人でも入手できますか
205201:03/08/12 13:57 ID:MUcGF7EW
なんだか、会社やめることになりそうだ。

これで、サイクロンキットで遊べそう・・・・、でいいのか?
206名無しさん@3周年:03/08/12 20:01 ID:9BkIRgy2
>>198
早速3冊予約してきました … … (´・ω・`)エヘ。
一冊はおとうとにでもしんていしようかな。
207名無しさん@3周年:03/08/13 00:29 ID:dj8GkEyE
>>206
漏れ五冊。(w

色々と遊べる気がする・・・自家製Z80のデバッグでもするか
って入るかな?
208名無しさん@3周年:03/08/13 06:31 ID:IOoNqBEM
>>207
昨年末に仕事でどうしてもCPLDをつかわんといけなかったので
ちょうどDWM誌のMAX7256Aで試験ができて製品が納めれて
とても助かったっす。結局部品入手も時間が掛かったんで、5冊
買って活用したなぁ・・・本誌は新人にあげちゃったけれど。

今回も3冊ぐらい買って用意しておこう。あまった本は息子にでも
あげるつもり。現在中学生のガキンチョが興味持ってくれればい
いけれどね。

(あと2ヶ月早ければ夏の工作にやらせるんだった)

209名無しさん@3周年:03/08/13 18:59 ID:TABxMWIV
手際の悪い奴だな
210208:03/08/13 22:31 ID:IOoNqBEM
>>209
正直スマン。
そのとおりでした。
211名無しさん@3周年:03/08/16 12:24 ID:DjUpJGN7
>>207
2900LCもあればZ80は二つくらい入るな。
よほどぼろい設計していれば別だけど。
212名無しさん@3周年:03/08/17 19:55 ID:It9buIn3
>>211
デバッグ回路が入ってるからそれなりにデカくて不安だったのだ。
まだ合成する段階でないんでなんとも言えないけど。(w
しかし、命令が汚いと読みにくくてバグが多いな。
213名無しさん@3周年:03/08/21 11:35 ID:TRKmNJEL
ところで、プロのおまえら教えてください。

デザインに使うマシンはどのようなスペックがよいですか?
殊にQuartus IIで具合のいいマシン構成きぼん。

わたしが使ったマシンでいちばんよかったのは
AthlonXP のものだった。
(業務でアムドなんて使うわけネーヨという声がきこえてきそうだ…)
わたしは素人。
214名無しさん@3周年:03/08/21 13:04 ID:fsa6cupM
現行でヨドバシに売られているぐらいのスペックがあれば充分
215名無しさん@3周年:03/08/22 00:31 ID:a56xeoEc
>>213
今のマシンは、P3、1G、メモリ1Gって構成。
で、ModelSimも併用してる。

今設計してる物件の具体的なコンパイル時間等を書きますと・・・
ストラの25を使って現在の内部ロジック使用率は、45[%]位で
一時間前後って感じかな。
Simは、全モジュールとテストベンチ(PCIとDDRSDRAMのモデル)を
繋いだ状態で、1[ms]流すのにおよそ、20〜30分てとこだろうか。

Sim、合成、デバッグって言うTATを考えると、マシンパワーはあるに
越した事が無い、ってかもっと欲しいと思う今日この頃。

予算が承認されたら、オプテロンDualを試したいとも思ってる。
216名無しさん@3周年:03/08/22 16:12 ID:xR/+UBTn
>>215
Modelsimは,PEかSE?
1ミリ秒流すのに30分ってーのは,あまりに時間かかりすぎかと。
こっちはPCI-X+128bitDDRのテストベンチでタイミングシミュレーションしても
1S25で数分ぐらいだけれどね。ひょっとしてAEじゃない?なら,PE/SE買わない
と,マシン変えても速度は変わらないよ.タイマでウェイト入れているから。
217 :03/08/22 21:07 ID:USVw5j87
マシンスペックを書いてくれよ。(w
218名無しさん@3周年:03/08/22 23:48 ID:a56xeoEc
>>216
ModelSim SE 5.5fだよ。
環境によって速度が全然違うが、早くなった事は今だかつて一度もない。
なんか方法があるならマジで指摘してくれ。
助けて欲しい。
219名無しさん@3周年:03/08/26 00:34 ID:YvASwZio
>>218
とりあえずModelSIM-SEの5.7cにしたら?
5.5は古いんじゃないかな...5.6bからdでStratixのシミュレーションモデルの
解析?っていうのElaborateが早くなったし。
220名無しさん@3周年:03/09/04 14:55 ID:ixdzYOnb
Spartan3に期待 sage
221名無しさん@3周年:03/09/06 00:07 ID:I+fZ2rHB
>>へーそうなんだ。メソタもなかなかあこぎなことするなー
ところでPCI−Xの良書ってある?
情報キボンヌ。
すれ違いでスマソsage
222名無しさん@3周年:03/09/06 11:33 ID:gJXIsB7J
普通にこれじゃだめか?
http://www.amazon.co.jp/exec/obidos/ASIN/0929392639/
http://www.amazon.co.jp/exec/obidos/ASIN/0201726823/

上の方がわかりやすいとは思うが。
223222:03/09/06 11:35 ID:gJXIsB7J
間違えた。
下の、システム・アーキテクチャの方がわかりやすいと思う。
224ななしさん:03/09/10 14:12 ID:vnLz7eSd
今日はデザインウェーブ10月号発売日ですね。昼休みに近所の本屋さんに行って
買ってきました。田舎なので2冊しかありませんでした。
225名無しさん@3周年:03/09/10 22:30 ID:K0wD3vE7
私もDesignWaveMagazine買ってきました
なんか微妙に使いづらい基板ですね…
226名無しさん@3周年:03/09/11 01:15 ID:8QKPsyfQ
買ってもそのままじゃまともに使えない。
227ななしさん:03/09/11 09:36 ID:8Nc0OSC9
別売りの汎用評価ボードよりNiosを動かすメモリがのったボードが欲しいな。
EPCS1のプルアップは忘れたらしい。
228名無しさん@3周年:03/09/12 01:52 ID:rv2D3NjS
シミュレータはQuartus2に付属のWave Form Editorしか使ったことないけど、
Model Simみたいな専用のツールを使うメリットはどういうところなんでしょうか?
あと、HDLでテストベンチを書くのとの違いは何なんでしょうか?
229名無しさん@3周年:03/09/12 02:08 ID:Kqnq6xW0
DirectX9を入れたWin2000マシンに
230名無しさん@3周年:03/09/12 02:14 ID:4e5QVHZP
>>228
軽い。但しこれはあくまで副産物。

最大のメリットは、テストベンチをかけると言う事です。
231名無しさん@3周年:03/09/12 05:43 ID:Bqzkmmob
ConfigROMも付けといてくれたらよかったのに。

EPCS1って、簡単に入手出来る物なんだろうか・・・
232名無しさん@3周年:03/09/12 07:25 ID:Q03AA+dE
あんま話題になってないね・・・
基盤付きに見えない薄さだから目立たないのかも。

難しいとは思うが、PCに接続して動かせる一式つけられなかったかなぁ?
電源はUSB供給とかで。なんか無理そうだが、そこをなんとか・・・。
233名無しさん@3周年:03/09/12 10:08 ID:DnHxPGJu
雑誌のおまけにぎゃあぎゃあ言うなよ
234名無しさん@3周年:03/09/12 16:52 ID:TCTDBgoG
ぎゃーぎゃーってのは普通>>233みたいな物言いを指すのではないか?
235名無しさん@3周年:03/09/12 19:33 ID:CmavJYrj
ギャー
236名無しさん@3周年:03/09/12 22:13 ID:juG+b+EB
ByteBlasterII(互換)の回路ってどっかに無い?
237名無しさん@3周年:03/09/13 00:06 ID:jn6xvni1
>232
P.77に載ってる汎用評価ボードはどないだべ?
238名無しさん@3周年:03/09/13 00:19 ID:Yr+kRvFe
>>237
正直おんぶにだっこして貰わないと何も出来ない奴に
(この基板を使いこなす事は)難しい。
239名無しさん@3周年:03/09/13 02:44 ID:Yr+kRvFe
>>228
テストベンチの便利さを知らないには大変損をしてるよ。
設計した回路を試験する回路は波形を入力するだけは
出来ないでしょ?
実回路に近い動作でシミュレーション出来るからこそ
意味があるのです。
240名無しさん@3周年:03/09/13 04:15 ID:tU1FARym
コンフィギュレータはついてないんだ・・・<DWM
それじゃ意味ないじゃん
241名無しさん@3周年:03/09/13 23:30 ID:tGvUXJwe
漏れも買ってきた。
同じ時に4冊レジに持っていったお兄ちゃんが居たのが印象的でしたw

DWって普段あまり売れてる印象無いけど、今月号は結構売れるんだろうなー
242名無しさん@3周年:03/09/13 23:34 ID:3iN0r96+
>>238
それは違うね。
半田付けする手間が省けるだけでも相当楽。

あわよくばxxxが乗っていたらとか、「たられば」と書いている奴が
いるがそれを言ったらキリがない。

>>241
CQのトップページですでに売り切れって書いてあるね。
あとは流通在庫だけみたい。そんな僕は出張先の某九州地区の
田舎で買ってきました。
243名無しさん@3周年:03/09/13 23:39 ID:Yr+kRvFe
>>242
ひろゆきネタだろ気付よ
244242:03/09/14 00:36 ID:NHiwGdjE
>>238, 243
ごめんなさい!
やっとわかりました・・・

こういうときは、「ByteBlasterMVのケーブルで首釣ってきます」ということで、許してください.うぅ
245名無しさん@3周年:03/09/14 01:45 ID:VCricMzR
BlasterMVとIIの差は3.3V対応でLS244がHC244になってるだけ。
JTAGでダウンロードするだけなら4本(out3、in1)つなぐだけ。
100Ωは保護用だから省略可能だな。
ところでQuatusIIワークをデスクトップにするとデバヘトップになっちゃうんですけど。
246名無しさん@3周年:03/09/14 01:49 ID:Mrbsfo0u
DWMの付属キットで不足しているものは、どこで入手したらいいのでしょうか?
JTAGコネクタ、クロック、コンフィギュレーションROMなど。
他に何かありましたっけ?
247名無しさん@3周年:03/09/14 01:53 ID:VCricMzR
JTAGコネクタ:いらん
コンフィギュレーションROM:いらん
クロック:これが問題せめて載せられるようにしておいて欲しかった。
CycloneてPLLが売りなんでしょ?
248名無しさん@3周年:03/09/14 02:18 ID:RolHoi2h
>>245
そうなんだ。
じゃあ、MV(互換)のままで特に問題ないかな・・・

そういや、BlasterUSBが発売になるみたいだけど、どうせ純正は高いんだろうなぁ。
249名無しさん@3周年:03/09/14 02:19 ID:bI1fpHJi
>>245
それはBlasterとBlasterMVの違いじゃねーの?
250名無しさん@3周年:03/09/14 02:20 ID:Shv+CW3R
>>245
嘘を言っちゃいかんな。
251242:03/09/14 03:06 ID:NHiwGdjE
>>248
245のはレスはウソだよ。間違ってる。

MVはHC245で、IIはオープンコレクタのトランジスタ構成。
ついさっきWEBでみかけたII互換回路図だと244を使っていたよ。

252名無しさん@3周年:03/09/14 03:25 ID:VCricMzR
>>250
>>248
まあおれも中開けて見たわけではないんだけど、
3.3V対応なだけが違いがうそだというソースは?
253名無しさん@3周年:03/09/14 03:26 ID:VCricMzR
スマソ
>>248>>251
254名無しさん@3周年:03/09/14 06:34 ID:ou/F9HS5
>>252
BlasterIIの取り扱い説明書。
10pinコネクタの、Blaster、BlasterMVでNCだったpinが
信号にアサインされてる。
255名無しさん@3周年:03/09/14 10:31 ID:bI1fpHJi
アルテラオフィシャル資料

ttp://www.altera.com/literature/ds/dsbyte.pdf
初代Blaster(LS244)

ttp://www.altera.co.jp/literature/ds/dsbytemv.pdf
BlasterMV(HC244)

ttp://www.altera.co.jp/literature/ds/ds_byteblasterII.pdf
BlasterII (回路図非公開)
256248:03/09/14 11:38 ID:joIGhRFf
あぁ、ASモードってのが追加されてるんですね。
このモードはEPCSx使わない場合は必要ないのかな・・・

しかし、なんでわざわざ仕様変更したんだろう。
EPC2と同じ仕様だと何か問題あったのかなぁ?
257名無しさん@3周年:03/09/14 11:47 ID:PASyG7M8
>>254
電圧自動検出用だろ。決め打ちで問題なし。
>>255
だからどこに違いがあるって?
結局3.3V対応って電源をデバイス側からもらってるだけだから、
ROMに書かないでJTAGだけなら何の問題もなし。

ところで汎用評価ボード回路図見るとわざわざRS232用にレベルコンバータ
使ってるのに出力5〜0Vでクリップしてるけどいいのかな。
258名無しさん@3周年:03/09/14 12:32 ID:bI1fpHJi
>257はID変わってるけど>245ですか?
まあもちついてpdfでも眺めてくらさい。

>電圧自動検出用だろ。決め打ちで問題なし。
これはMVまでは対応していなかったモード(ASモード)用の信号線ですね。

>だからどこに違いがあるって?
こんな感じだと思います。
間違いは補足よろしくです。

ByteBlaster
・デバイス側コネクタのVCC電圧5Vのみ対応。(書き込み自体は5.0V、3.3Vデバイスに対して可)

 ↓

ByteBlasterMV
・デバイス側コネクタのVCC電圧5V、3.3V対応。(バッファがLS→HCに変わった)

 ↓

ByteBlasterII
・デバイス側コネクタのVCC電圧5V、3.3V、2.5V、1.8V対応。
・ASモードに対応。
259名無しさん@3周年:03/09/14 13:58 ID:4lGTC0RU
HCが、5Vでしか動かないと勘違いしてるんじゃないの?
260名無しさん@3周年:03/09/14 16:16 ID:NHiwGdjE
>>257
>>255
>だからどこに違いがあるって?
冒頭レスの・・・
BlasterMVとIIの差は3.3V対応でLS244がHC244になってるだけ。
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
これが違うのがまずひとつ。

また,AS対応や1.8Vも対応(そのために,OpenCorrectorになったのがBB-II)
している点も違う。

>ところで汎用評価ボード回路図見るとわざわざRS232用にレベルコンバータ
>使ってるのに出力5〜0Vでクリップしてるけどいいのかな。
なにが悪いとおもう?おもうまま、書いてみ。

まぁ人のアラ探しするのは面倒だからヤメとく。
261名無しさん@3周年:03/09/14 18:29 ID:o7Cam6pR
>>237

>P.77に載ってる汎用評価ボードはどないだべ?

汎用と言いつつ、RAMもROMも載ってねーじゃん 藁
俺ならI/O削ってまで絶対載せるがな
262名無しさん@3周年:03/09/15 18:55 ID:Jd5+pwUy
クリスタル乗せられるようになってないのが理解できん。
263名無しさん@3周年:03/09/16 08:47 ID:R8gsoX2a
>>260
>なにが悪いとおもう?おもうまま、書いてみ。
257じゃないけど、なにが悪くないの?
264名無しさん@3周年:03/09/16 23:34 ID:xWwAu4c1
>>260
はデザインレビューにも呼ばれない能無し
265名無しさん@3周年:03/09/16 23:51 ID:yT3C4ebe
赤っ恥をかいた>>245が出てこられなくなったスレですか。そうですか。
266名無しさん@3周年:03/09/17 00:00 ID:u+F6du5k
>まぁ人のアラ探しするのは面倒だからヤメとく。
267名無しさん@3周年:03/09/17 00:02 ID:nQla1LYD
頭悪そうなレスの応酬で嫌になる。
いい加減何処か別の場所でやれ。 厨房板辺りで。
268名無しさん@3周年:03/09/17 01:02 ID:CuIA3mFZ
嫌ならスルーしる
269ななしさん:03/09/18 13:59 ID:wA62iBqq
誰かByteBlasterII買った人いますか?ふた開けてどんなデバイス
使ってるか教えてけろ。
270名無しさん@3周年:03/09/18 21:50 ID:mqjszjab
>>269
ByteBlasterどこで売ってます?
271名無しさん@3周年:03/09/18 23:53 ID:c3T+mj6C
>>269
ヒューマンのサイトに回路公開されてますが・・・
272名無しさん@3周年:03/09/19 00:42 ID:twpkkqGk
あれは、機能互換(すこし強引)ではあるけど、
バッファに使っているデバイスが本物と違いますな
273名無しさん@3周年:03/09/19 00:46 ID:vzNxKOBl
>>272
ふむ。
今まで問題になった事無いからアレでも多分良いのだろう。(w

環境によっては書き込みに失敗する率が高いようですが
私はこの手の不具合をまだ経験していません。
運が良いだけという話もありますが。
274名無しさん@3周年:03/09/19 01:37 ID:Q9bsGNef
>>273
1.8Vとかだと流石に問題が出そうな気がしないでもないけど・・・
そうでもないんかな。

>>270
ALTERAの直販でどうぞ。
275名無しさん@3周年:03/09/20 00:32 ID:AAv78UNN
DWMにはマイコンからコンフィギュレーションできるって書いてあるけど、この方法でしてる人いる?
EPCS1の容量が128KBytesだから、マイコン資産がある人ならそんなに金を掛けずにコンフィギュ環境を容易出来る気がするんだけど。
具体的にはPICNIC経由でやるか、シリアルEEPROMに一端入れてダウンロードさせる方法を考えてるんだけどどうかな?
276名無しさん@3周年:03/09/20 01:23 ID:t/j+snU9
>>275
出来上がったPOFファイルをLSBファースト・シリアルで叩き込むとか
TTFファイルを送りつければいいんじゃないの?

うちの会社ではM16C+FLASH-ROMでやってたりするが。
MAX3Kのアプリノートで紹介されているから、ALTERA-WEBから探してみたら?
277名無しさん@3周年:03/09/21 16:55 ID:Z3Wcd7jb
>>276
ありがとう、PS方式しかタイミングチャートは公開されて無いから、データはrbfのLSBfirstじゃないかなと思ったけど。
付録の基板だとMSEL0とnSTATUSラインの加工その他で梃子摺りそうな予感がする(というか私の工具じゃ無理?)けど挑戦してみるよ。
278ななしさん:03/09/24 11:12 ID:2aauzs24
279ななしさん:03/09/24 18:35 ID:2aauzs24
280名無しさん@3周年:03/09/25 13:41 ID:HW7/2l3+
>>279

現品限の横流しもしてる感じだな
ふーん
281名無しさん@3周年:03/09/25 14:36 ID:Dhd/mYMF
ずいぶん手広くやってるな
282名無しさん@3周年:03/09/25 17:53 ID:zhoxWRij
EPCS1ってワンタイムじゃなくてEEPROMだよね?
283名無しさん@3周年:03/09/25 17:56 ID:YVPnrhOf
普通に国内の代理店から買える。価格もオークションのちょうど半額程度。
284名無しさん@3周年:03/09/25 21:12 ID:HW7/2l3+

オクぜんぜん売れてないね
285名無しさん@3周年:03/09/25 23:35 ID:TfGUqkoT
確実に横流しか言えないルートだろ。
この手はシリアルを見たら経路が解るから危ない橋渡ってるな。

小銭のために。
アホみたいだ。
286名無しさん@3周年:03/09/26 00:46 ID:83BLnyMw
わざとらしい279が気に入らないので書いておく。

ttp://www.altexcorp.co.jp/altera/config.html

個人でも売ってくれる。
287名無しさん@3周年:03/09/26 00:52 ID:zvB+Nrar
>>286
流石にこんな詐欺的商売に金出す奴の方が悪いから
そこまでしてやることは無いよ。
288名無しさん@3周年:03/09/26 01:18 ID:K4u0JPYR
>>286
ALTEXはPALTEKの2次店だから安心して買えるよ。

>>287
ちなみにCQのDWM掲示板にも張ってあるEPCS1売るぜ!っていうところも
同様な金額だ。

発送の手間を考えたらこの金額も仕方ないかもしれないけれど、、、
289名無しさん@3周年:03/09/26 09:45 ID:yP8WzSW2
>>287
ちょっと高い位で詐欺呼ばわりはどうかと思うが。

一般入手方法の情報提供をするわけでもなく、ただ貶すだけの
人間よりは確実にイイ奴であると思うがな?
290名無しさん@3周年:03/09/26 11:48 ID:zvB+Nrar
>>289
 ヤフオクの馬鹿のことだったのだが勘違いさせてスマンかった。
291名無しさん@3周年:03/09/26 13:52 ID:ViFHDaCC
てかヤフオク野郎擁護は本人の自作自演だろ。(w
292名無しさん@3周年:03/09/26 15:11 ID:YLVZdgmK
>>291
ヤフオク野郎が気に食わないのなら、
お前がもっと安い値段で頒布してくれよ?

出来もしないのにイチャモンだけつける奴が一番ウザい。
293名無しさん@3周年:03/09/26 19:55 ID:ViFHDaCC
>>292は代理店に電話も出来ないお子ちゃま
294名無しさん@3周年:03/09/27 00:26 ID:6EqrdiLA
どうでもいい話だが、半導体の代理店が個人に冷たいのは周知だから
ヤフオクでも入手出来るのはありがたいと言うのは解らなくはない。
少々胡散臭い気もするが。

しかし少しの手間を惜しまず代理店に連絡してみると案外安い値段で
買えるかもしれない。

馬鹿とアホの罵倒合戦はもう終わりにしとけ。
295名無しさん@3周年:03/09/27 00:27 ID:U4naV/Ue
オクさっぱり売れてないね
売ってる奴DW100冊買ってんのかな?

はわわぁ〜

296名無しさん@3周年:03/09/27 00:42 ID:I8kJV1Rs
ていうか、あの値段で高いとか言う香具師はRSとか使えん罠。
安く買うより確実な入手が重要な事もあるんだよ。
297名無しさん@3周年:03/09/27 01:12 ID:6EqrdiLA
>>296
あくまで個人だとそう言うわけでも無いだろ。
298名無しさん@3周年:03/09/28 05:25 ID:tu8xSS4E
>>296
絶対額が高いか安いかの問題じゃない。
そもそも、将来に渡って確保できる見込みのないヤフオクは
確実な入手経路と言わないだろ。

というわけで、既出の代理店から入手した。
数個買って単価600円弱+送料600円程度。
299名無しさん@3周年:03/09/28 11:42 ID:uES8So1L
そろそろこの話題も終わってくれ。
300ななしさん:03/09/29 14:24 ID:ZG5aSgv3
終わりました。
301名無しさん@3周年:03/09/29 14:51 ID:ONfeQy4C
ぼろ儲けだな。
302名無しさん@3周年:03/09/29 16:54 ID:BdQuv5YW
296で擁護したけど、流石に1980円はちょっと高いなw
303名無しさん@3周年:03/09/29 23:28 ID:E6eK5I49
知ってる奴が特をして騙される奴が悪いってはの何時の世も同じさ。
304名無しさん@3周年:03/10/02 22:19 ID:JCS771+v
ちなみに、法人だったり、何万個という単位で買うと、いくらくらいのもんなんだろ?
305名無しさん@3周年:03/10/02 23:17 ID:u70Kshjs
デザインウェーブマガジン10月号が
今日の時点でまだ2冊も売っている書店あるよ。
(俺2冊買ったので残りは2冊)
その書店は中央線の西八王子駅南口の
島○書店です。
早い者勝ちだよ。
306名無しさん@3周年:03/10/03 00:24 ID:qYogDaYY
うちの部署でもDWとってるけど、誰も付録に興味を示さないよ
307名無しさん@3周年:03/10/03 02:24 ID:LfzLINqv
>>306
仕事でしか設計をしていない技術者が多い会社なんだね。

別に良いと思うが俺はそんな職場で仕事したくないな。(w
308名無しさん@3周年:03/10/03 03:13 ID:oktOxBpa
>>306
下手にそんなのいじって遊んでると
余力があると勘違いされて、いらん仕事
振られかねないからじゃないの?w
309名無しさん@3周年:03/10/03 11:03 ID:gOAtxgPl
でも遊びの電子工作でやったコトが仕事で役立つこともけっこう
あるんだよな…その辺りも分かってくれる上司がいると嬉しい。
310名無しさん@3周年:03/10/03 12:17 ID:IveKx84c
>仕事でしか設計をしていない技術者
普通じゃん
311名無しさん@3周年:03/10/03 20:49 ID:M7CkB3KY
>>304
数万単位で量産するなら汎用のフラッシュメモリとCPLDで
専用ROMと同じ動作する回路を作ります。
その方がずっと安上がり。つーか高すぎです > 専用ROM
312名無しさん@3周年:03/10/04 00:27 ID:Qng9u2mz
>>310
脊髄反射じゃなくて、その言葉の裏を考えてみろよ。

313名無しさん@3周年:03/10/04 00:29 ID:T82UlCu0
>>310
味気ない職場だな。
314名無しさん@3周年:03/10/04 01:09 ID:jF/f/juk
>>304
> pricing by the end of 2003 for the EPCS1 is $1.10 for 100K units and for the EPCS4 is $2.50 for 100K units.
http://www.altera.com/corporate/news_room/releases/releases_archive/2002/products/nr-low_cost.html
だそうな。
しかし、10万個もなかなか使わないよなー
ただ、EPC2とかに比べたら量産にも使えるくらいの値段ではあるみたいね。
315名無しさん@3周年:03/10/07 06:15 ID:4nu2b1D7
>>311
汎用のフラッシュとCPLDの方が高そうに感じるけど(特にCPLD)、
そういうもんなんだ。
それとフラッシュからブートするシーケンスをCPLDの中に収まるようにすると、
そこそこの大きさのCPLDになるかなと思ってしまったけど、
どれくらいのデバイスを使ってますか?
316名無しさん@3周年:03/10/07 13:21 ID:geOE6MUj
EPM7032
317名無しさん@3周年:03/10/07 15:03 ID:WsxMjrKJ
いまさらデザインウェーブ10月号が2冊欲しいんだが、
すでにどこ探しても在庫なし…
318名無しさん@3周年:03/10/07 15:58 ID:bhaDd9yF
>>317
CQに問い合わせれ
319名無しさん@3周年:03/10/07 16:07 ID:tYIOAaMZ
>>318
CQ出版社の在庫は底をついているそうです。

が、書店にTELしまくったら、某書店チェーンで100冊ほどの
在庫を確認できました。
とりあえず、10冊捕獲します!よかったぁ。
320名無しさん@3周年:03/10/07 16:11 ID:tYIOAaMZ
10冊といわず、全数確保しちゃおうかな。。
高くうれないかな?アクドイね。
321名無しさん@3周年:03/10/07 17:33 ID:bhaDd9yF
>>320
これならフィルムコピーで複製可能なのだが。
生基板一枚三千円でも買う気するか?
322ななしさん:03/10/08 09:27 ID:Bnd0BAEa
>>321
それならDW買ったほうが安い。
323名無しさん@3周年:03/10/08 21:58 ID:g5wfdxoz
そのうちもてあましたヤツから安くでてくるよ。
たいして使い道ないし。
324名無しさん@3周年:03/10/08 22:37 ID:o8BahVeR
>>323
>>たいして使い道ないし。

そりゃ君の発想が貧困なだけで皆が皆そうとは限らんよ。
325名無しさん@3周年:03/10/08 23:22 ID:g5wfdxoz
>>324
使い道がちゃんとある人はDWじゃなくて代理店から買ってるよ。
量産用に企画された石なんだし。
326名無しさん@3周年:03/10/09 00:15 ID:r48xINxR
>>325
そんな必死に言い訳しなくても。(w
327名無しさん@3周年:03/10/09 00:57 ID:Tuge7gDw
うちの大学生協の書店ではまだ山積みになってるよ。
328名無しさん@3周年:03/10/09 01:35 ID:fJk/PStf
DWと同じ基板を作ろうとしたらいくらかかりますかね?
アートワーク、版、マスクなどのイニシャルを別にして。
329ななしさん:03/10/09 10:22 ID:Ay4XslHu
>>328
P板ドットコムで見積もりしてくだされ。
330ななしさん:03/10/09 10:26 ID:Ay4XslHu
枚数にもよりますがガラエポFR-4で試作したら1枚500円位じゃないで
しょうか。それに部品代と実装費用のせたらどんなに頑張ってもDW買った
ほうが安いと思います。
331名無しさん@3周年:03/10/14 10:23 ID:D1LNlXP2
>>330
版があるからたくさん作れば別だけど、そこまで安くはならないんじゃないのかな
332名無しさん@3周年:03/10/14 15:24 ID:86atO4vr
うっかりミスや思い違いで、1発OKというわけにもいかない
かもしれないしね。
333名無しさん@3周年:03/10/14 15:32 ID:1iXFlDn/
A/Wは只ソフトでも出来るレベルの二層
シルクはレジストを抜くことで対応

こんな感じでけちけちで行くとイニシャル込みで
四、五万て感じだろ。
しかし基板単価は多面取りでも漸く@\1Kって所だろうか。

どっちにしろ量産しない限りなかなか良い線に来ない。
334名無しさん@3周年:03/10/14 21:31 ID:fJoHuimg
シーズン毎くらいに同じ企画やってくれとCQ社に嘆願書を出すのが
一番いいかも。
基板狙いの売上だけでなく、DW読者拡大の効果があるんじゃないか。
ああ、基板解説の記事がしょっちゅう出るのは問題か。

今日から書店回って手に入れられなかったら漏れがメールしちゃうからな・゚・(ノД`)・゚・
335名無しさん@3周年:03/10/15 02:09 ID:7/iDDWmE
>>333
イニシャル込みで数万円ってことはムリだとおもう。
DWMのは2層基盤とはいえシルクとレジスト層を考えたら5枚のフォトは
使っているから、1枚15K円としても10万円弱。ドリルデータを入れたら
やっぱり15万円ぐらいは最低でもかかるんじゃないかな。

そう考えると、K電工の付録活用基盤?はえらい安いと感じるよ。

けっこうスイッチ類って高いんだよね...
336名無しさん@3周年:03/10/15 02:54 ID:Tb6DVraV
>>335
表パターン、裏パターン、表レジスト、裏レジスト、シルクで5枚
15K×5=75Kがイニシャルコスト
穴開け加工賃、基板材料代は@枚数
・・・こんな感じ?

でも、何枚か欲しいだけなのに、基板だけでこんなにかかるとけっこう凹む。
アートワークとかどうしてる?
FPGA動かしたいだけなのにさ。
337名無しさん@3周年:03/10/15 03:22 ID:/n3KfbbX
日本だとEZ-FPGAってのが自分の欲しいものには近いけど、。6500円はまだ高い。
http://www.fpga4fun.com/
ここのplutoってやつぐらいのが一番いいなー。
結局個人で作ったら
>>335
のイニシャルコストは避けられないわけだけど・・・・・・。
量産すれば単価2000円は絶対割れるだろ!
古いアーケードゲームクローンあたりで煽って
秋月あたりで量産してくれたら売れ筋になると思うんだけどなぁ。
338名無しさん@3周年:03/10/15 08:47 ID:8zEHJ0/3
>>335
P板.comの見積もりとかシーネックスの見積もりを見ると
10万掛からないんだが?
339335:03/10/15 12:43 ID:7/iDDWmE
>>338
フライングチェッカかけなければそんなもんだと思いますよ。

安いのはそれなりにテストスキップしてるだろうし。
340名無しさん@3周年:03/10/15 12:56 ID:8zEHJ0/3
>>339
チェッカー代については君も言及してないね。
別途費用になるのは安いところだと当たり前で
大体別途ご相談下さいとなってる。
ちなみにフライングチェッカーをかけても十万前後で
出来るとも確かにある。
341名無しさん@3周年:03/10/15 14:45 ID:NrDxIyR9
>>337
Fpga4funの基板は見たところ、olimex製のようだね。

とりあえず、試作は、olimexあたりが正解だろう。\5000以下だ。
量産は別に考えたらよい。イニシャルを毎回取られるのはきついよ。
342名無しさん:03/10/15 15:30 ID:BRJe8g4b
基板の話題は別のスレがありますんで、そちらでお願いします。

343名無しさん@3周年:03/10/15 18:06 ID:8zEHJ0/3
>>342
まるで関係ない訳じゃない。てかネタが無いから仕方ないよ。
解らない話だからってスレ違いと言えば良いってもんではない。

で、ネタを書き込んでくれるの?
344名無しさん@3周年:03/10/15 20:21 ID:/n3KfbbX
ALTERA、XILINXのFPGAをいかにして手元に置くかという話題だから
このスレでやるなといわれたらFPGA/CPLDスレでも新造しないとな。
345名無しさん@3周年:03/10/16 01:44 ID:FeEAWnGU
なんかもう飽きた
俺が作っている回路だって、二・三年後には遥かに高速に動作する石を
使って、数倍早く動く回路を誰かが作るんだろうし、やっていてツマラン。
346名無しさん@3周年:03/10/16 02:10 ID:jNv1lYgM
それをいっちゃぁおしまいよ!
347名無しさん@3周年:03/10/16 02:32 ID:x4AwBY+b
>>345
じゃやめれば良いじゃん。
その速度に付いていけなくなったら色んな意味で限界じゃないの?
348名無しさん:03/10/16 11:02 ID:k9YZUM1L
>>345
君が作成した回路を3年後に最新ツールでコンパイルし直せば数倍速くなるのでは?

俺は未だにQuatusに移行できずにMAX+PLUS2のAHDLで回路を書いてる。MAX+PLUS2
のバージョンも9.2だ。理由はこれが一番安定してるから。
349名無しさん@3周年:03/10/16 11:28 ID:lZBZsklt
趣味じゃないから面白くなかろうがなんだろうがどうでもいい
350名無しさん@3周年:03/10/16 16:48 ID:/w1q5M1J
Virtex2クラスだと、てけとーに書いても内部100MHzで動いたりするかなぁ。
APEXで90MHz周辺で半泣きになっていたのが嘘みたいだ。
351名無しさん@3周年:03/10/16 17:01 ID:x4AwBY+b
>>350
最近ストラ使ったら似たような感じだった。
ただ、133MのDDRだとピン配置とロジックロックを駆使しないと
なかなか通ってくれなくて苦労したよ。
使用率が八割を越えてくるとなかなかメットしないしね。
352名無しさん@3周年:03/10/17 00:40 ID:fbOW7+Jh
今日、altera逝くヤシいるか〜?
353名無しさん@3周年:03/10/17 03:30 ID:8t5p7Nbo
DW付録に付いてたFPGAのみの単価っていくらくらいなの?
数にもよると思うけど
354名無しさん@3周年:03/10/17 03:59 ID:nefAmIWB
>>353
数にもよると言われてしまうとピンキリとなってしまう。(w
355某京都:03/10/17 10:24 ID:YOfbqwiu
今年のPLDは見送り。残念。
356 ◆dddd24Shi. :03/10/18 16:16 ID:Aw0KXvIs
デザインウェーブ特化スレです

http://science.2ch.net/test/read.cgi/kikai/1066448774/l50

よろしく
357 ◆dddd24Shi. :03/10/18 16:23 ID:Aw0KXvIs
脊髄反射だな。お前らの誰かだ。

>107 :ガイシュツ ◆atMKiSyUTU :03/10/18 15:57 HOST:xxxxxxx.dion.ne.jp
削除対象アドレス:
http://science.2ch.net/test/read.cgi/kikai/1066448774/
削除理由・詳細・その他:
5. 重複スレッド
2で誘導済み

358名無しさん@3周年:03/10/18 16:26 ID:FifE7pfm
>>279
最近はある寺なんてみたこないから知らんのだけど
こんふぃぐROMってISPで書き換え可能になってるの?
可能でないとしたらSOPのEPCなんてありえんし
いらん、そんなもん
DIPソケットでROM交換を一晩に20回くらいして
ぎりぎりセーフで朝ソフトリリースしてたころが懐かしい
359 ◆dddd24Shi. :03/10/18 16:27 ID:Aw0KXvIs
話題になってるから書いてやるが
2000個つくるなら、漏れでも一個840円であの基板同様のモノを売ることが可能だ。
360名無しさん@3周年:03/10/18 16:57 ID:oUvyTdwV
デバイス実装込みなら買ってあげますよ
361名無しさん@3周年:03/10/18 17:07 ID:FifE7pfm
>>359
そんなくそ高いもんいりません
「マクニカがこの値段で売ってんるんだけど?
どう?パルテックの○○さん」
って脅しをかければ
パルテックの営業を落とすなんて簡単だし
362名無しさん@3周年:03/10/18 17:17 ID:FifE7pfm
といけばいいんだけど
パルテックの○○さん
「マクニカさんなんかには負けませんよ!!!
うちは!!!
無償技術サポートも高速納品も絶対に負けません!!!
けど、値段だけはひらにご容赦お願いします」
って、涙目で言われると「はい」としかいえないない

あの人間心理の奥底を知り尽くしたパルテックの○○さんの
弟子になりたい・・・
363 ◆dddd24Shi. :03/10/18 17:34 ID:tIjqqna5
>361
糞高いたって、1980円で飛ぶように売れているわけだが。

万単位での量産をちらつかせての交渉の結果と、個人が一個買う場合の話を
較べてることになんの意味があることやら。
お前が、自分の力でもない、所属元の看板で弱いモノ苛めしていることを
自慢気にカキコする程、情けない人間だというだけの意味はあるが。
364名無しさん@3周年:03/10/18 17:54 ID:FifE7pfm
1980円もするのか高いなあ
ispのロムはやっぱ・・

万単位の量産なんてできまへん
工場がそんな購買、首を縦にふりません
部品在庫はできるだけ手元に置かない主義なんで
数千いけばいいほうかな、普段は数百かなあ
永続的な取引の裏にあるんだろうな、企業向け価格って・・・
パルテック様はわてらにとっては殿様みたいな存在で
パルテックの手持ちの在庫は他のメーカー向けが優先される
こともあるし
でも、そのsopのロム無理して買うなんて人いるの?
手ごろに買えるのとか、会社で余ったのちょろまかすとか
そんなんするのが普通やおもうですが
PLD自体なかなか個人入手は大規模なものほど
不可能に近くなるし、そこまでして趣味の電子工作する人の
意欲が時間有り余ってるとしても考えにくいし
大学とかの研究室が必死になって個人入手するのはわかるけど・・・
365 ◆dddd24Shi. :03/10/18 19:27 ID:wwFlIXmQ
1980円ってDWM10月号の値段だよ。
366名無しさん@3周年:03/10/18 19:31 ID:FifE7pfm
>>365
あるてらのサンプル価格教えろ!!
367 ◆dddd24Shi. :03/10/18 19:51 ID:wwFlIXmQ
WEBに出てるよ
368名無しさん@3周年:03/10/18 20:06 ID:FifE7pfm
どこだよ!!
ttp://www.paltek.co.jp/altera/device/product/config/index.htm
みても値段を匂わせるとこはねえじゃねえかよ!!!
IDないと見れないとことかいうんじゃないだろな!!
わたしゃ、もうこの世界の人間じゃねえんだよぼけ!!
マクニカのサイトの値段はIDないとみれなかったような
きがするし、チップの価格公開してるとこなんてあんまないような
気するけどなあ

あと、どうでもいいけど、パルテックはロゴがださい
変えたほうがいいとおもう字体を変えるだけでもいいのに
ロゴ変えたらパルテクTシャツ金だしてでもかうぞ!!
先輩の着てたALTERAのTシャツはめちゃくちゃうらやましかった
369名無しさん@3周年:03/10/18 21:30 ID:FifE7pfm
>>314
10万個単位で120円くらいって・・・
いまどき、10万も購入する資材なんてどのメーカーでもねえよ
1200万だろ
受注状況リアルタイムで管理して無駄な在庫は1円たりとも
持たないの主義がどの大手でも主流なのに
パルテックみたいな代理店ないと、ほんと困るな今のご時世
370 ◆dddd24Shi. :03/10/18 22:29 ID:wwFlIXmQ
>>368
すまん。勘違いだった。

> 1. 2004年度における250K ユニット時の量産価格

25マンコで4ドルだった。てっきり2000個かと思い込んでた。

ってことはDWMを買いあさるのが一番安価ってことか?
つうか DWMって公称何部発行だっけ?

ま、書泉タワーにも夕べはまだあった。
371 ◆dddd24Shi. :03/10/18 22:50 ID:wwFlIXmQ
2ちゃんねら〜電子工作隊って25マンコ裁けるか?(25マムコなら軽いけどな)
2000人なら集まるかとぼんやり考えていたが、駄目だ。
一個1000円以上使うようなら、どうにもならない。
本命のACTELを使うよな。だれだって。
372名無しさん@3周年:03/10/18 22:56 ID:FifE7pfm
>>371
貴様
GALのPLCCの奴
いくらで売れる?
論理サイズは問わんスピードも問わんピン数も問わん
373 ◆dddd24Shi. :03/10/19 00:59 ID:k2qR16uw
>>372
千石
ラティスセミコンダクター GAL35LC-7FKZ GAL22V10C−10LJ 10ns PLCC24P
(数量1〜89) 単価 ¥900  購入数:
(数量100以上) 単価 ¥810  購入数:
374名無しさん@3周年:03/10/19 03:02 ID:49qzkOIE
アルテラのデバイス使ってナニヤラ面白いことをやっている

http://users72.psychedance.com/test/read.cgi/2chdown/1065795263/

こいつの正体知ってる香具師タレコミきぼん
プロの余暇か?
375名無しさん@3周年:03/10/19 11:34 ID:3ej93cS+
>>373
千石ぼったくりもほどがあるな
22V10なんてあんなん50円だ
ぱりじぇねとかいれただけでぱつんぱつんやんか!!!
376名無しさん@3周年:03/10/19 13:03 ID:2jeZQRP4
>>374
数値演算処理回路のプロじゃねーの?
トリップ解析する論文なら学生でもできるが、FPGAに実装して
商品として販売するところなんかは、プロじゃないとできんだろう。

新たな同人ハードウェアの始まりだな
377名無しさん@3周年:03/10/19 13:15 ID:gQ93iuvz
ALTERAのデバイス安くなった?
オイラみたいな100人規模の中小だと大手に
比べて価格も2〜3倍はするからなぁ。
扱う数がちがうと厳しいね。
378 ◆dddd24Shi. :03/10/19 13:35 ID:avoIwYig
>>376
すげーな。一ヶ月で解析完了じゃん。4台使えば一週間でお好きなトリップが!

同人ハードウエアというより 同人システムだろ。
ネオとよぞうがそろそろ動くか?
379名無しさん@3周年:03/10/19 14:08 ID:M6wJcvzz
380名無しさん@3周年:03/10/19 14:14 ID:M6wJcvzz
>>377
貴社のような方の人は受注元から部材手配するから
大手とかわんない値段で手にいれてるんじゃないの?
381 ◆dddd24Shi. :03/10/19 14:50 ID:avoIwYig
>379
対象外だろ。
個人の金ではないだろ。
382名無しさん@3周年:03/10/19 20:00 ID:UR+m3Fpt
なんかおかしいのが張り付いてるね。
なにこいつ?
383 ◆dddd24Shi. :03/10/20 01:22 ID:HhaZWeB0
うるへ>>382
乗り遅れた粘着だよ。
384名無しさん@3周年:03/10/20 01:38 ID:/421mmm5
キモイ奴だなマジで。
385名無しさん@3周年:03/10/20 07:06 ID:xDBkEiex
きもいな
386名無しさん@3周年:03/10/20 13:18 ID:MVOKF9VG
基板付録DWオークション出品状況。以外と少ない

2003年1月号
http://page6.auctions.yahoo.co.jp/jp/auction/f9727839
2003年10月号
http://page7.auctions.yahoo.co.jp/jp/auction/g8371503

比べるとMAX7256には惹かれないよなぁ
387名無しさん@3周年:03/10/20 14:39 ID:7r/ORNY0
庭には二羽 埴輪はワニ派 ワニは庭に
388 ◆dddd24Shi. :03/10/20 18:40 ID:66OGHdSe
驚いた! 3500円で入札(落札)している奴がいるよ。
389名無しさん@3周年:03/10/20 19:36 ID:l78tsmES
自作自演だろ
390名無しさん@3周年:03/10/21 12:03 ID:F59cUgBv
Altera の Quatus II 3.0 で
Duron 600MHz CPU の処理時間の長さに業をにやし、
Athron 64 3200+ CPU に変えたところ、文字通り5倍程の速度になり喜んだ。

しかし BytBlaster MV (手作り)を通した Flex10K への書込がエラーになってしまう。
JTAG Mode なのに何故か次のメッセージを出して
CONF_DONE pin failed to go high in device 1

信号を見てみようと BytBlaster MV の74HC244 にICクリップを挟むと正常動作。
どうも微妙なタイミングが利いているよう。
あまり細かく追っている時間が無いのでICクリップを挟んで動かしている。
同じような症状が出た人いませんか。
どの信号が問題なのかわかれば、そこにコンデンサを咬ました方がすっきりするのですが。

ちなみにDualon とAthron 64 では書込時間も半分程度になっているようです。
391名無しさん@3周年:03/10/21 13:08 ID:k5LCf3+s
>>390
>しかし BytBlaster MV (手作り)を通した Flex10K への書込がエラーになってしまう。
>信号を見てみようと BytBlaster MV の74HC244 にICクリップを挟むと正常動作。

おもしろいケースだな。74HC244はおそらく、バスコントロール信号用のバスブリッジと見たが。。。
HCはその名の通りハイスピードC-MOSなのだが、そのラインの基板パターンはどのようにした?或いは他とどう違う?
確かにカップリングコンデンサを噛ませてスピードアップを図ったり、パスコン入れて、スピードダウン&ノイズカットを計る手もある(いずれもチップ型積層セラミックがいい)が、
手作り基板のパターン配線見直すのも良い勉強になるのでは?
ところで、パターンはマスク作ってエッチングしたのかな?
392名無しさん@3周年:03/10/21 13:12 ID:Y2ZcZAaM
>>391
元々レベルコンバータの意味ではないのと。>HC244
393390:03/10/21 13:29 ID:F59cUgBv
自己レス
昼食中気がついて、オシロ・プローブであたってみた。
パソコン側 25Pin D-sub コネクタ番号で 2,3,14 に当てると正常動作になった。
14 - 74HC244 19 とGND間に100pFを入れて使用中。

意味を説明できる人いますか?
394名無しさん@3周年:03/10/21 13:30 ID:k5LCf3+s
HC244<<
確かにバスバッファでしかも、すべてのバッファにマルチにSTATE端子があるから、すべてのPINが同タイミングで出力されるはず。
となると、BytBlaster MV側の受付タイミングが悪いのかな。HDLの記述が。。。
395名無しさん@3周年:03/10/21 13:38 ID:k5LCf3+s
>Flex10K への書込がエラーになってしまう。
すなはち、HDLカキコでエラー
でしたな。
>>394は忘れてください。
しかも「BytBlaster MV側の受付タイミング」×→「Flex10Kの受付タイミング」で間違えてるし。

ところで、BytBlaster MVてどういうのですか?
手作りキットですか?
396 ◆dddd24Shi. :03/10/21 15:08 ID:iKOM/sIX
>>393
入力ピンオープンってところが無いか?
397名無しさん:03/10/21 15:35 ID:eE9+NrRO
>>390
JTAGの各ピンはアルテラの指定どおりプルアップ、プルダウンしてあるの?
398socket774@jisaku:03/10/23 21:10 ID:XPENXpPT
>>390
JTAGでPCから書き込む限りそんな微妙なタイミングは絶対ありえません。
きちんと配線されてるのであれば、
グラウンドが弱いとか、書き込みの波形が汚いとか、
HCの空きピン浮かしてるとか、パスコンが無いとか
プルアップしてないとか、そんなレベルでしょう。
まずはその辺を疑ってみるべし。
以上
399名無しさん@3周年:03/10/24 02:50 ID:m60pw4P1
>>379
こんなところでマキーノを見かけるとは思わなかったよ(w
参考:
http://pc3.2ch.net/test/read.cgi/jisaku/1066795262/2
400名無しさん@3周年:03/10/24 12:14 ID:bgtxWQ9v
アルテラのjtagserver.exeってなんだよ。干渉しまくりのクセに自動起動、常駐。
タスクマネジャーから殺せない。ひでぇな。

殺し方:コマンドでjtagserver /stop
401名無しさん@3周年:03/10/24 16:28 ID:J0s/oSjg
>>400
管理ツールのサービスで JTAG Serverのスタートアップを手動にすればいいじゃん。
402名無しさん@3周年:03/10/24 17:37 ID:LIKfwClv
×殺し方:コマンドでjtagserver /stop
○殺し方:コマンドでjtagserver --stop

>>401
それ どーやんの? 
くぁるたすIIなんだけど。
403名無しさん@3周年:03/10/25 15:06 ID:DIwO2MN1
DW誌10月号、秋葉原のラジオセンター、ラジオデパートの電子関係書籍店に
若干数づつ入荷してる。
ラジオセンターで一冊買ったが「最近やっと入ってきた」とのこと
流通であぶれた(誰も手につけない書店もあるだろうからね)やつ
が流れてきたみたい。
交通費と相談して買う価値があると思う人は行ってみては如何か。
404名無しさん@3周年:03/10/25 15:30 ID:Ub0gMeP+
>>402
コントロールパネルの管理ツールにサービスつうのがあるからそれ起動して
Altera JTAG Serverをダブルクリックしてプロパティ出してスタートアップの種類を変えればいい。
405名無しさん@3周年:03/10/25 15:42 ID:342Rezxq
>>404
お前親切だな。マジ知らなかったから助かったよ。ありがと。
406名無しさん@3周年:03/10/25 15:56 ID:y64KcjPW
>403 情報Thanks!       ,'⌒,ー、           _ ,,..  X
                 〈∨⌒ /\__,,..  -‐ '' " _,,. ‐''´
          〈\   _,,r'" 〉 // //     . ‐''"
           ,ゝ `<./ /  〉 /  ∧_,. r ''"
- - - -_,,.. ‐''" _,.〉 / /  . {'⌒) ∠二二> -  - - - - - -
  _,.. ‐''"  _,,,.. -{(⌒)、  r'`ー''‐‐^‐'ヾ{} +
 '-‐ '' "  _,,. ‐''"`ー‐ヘj^‐'   ;;    ‐ -‐   ‐  _-  
 - ‐_+      ;'"  ,;'' ,''   ,;゙ ‐-  ー_- ‐   _  
______,''___,;;"_;;__,,_______ _ _ _ _ _
//////////////////////////  ヽ
407名無しさん@3周年:03/10/26 14:57 ID:WQyZeXQ7
Quartusの使い方を詳しく書いてあるホームページは
ありますか?
408名無しさん:03/10/29 19:25 ID:DqPKTa5U
>>407
ここに簡易マニュアルならあるけど。
http://www.paltek.co.jp/altera/tool/product/quartus2/
409407:03/10/29 22:58 ID:7LufM5e7
>>408
ありがd
410名無しさん@3周年:03/10/30 00:44 ID:FIgQnYls
なぁ、IEEE1532の和文って(英文でもいいけど)誰か貸してくれよ。
411名無しさん:03/10/30 23:42 ID:4gRJxy8O
大阪でアルテラを個人に小売してくれるところってないか?
412名無しさん@3周年:03/10/31 00:53 ID:aQ3zWgbz
>>411
デバイスによるが、RSで入手可能だと。
漏れ代理店から直接買ってるから代理購入は可能だが、高いと思うぞ。
413名無しさん@3周年:03/10/31 20:00 ID:3gRv3r1U
IEEE1532 キボンヌ
414名無しさん@3周年:03/10/31 21:23 ID:8HxEq7OF
ise webpack 4.xをダウンロードしようとしているのですが、「IEでは、ダウンロードできません。サーバーがリセットしました。」とのコメントが出てきてダウンロードできません。うちのOSは、WInMeで、IE6です。どのようにすればダウンロードできるのでしょうか?
415名無しさん@3周年:03/11/01 01:57 ID:qD8kFbQh
cycloneだけど、作りこむたびに消費電力が上がっていく
coolrunnerのほうがいいんだろうか・・・
416名無しさん@3周年:03/11/01 02:07 ID:elTa2pGA
>>415
おいおい、CPLDと一緒にすんなよ。(w
417名無しさん@3周年:03/11/01 11:05 ID:gA1q8TkV
>>411
会社で取引あるなら、担当の営業に相談してみたら。

僕はそれで、2次か3次かわからないけど、代理店紹介してくれて
そこから買えたよ。
418名無しさん@3周年:03/11/05 19:04 ID:7BpGYug8
フラッシュやEEPのCPLDは
何回ぐらいまで書き込みOKなんでしょうか?
(COOL RUNNERとか)

データシート見てものってません。
419名無しさん@3周年:03/11/05 19:29 ID:Lxh7PUx6
>>418
よく知らんが、1000回程度は大丈夫だろう。
デバッグで1000回書き換える事はまずないと思うが。
420名無しさん:03/11/06 17:35 ID:LyP3szA0
>>418
ALTERAのMAX7Kは100回まで
421418:03/11/06 19:46 ID:MOks1ewc
基本的にシミュレーションでしっかり確認して
OKなら書き込むというのが前提なんですね。
消しては書いてでやるのは駄目と。
422名無しさん@3周年:03/11/08 14:26 ID:bhwwoef8
ASICSのロゴを見て
エイシックスと読んでしまった。
職業病か?
423名無しさん@3周年:03/11/08 15:34 ID:KLV1xOJK
単なる正しい読み方
424名無しさん@3周年:03/11/08 16:42 ID:wiZPIvEv
warota
425名無しさん@3周年:03/11/09 17:03 ID:Xc1+RB9l
>>424
ウェイロタ?
426名無しさん:03/11/11 11:58 ID:yyuea0R0
最近MAX7Kは使わなくなった。消費電力大きいしたいして高速でもないし。
7032あたりをGALの代わりに使う程度。
427名無しさん@3周年:03/11/12 00:57 ID:N73xfXkk
そうだね
428名無しさん@3周年:03/11/13 00:40 ID:caw8AKWW
coolrunner マンセー
429名無しさん@3周年:03/11/13 08:37 ID:H+UwmKBC
ところが、クールランナーにしようかなーなんて事を
営業に言うと急に値段が下がったりするんでよっぽど
クールランナーでないといかんような用途でないと
MAX7000を使ってるって話もありまつ。
430名無しさん@3周年:03/11/17 20:46 ID:5DqDX/6h
誰かStratixIIとCycloneIIの詳細キボンヌ
431名無しさん@3周年:03/11/19 00:00 ID:b67+86oS
えっと、今までPICとかH8とかをいじくってきたのですが、
CPLDのピンって状況に応じて、動作中に入力にしたり出力にしたりハイインピーダンスに
したり出来るものなのですか?
 ざっと参考書見た限りでは、CPLDでは、
たとえば、あるピンにHがきたら、あるピンを出力から入力に切り替える という使い方が
出来るのかどうなのか、よくわかりませんでした。
432名無しさん@3周年:03/11/19 00:31 ID:YfEhjUIq
>>431
スリーステート とか トライステート(これ商標だっけ?)で ぐぐれ

ふつー I/Oピンは3つの信号があって
IN
OUT
OE


入力はふつー、使わないときは放っておくもんだ。
433名無しさん@3周年:03/11/19 12:31 ID:Gohp/GcA
>>432
いや、だから、Flashを焼いた後、
実動作時に、ピンのIN,OUTを指定しなおす事ができるのかと。
434名無しさん@3周年:03/11/19 13:50 ID:Z4UhDkh4
>>432
トライステートって普通H出力、L出力、Hi-Zの3つってことでしょ。
435名無しさん@3周年:03/11/19 15:07 ID:VEO4ZNBD
>>433
出来ますよ。
IN,OUTを指定し直すというよりも、出力バッファをON/OFFするだけですが。
入力としてはいつでも参照可能です。
436名無しさん@3周年:03/11/19 20:35 ID:d/FJFnZ8
ということは
メモリアクセスも普通にできるってことですね?


通りがかりのものです。
437名無しさん@3周年:03/11/19 21:09 ID:VEO4ZNBD
ええ、やってます。
438名無しさん@3周年:03/11/20 05:31 ID:RhEJwdCJ
CycloneIIって、何よ?
そんなの出るの?
439名無しさん@3周年:03/11/20 12:38 ID:yLtS3Ky3
440名無しさん@3周年:03/11/24 15:25 ID:iNY4cc1w
シンセ/フィット/シミュのために買えるPCで
最速のものは何? 体験談教えろみなさん。

俺の脳内では、Athlon64 FX-51(2.2G)が最速のような
気がしてならない。XEONとかの体験談もきぼん。

あと、コストパフォーマンス的に最速なのは
AthlonXP(Barton)と思われなのだが、どうだろう?
441名無しさん@3周年:03/11/24 15:26 ID:iNY4cc1w
シンセ/フィット/シミュのために買えるPCで
最速のものは何? 体験談教えろみなさん。

俺の脳内では、Athlon64 FX-51(2.2G)が最速のような
気がしてならない。XEONとかの体験談もきぼん。

あと、コストパフォーマンス的に最速なのは
AthlonXP(Barton)と思われなのだが、どうだろう?
442名無しさん@3周年:03/11/26 01:03 ID:PxO6WLtF
単刀直入に聞きますが、
アルテラとザイリンクスのCPLDとFPGAってどれが一番いいですか?

http://www.wakamatsu.co.jp/2f/pld.htm
443ななしさん:03/11/26 10:30 ID:QAzPa+Qj
>>442
アルテラ
444名無しさん@3周年:03/11/28 18:06 ID:6onDxHDK
>>442
アルテラはVerilog-HDLかVHDLかどちらかしか選べないからヤ。
いっとくが、VHDLはVerilog-HDLの略じゃないからな。
445名無しさん@3周年:03/11/28 18:16 ID:/Rs8XHIu
XilinxってVHDLとVerilog-VHDLどっちを使うの?
スパルタンはどっちなの?
446名無しさん@3周年:03/11/28 18:42 ID:na04bk7c
>>445
どっちも使えるよ
サードパーティの開発ツールも使える
447名無しさん@3周年:03/11/28 19:45 ID:/Rs8XHIu
>>446
さうなんだ
ありがとう。
448名無しさん@3周年:03/11/28 20:12 ID:Z6FSve/s
実際、企業が使っているPLDって
アルテラ、ザイリンクスどっちなんですか?
449名無しさん@3周年:03/11/29 23:37 ID:zN4wiyza
アクテルだよ
450名無しさん@ACEX1K:03/12/01 09:27 ID:ZX42TdK5
>>448
カノープスのビデオキャプチャボードにアルテラがのってた。
451名無しさん@3周年:03/12/01 10:26 ID:c4dZO+on
>>448
企業はアルテラだよねぇ。歴史の違いか。
(AVRの方が長所多いのに、PICの方が広く使われているとか、さ)
452名無しさん@3周年:03/12/03 00:15 ID:HNE2TDTK
AVRとPICは比較できるシロモノではないんだってば。
PICは直接のコンペチが無い。
AVRはそれこそ8051の亡霊からH8までモロに競合している。
453名無しさん@3周年:03/12/03 15:04 ID:2Aw9Cv91
>>452
picより先にavrが出てきていたとすれば、
picがこんなに広まったと思う会?
454名無しさん@3周年:03/12/03 15:28 ID:CdwQWWSo
PICの歴史知ってる?70年代には出てたよ。
8051より古いかも

PICが広まったのは90年代も終盤になってからだよ。
455名無しさん@3周年:03/12/03 18:05 ID:R7DHDZ/i
ひょっとしてAVRってPICが売れ出してから、PICの欠点改善!なんて触れ込みで売り出したのか?

PICには直接のコンペチが無いだけでなく、欠点もない。
判っていない奴が欠点だと思っている事は、実はPICの特徴であり、利点なんだよ。
456名無しさん@3周年:03/12/03 18:06 ID:R7DHDZ/i
断言するとまたなんかいわれるから
断言はやめとこ。

したりするぞ。

と改める。
457名無しさん@3周年:03/12/05 01:02 ID:3urclE7y
>>455
PUSH/POPが無いのは、どう転んでも欠点
458名無しさん@3周年:03/12/05 02:37 ID:JwCeBgPk
>457
そんなモノが必要な用途にPICを使うことが間違いなんだってば
割り込みは使わない。スリープ状態からの復帰が唯一の例で、この場合はスタックいらない。
459名無しさん@ACEX1K:03/12/08 15:39 ID:iLItPt9u
ラジオデパートの電波堂書店にデザインウェーブ10月号が数冊おいて
ありました。まだ入手できなくて困ってる方はどうぞ。
460名無しさん@3周年:03/12/08 19:06 ID:dmtPVFZP
>>459
先週末のLAOXComputer館にも少数あった気もしないでもない。
461名無しさん@3周年:03/12/08 19:26 ID:EjvmBH9S
FPGA活用付録基盤、再生産してくれないかな
462名無しさん@3周年:03/12/08 19:41 ID:30L0EcsT
>>457
サブルーチンなんてのもPICでは邪道だからな。
463名無しさん@3周年:03/12/09 10:26 ID:/xr2DwBL
74HC244がなんだか知らんでもFPGAの設計してるやついるんだな
464名無しさん@3周年:03/12/09 10:43 ID:/woIpHTX
>>463
そりゃいるだろ
でもまったく知らないならまだマシ

中途半端に知ってるけど、各デバイス毎にパスコンを電源ライン入れることを
知らないような、生半可クンが一番手に負えない
信号線にコンデンサかまして誤魔化す前に電源ラインをきっちり作れってんだ
465名無しさん@3周年:03/12/09 14:33 ID:6JkPTqrY
>>464
なぜパスコン要るのかわかってなければ片手オチだろ
>>464がそうだと云ってるワケじゃあないので念のため
466名無しさん@3周年:03/12/09 16:17 ID:W7aqERn+
ただのバッファやラッチに74シリーズエミュレーションのマクロを使いたがる奴についてどう思いますか
467名無しさん@ACEX1K:03/12/09 16:25 ID:mbtxyfKs
>>466
古い奴だと思う。
468名無しさん@3周年:03/12/09 19:41 ID:MdhNbHI3
>>466
うちの会社によくいるよ、そういう古い設計が身に染みてるの。
そして揃いも揃って回路図入力。
469名無しさん@3周年:03/12/09 19:46 ID:MdhNbHI3
あとあえて使う理由も無いのにアレイクロックだの
ゲーテッドクロックだのリプリキャリだの使いまくり。
470名無しさん@3周年:03/12/09 21:17 ID:SdzuhpZm
実際の現場でそんなの許されるのか?
471名無しさん@3周年:03/12/09 22:45 ID:MdhNbHI3
漏れもそう思うんだが実際動けば勝ちだからな。
あまり新しい技術とかもあまり入ってきてない職場だし。

尤も動かなくて修羅場ってるのも良く見た(藁
472名無しさん@3周年:03/12/10 00:25 ID:eFVFcJBA
リセットに色んなゲート通した信号つなぎまくるよ
473名無しさん@3周年:03/12/10 00:27 ID:eFVFcJBA
>>463がものすごい遅レスをしていることに今さら気づいた。>>245あたりの話か。
474名無しさん@3周年:03/12/10 02:33 ID:yjcAxcrH
245があれば244はいらないョ
475名無しさん@3周年:03/12/10 04:00 ID:sfDfgzmj
>>471
やな職場だな。
てか凄くレベルが低く見えてしまう。
476名無しさん:03/12/10 09:41 ID:6qdQazbn
74シリーズのマクロが好きな人は非同期回路が好き。
TTLの時代には信号にコンデンサをぶら下げて誤動
作から逃げておいて完全に動作したと威張っていた人。
そんな人はなぜアルテラの回路図でコンデンサが使え
ないんだと文句を言ってるかも。
477名無しさん@3周年:03/12/10 10:53 ID:1aPnStYs
74244マクロを何回も通して
ディレイを作ろうとする人がいるよ
最適化ってなんですか?
478名無しさん@3周年:03/12/10 10:59 ID:Y3G9AGVc
FFの~Q 出力を使いたがる古いヒトはいるよね

なんでキューバーないのー? って

つーか、もうスケマ入力やめてください
479名無しさん@3周年:03/12/10 12:12 ID:puWLhlMS
あのさぁ・・・。


HDLで書けよ。スケマ禁止。ってうちはそうした。
480名無しさん@3周年:03/12/10 12:13 ID:sfDfgzmj
>>479
うちは過去の遺物を修正するときのみ封印を解いてる。(w
481名無しさん@3周年:03/12/10 15:23 ID:Jy0jElAY
古くて悪いかよ。
FFのすつりょくを両方つかえばスキューが少ないから好きなんだよ。
482名無しさん@3周年:03/12/10 16:01 ID:fqs/QMp9
>>481
メタステーブル、グリッチしないよう気をつけるべし。
483名無しさん@3周年:03/12/10 16:43 ID:Y3G9AGVc
>>481
Altera、Xilinx どちらでも、論理セル内のFFは正論理出力しかない
なのに昔のノリで負出力をつかうと、正出力をINV通した回路が合成されてしまう
つまりFPGAの回路設計においては、まったくの無駄な努力。つーかむしろ害
484名無しさん@3周年:03/12/10 18:04 ID:fqs/QMp9
>>483
Δ遅延をうまく使ってもそうなっちゃうの?
485名無しさん@3周年:03/12/10 18:54 ID:puWLhlMS
>>483 禿道。 あとうちは非同期回路も原則禁止。
漏れが部下のを見つけたら、その回路は却下。
486名無しさん@3周年:03/12/10 23:44 ID:XE7vz9vT
>>475
実際やな職場だ(苦藁)、まぁアナログがそこそこ出来る分ましなんだが。

>>479
漏れは下の方にいるんで自分ではHDLで書くが人には強制どころか
布教してもなかなか賛同者が増えぬ。まぁみんなジジ(ry
そんな訳で一時的なヘルプの時は嫌々スケマで書いたりもしてる。

>>485
却下してみたいが管理する仕事が大嫌いだ罠
487名無しさん@3周年:03/12/11 13:21 ID:n9iJGTR9
>Altera、Xilinx どちらでも、論理セル内のFFは正論理出力しかない
>なのに昔のノリで負出力をつかうと、正出力をINV通した回路が合成されてしまう
>つまりFPGAの回路設計においては、まったくの無駄な努力。つーかむしろ害

ちょと待ってよー。process文(順次処理(sequential))訊かないの?
そうなるのは同時処理(コンカレント(conccurent))のときじゃないの?
参照↓
http://www-isys.eei.metro-u.ac.jp/~sho/pub/sw_vhdl/node42.html

例えば、
process 〜
begin
Q0<=(D and C)
Q1<=NOT(D and C)

のようにすれば、Δ遅延なくそれぞれの式を同時に代入でしょう?
488名無しさん@3周年:03/12/11 13:35 ID:/3n9Dd1W
>>487
ここまで具体的に回路を書かないと行けない設計ってそうないだろ。
何のためのHDLだい?そう言うのを本末転倒と言わんか?
489名無しさん@3周年:03/12/11 13:51 ID:n9iJGTR9
そおかぁ、コンカレントとシーケンシャルを使い分けるためには具体的に回路が見えてないと・・・(結局ワイヤードロジックなってしまう)
だから、本末転倒なのかぁ。結局ビヘイビア(ゲートレベルと対極)で書かないとHDLの意味なし、か。
ビヘイビアで書いたとしても結局FF,RATCHが生成される可能性があるからCの方が勝ってるのかなぁ。(最近のCがこの辺の生成を抑えるモードがあるのか知らないけど)
490478=483:03/12/11 13:55 ID:Tyo6gMYD
すまんが >>487 ID:fqs/QMp9 の指摘がまったく理解できません

FPGAへの回路実装(map+place+route)の話をしてるんであって
VHDLシミュレータの話をしてるつもりは、まったくないんだが

ものすごい思い込みでとてつもない誤解をしていると思われる

AlteraもXilinxも 論理セル最小単位は
「組合わせ回路 + フリップフロップ」って実装なの
だからFFの出力を反転するって信号つくるには
セル1内のFF + セル2の組み合わせ回路 って形で 2つ論理セル消費するの
だからむしろ害になる場合があるの
491名無しさん@3周年:03/12/11 14:10 ID:n9iJGTR9
>AlteraもXilinxも 論理セル最小単位は
>「組合わせ回路 + フリップフロップ」って実装なの

そ、そうなのか。_| ̄|○ガックシ。
                ̄
まさに「ものすごい思い込みでとてつもない誤解」でした。
492名無しさん@3周年:03/12/11 14:10 ID:/3n9Dd1W
>>489
スマンが日本語で書いてくれ。
493名無しさん@3周年:03/12/11 14:41 ID:n9iJGTR9
>>489(アル、ザイの基本単位素子である組合わせ回路 + フリップフロップを分かってない(w、記述ではあるが・・)の日本語ver。

Δ遅延使うか、使わないか(VHDLのprocess文使うか使わないか。コレによって、前の入力をモトにせず、process内の代入がすべて同時に行われるか、はたまた、process文を使わず、A→B→Cというように順番に代入になるか。)
を判断するには、RTL記述のレベル(チップの内部をエリアに分けて、エリア単位で書く書き方)では誤りが生じやすく、回路レベルで組まなきゃならない(ワイヤードロジック)。
もし、ビヘイビアレベル(チップの入出力pinレベル)で書いたとしても、結局process文使うか使わないかどちらかを選択しなきゃならない訳で、正しく書くためには(特にFF,RATCHがあるなら)結局回路レベルまで降りなきゃならない。
ところでCで書くと、FF、RATCHの生成を抑えてくれるオプションがあるのかな?

てなつたない翻訳デシ。
494名無しさん@3周年:03/12/11 14:52 ID:Tyo6gMYD
古い人間の偏見かも知れんが、俺は
合成された結果の回路が思い浮かばないヒトにまともなHDL記述はできない
と確信している。

ビヘイビアコンパイラとかsystemCで
回路設計エンジニア不足が解消されるなんて嘘っぱちだろ
495名無しさん@3周年:03/12/11 15:03 ID:0QquT5uv
そこそこ動くものは量産できるようになるでしょ
496名無しさん@3周年:03/12/11 15:34 ID:/3n9Dd1W
>>494
RTLを書くときになるべく心がけるようにはしてるが、FPGAのLEの構造迄
考えた書き方ができるかとか考えるとどこまで意味があるのか悩んで
しまうこともある。
最近は、余程こういう回路になっていて欲しいと思うことがない限り
気にしないことにしてる。
まだ使ってはないがシンプリファイのHDLアナライザ等を使いこなせれば
実装された回路とRTLの関係が解るのでは無いかと思ってるんだがどう
かな。
497名無しさん@3周年:03/12/11 16:05 ID:n9iJGTR9
>>296
う〜ん。例えばFF使ってもシフトレジスタとか確立されてる、そういうブロック回路を組み合わせて行くって考え方でいいと思うんだけれど。
それでトラブッたとすると、確立されているブロック同士のつなぎが悪いて分かるでしょう。
コントロール信号やタイミング信号のロジック組むときは、そういうブロック回路はないから、同期にしろ非同期にしろ、まさにメタステーブル、グリッチの温床になるから、FF、RATCHの使用は避けたいけれど。
コントロール、タイミング系以外は、基本的にデータの保管、移動でしょう(ブロックとして確立されてる)、アドレスにしろ、データにしろ、LSIにしろ、バスブリッジにしろ。

例えば、コントロール信号に関してCPU命令という点でみてみると、正論理、負論理両方使う(FF,RATCHができてしまう可能性がある)のは、ほぼ条件JMPでしょう。
それ以外は殆どレジスタ移動やビット操作。こんなところからも注意箇所は分かってくるでしょ。
498名無しさん@3周年:03/12/11 16:13 ID:n9iJGTR9
↑すまん、上記幾つかのレスでラッチをRATCHと書いたのはLATCHの誤りの模様。
499名無しさん@3周年:03/12/11 16:42 ID:Tyo6gMYD
すまん
誰か ID:n9iJGTR9 の言うことを日本語に訳してくれ
特に 例えば〜 以降がまったくわからん

HDLになって一番楽になったのはステートマシンの記述なのに
何を問題視しているのかまったくわからない
500名無しさん@3周年:03/12/11 16:56 ID:/3n9Dd1W
それ以前にFPGAのLEの構造を考えたら終わりなんじゃないかな。
FPGAの場合、LEがRTLによってどう修飾されるかに尽きるわけだし。
501名無しさん@3周年:03/12/11 17:02 ID:Tyo6gMYD
>>500 がズバリ正解だろうな
他にも配線遅延を考える必要はあるが…
502名無しさん@3周年:03/12/11 17:19 ID:n9iJGTR9
>>499
>特に 例えば〜 以降がまったくわからん
てことは。「う〜ん」だけしか・・・(ry(´д⊂)
結局、FF,LATCHで意にそぐわない動作にならない為に如何にしてこれを防ぐかってことを書いたんだけど・・・。
503499:03/12/11 17:26 ID:Tyo6gMYD
私の経験では、RTLで論理記述して
合成前のモデルのシミュレーションと
ポストレイアウトモデルのシミュレーションとで
挙動が違ったことなんかないのだが?

もちろんターゲットデバイスに入らないとか
目的の周波数ではまわらないとかはあるんだが
普通 ロジックが異なるなんてないだろう

単に ID:n9iJGTR9 は 合成可能な記述法(つまりRTL)を知らないだけなのか?
504名無しさん@3周年:03/12/11 17:31 ID:4uMZk90b
すいません。

RTLとTTLってどう違うんですか???
505名無しさん@3周年:03/12/11 17:49 ID:n9iJGTR9
>>503
メタステーブルとかグリッチとかではまることないの?
http://www.tij.co.jp/jsc/docs/faq/logic/logic/lv/pdf/1p_metas.pdf
http://www.ylab.sk.shibaura-it.ac.jp/menplus/coinc-pci/DecideSpec/EventTiming.html
シュミレーション結果と試作品動作が違うなんてことないの?
506名無しさん@3周年:03/12/11 17:57 ID:n9iJGTR9
>>504
ぎゃはは〜。
秋月、千石、若松などを語るスレ を見てみな。答え書いといたから。
507499=503:03/12/11 18:02 ID:Tyo6gMYD
>>505 ないよ 君はあるの?

完全同期回路で正しく制約条件をつけておけば
グリッチやメタステーブルではまることはない
(ツールがはまらない上限の動作周波数を教えてくれる)

わたしは非同期FIFOも設計したけど、気をつけて設計すればハマらない。

テストベクタが不完全で、実機で意図しない不具合が見つかることはあるが
同じ条件のテストベクタを作って流せばシミュレーションでも再現する。

alteraとxilinxのツール使ってる場合で、
シミュレーションと実機で動作が食い違った経験はない
508名無しさん@3周年:03/12/11 18:16 ID:3MnjU6yV
>>507
ヨウスルニデバイスを4Uもって使っているわけだな。

>>506
RTL: レジスタトンでもロジック
TTL: ターミネーチョンタイムオブライフ
509名無しさん@3周年:03/12/11 18:24 ID:n9iJGTR9
>>506
>完全同期回路で正しく制約条件をつけておけば
>グリッチやメタステーブルではまることはない

これは、FFやラッチ同士をつなぐときはカスケードに(直列)に繋ぐとかでしょ。
並列に繋いでその出力を同じとこで利用しちゃいけないとか・・・。

シフトレジスタとか確立してるブロックは見事に直列になってて、その辺の心配が排除されてる。
そのことを>>499で書いたYO。

TTLはトランジスタトランジスタロジックだと思ってた。要するに、C-MOSデバイスでなくて、Tr、BTLで内部回路を構成したデバイス。
510名無しさん@3周年:03/12/11 18:40 ID:3MnjU6yV
TTLといわれているICでTTLだったのは ノーマルとHとLの時代だろ。
LS以降はDTLだし。
今は74シリーズなら全部TTLと呼ばれている。
511503=506:03/12/11 18:52 ID:Tyo6gMYD
>>509の日本語がまたよくわからない

> 並列に繋いでその出力を同じとこで利用しちゃいけないとか・・・。
特にここね 何を問題にしてるのかまったく見えない
ステートマシンだって、データパスと変わらんでしょうに

>>510
> 今は74シリーズなら全部TTLと呼ばれている。
異議あり
少なくとも私は74HCとか74ASとかを TTL呼ばない
だってロジックレベルがTTLじゃないじゃん
512名無しさん@3周年:03/12/11 19:06 ID:n9iJGTR9
DTL
確かにLowPowerショットキーバリアダイオードでプルダウンされてるし、入力もBTLじゃなくてショトキーだなぁ。

>並列に繋いでその出力を同じとこで利用しちゃいけないとか・・・。
具体的にいうと□をFFだとして

ー△ー〜ー□ーー△
 |      |
 ーーーー□ーー-
こんな繋ぎ方。駄目でしょ?ちょっと曖昧な絵だけど。
シフトレジスタなんかは
ー□ー□ー□ー□ー□ー□ー□ー□ー
と見事に直列につながってて、メタステーブル、グリッチの心配ない。
513絵を訂正:03/12/11 19:08 ID:n9iJGTR9
ー△ー〜ー□ーー△ー
 |         |
 ーーーー□ーー-
514511:03/12/11 19:13 ID:Tyo6gMYD
>>512

△は組み合わせ回路ってことでいいのかな?

ー△ー〜ー□ーー△ー
 |         |
 ーーーー□ーー-

全FFのクロックが同じ(完全同期)としたら
まったく問題ないですけど? 何が問題ですか??
515名無しさん@3周年:03/12/11 19:20 ID:3MnjU6yV
なんか動機式って好きになれないんだよな。

全加算器つくるんでも、ハーフアダー2個つかってってのが嫌なんだよ
キャリルックアヘッドするもん。1ビットでも。
516名無しさん@3周年:03/12/12 08:39 ID:ux+AIbcL
Δ遅延クンは「モウコネーヨ ウワーン」状態?
517名無しさん@3周年:03/12/12 09:09 ID:KrVgdk6u
FPGA、HDLを使ったことがない奴が知ったかするから突っ込み入れられて
火だるまになるんだよ。
518名無しさん@3周年:03/12/12 09:13 ID:ux+AIbcL
学生実験でmodelsimでのシミュレーションまでは経験あるが
論理合成して実機で試験した経験はないって感じだね
519名無しさん@3周年:03/12/12 11:00 ID:Bnp64EQa
頑張れ、学生

学生のうちにプロの意見を聞けるのは良いことだ。
520名無しさん@3周年:03/12/12 17:06 ID:bd+ObhVa
>>519
禿同
学生諸君が万一就職できたとしても、そこに技術者は居ない。
万一居たとしても朝鮮語をしゃべる奴だぞ。
>全FFのクロックが同じ(完全同期)としたら
>まったく問題ないですけど

>>514
なら問題ないけどね。クロックをイネーブルとして組み合わせ使って非同期なら危険でしょ。
522名無しさん@3周年:03/12/12 17:54 ID:KrVgdk6u
クロックをゲートしてなければ問題はクロックに対するセットアップタイム、
ホールドタイムに集約されてしまいます。
だから設計時に管理べき項目が絞り込める。 同期回路の利点だね。
しか〜し・・・、非同期じゃないと外部ケーブル接続IFのドライバチップはできない罠。
同期だけだとやれる部分が制限される。
524名無しさん@3周年:03/12/12 18:33 ID:ux+AIbcL
>>521 だいぶ理解してきたようだ

そして、気になってたのが >>497 のコレ
> コントロール信号やタイミング信号のロジック組むときは、そういうブロック
> 回路はないから、同期にしろ非同期にしろ、まさにメタステーブル、グリッチの温床にな
> るから、FF、RATCHの使用は避けたいけれど。

かなり謎の日本語なんだが、この手の回路はFFを使わないで組むべきだって主旨か?

コントロール信号やタイミング信号のロジックは同期回路で組める。
というか同期回路にしないといけない。
いわゆるステートマシンとか有限ステートマシンってヤツだ。
「ステートマシン ミーリー型 ムーア型」ってキーワードで検索してみそ
525名無しさん@3周年:03/12/12 18:44 ID:ux+AIbcL
>>523 そうそう
非同期というのはそういう限られたところにだけ出てくる話なんだ
非同期信号の取り扱い方って、それだけでスレひとつ立ててもいいくらい奥が深いよ
CPUのマイクロコードはクロックより高速だから命令制御部は非同期だよ。
527名無しさん@3周年:03/12/12 23:46 ID:KrVgdk6u
>>523
外部とのI/FはサンプリングかFIFOが基本でしょ。
ダブルラッチで対処するのが普通だわね。
結局、パッケージの中と外はサンプリングかFIFOでしかI/Fできないから
結局そこでもセットアップ、ホールドタイムって縛りがあるのは変わらんよ。

>>526
マイクロコードですか。
具体的にいつ頃にCPUっすかね?
528モウコネーヨウワーンヽ(`ヘ´)ノ火ダルマ:03/12/12 23:59 ID:y6oTLC3D
>>527
FIFOならシリアル、シリアルなら入出力側にラッチではなく(パラレルの手法)、順序回路というかシフトレジスター。
もちろん、内部のレジスタはラッチだけれど・・・。(ただし、メモリ構造持ってるのは知らん)

>>527
某PENのマイクロコード命令シーケンサ、命令デコーダ、リザベーションステーションはそうでしょう。
clockより速く動作している。従って非同期。
529名無しさん@3周年:03/12/13 00:27 ID:YeidZgCu
>>528
マイクロコードとは何ですか?
てか今時?(w
>>529
だなぁ。最近はRISCじゃなくて、MENTORか何かでワイヤードで組んだCPU命令少ないCISCに向かってるもんなぁ。
>>530
あぁあぁ・・・、RISCとCISCを逆に書いちゃったよ。
532補正:03/12/13 00:40 ID:N9uglEuH
>最近はRISCじゃなくて、MENTORか何かでワイヤードで組んだCPU命令少ないCISCに向かってるもんなぁ。

プ。 すごい! 理路生前。 
533名無しさん@3周年:03/12/13 00:47 ID:YeidZgCu
>>530
どうでも良いから具体的な実装例等で語ってくれませんか?
また何故パイプラインのステージを細かくしていくのか理由を知りたいです。
>>532
だから、>>531の通り間違えたよ。まったく炎上だ。ヽ(`ヘ´)ノ
でメール欄の
>SRAM方式のFPGAはwired logicなのか?
SRAM方式?知らんが、FPGAでもワイヤードで書けるだろう。
>>533
>パイプラインのステージを細かくしていく
CISCならCPU命令多いからそうなってしまうだろう。
536名無しさん@3周年:03/12/13 00:57 ID:YeidZgCu
>>535
で、マイクロコードとの相関関係をきっちり説明して下さいよ
>>536
試されてるなぁ。
CPUがオペコードを解読し、それによりCPU各所のレジスタのゲートへ入出力の指示を出す手順を回路にしなきゃならない。
CISCのようにオペコードが多いものはワイヤードで組むのは大変だ罠。
だから、オペコードによりマイクロプログラム部に飛ぶ番地を振り分け(解読)する命令デコーダや、指示手順を書いてあるマイクロプログラム部をプログラムコードで書いて回路化して、あたかも内蔵ROMのように指示手順を埋め込む。
どうですか?
↑てか、はずした?
リザベーションステーションとかリオーダバッファとかも含めたムズイ処理待ちの話?
「パイプラインのステージ」とか言ってるくらいだから、明らかに分かって聞いてるよなぁ。
エンジョーだよまったく。ヽ(`ヘ´)ノ
539名無しさん@3周年:03/12/13 01:28 ID:ZCWBt9+R
唐突だけどStratixにはがっかりした。
540名無しさん@3周年:03/12/13 01:30 ID:YeidZgCu
てか二段階のデコード等をマイクロコードと呼んで良いのか?と言う問題があったりする。
どちらにせよ命令デコーダで解釈される迄にかかるクロック数で考えると実は非同期回路が
入ってるのかどうかは微妙で、マルチサイクルパスを非同期と呼ばないのであれば非同期
回路にはあたらないと言う結論に達すると思うが。
541名無しさん@3周年:03/12/13 05:55 ID:7iuLazcR
>>540
おれは同期回路といって問題ないと思うよ

FPGAでもマルチサイクルパスの同期回路はつくれるけど
制約条件の与え方を工夫しないと、駆動周波数が低く見積もられてしまいそうだ

Δ遅延クン だいぶ通じる日本語が使えるようになってきてウレシイよ
なんつったって Δ遅延うんぬん 言わなくなったのが大進歩だね
シミュレータの実装の話(イベントドリブンで信号を変化)であって
実際の回路とは無関係だからな > デルタ遅延
542名無しさん@3周年:03/12/13 11:31 ID:TWbLOicR
>>539
具体的にどこが?まぁ私もいくらか失望してるのだが。

中が高速でも出力ディレイが大きすぎて、さらに偏差も
大きいからディレイ使っても後段の回路でクロックで叩けない
(レートが大幅に変わるからPLL使えねぇし)。

そういう点Marcuryは高いなりに良かったがもうメンテナンス
フェーズ→近い将来ディスコンらすぃ。
543名無しさん@3周年:03/12/13 11:39 ID:7iuLazcR
誰か Virtex3のウワサ話してよ
>シミュレータの実装の話(イベントドリブンで信号を変化)であって
>実際の回路とは無関係だからな > デルタ遅延
ハァ?(゚д゚)。PROCESS文を使うか使わないかで反映される回路変わってくるだろう。
記述中でΔ遅延があるかないかでロジックも当然変わる。

>駆動周波数が低く見積もられてしまいそうだ
う〜ん。良く分からんけど、誤動作ってことか?
スキューのズレ等で・・・。
545名無しさん@3周年:03/12/13 12:24 ID:YeidZgCu
>>544
レスするなら一応ポインタくらい示しなされ。
546名無しさん@3周年:03/12/13 12:26 ID:YeidZgCu
>>544
>>PROCESS文を使うか使わないかで反映される回路変わってくるだろう。

これに関しては、アナライザ等でバックアノテーションしないと言い切れないと思うぞ。
547名無しさん@3周年:03/12/13 12:26 ID:mLrc+wSJ
>出力ディレイが大きすぎて、さらに偏差も大きいから

内部回路が高速なら、外の回路の配線Zが悪いんじゃない。
チップ型積層セラミックなんかでスピードアップコンデンサ(カップリングコンデンサ)噛ませてやってもなまるの?
PLL復調?でFPGA使ってるのか。。。普通そうなのか知らないけどすごいな。
どれくらいの周波数か分からんけど。。。
548541:03/12/13 13:38 ID:7iuLazcR
>>544
シミュレーションと論理合成をごっちゃに考えてるね

シミュレータの実装の話でしょ > デルタ遅延
シミュレーションソフトのスケジューラにイベントいれる時、
実回路では同時に発生するイベントのはずなのに、シミュレータでは処理に
前後が生じる。これをデルタ遅延って概念もちこんで説明してるだけ

実際の回路は、すべての素子が本当に同時に動いてるんで
デルタ遅延なんて概念が入ってくる余地はない

別の言い方すると、実回路の動作をソフトウェアでシミュレーションしたいのに、
ソフトでは各信号について順番に処理することしかできなくて困るから
デルタ遅延って概念を導入したってこと。(別の言い方になってないかも?)

つまりデルタ遅延は、実回路をシミュレーションするための方便に過ぎない
549名無しさん@3周年:03/12/13 13:45 ID:YeidZgCu
>>548
ひょっとしたら、SDFを読み込んで実遅延Simの事を言いたかったのかも知れない。
550名無しさん@3周年:03/12/13 14:32 ID:mLrc+wSJ
>>548,>>549
いや、シミュレーションの話じゃないよ。
じゃないのに、Δ遅延なんて持ち出したのが悪かた。実装には関係ないね、鬱。
で、>>548の文章見て、かなり混乱してるんですけど、
ただ、PROCESS文は実装でも用いるでしょう。
例えば
A_|\。_B|\。_C
   |/   |/
PROCESSを用いず
B<=not(A)・・・@
C<=not(B)・・・A
とやると、もし、Aが1→0になると、@のBは0→1になり、これを踏まえてAのBは0→1になり、Cは1→0になる。
つまり、同時処理代入は評価と代入順番に行われ、かつ一度の動作で最初の式から最後の式まで行ってる。
対し、PROCESSを用いて
PROCESS〜
B<=not(A)・・・B
C<=not(B)・・・C
とやると、もし、Aが1→0になると、BのBは0→1になり、これと別にCの評価が行われてBは0で、Cは1→0になる。
つまり、順次処理では評価と代入が順番に行われず、かつ一度の動作でそれぞれ別々に行われている。
この場合、実回路だと入力から出力までの遅延があるから、下の書き方が正しそうだけれど、
VHDLだと上の記述が正しい、で、実回路の入力から出力までの遅延を考えるときSDK使うでしょ。
VHDLだとイベントドライブだから、ΔT秒後にBが変わると、Cの式の評価が新たに行われるから(手前にif文で設けていれば)、CのBが0→1になり、Cが1→0になる。
だから、結果として、ミクロで見なければ一緒だと思うけれど。
ただ、PROCESS使って下の様に書くと、インバータのインバータの間にラッチが入って生成されると思う。
551名無しさん@3周年:03/12/13 14:34 ID:YeidZgCu
まだその話題を引っ張ってたのか。
とっくに終わったと思ってたわ。
552名無しさん@3周年:03/12/13 14:40 ID:mLrc+wSJ
>B<=not(A)・・・B
>C<=not(B)・・・C
>とやると、もし、Aが1→0になると、
BのBは0→1になり、これと別にCの評価が
行われてBは0で、Cは1→0になる。
              ~~~~~
          ↑
         ここが間違い!
Cは1のまま。ノワーンヽ(`ヘ´)ノ無茶苦茶だー。誰も読んでくれんよゥ。
553名無しさん@3周年:03/12/13 15:08 ID:mLrc+wSJ
>>550のPROCESS使ったのの内容が、まだ間違いがあって無茶苦茶だからもう一度書くよ。
PROCESSを用いて
PROCESS〜
B<=not(A)・・・B
C<=not(B)・・・C
Aが1→0に変わると
T秒後の式の評価と代入
Aが1→0になる。
Bへの代入値の評価:0→1
Cへの代入値の評価:1のまま
B,Cの代入はまだ行われない。

ΔT秒後の式の評価と代入
Aは0のまま
Bは0→1になる
Cへの代入値の評価:1→0

2ΔT秒後の式の評価と代入
Aは0のまま
Bは1のまま
Cは1→0になる

だからPROCESS文使うと3回目のPROCESS内ループ(3度目の動作)でPROCESS文用いない処理と結果が同様になる。
もちろん、シュミレーションでの記述でなく本体での記述。
554名無しさん@3周年:03/12/13 15:26 ID:mLrc+wSJ
↑もし、PROCESS内3度ループの間に他でイベント生じるとPROCESS文用いないのと動作が異なってくる可能性がある。
やっぱ、FF、ラッチのような必要箇所以外はPROCESS用いないのが吉でシュミレーション段階で実回路の入出力の遅延を含めるのが良いのか。
555名無しさん@3周年:03/12/13 17:14 ID:bAeJ8PDz
スレの流れを読まずにカキコするが
Stratix EP1S10 使って遊んでいるアマチュアだ。

Quartus II 3.0 にて、Logic Optionの
Auto Packed Registers=Minimize Area with Chains
を、特定のモジュールに対して有効にさせる方法はない?
このオプションを論理全体に適用するとかなり集積度が上がるのは
いいんだが、段数が増えてしまってかなり速度制約がキツくなるでの。

お前ら教えてください。できないような予感もするが…
556548:03/12/13 19:03 ID:7iuLazcR
>>550,552-554
まぁ落ち着け

実際の回路は、代入とか評価なんて概念で動いているわけではないんだ。
それはあくまでもシミュレータの概念。

それとも 「実装」って言葉を 論理合成+配置配線 みたいな意味合いで
使ってる? HDL→netlist って変換を「実装」って呼べなくもないが、
業界では普通それを「論理合成(logic systhesis)」って呼ぶんだ。

論理合成のソースとなるHDLコードがどこまでルーズな書き方が許されるのか
それは論理合成ツールの進化で変わっていくだろうし、近い未来に万能な
ビヘイビアコンパイラも出てくるかもしれない。いま使われているオマケの
FPGAベンダがサポートする合成ツールはRTL記述しか受け付けないわけだが。

前にも言ったが、オレは合成した結果の回路を想像できないヤツが
書いたコードはクソだとおもっている。現在の技術じゃ実際そうなんだよ。
将来の合成ツールの進化次第では違ってくるかも知れないけどね。

もうちょっと、実際の回路から見た視野で勉強しなおしてみてくれ。
いくらシミュレータの動作に精通したって、いい回路はデザインできないよ。
557名無しさん@3周年:03/12/13 20:42 ID:1YjFYj+n
FPGAになって、“いい回路”という言葉は死んだ。
558名無しさん@3周年:03/12/13 20:44 ID:1YjFYj+n
ソフトの世界も同じだが、
昔は小さくて速いものが良いものであり、それを作れる奴が偉かった。
ところが、M$がはびこってからは、M$のバグにぶち当たらないモノが良いものであり、それを作れる奴が偉くなった。
559名無しさん@3周年:03/12/13 20:45 ID:sUkqxZvT
クソな回路であっても、ツールの進化により製品に投入できるようになっちゃって生産性が上がるけど
技術者は育たないと。
560名無しさん@3周年:03/12/13 20:56 ID:1YjFYj+n
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。
技術者は育たない。

禿同
561名無しさん@3周年:03/12/13 21:05 ID:mLrc+wSJ
こうなってくると、双安定マルチの方がよくなってくるなぁ。
562548:03/12/13 22:39 ID:7iuLazcR
>>561
何と比べてフリップフロップのほうがいいの?
563名無しさん@3周年:03/12/13 23:09 ID:YeidZgCu
てか、何となくでも最終回路を想像しつつ論理合成ツールの癖も考えた上での設計は
今まで可能だったしそうしないと回路の動作速度は稼げなかったよ。
HDLになったからといって何もしなくて良いわけは無い。
しかし、できあがる回路に拘りすぎて本質を見失うのはそれこそ本末転倒だよ。
564名無しさん@3周年:03/12/13 23:17 ID:P/okf5lI
何をするにせよ、バランス感覚を失ってよいわけはないですからな。
565名無しさん@3周年:03/12/13 23:37 ID:YeidZgCu
>>564
「バランス」・・・そうだと思う。
てか、トレードオフってのはバランス感覚あってのことでしょうね。
566名無しさん@3周年:03/12/14 00:41 ID:jIe19M5c
バランス感覚という言葉で創造性を潰してきた結果が今の日本。
567名無しさん@3周年:03/12/14 00:44 ID:jIe19M5c
>HDLになったからといって何もしなくて良いわけは無い。

それはその通りなのだが、FPGAとかの規模が格段にでかくなっちまったわけだ。
568名無しさん@3周年:03/12/14 00:54 ID:6rwSUvNM
>>567
システムがデカいと・・・それこそモジュールが100個を越えてくると小さいところに
拘ってられなくなるよね。
ある程度RTLで回路を明示的に示してやると言った対応ですませる位が限界では
無いかと。
569名無しさん@3周年:03/12/14 00:59 ID:Y4giwiUw
>>547
なまるって言うんじゃなくって、ディレイライン通してるんじゃ
ないかって位に対クロックで遅れるのよ(データシートの数値と
Qualtusのタイミングシミュレーション上の話ね)。多分規模が
大きくなったのとIOEがDDR入出力対応と入出力規格の対応で
複雑になったせいだと思う。しかもデータシートの既定してる
範囲が最大出力レートの1周期より大きかったりするし。
_| ̄|○

デバイス内スキュ自体は小さいみたいだから、ディレイ調整を
前提にすれば使えはするだろうけど、正直そういうシビアな
ところを量産で調整させるのは後々面倒になりそうなので正直
避けたかったりする。
570名無しさん@3周年:03/12/14 01:05 ID:2pqkmx7n
論理合成シミュレーション段階で、同一回路にも関わらず上に書いたPROCESSでやるかやらないかで結果が異なるんじゃタマランな。
てか、レイアウトツールでシミュレーションすれば回路に忠実に結果が出るのか。FPGAにレイアウトなんぞないからスレチガイだが。
少なくとも、シミュレーション段階で剪x延グッチャグチャじゃワケワカランからPROCESS文使うか使わないか統一しないといかんなぁ。

571追加:03/12/14 01:15 ID:2pqkmx7n
>>568にあるように
>ある程度RTLで回路を明示的に示してやると言った対応ですませる

確実に動作するブロック単位で組み上げて、後は一個一個ゲートレベルで手動でくっつけてく方がよさげだなぁ。
ブロックは確実に動作すれば、問題起きたときに悪いのはブロック同士のつなぎってとこに焦点しぼれるし。
572名無しさん@3周年:03/12/14 01:47 ID:jIe19M5c
dynachip ってまだあるみたいだけど DL5000とか使ったことある人いますか?
5000ゲートタイプのパーツでフルに使うと15Wくらいになりそう。
573名無しさん@3周年:03/12/14 01:49 ID:jIe19M5c
>>568
ちゃうちゃう。
入れ物がでかくなったから、中に入れる物はかなり不細工でも入っちまうってこと。
丁度ソフトでノロマなプログラムでもGHzのCPUで人間からみれば瞬時におわっちまうのと同じこと。
574名無しさん@3周年:03/12/14 02:01 ID:2pqkmx7n
>>573
レジスタばっかで、コントロール回路がサラッきし、ならシミュレーションでやりやすいんだけどなぁ。
>>569みたいに
>対クロックで遅れるのよ(データシートの数値と
>Qualtusのタイミングシミュレーション上の話ね)。
なんてなったら、とんでもHAPPENだ罠。まぁ、確実に動くブロックが分かってれば切り分けしやすいんだけど。
RTLを細切れにくっつけてった回路だと再起不能に近い罠。
575名無しさん@3周年:03/12/14 02:04 ID:6rwSUvNM
>>573
漏れ個人がそう言うやり方をスマートと思えないだけだよ。
何に重点を置くかによるが適当を是としない設計をやってると合成ツール任せの
汚い記述をしてると自分のレベルが下がる気がして仕方ない。
ただそれだけ。
576名無しさん@3周年:03/12/14 02:09 ID:6rwSUvNM
>>569
直接のDDRのI/F担当ではないけど、DDRを133M(レート266)で動かしてる
FPGAの一部を担当してます。
基本的にはIPくっつけて指定のマッピングしてロジックロックで動く筈なんだが
これが大変なトラブルの元になってて担当者はひーひー言いながら走り回ってるよ。
577名無しさん@3周年:03/12/14 02:18 ID:2pqkmx7n
   。。ビヨーン
( o )~ ひゃ、133Mだぁ〜。
そ、そりゃ、空飛ぶ周波数のフェーズロックのループも作れる訳だ。。。
基板に関してもツワそうだ。自分、コプレーナとマイクロストリップの使い分けも知らん、欝。
578名無しさん@3周年:03/12/14 02:52 ID:6rwSUvNM
>>577
それが、PLLのロック信号を出して見てみるとロックがはずれてることがあるんだよ。
色々と話を聞くと、空きピンにLを出力してGNDに落としてGND強化するとか結構
テクがあるようです。 
どちらかと言えばアナログの世界ですね。 A/W屋さんに基板のパターンを解析して
貰ったり、Simして貰ったりもしてるんだけど流石にGNDのバウンスを含めた解析が
できてないので測定結果を見つつカットアンドトライ・・・。
落としどころはあるんだろうか?って状態です。
579名無しさん@3周年:03/12/14 03:48 ID:jIe19M5c
>577
コプレーなー:同軸ケーブル
マイクロストリップ:ツイストペア

シングルエンドなら? ディファレンシャルなら? ってことでいいじゃん
580名無しさん@3周年:03/12/14 15:31 ID:+rLP0MDH
考えてみると電子ブロックというのはフィールドプログラマブルな電子回路なんだな。
古の真空管回路もフィールドプログラマブルだったわけだ。
(元々は真空管と電池とトランスだけで汲まれていた)

プリント基板のノリで、マスクデーターを受け入れて少量から生産するような
半導体工場って商売として出てこないかな。
誰かヤレヨ。
FPGAを使った場合と較べれば、消費電力とスピードで有利になる筈だ。
581名無しさん@3周年:03/12/14 15:55 ID:MmTG0xJ2
>>580
> プリント基板のノリで、マスクデーターを受け入れて少量から生産するような
> 半導体工場って商売として出てこないかな。

どこでもやってる いわゆるファブだ
ALTERAだってXILINXだってファブに生産委託してる
582ガイシュツ ◆atMKiSyUTU :03/12/14 16:12 ID:0hqmeGO3
>580
>プリント基板のノリで、マスクデーターを受け入れて少量から生産するような
>半導体工場って商売として出てこないかな。

最新のDesign Waveにちょうどそういう記事が載ってるぞ
583名無しさん@3周年:03/12/14 17:23 ID:o3qBdcHg
>どこでもやってる いわゆるファブだ

んなこと言ったって数量がちゃうだろが。
数十個くらいで数万円程度〜のサービスにならなければどうにもならない。
数に拘るのではなくて、イニシャルの価格に拘るわけだ。
上限は同頑張っても50万円程度だろう。
オーディオ厨にとっては格好の小遣い稼ぎになるぞ。
信者にうればいいんだからね。
584名無しさん@3周年:03/12/14 17:46 ID:2pqkmx7n
PLLの話だが、PLLでデジタルって言ったらn分周カウンタ位だと思うのだが、
他の部分はアナログやDSPなどで構成されているのかな?
585ガイシュツ ◆atMKiSyUTU :03/12/14 18:20 ID:0hqmeGO3
>583
>582の記事によると、一番安いので5個12万4千円
586名無しさん@3周年:03/12/14 18:22 ID:6rwSUvNM
>>584
PLLってただの分周器ではないはずだが。(w
587名無しさん@3周年:03/12/14 18:33 ID:o3qBdcHg
>>585
チップサイズとか、デザインルールとかの概略プリーズ
588名無しさん@3周年:03/12/14 18:35 ID:6rwSUvNM
>>585
なぬマジで?紹介してくれ、頼む。
589ガイシュツ ◆atMKiSyUTU :03/12/14 18:51 ID:0hqmeGO3
>587
この一番安いのは1.5μルールのCMOSで2.2mm*2.2mm

>588
最新号の雑誌だからまだ売ってるはずなんでそれ読んで
590名無しさん@3周年:03/12/14 18:56 ID:6rwSUvNM
>>589
DWだね。てかそんな嬉しい話があるんなら喜んでASIC起こしまくりですよ。
591569:03/12/14 19:23 ID:Y4giwiUw
>>576
うわっ、133MHzのDDRもやばいのか_| ̄|○
今回DDR SDRAMも有るんだよな・・・
しかもコントトーラ自分で書こうと思ってたとこ
(SDRは書いたこと有る)。IP買わないとやばい
かなこりゃ・・・

ちなみにネックになってる部分は最大レート400で
しかもクロック可変範囲100倍以上・・・。
592581:03/12/14 19:36 ID:MmTG0xJ2
デザインウェイヴに載ってるのは、最近出始めた相乗りASICの話だね

発端の>>580ではイニシャルが安いって限定はなかったんで
そういう商売はどこのファブでもやってるって回答した

ちなみに相乗りASICでは
FPGAを使った場合とチップ単価(イニシャル費込み)が同じと仮定すると

> FPGAを使った場合と較べれば、消費電力とスピードで有利になる筈だ。

という差別化は満たせないと思う

相乗りASICで差別化できる点は

・不揮発性 (電源投入後すぐに機能する ROMが要らない→部品点数減る)
・耐タンパー性 (解析されにくい コピーされにくい)
・設計の柔軟性 (市販FPGAでは難しい回路を実現できる)

ぐらいじゃないかな。そういう意味で面白いサービスだと思う
593名無しさん@3周年:03/12/14 20:47 ID:6rwSUvNM
DWマガジン買ってきました。
で、価格を見たのだけど比較的細いプロセスになると急に数十倍の値段になるね。
でも、通常.25u以下だと開発費が指一本なんて当たり前の世界だから確かに安い。

気になるのはその後の量産の話だね。
594名無しさん@3周年:03/12/14 22:09 ID:dX4ILLPY
不揮発性なら、ACTELがあるぞ。

期待する差別化は
パターンが全て好きにできるなら、例えば演算回路を作る際に、ゲートを作って
マクロにして並べて演算器を構築しなくても数個のトランジスタでできるだろって意味だよ。
設計の柔軟性に含まれるのかもしれんが、結果として、消費電力とスピードが優れると思うよ
595名無しさん@3周年:03/12/14 23:23 ID:MmTG0xJ2
>>594
相乗りASICは、イニシャル費が安いのがウリなんだから、
ゲートアレイかせいぜいエンベッディドアレイで、メタル層の
マスクしか指定できないんだと思うよ。
間違いなくスタンダードセルではないだろう。

だから

> パターンが全て好きにできるなら、例えば演算回路を作る際に、ゲートを作っ
> てマクロにして並べて演算器を構築しなくても数個のトランジスタでできるだ
> ろって意味だよ。

これは無理目でしょう

それに、10人で相乗りすると、使えるゲート数が1/10になるわけで
それだけ考えても、チップ単価が同じって土俵では、FPGAに比べて
高速化、省電力化ってのはかなりきついと思う
596ガイシュツ ◆atMKiSyUTU :03/12/15 00:43 ID:C/Uvorrh
>595
> ゲートアレイかせいぜいエンベッディドアレイで、メタル層の
> マスクしか指定できないんだと思うよ。

記事見る限りではそんなことはない
工場側が提供するプロセスルールに従いさえすれば
顧客側が提供したマスクどおりに作ってくれるようだ
597名無しさん@3周年:03/12/15 01:33 ID:rGfPHL6P
パッケージの選択肢は?
598名無しさん@3周年:03/12/15 01:54 ID:rGfPHL6P
マスクデーターをどう作るかが問題か。
599名無しさん@3周年:03/12/15 10:20 ID:1QoX5Fvm
だれかDWMスレ 立てろよ

 
 
 
 
 
 
↓ 600 オメコ
600名無しさん@3周年:03/12/15 11:32 ID:tRDxQuTs
>>598
マスクデータはメーベスだろ。GDSUでもガーバでも何でもPCBしたファイル持ってけば変換してくれるよ。
自分で、確実を期したいなら、MEBESに変換しても良いし。ただ、フォトマスク、ステッパ用にMEBESのレイアウトの調整をうまくできるかって所だけれど。
601名無しさん@3周年:03/12/15 11:55 ID:tRDxQuTs
PCBじゃないな。(基板だた)
EDAの間違い。
602名無しさん@3周年:03/12/15 14:20 ID:WApO/kEP
マジでガーバーでいいの?
だったら、あとはデザインルールを貰えばイイワケジャン
603名無しさん@3周年:03/12/15 16:51 ID:tRDxQuTs
メーカによっては、フォトマスク製造装置用の専用のファイル形式のEB(ElectroBeam)データ持ってるとこもあるけど、たいていMEBESで動く。
また、メーカ独自のタイプでもガーバ持ち込めば、変換してくれる。
EBデータは、メーカ独自のは、東芝のVSB、日立のHLなどがある。
604ガイシュツ ◆atMKiSyUTU :03/12/15 17:56 ID:C/Uvorrh
そろそろスレ違い気味なんで新スレ立ててみた

カスタムIC設計を語るスレ
http://science2.2ch.net/test/read.cgi/kikai/1071478516/
605名無しさん@3周年:03/12/16 00:21 ID:08sco7Na
>>594
あとイニシャル時間は必要だけどLatticeのispXPGAとか。
QuickLogicもそうだけど、現行のEclipceシリーズも不揮発だっけ?
606名無しさん@3周年:03/12/16 01:25 ID:7JsWPWy+
alteraって、redhat ver.8 までしかサポートしてないのかな。
いっそのことlinuxのサポートやめてくれたほうがいいんだがなぁ
うちの上司がlinuxがいい環境だと思っているらしく、移行したがっていて
はっきりいってウザイ。
607名無しさん@3周年:03/12/16 07:01 ID:iaTqaK6N
>>605
Eclipseも不揮発だよ
QuickLogicはvialinkが売りだからね 配線遅延も短くて結構いいのだが
ライターがえらい高いのと、書き込み時間が長いのがつらい
あらかじめ出荷時に書き込んでもらうのが○
608名無しさん@3周年:03/12/16 07:10 ID:Eo/8SFyL
>>607
仕事の関係で、寺と材しか使ってないんだけど、アクテルとかQLogicってどんなもんなのかな?
意味がありそうなら予算を取って導入も検討したいけど。
609とりあえずQuickLogicについて:03/12/16 08:49 ID:iaTqaK6N
>>608
QuickLogicとは全然別のQLigicって会社があるから変に略しちゃダメよ

QuickLogicは、量産向けプログラマブルデバイス。
ただし1回しかかけないし、ISP書き込みも無理。
プロセスの割には結構速いよ。
I/Oが3.3Vしかないので、最近の低電圧I/Oとは相性が悪い。

WEBASICって名前で、3個×3デザインまでならタダでデバイスをくれると言う
大出血サービスしてる。(プログラム済のデバイスをFeDexで送ってくる)
開発環境ソフトもWEBからダウンロードできる。
See http://www.quicklogic.com/webasic

おれはPCIコアが入ってるQuickPCIってのを使ったことがある。
PLXより自由度があっていい感じだったよ。
610名無しさん@3周年:03/12/16 20:42 ID:j91r35ok
スゲーな。
なんかQuickLogicのファンになっちまいそう。
演算器内蔵のパーツがイイ。8x8 5ns 16+16 3ns ってすげえよな。
そんなのが1ダースも入ってるわけで、24x24ならパイプライン構成すれば
5ns毎に処理できるわけだよ。
dynachipはやっぱ最早洋ナシか?15wに対してコッチは3Wだろ。
しかも速い。
3個もらった後は幾らになるんだ?
値段の記述ページを見つけられなかったんだが。

ソフト160MBは辛いな。
611名無しさん@3周年:03/12/16 20:54 ID:Eo/8SFyL
確かに良い感じだね。
ただ、寺、材に比べるとやっぱり少々見劣りしてしまう?
何にしても一度使ってみたいと思わせる内容です。
612607:03/12/16 21:53 ID:08sco7Na
>>607=609
便乗で質問スマソ

EclipseでLVPECLで入出力して、PLL回さないで400MHzのIO性能って出せるもん?
取引がある代理店に質問投げてみたがまだ帰ってこない}」 ̄|○

>>610
水を差すようだけど、寺のStratixのハード乗算器もなかなか速いよ。
同じ位のスピードが出る。

>>611
ワンタイムって言うのが嫌がられるのかも(藁
特に仕様をころころ変えられてしまうとことか。
613名無しさん@3周年:03/12/16 22:30 ID:j91r35ok
>>612
>>610
>水を差すようだけど、寺のStratixのハード乗算器もなかなか速いよ。
>同じ位のスピードが出る。

同じくらいなんてご謙遜を。寺の廃終じゃん。性能よくてパッケージにQFPが
ないとアマチュアにはまだまだ敷居が高いです。
DWMのサイクロンボードサイズで搭載可能なのがQFP208ピンが最大です。
サイクロンのボードサイズに拘るのは、既に存在するモノだからですが。
614名無しさん@3周年:03/12/17 08:32 ID:nV6UasL2
廃終ってなんですか?
615名無しさん@3周年:03/12/17 10:18 ID:lpOqTAf5
>>614
> 廃終ってなんですか?

多分ハイエンドのつもりだろう。流石にここまで行ったら解らんからさじ加減をよろしく。
616名無しさん@3周年:03/12/17 10:21 ID:lpOqTAf5
さて、今年の夏頃ストラの20を使って画像処理関係のアプリを設計したのだけど
確か乗算器を使い切るくらい複雑な演算をしてました。 確か80個以上になるため
一分はDSPブロックを使わずLEで組んだのですが、ここまで来ると代替が無いね。
617名無しさん@3周年:03/12/17 21:26 ID:pkQ3HqFF
つまるところ、QuickLogicのバリバリの現行品はEclipseIIなんだな。
EclipsePlusよりも速くて低消費電力で安い。
しかしWebAsicにはEclipseIIは見当たらない。
618名無しさん@3周年:03/12/18 00:51 ID:QBkeTGZJ
TCP/IPで簡単に使えるロジックがほしい。
さらに要約すると、httpかtelnetのサポートをライセンスなしで使えるようにしてチョ
619名無しさん@3周年:03/12/18 07:45 ID:HNWZg4kI
>>618
それなら、最近話題のRJコネクタの大きさのIP/シリアル変換コネクタが
適してるんじゃないかと思うよ。
大容量でなければこっちの方が良いと思うがどうかな?
620名無しさん@3周年:03/12/20 02:28 ID:kJ/kyPim
>>585
やっと雑誌立ち読みしたよ。
まともなのは3mm四方で200万円〜じゃん。
パッケージはイロイロあるみたいだけど別料金らしいね。

http://www.mosis.com/
621名無しさん@3周年:03/12/20 09:39 ID:jafXwc4n
>>620
それでも断然安い。
ただ量産はどうするのだ?と言う部分はどうしようもない感じだね
622名無しさん@3周年:03/12/20 10:22 ID:5ozUHu6k
好きなだけ繰り返せばいいじゃん。
あとは完全に規模の問題だもの。

ある程度のボリュームになれば、タイワンとかのメーカーに作らせることに
なるでしょう。

ただ、3ミリ角程度だとアナログとデジタル混載みたいな変なのを作る場合を
除けば、対FPGAでの価格的な優位さはねらえるべくもなく。
最安価な奴だと、もはや実用性は無く、集積回路を作りました!って実習に過ぎない。
623名無しさん@3周年:03/12/21 23:00 ID:p6V6ctlm
VDECなんか学校の教官がターゲットなんだね。
14万ゲートなんてわくわくしちゃうけどね。
今の学生はイイね。やる気さえあれば、何でもできるのでは?
漏れらの時、学校の実験室で真空管作ったりしてた奴もいるのかな。
624名無しさん@3周年:03/12/22 00:37 ID:+MH1P+By
>>623
漏れ等の時はPLDですらJEDECのヒューズマップを代理店に送って焼いて貰ったりしてた。
それ考えたら当時最強のWSを何倍も上回る性能のPCを個人で所有してメーカーが配ってる
只のツールで趣味の開発が数十万ゲートクラスとなると、もう天国だよね。
625 ◆/Kitty/aso :03/12/22 02:39 ID:X7iNRdCI
PLDが出てきて、ワンタイムでチョンボのコスト高からアマチュアの工作が
低調になった(とは限らないが)。
LCAが出てきて、デバイスは何度でも使えるようになったが、データ書式つうか
中身がブラックボックスになって、プログラミング環境つか、ソフトとかで
膨大な金が掛かる事態となりますますアマチュアの工作が低調になった(戸は限らないが)。
ところが、メーカー独自形式の弊害が目立ち始め、JTAGも出てきて、プログラミング環境一変!。
再びアマチュアが工作をできる時代となった!
626名無しさん@3周年:03/12/22 04:20 ID:+MH1P+By
>>625
的はずれ
627名無しさん@3周年[:03/12/22 09:34 ID:/ska6jTO
ところで、10万ゲート以上もあるようなFPGAが手元にあったとして、
みんないったい何を作るのかな?
628名無しさん@3周年:03/12/22 11:28 ID:Kex4awCG
>>627
HMX-12
629名無しさん@3周年:03/12/22 11:36 ID:+MH1P+By
>>627
漏れはオリジナルのVDPを作ってる。
昔の2Dゲームっぽくスプライトとか回転拡大なんてのを実装してるんだけど
ゲートよりピンが足らん。(w
630 ◆/Kitty/aso :03/12/22 17:10 ID:HXo+zLH8
>>626
どこが的外れだよ。
専用のライターが不用になったのはこの1・2年の話だ。
631名無しさん@3周年:03/12/22 17:19 ID:DAlPEc1x
ライタくらい自分でつくるのがアマチュア
近年 ライタも自作できないヘタレが参入可能となっただけ
632名無しさん@3周年:03/12/22 17:38 ID:/ska6jTO
10万ゲートっていうと、VerilogやらVHDLでどのくらいの分量の
ソースになります?どうも想像できなくて・・

633名無しさん@3周年:03/12/22 17:43 ID:/ska6jTO
>>630
そこまで新しくないんでは?
LatticeがiSPを言い出してから10年くらいはたっていると思うが。
634 ◆/Kitty/aso :03/12/22 18:54 ID:RJQD41im
>631
バーロー
フューズデータなんか公開されていなくて、ベンダー提供のソフトでしかパタンだせなくて
個人や零細事業者にはどうにもならなかったのが数年前までの状況だ。
大倉商事には散々なご対応を頂いたので、恨みに思ってる。ザイリンクスも
同様。

>>633
JTAG普及前のISPは各社独自だった。
今は、オプションの電源の有無程度の問題で、信号センとかは同じになった。

とはいってもIEEEの規格とかは高いが。
635名無しさん@3周年:03/12/22 19:31 ID:/ska6jTO
信号線は独自だけど、だいたいパラレルポートにバッファや
トランジスタつける程度だったし。

JTAGにしても、既にMACH4あたりはJTAGでしょ?
あれからでも、5、6年はたっていると思ふ
636名無しさん@3周年:03/12/22 21:01 ID:DAlPEc1x
>>634
× 個人や零細事業者にはどうにもならなかったのが数年前までの状況だ。
○ 道楽でやってるアマチュアなら余裕でできた 難易度低

零細事業者のような余裕のないプロ/セミプロには手に負えなかっただけ
「いちいちリバースエンジリニアリングなんて工数かけてらんねーよ」ってな
637名無しさん@3周年:03/12/22 21:41 ID:+MH1P+By
アホは無視しましょう。
638名無しさん@3周年:03/12/22 21:43 ID:/ska6jTO
結局、今は楽でいいってことでオッケかな?
639名無しさん@3周年:03/12/22 21:50 ID:+MH1P+By
ま、出来ない理由を挙げ連ねて昔はとか、敷居が高いなんて言うのは
己の無能さ、行動力の無さを独白してるようなもんで、勝ち誇ったように
宣言するのはかっこいい行為かいな?
640 ◆/Kitty/aso :03/12/22 22:06 ID:L4d55nmE
今のFPGAで初代the PC は丸ごと収まるのかな?
ビデオまでは無理?
8088とその周辺チップ、

>>639
そーゆーレスを漬けるキミはカッコいいのかい?
漏れは大倉商事への恨みが大きい。
641名無しさん@3周年:03/12/22 22:19 ID:/ska6jTO
個人的にはいいけれども、「流行る」っていうことなら
敷居の高さっていうのは確かにポイントだろうね。

書き込むことが難儀だと、結局書き込むことが目的に
なってしまって、その上で何かを作るというところに
行き着く人がぐっと少なくなってしまうし。

>>640
Virtex-II Proだったら、Power-PCコアまで入っているから、
8088のコードはエミュレーションして、やれば周辺部分は入っ
ちゃうんじゃない?BRAMも10Mビットくらいあるようだし。

あまったところにTK-80とか、往年の8ビットPCまで
詰め込めたりしてね。
642名無しさん@3周年:03/12/22 22:19 ID:u1ivp7AO
>>639
えらそうなことを書いたとしても,てめーは俺たちの技術の上に
胡坐かいて設計しているだけ.

だれが作り上げてると思ってんだ,カス
643名無しさん@3周年:03/12/22 22:19 ID:+MH1P+By
>>640
お前に向けたものではないが気になったか?(w
644名無しさん@3周年:03/12/22 22:20 ID:/ska6jTO
ととと・・・うっかり途中で書き込んじまった・・スマソ
645 ◆/Kitty/aso :03/12/22 22:25 ID:L4d55nmE
ま、漏れは自他共に認める屁垂れだ。
その屁垂れが今困っているのは、USB接続でのプレイヤーをどうしたものかと。
USBパラレルとかかますと遅くなるから厭なんだよ。かといって屁垂れには
自作は無理ぽ
646名無しさん@3周年:03/12/23 08:15 ID:j/hNVs6i
とりあえずDWM10月号の付録を
袋から出すことからはじめようか。
せっかく買ったのに使ってやらないと勿体無いぞ。
647名無しさん@3周年:03/12/23 10:01 ID:Ae14MEUR
>>646
そう思って、日本橋で液晶と、XPORTを買ってきたが、
まだ袋からあけてない。

年末も忙しい。
648名無しさん@3周年:03/12/23 12:34 ID:MKvkg+MO
ここの住人には馬鹿にされると思うが、C言語系に手を出した。
まるで暇つぶしプログラミング感覚で書けてしまうというのは
感動的だな。
649名無しさん@3周年:03/12/23 12:43 ID:tJUbFrE2
>>648
それで良いんじゃないの?
エンドユーザーにってするならすばらしい環境だと思うよ。
650 ◆/Kitty/aso :03/12/23 13:25 ID:0ROKUg9g
>>646
袋は開けたのだが、そのけっか10年掃除していない部屋のどこぞにもぐりこんでしまったんだよ。
ま、飲み食いはこの部屋ではしていないのがせめてもの幸いだよ。
651名無しさん@3周年:03/12/23 19:04 ID:MKvkg+MO
>>649
あんがと

とりあえずって感じで漫然と書きなぐったらそのまんまFPGA化されて、
おまけにレポート見ると思った以上に速くてへぇ・・と関心しとりました。
>>559やら>>573やらの言う事が身にしみた。
漫然と書いてツールお任せでフィッティングしてもまぁそれなりには
入ってそこそこの速度で動いてしまう。
まるで、Win上でVisualBasic使ってるみたいだし。
652名無しさん@3周年:03/12/24 10:39 ID:QJnFQsvY
で、このスレ、電気板に引っ越すのか?
653名無しさん@3周年:03/12/24 10:52 ID:LSY9nWgA
トピ主さんの判断におまかせします
654名無しさん@3周年:03/12/24 12:05 ID:4wmlSPXs
なんだよトピって
655名無しさん@3周年:03/12/24 13:11 ID:cRj5gKSi
大手小町用語か? > トピ主
656 ◆/Kitty/aso :03/12/24 16:18 ID:nFR7eSOD
ヤフー掲示板用語だよ
あそこは、カテゴリーにトピを立てる。
ここは   板に        スレを立てる
657名無しさん@3周年:03/12/24 22:11 ID:zfJlk+a9
おや?何故かあぼーんが・・・
おかしな奴がこの板にまで来たのか?困るなぁ。
658名無しさん@3周年:03/12/25 00:50 ID:O12axWS5
何万ゲートとかいわれてもよくわからん。
何千ロジックゲートならわかるけど。
659名無しさん@3周年:03/12/25 01:07 ID:vq73MqZW
>>658
LEだろ、今なら。(w
660名無しさん@3周年:03/12/25 10:28 ID:H40k9Jz/
TEDから来たXilinx データシート更新ニュースは誤爆かな
661名無しさん@3周年:03/12/25 22:59 ID:YUMOeyvX
>>660
うちにも1さんのメール来た(w
662ファントムリンク@迷走電流:03/12/26 19:01 ID:H92C+iu4
>>657
お前みたいな奴は発言する権利はないんだよ。ぼけ!
663名無しさん@3周年:03/12/26 20:23 ID:blv5WEle
>>662
うわっ、また頭のおかしな奴が涌いた
664AGE:04/01/02 21:02 ID:7zl1RokV
AGE
665名無しさん@3周年:04/01/05 13:09 ID:wxbjy07W
今年は余計な新デバイスの発表もなく、枯れた環境を使い続けられますように・・・
666名無しさん@3周年:04/01/06 10:21 ID:98YGKsZJ
今年はVirtex3が出るよ!
667名無しさん@3周年:04/01/06 13:17 ID:i4U9bpx4
>>666
多ゲートのやつはやっぱりISEのFoundation買わないと、焼けないのかなあ。
668 ◆ro/FPGA/X2 :04/01/06 14:10 ID:cI48OdPX
各社の設計ツールが競合しているみたいなのが困るな。
669666:04/01/06 16:44 ID:98YGKsZJ
大規模デバイス1個より安いと思うぞ > ISE Foundation
670名無しさん@3周年:04/01/06 16:56 ID:i4U9bpx4
>>669
仕事の発注してくれる会社では、ハードは用意されるけど、開発環境は自前を持ち込みだったりするので個人での受託開発はつらい。
いまんとこWebPACKで辛うじてまかなえるけどね。
671666:04/01/06 17:15 ID:98YGKsZJ
670は大規模デバイス1個よりも安くこき使われてるってこと?
なにゆえそこまで自分を安売りしますか?
672名無しさん@3周年:04/01/06 19:09 ID:K2G/DL50
>>671
発注元では開発環境をそろえるための金まで出してくれない
ということでは?
673名無しさん@3周年:04/01/07 09:37 ID:RW9He2Xf
今日の俺メモ

Virtex2のLUTをシフトレジスタとして使う方法について。

FIFO的にシフトレジスタを使うとき、初期値を入れてしまうと
LUTをシフトレジスタとして使ってくれない(ISE 5.2 のXST)

128bitのシフトレジスタで試したとき

初期化有り
Number of Slices:      74 out of 33792 0%
Number of Slice Flip Flops: 129 out of 67584 0%
Number of 4 input LUTs:   1 out of 67584 0%

初期化無し
Number of Slices:      5 out of 33792 0%
Number of Slice Flip Flops: 2 out of 67584 0%
Number of 4 input LUTs:   9 out of 67584 0%
674673:04/01/07 09:38 ID:RW9He2Xf
んでもってソース

parameter SHIFT_BIT = 128;

always @ (posedge CLK or negedge RESET_X ) begin
 if(RESET_X == 0)begin
  DATA_OUT <= 0;
  shift_reg[SHIFT_BIT-1:0] <= 0; ←この行をコメント
 end else begin
  DATA_OUT <= shift_reg[SHIFT_BIT-1];
  shift_reg[SHIFT_BIT-1:1] <= shift_reg[SHIFT_BIT-2:0];
  shift_reg[0] <= DATA_IN;
 end
end
675名無しさん@3周年:04/01/11 00:09 ID:izS/5a9d
質問です。
外部からの非同期クロックに合わせて送られてくるシリアルデータを、FPGAに取り込むとき、
FPGAに組む回路は、その外部のクロックをベースにした回路として組むべきなのでしょうか?
それとも、他のブロックと同じクロックをベースにして、非同期クロックをサンプリングして、
そのエッジでデータを取り込むのでしょうか?
676名無しさん@3周年:04/01/11 00:16 ID:DBUuymlc
>>675
最低ボーレートの、16倍の周波数でサンプリングして、8クロック目のデータを
取り込むと良い。
677名無しさん@3周年:04/01/11 00:23 ID:Tyo6gMYD
>>675
外部クロックが与えられているなら、素直に外部クロックを使って
シリパラ変換してから、パラレルデータを他ブロックに渡すと楽。

でも、ふつーは外部クロックなんかもらえないから
外部クロックを再生して16〜256逓倍したものを使って
シリアルデータをサンプリングする。
それがいわゆるUARTって言われる回路だ。
678名無しさん@3周年:04/01/11 14:21 ID:fU9vgbnT
学生でISE6.0勉強中の身です。
全体の中のクリティカルパスを確認しようと、
Synthesis ReportのMaximum combinational path delay:
の項目をみましたが、No path foundとなって確認できなくて困っています。
どのように確認したらいいのでしょうか?

複数のサブモジュールをトップモジュールで接続し、パイプラインを構成しています。

679名無しさん@3周年:04/01/11 16:29 ID:izS/5a9d
>>676-677
ありがとうございます。

>>676
その方式だと、同期クロックがデータと一緒に送られてくる意味がなくなってしまうと思うのですが。
>>677
得られたパラレルデータは、データの同期クロックには同期しているけど、
他のブロックのクロックとは同期していないので、これもまた面倒かと。
680名無しさん@3周年:04/01/11 16:48 ID:DBUuymlc
>>679

>>676だが、単純にUART的方法を書いたまで。
気に入らなければクロック同期式を検討したらいい。
少しは自分の脳みそと手を使って試してみると言うことをすべきだね。
681677:04/01/11 17:37 ID:Tyo6gMYD
>>679
非同期のデータの受け渡しをシリアルでやるかパラレルでやるかの違いだ
パラレルデータのクロックは シリアルクロックの1/10〜1/9になるから楽になるよ

結局>>679は、非同期クロックドメイン間のデータのやりとりの
一般的な話をしたいってことか?
いまどきのFPGAならデュアルポートRAMブロックがあって、それを使えば
非同期FIFOが簡単に作れる。他にもいろいろなやり方があるだろう。

せめて以下の条件がわからないとコレ以上の助言はできません

・データ入力ブロックと他ブロックはどのくらい違うのか?
・他ブロックはいつでもすぐにデータを受け付けるのか?
 →ウェイトする必要があるのか?
 →データのとりこぼしは許されるのか?
682677:04/01/11 19:40 ID:Tyo6gMYD
おっと言葉が足りなかった

誤 ・データ入力ブロックと他ブロックはどのくらい違うのか?
正 ・データ入力ブロックと他ブロックのクロックはどう違うのか?
683名無しさん@3周年:04/01/11 23:56 ID:izS/5a9d
>>681
>結局>>679は、非同期クロックドメイン間のデータのやりとりの
>一般的な話をしたいってことか?

そうですね。
実は調歩同期式の送受信回路は組んだことがあるのですが、同期式のシリアル通信回路は未経験です。
同期式シリアル通信の送受信回路の一般的な考え方を知りたくて、質問しました。

>非同期のデータの受け渡しをシリアルでやるかパラレルでやるかの違いだ
>パラレルデータのクロックは シリアルクロックの1/10〜1/9になるから楽になるよ

シリアル→パラレルの変換までは、同期クロックを使った方がよさそうですね。
調歩同期式のように、16倍速のクロックでエッジをサンプリングしていたら、
通信速度は上がりませんから。
あとは、同期クロックでパラレルに置き換えたデータを、
どのようにして、別クロックで動作している他のブロックと受け渡しするのか?
ということですね。
684677:04/01/12 00:06 ID:ux+AIbcL
>>683
どのデバイスをターゲットにしているかわからないが
複数のクロックが使用できると想定してることから
CPLDじゃなくてFPGAだろう

XILINXのFPGAもALTERAのFPGAもデュアルポートRAMをもっている
そしてDPRAMの2つのポートはそれぞれ別のクロックでアクセスできる
つまり、DPRAMと多少の付加回路で非同期FIFOを構成できる
たぶんアプリケーションノートに出てるだろう

これくらいヒントがあればいい?
685名無しさん@3周年:04/01/12 00:53 ID:zDM4js/0
これだけヒントがあって自分で解らないのはなんで?
馬鹿?
686名無しさん:04/01/13 09:46 ID:Z8g+g395
>>675
UARTはビットレートが可変になると使えません。外部クロックがもらえる
なら素直に使っておいた方が良いと思います。
687名無しさん@3周年:04/01/13 10:02 ID:X5KsymAK
クロック同期で来るんだったら、クロックをサンプリングしてエッジ検出することが
できるからそのタイミングでデータの取り込みは可能ですね。
クロックがきちんと来ることが前提なら、外部クロックとして使うのは問題ない筈
なんだが、677は何を悩んでいるのだ?
688名無しさん@3周年:04/01/13 10:25 ID:P1nzWqFp
>>687
悩んでるのは676じゃないかな?

丁度おいらもUSART作った所。
689名無しさん@3周年:04/01/13 11:22 ID:X5KsymAK
>>688
ああほんとだ、すんまそ。
690665:04/01/13 13:52 ID:Hr6nwqft
デザインウェーブに、Xilinxの新しいファミリーがのっていた。
また、いろいろ調べないといけないのか。

鬱だ。
691名無しさん@3周年:04/01/13 14:33 ID:7iuLazcR
>>690
DWは未読だが、なんか新しいファミリーなんて出たっけ?

ASMBLの話だったら将来こーゆーデバイス出すよってだけで
何か具体的なデバイスが出たわけじゃないよ
692690:04/01/13 17:11 ID:Hr6nwqft
代理店の人聞いてみたら、全然先(早くても来年中)みたいなので、
安心しました。
693名無しさん@3周年:04/01/13 17:53 ID:7iuLazcR
Virtex3については何か聞いた?
694名無しさん@3周年:04/01/13 19:16 ID:sUkqxZvT
新デバイスで嫌がるなよ
喜べよ
695名無しさん@3周年:04/01/13 20:30 ID:X5KsymAK
客が使いたがったりするから見逃せないんだよな。>新デバイス情報

「そんなことも知らないんですか?」って嫌みが一番むかつく。(w
696名無しさん:04/01/14 09:45 ID:abByYASX
誰かByteBlasterIIの回路図公開してけろ
697名無しさん@3周年:04/01/14 10:14 ID:MmTG0xJ2
Virtex3じゃなくてVirtex4って名前になるらしいZO
698名無しさん@3周年:04/01/14 15:58 ID:8uuvYnuC
699名無しさん@3周年:04/01/14 17:28 ID:YQtPz20X
>>698
あんたカコイイ。(w
700名無しさん:04/01/14 17:56 ID:abByYASX
>>698
EPCS1焼けないから駄目です。
701名無しさん@3周年:04/01/14 19:10 ID:maIjSMf3
>>693
>>697
今、書いたら代理店の人にバレバレだけど、カキコ。

次の商品を開発中で、そのステータスは進んでいるけど、
正式な名前は知らないって。
702名無しさん@3周年:04/01/14 19:23 ID:maIjSMf3
>>695
そうなんだよ。

一昨年くらいかな。アルテラがストラティクス発表したとき、
同時に、マーキュリーとか、APEX2とか、APEXの20KCとかあって
お客が「どれがいいですか?」って聞いてきたので、一生懸命
調べたよ。

どれも入手できそうになくてVirtexIIにしたけどな・・・
703名無しさん@3周年:04/01/14 20:04 ID:YQtPz20X
>>702
最近はもっぱらサイクロンとストラだなぁ。
なにげに最新デバイスを使いたがる客多いし。(w
704名無しさん@3周年:04/01/14 21:48 ID:3azfWwoE
>>678もよろしこ。
705名無しさん@3周年:04/01/15 08:55 ID:xj5Q2LvD
>>678
ISEだと、一個でもいいからCLKの制約いれないとクリティカルパス
出してくれなかった気がする。

とりあえず、10MHzとか適当なクロックの制約かけてみては?
そうすると、10MHz=100nsの制約に対して、実際は、XXnsになりましたよ
って教えてくれた気がする。
706698:04/01/15 11:04 ID:gtGlo96N
>>700
EPCS1って3.3vデバイスだよね?
byteblasterの1,2の違いって1.8/3.3vデバイス対応だけじゃないのかな?
基本的にはJTAGケーブルってことでしょ?
電圧のステータスをどこかで見ているのなら、付加回路必要かもしれないけど
i/fを3.3vにしたら通るんでないかい?
707698:04/01/15 11:13 ID:gtGlo96N
>>700
http://www.hdl.co.jp/ftpdata/BL3/index.html
http://www.hdl.co.jp/ftpdata/blkit/index.html

これならどうだ?キットなら6500円。デジトラが入手しにくいだろうけど、ディスクリートで代替可。
708名無しさん:04/01/15 13:15 ID:rwR10QGM
>>707
情報どうもありがとう。早速作って見たいと思います。

709名無しさん@3周年:04/01/19 11:12 ID:CvC4wRsT
アルテラからも新デバイスの発表があるみたい・・・
710名無しさん@3周年:04/01/19 12:21 ID:Q+GiabwA
ACEXクラスを充実させてほしい
711698:04/01/19 17:03 ID:cgnrtp0X
>>709
新デバイス発表の前にやることがあるだろうがっ!>あるてら
712名無しさん@3周年:04/01/19 18:47 ID:CR30n0dj
>>710
所謂一つのサイクロンがそのクラスでは?
713名無しさん:04/01/20 09:55 ID:993FK6Jx
MAX+plusIIを長年使ってきたけどそろそろQuatusIIに移行する予定です。
QuatusIIでもVerilog-HDLのソースがコンパイルできるようですがやはり
サードパーティ製のツールを使わないと駄目ですか?
714名無しさん@3周年:04/01/20 10:35 ID:Zkt7QYW+
>>713
大規模な回路だと、シンプリファイとか使うと確実に何割か早くなる。

んでも、PCIのI/Fとかそんな程度なら関係ない。

あと、デバイスのMAX近く出そうとすると、結局I/O周りとか、
配置配線がポイントになるから、論理合成ツールはあんまり関係ない。
715名無しさん@3周年:04/01/20 21:13 ID:H8QOTyf5
>>714
フィッターがおろおろしてるさまを眺めるのも、おつなものですw
716名無しさん@3周年:04/01/21 09:30 ID:QAq46rdy
罪リンクすのページが落ちてて仕事になら-ん(--;)
復旧は4時間後って何時から4時間後?
717名無しさん@3周年:04/01/21 14:58 ID:opUfzH41
>>716
applicationノートなら、xapp123とかでググったらダウソできるよ。

つーか、俺も困ってる。
718名無しさん@3周年:04/01/25 01:14 ID:xZiM5z48
基板a/w担当の人に勝手にピン入れ替えされて、
泣き見ることってない?
にこにこしながらパターンきれいに出たよーって言われた後、
夜中通らなくなっちゃったフィッタと格闘して....
せめてロウとカラムは入れ替えないでくれー(ACEX)
719名無しさん@3周年:04/01/25 13:38 ID:vq73MqZW
>>718
指示書に書いてない方が悪い。
720名無しさん@3周年:04/01/25 17:28 ID:H40k9Jz/
まあ、そりゃそうだ・・・
721名無しさん:04/01/26 09:20 ID:QAzPa+Qj
>>718
そんなA/W担当者は死刑
722名無しさん@3周年:04/01/26 23:09 ID:qxfsQkMj
>>719
>>720
その通りです。TQFPパッケージだったので油断していました。

>>721
ありがとう。でもウチの場合H/Wエンジニアが最下層に位置しているので...

ダウンロードケーブルで首吊ってキマス
723名無し:04/01/27 11:14 ID:N9EEppE3
>>722
A/W屋の椅子にDIPのICひっくり返して置いておけ。
724名無しさん@3周年:04/01/27 11:50 ID:teOIR0b1
A/W屋はどう考えても無罪
725名無しさん@3周年:04/01/27 16:21 ID:cTP8ax8/
犯人は経理のおばちゃん
726名無しさん@3周年:04/01/28 13:32 ID:dTCYwqDu
経理のおばちゃんがA/W担当者をたらしこんでスワッピングを敢行・・・

( ゚д゚)<ニョガーン
727 ◆Sql/JTAG/. :04/01/29 00:47 ID:8TmdC4k5
>>722
そんな長いケーブル使っているのか?
ある意味凄いな。
728名無しさん@3周年:04/01/29 07:58 ID:AkXx0lrk
DLケーブルって、プリンタケーブル込みでつかってねえの?
・・・PCから直付けじゃ、滅茶苦茶短いと思うが。

あ、高級ノートデスタか・・藁
729名無しさん@3周年:04/02/01 12:14 ID:B53SUU/J
ISEの3.1で組まれたスケマのソースを5.1/6.1で再利用したいんですが、変換ってできるんでしょうかねえ?
730 ◆YMO/ALTERA :04/02/03 09:06 ID:rKqcJNuR
731名無しさん@3周年:04/02/11 11:57 ID:L490GSdu
USB対応ダウンロードケーブル
   MODEL : DLC-008

http://www.hdl.co.jp/DLC-008/index.html

これずーーーーーーーーっと待っているんですが、まだでつか?

732名無しさん@3周年:04/02/11 18:21 ID:DBUuymlc
くをーたすから使えないんじゃ意味半減
733名無しさん@3周年:04/02/15 02:08 ID:5KrdGB7g
寂しいのであげ。

>>731

なんでそんなのが欲しいのか理解できないっす
素直にメーカ純正ツール買えばよいのに。
USB-BlasterやMULTILINX
734名無しさん@3周年:04/02/15 02:23 ID:3a7Bx84B
貧乏人だからだろ。
どっちにしろどうでも良いよ、皆電気電子板に移動してるんだし
735名無しさん@3周年:04/02/16 11:00 ID:lcTELhPH

      \∧_ヘ     / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
,,、,、,,,  / \〇ノゝ∩ < 1000とり合戦いくぞゴルァ!!       ,,、,、,,,
    /三√ ゚Д゚) /   \____________  ,,、,、,,,
    /三/ | ゚U゚|\      ,,、,、,,,                       ,,、,、,,,
 ,,、,、,,, U (:::::::::::)  ,,、,、,,,         \ ぶーぶーぶー /
      //三/|三|\              タリー
      ∪  ∪    (\_/)タリー    タリー   まだ早えよ〜
               (  ´Д)    タリー  タリー
               /   つ  (\_/)   (\_/)ノ⌒ヽ、
              (_(__つ⊂(´Д`⊂⌒`つ(´Д` )_人__) ))

736名無しさん@3周年:04/02/20 11:37 ID:UXDqbMMN
会社のゴミ捨て場でFLEX10K30の208ピンがパレットに入って
100個以上捨ててあったので、かっぱらって来ちゃった。
737名無しさん@3周年:04/02/20 14:41 ID:nlTdy1bt
>>736
ヤフオク出してくれたら買うよ
738名無しさん@3周年:04/02/21 14:08 ID:NNPSOUhm
FPGAの基本となる単位は、4個程度の入力のついた組み合わせ回路にDFFがついたものだそうですが、
FPGAでラッチを作るときは、どうやって内部で作っているのでしょうか?
739名無しさん@3周年:04/02/21 14:32 ID:JAKvidfB
最終段のDF/Fをセレクターでとばして作る。
つーか皆電気電子板に移動してるぞ。
740名無しさん@3周年:04/02/21 14:39 ID:FhlysQ0r


 ̄ ̄ ̄ ̄ ̄ ̄ ̄○ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
           O 。
                 , ─ヽ
________    /,/\ヾ\   / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
|__|__|__|_   __((´∀`\ )< というお話だったのサ
|_|__|__|__ /ノへゝ/'''  )ヽ  \_________
||__|        | | \´-`) / 丿/
|_|_| 从.从从  | \__ ̄ ̄⊂|丿/
|__|| 从人人从. | /\__/::::::|||
|_|_|///ヽヾ\  /   ::::::::::::ゝ/||
────────(~〜ヽ::::::::::::|/        = 完 =
741名無しさん@3周年:04/02/23 22:37 ID:WerobtsA
アルテラのFPGAで、分周したクロックをモジュールに供給するにはどうしたらいいのでしょうか?
分周回路の出力を単純にレジスタのクロックに乗せていいものかと、思案中
742名無しさん:04/02/24 09:51 ID:CqlM6CwY
>>741
分周後の周波数と使用するFPGAによって方法は異なると思います。
ただし分周出力をシステム全体の基準クロックとして使いたいなら
一旦出力ピンに出してからクロック入力専用ピンに外部接続するの
がよいと思います。
743名無しさん@3周年:04/02/24 10:45 ID:b7/4WOau
>>742
使わない場合が多いけど、必ずそれやってる。
744名無しさん@3周年:04/02/24 16:27 ID:udwoOoNf
なんで機械にalteraスレが…てのは既出ですか?
745名無しさん@3周年:04/02/24 20:19 ID:zKeTompg
>>743
ことし1月末のEDSF有料セミナでもそれを発表していた講師がいたね。

実際うちの会社でもチップ上の両端でジッタ特性の悪化が確認できたから
グローバルに入れなおしているよ。
746名無しさん@3周年:04/02/24 23:00 ID:zfJlk+a9
>>744
電気電子板が出来たのはここ最近の話。
それ系の人は殆ど移動してると思う。
747名無しさん@3周年:04/02/25 06:27 ID:bnzaIpeh
>>741
要は、分周回路の出力を自家製クロックとして使ったときに、
ファンアウトが不足して、fmaxが下がるのではないかという心配だろ?
Quartus2が勝手にグローバルラインに分周回路の出力をつないでくれないのか?
自動でやってくれなくても、たしかGLOBALというプリミティブも用意されていたと思うが。
うろ覚えでスマソ
748名無しさん@3周年:04/02/25 08:06 ID:9re4F08/
>>747 折れもそう思ってた。
クロックになる信号線はQ2が勝手に判断して、TimingAnaとかにも
出てくるでしょ? 当然グルーバルになってるものと思うんだけど。
だから外に出さなくても良いかなと。
749名無しさん@3周年:04/02/25 09:13 ID:NDbYliYD
みんな「電機・電子」板に行かないの?
750名無しさん@3周年:04/02/25 09:21 ID:vq73MqZW
大半は掛け持ちだと思う。
でも電気電子の方が雰囲気が良いかもな。
751741:04/02/25 12:45 ID:IX816ooK
実は、分周したクロックは、一度、外に出してから、
クロックを入れられる端子に入れなおした方がいいと言う話は聞いたことがあったのですが、
グローバルと言うのは初めて聞きました。

外に出した方がいいのか、中に出してもいいのか、再び思案中。。。
752名無しさん@3周年:04/02/25 13:02 ID:LkGhjke3
えっちな話でしょうか
753名無しさん@3周年:04/02/25 13:52 ID:H40k9Jz/
>>741
>>743 も言っているように、とりあえず基板上はそういう仕組み作っておけば。
754名無しさん@3周年:04/02/25 17:27 ID:IX816ooK
>>753
パターンを変えられないんですよ。。。
755名無しさん:04/02/27 14:59 ID:N9EEppE3
それならジャンパー飛ばすしかない。
756socket774@techno:04/03/03 00:57 ID:ebGcP8Go
>>751
ALTERAであればstratixとかはPLLで分周すれば
出力ピンから出さなくてもそのまま
グローバルクロックラインにつなげられる
パスがあるので一旦外に出す必要は無い。
しかし基本的にPLL内蔵でないPLDまたは
PLLがついててもグローバルラインに
つなげられる機能(パス)の無いPLDは
分周した出力を直接グローバルクロックラインに
接続できないので分周出力を一旦外に出して
グローバルピンに入れるのが常套手段と考える。
以上を考慮してデバイスのデータシートを見て
ジャンパー飛ばすか判断してください。
757名無し募集中。。。:04/03/03 22:11 ID:PXHW7+Gm
>>756
少なくともMAXはできないな。
まあ、動作クロックもファンアウトもへったくれもない回路規模だけど。
758名無し募集中。。。:04/03/05 13:39 ID:tjzsw0fe
>>749
「電機・電子」板ってどこにあるの
759名無し募集中。。。:04/03/05 21:04 ID:EqeuMLwF
760名無しさん@3周年:04/03/09 21:44 ID:VKPWF1lC
MAX2出たな
761名無しさん@3周年:04/03/09 22:06 ID:VKPWF1lC
MAX2出たな
762名無しさん@3周年:04/03/09 22:13 ID:/woIpHTX
MAX IIってどうよ
763名無しさん@3周年:04/03/10 01:24 ID:gkjxDZyz
パルテックに聞いてみるか
764名無しさん@3周年:04/03/11 22:56 ID:x4iU9S/u
ざっと見た感じだとプロダクトタームからLUTに変わったのが大きいかな。
IO規格は全然変わらず。Cycloneの不揮発版って感じかな。
765名無しさん@3周年:04/03/11 23:52 ID:DBUuymlc
>>764
そんな感じだね。
漏れは、マイコンのデコーダーとブートROM、デバッグ回路を一緒に
しようと思ってる。
766名無しさん@3周年:04/03/12 00:06 ID:VMElewU2
LUTだとどういうところがが嬉しいの?
767名無しさん@3周年:04/03/12 01:46 ID:zDM4js/0
>>766
解らない奴は使いこなせない。
そんだけ
768名無しさん@3周年:04/03/17 16:10 ID:afoYGPmH
MAX2

今日、代理店の人来たよ。

50MHzを超えた辺りから、coolrunnerよりも消費電力が
下がるらしい。CLKを止めたときの消費電力はcoolrunner
の方がよい。

5Vトレラントは、容量の大きいデバイスの一部のバンクのみ
サポートしている。

あと、8KbitのFROMがコンフィグレーションとは別に
用意されている。マイコンのロム代わりに使えるかな。
769名無しさん@3周年:04/03/18 06:12 ID:dMrx/RcQ
http://www.paltek.co.jp/altera/device/product/max2/index.htm

EPM2210のLE数が2210というのは、一昔前のFLEXシリーズやACEXシリーズのレンジもカバーするサイズだね。
コンフィギュレーションメモリ内蔵型FPGAと考えればよいのかな?
770名無しさん@3周年:04/03/18 11:18 ID:mCJtVjoQ
少数(10ヶとか)で納期聞いてみた人います?
771名無しさん@3周年:04/03/18 23:03 ID:tuiVxkLm
Xilinxファンももう辞める。
プレスリリースは早いのに商品が出てこない・・・
Virtex-PRO以降はALTERAにやられっぱなし。スパルタン3も駄目だね。

なんて言いながらXILINXのファンなんだよ、周りがアルテラのCYCLONEに
乗り換えてしまってとうとう俺だけじゃないか。早く、注文したSptaran3
持って来い。ES品はもういらない。CS品を持って来い。
消費電力の低い本当のスパルタンを持って来い。ちゃんと動く、XC3を持って来い。
772名無しさん@3周年:04/03/19 00:11 ID:CR30n0dj
>>771
寺は、材を引き合いに出すとそれはもう必死に営業するよ。(w
製品の安定度って点では後追いの分寺が有利なのかも。
773名無しさん@3周年:04/03/19 01:49 ID:d0MaOx3j
>>771
Spartan3は、某大手ディスプレイメーカに採用された為
歩留まり(FAB:UMC)が悪いのも加わって日本国内への供給が、年内は難しいとか、、、。
代理店が言ってた。
774名無しさん@3周年:04/03/19 01:54 ID:41CYtMXK
>>773
その某大手メーカー一社への供給だけでキャパオーバーになるほど
生産能力に余裕がないのかね?
それともそんなに大口の顧客なのかい?
それくらい大口なら、ASICを起こせばいいような気もするけど。
と、超小口ユーザーが言ってみる。
775名無しさん@3周年:04/03/19 02:02 ID:CR30n0dj
製品サイクルと出荷量がそうさせるんだろうね。
大手が馬鹿みたいに買うと単価が下がって開発費を頭割りした分の
ASICのメリットを越える可能性もあるし、TATの問題もありそう。

小口の採用理由を大手が真似し始めたらデリバリーが逼迫ってのは
解らんでもないよね。(w
776名無しさん@3周年:04/03/19 09:10 ID:Mg9TATbX
>>773
>Spartan3は、某大手ディスプレイメーカに
私もこの話を代理店に聞きました。でも、この程度で年内供給が
難しいなんてこのデバイスは終わったね。
Cycloneの方が消費電力もスピードも上との事だがXilinxは何で勝つの?
90ナノなんて値段にインパクトが無ければ関係ないし・・・
777名無しさん@3周年:04/03/19 22:59 ID:NSENhKBo
サイクロンとMAX2あれば
ザイリンクス潰れてもいいや
778名無しさん@3周年:04/03/19 23:32 ID:Wut08awF
アルテラのデバイスを個人購入させてくれる代理店でいいところありませんか?
779名無しさん@3周年:04/03/20 01:22 ID:1P6gu6p1
法人ならパルテックだろな〜。アルテイマよりサポートはいいぞ。
780名無しさん@3周年:04/03/20 07:23 ID:Zkt7QYW+
アルティマでも、2次か3次かわからないけど、代理店紹介してくれたよ。
そこでしか買ったこと無いから、いいか悪いかはわからない。
781名無しさん@3周年:04/03/22 18:09 ID:DAlPEc1x
Virtex4の情報どこかにないか?
782名無しさん@3周年:04/03/23 00:18 ID:rQgkrxh7
Virtex4の情報ですか・・・
次世代Virtexとしてプレスリリースは出ていたが具体的にはVirtex4との記載
はないかも。推測だがある寺のStratix2のプレスリリースのインパクトを
少なくする意味のプレスリリースだった気がする。誰かも書いていたが
「財林楠」はプレスリリースされて商品が入手できるまでに1年は待つ必要
あるから次世代を気にしても意味がないよ。私も数年前にALTERAから乗り換え
て「財林楠」を暫く使った身なので今更「ある寺」なんてと言いたいが、
ここ何年間の「財林楠」は駄目よ。不具合も多いし、パフォーマンスも出ないし
、使う気が失せる。ちなみにうちの会社では「使用禁止」デバイスとなって
しまった。(私の会社は日本国内でも有数の購入金額の会社だと思いますが)
783名無しさん@3周年:04/03/23 07:12 ID:WerobtsA
>>782
Stratix2が出ると言うことは
やがてCyclone2なんかも出るのかもね
784名無しさん@3周年:04/03/23 08:57 ID:iDqafuSm
>>782
うちの場合全社的に寺が多いんだけど、寺はIOが弱いからな〜。
いつの間にかStratixのオンチップ終端消えてるし、それにtcoでかいんだよ。
あと変なピン配置、これのせいで財に乗り換えようかマジ考えてた
(単に乗り換えリスクが云々言われて却下された)。

>>783
某代理店の話では今年後半に出すらしい。
噂によるとまた内部アーキテクチャが変わるとかいう話。
785名無しさん@3周年:04/03/23 17:37 ID:PgI+F5wX
積和演算に特化されたモジュールがあると小耳にはさんだよ
786名無しさん@3周年:04/03/23 18:59 ID:bhqO26wm
>>783
アナウンスはしてきてるよ。
噂レベルって事にしてるが。
787名無しさん@3周年:04/03/23 23:36 ID:gmBycmnH
>>784
内部アーキテクチャが変わると、
配置配線を手動でやってゴリゴリに押し込んだ回路や、
カリカリにチューニングして高速化した回路は、
入らなくなったりするもんなの?
HDLは共通で移行できても、そういうのは嫌だな。
788名無しさん@3周年:04/03/24 08:49 ID:4PoMsCiV
>>787
詳細は知らないから一般論なら「ありえる」という話になるけど、
大抵はデバイスの高集積化と高速化でチャラって事になるかと。

しかしHDLは共通で移行って言っても他社に乗り換えるのは
かなりマンドクサイ
789 ◆YMO/ALTERA :04/03/24 09:36 ID:mWAeC7Ei
>>787
テクノロジセルのプリミティヴ使いまくりだったら悲惨だろうということは想像に難くない
790名無しさん@3周年:04/03/24 14:28 ID:HQj2VEVv
FPGAでリターゲットの難しい回路ってのもアレだな。
791名無しさん@3周年:04/03/24 18:35 ID:rcatJC7o
でも、現実的には、FPGAの限界に挑むようなことも多いわけで
792名無しさん@3周年:04/03/25 01:42 ID:dbLqL6Wy
転職活動するとXilinxとアルテラを紹介受ける事が多いな。この会社のイメージ
もしくは情報も求む
793名無しさん@3周年:04/03/25 01:45 ID:6U1Fub2f
>>791
そこまで要求されることはあまり無いなぁ。
そう言う意味では規模ばっかりデカくて速度を要求されないのは
楽な部類かも。
794名無しさん@3周年:04/03/25 07:55 ID:IX816ooK
>>793
動作速度より回路規模が問題になることが多いですね。
最近のデバイスは速いので、助かります。
でも、フィッティングの苦労はあまり変わってない気がします。
795名無しさん@3周年:04/03/25 11:48 ID:c814h1Hf
それより、アルテラのサイトが糞ですよお前ら。
せっかく名前やメールアドレスをレジストしたのに
フリーライセンス申し込みフォームを開くと空欄。
既に登録してある事をまた入力させやがるんです。
796名無しさん@3周年:04/03/25 16:34 ID:H40k9Jz/
>>795
よくあることだ。
気にするな。
797名無しさん@3周年:04/03/25 16:58 ID:6U1Fub2f
>>794
マシンパワーがもろに効いてきますからね。
一日のコンパイル、フィッティング回数が変わるのが困るところ。

最新のPCを30万でって話を出しても何で必要なのか?とか
一年前に買ったばかりなのになんて言われるんだよねぇ。(w
798 ◆YMO/ALTERA :04/03/25 17:45 ID:x8zlffVu
>>797
ISEのことだったら、メモリを潤沢すぎるくらいに搭載すれば勝利
あと、CPUは、Athlon64系が勝利
799名無しさん@3周年:04/03/25 20:59 ID:vnr7AEkA
>>798
QuartusIIの場合はCPUはどっち系が有利?
便乗質問スマソ
800名無しさん@3周年:04/03/26 01:20 ID:0oIwByDh
>>792
俺のイメージだが財は代理店が駄目でメーカーは優秀。特に技術が
優秀なイメージで助けてもらったことも数多い。
寺は逆で代理店が優秀でメーカーの営業&技術使えない。
最近見ないけどうちの会社に良く来ていた寺の50歳くらいの営業のおやじ
がアホで有名だった。
但し、最近見ないのでもう既に退職したかも・・・

肝心なことに答えていなかった。製品の将来性は寺で働きやすいのはおそらく
財だと思う。

801名無しさん@3周年:04/03/26 07:50 ID:0oIwByDh
えっ
802名無しさん@3周年:04/03/26 07:51 ID:xFKH/cFv
ピン数いらないから、LE数の多いのがいいんだけど
803名無しさん@3周年:04/03/26 23:38 ID:c2opJVbB
今度FPGAボードを製作・販売することになったんですが、
なにか欲しい機能とかありますか?
ヒューマンとかエスケー見たいなボードではなくて、
高付加価値路線で考えてます。
たとえば、DDRソケットが欲しいとか、
イーサネットMAC+PHYが欲しいとか・・・etc
FPGAはStratixの上の方を考えてます。
804名無しさん@3周年:04/03/27 00:30 ID:04vgXCyf
>>803
PCIコネクタ
デュアルチャネルDDR DIMM(/w ECC)
XAUI 3port

値段にもよるが今有れば現在設計中のやつに組込んでしまうんだが遅かったよ。
805名無しさん@3周年:04/03/27 17:16 ID:LRiOcjFD
>>800
>最近見ないけどうちの会社に良く来ていた寺の50歳くらいの営業のおやじ
>がアホで有名だった。
いま競合にいるじゃん。挨拶きてねー?

PALにはクソ生意気なガキが多すぎ。
うちはPALからのアポ依頼がきても打ち合わせは若いやつに出させる。
それでオレは認定拒否w
806名無しさん@3周年:04/03/27 18:23 ID:z1l3vh2T
>>805
PALの対応

「そんなことも知らないのですか?」
これむかつく、FAQにも無いことを確認して聞いてるんだろうがと
切れそうになった。
807名無しさん@3周年:04/03/28 05:40 ID:QMi9OeKp
>>806
>800さん?

ワシのところもまったく同じ対応。というか、ひょっとしたら同じ会社か別部署かな。

ただえらそうなことを言うだけあって、(人にもよるだろうが)設計能力は優秀だとおもう。
1月にやらせたのが、ALT使うってことで9割ぐらい設計させて試作でチョロっとSTR、量産はGAに実装。
中国や台湾の工場よりもレスポンスが早くて従順で、なにせタダだし。

不遜な態度も便利な外注屋としてみて、おだててデバイスたまに買えばナァナァで仕事手伝ってくれるから便利。
若いのもその手を使って、外注コストが安くなったよ。

ところで、サイクロンのHardCopyだしてくれればもうすこしALT使えるのに。
どこか、STRのHardCpyで人柱はおらんかな?うちはまだ怖くて使えん。
808名無しさん@3周年:04/03/29 00:09 ID:k4eUbC1v
>>805,806
PALは担当レベルはいい人が多いが、上の人間がはDQNだね。
@担当者と同じぐらいの年齢だと思うが(童顔な顔のデブ)、態度が取締役級で不快。
A技術提案の能力がないので営業の上の方が来てもうざいだけ。
B寺の日本法人が来るともっと面倒だ。ネホリハホリ聞くだけでその後の収穫
 がない。

で寺のライバルのX社は語ることも出来ないぐらい代理店はくそ。
やる気が感じられん。メーカーは確かに技術は優秀だったけど・・・
ということは暫く寺を使うか?
でStratixIIとMAXIIの価格は何ぼで入手可能?

 
809名無しさん@3周年:04/03/29 01:18 ID:5/+Elmw9
>>807
違うけどPALの下で設計してる零細だす。
彼等にとって我々はゴミですから。
810名無しさん@3周年:04/03/29 03:44 ID:mrF9MwAx
>>808
>@担当者と同じぐらいの年齢だと思うが(童顔な顔のデブ)、態度が取締役級で不快。
元S○NY担当の奴だな。
人の会社に来て「前お取引のある企業ではxxxxだった」とか平気でのたまう。
話し振りは最近は社長級だよ(笑)
こいつが嫌いで、しばしば寺の設計は財に行く。設計お手伝いありがとう>PAL

>でStratixIIとMAXIIの価格は何ぼで入手可能?
しらんが、サイクロンなら10K/rotで3000円ぐらいだろう。

>>809
おつー
811名無しさん@3周年:04/03/29 08:04 ID:xQEZxCSU
なんか盛り上がってるな・・・

>>803
Nios評価キットってあるでしょ。

最近、ちょっとしたことならあれでやってる。
シリアル、SDRAM、SRAM、FROM、ピンヘッダーついてるし

だから、>>804のいうように、DDR、PCI エクスプレスとか欲しいですね。
(もちろん、特性保証無しのなんちゃってDDRで十分です。)

加えて、外付けPLL、、USBのホスト、H8クラスのCPUがついているとうれしいな。

あと、PC104のコネクタ直結できると、会社の遊んでるマイコンボードが
つながってうれしいかも・・
812803:04/03/29 19:59 ID:MyGd4+27
>> 804,811さん

貴重な意見ありがとうございました。
XAUIはちょっと特定用途により過ぎていて前に提案したときは却下されました。
DDRはDDR-SODIMMソケットを付ける方向で意見がまとまりました。
PCIはちょっと難しいかも知れないです。
なるべく小型でという事で話が進んでいますので。

811さん
外付けPLLが必要な利用はなんでしょうか?
Stratix内蔵PLLでは何か不満があるとかですか?
813名無しさん@3周年:04/03/29 23:49 ID:mrF9MwAx
>>812
804や811ではないけれど、PLLがほしい理由はSTRのPLLはそれほど対した可変範囲は
ないからでしょ。最低駆動周波数も決まっているし。
画像処理とかで使うには1MHzから200MHzぐらいまでリニアに可変してほしいが、それには役不足だから。
デザインゲートウェイのVXCO(商品名)でも乗せれる領域があればそれでいいとおもう。

XAUIは無く、DDRはDDR-SODIMMソケット、PCIも無く、ほかのI/Oはまだ発表されず。
ふむ。なんか中途半端だなぁ。ひょっとしてNIOS2+DDRとか考えているんだろうか。

まぁ2ちゃんでマーケティングしてても意味はないだろうけれど、おれはイラネ。
814803:04/03/30 00:19 ID:woNVFAEr
>>813さん

外部PLLが欲しい理由はわかりました。
ありがとうございます。

813さんが自分で言っているように、
ここで詳細を発表しても意味がないので、
意見を頂いた方に対する礼儀で決まった事を書き込んだだけです。
815名無しさん@3周年:04/03/30 00:24 ID:3tTZqaxG
外付けPLLで低ジッタの良いのってあったっけ?
言うだけなら簡単だからって無責任に適当なこと書いちゃいかんよ。
816名無しさん@3周年:04/03/30 02:46 ID:0F8XAFP0
>>815
>言うだけなら簡単だからって無責任に適当なこと書いちゃいかんよ。
同じことをあえて煽るつもりでお返ししますよ。

多分わたしに言っていることだと思いますが、ジッタのことはどこに書いてある?
可変範囲と書いたのですが。

それといまどきALTのPLLジッタ特性よりも悪いPLLがあるなら逆に教えてほしいが。

わかったかな?ALT信者orALT社員さん。
817名無しさん@3周年:04/03/30 03:51 ID:3tTZqaxG
だから具体的な型番を書いて欲しい。
良いのがあれば使いたいから。
818名無しさん@3周年:04/03/30 03:52 ID:3tTZqaxG
被害妄想っぽい信者、社員決め付けで無知を誤魔化そうって腹かな?
819名無しさん@3周年:04/03/30 03:59 ID:wjHc/fSw
>>816
発言に責任もてよ。(ゲラ

多分こいつは、色々と難癖つけて回答しないだろう。
例えば煽られたのが気にくわないとか。
居るんだよな、適当なこと言って実はただの知ったかって
やつはさ。
820名無しさん@3周年:04/03/30 07:08 ID:gr1sFoc4
外付けPLL良いのあったら教えてください。
おながいです。

http://www.xilinx.com/cgi-bin/web_ds/web_ds_v2/jitter_calc/jitter_calc.cgi?select1=33&select2=4&select3=1&select4=low&select5=6&Submit=Submit

Virtex2だと、100MHzを超えた辺りから、使うのしんどくなってくるよね。
821名無しさん@3周年:04/03/30 07:18 ID:gr1sFoc4
デザインゲートウェイのVariCLK、そこに転がっている。

クロック上げて評価したいんだけど、手が空いている人間がいない。
自分でやるのまんどくせ!
822名無しさん:04/03/30 10:37 ID:RcbbzN9j
823名無しさん@3周年:04/03/30 23:46 ID:H0uteXTe
最近PALからDCDCコンバータの売り込みを寺と一緒に提案されるが誰か
使ったことある人いる?どうよ。感想聞かせて・・・
824名無しさん@3周年:04/03/31 00:07 ID:NKIGsir/
>>823
ひょっとしてベルニクス?それとも九州?勧めてくるものとは
違うと思うけどベルニクスの電源は実際使ったことあります。
馬鹿チョンなので設計は楽ですよ。


所で外付けPLLて最近全然使わなくなったけどなんかメジャーな物って
実際あるんだろうか?
てな事を書くと粘着厨房とか春厨って言うんだろうな。
825名無しさん@3周年:04/03/31 07:33 ID:Fi/IQZbH
>>823
Synqorはアルティマが勧めてくる。
うちでの評価は春から夏なので、もうちょっと時間かかります。

12A取り出せて、FPGA2〜4個を一個のDCDCでいけますよって
アルティマの人は言ってる。でも、うちは、FPGA1個に電源1個が
基本なので、そんな大容量いらない。実際、発熱計算したら
FPGA一個にそんなに電流流せない。

通のお勧めはYUASA。うちの評価では一番イイ。けど、高い。
これも、そのまま余計な回路無しで動くし、全然熱くならない。
応答性も抜群。
入力の制御信号(ON/OFF)がノイズに弱いけど、これは営業に
聞けば情報もらえるはず。うちがはまったので。

代理店がアルティマで一緒なんだけど、おそらく営業的なからみ
でSynqor勧めてくる。やつらは、電圧とアンペア数しかパラメータ
が無いと思ってる。気をつけろ!

>>824
九州って、QDTですか?
デザインウェーブに乗っていて、ES評価してYUASAの次に性能も
良かったんだけど、結局製品化されたのかしら・・・

と、関係者が見てるかもしれないが、できる限り情報を出してみる。
826名無しさん@3周年:04/04/01 23:50 ID:TSOL+O3k
>>787
それを言い出すと、内部アーキテクチャだけでなく、
配置配線ツールが変われば、性能が変わることもありうる。
さすがに、配置配線ツールがバージョンアップして、結果が悪くなることはないと思うが、
結果がよくなったという経験もない。
827名無しさん@3周年:04/04/02 00:22 ID:Se7iFjQg
alteraは関西でイベントやら無い
何考えてるんだろ。altimaなんかに任せといたらだめだと
気づいた方がいいと思うが。

いや別にいいけどね。乗り換え難しくないし。
828名無しさん@3周年:04/04/04 23:38 ID:lVWhwf4p
最近のALTERAとXILINXを比較するとALTERAの圧勝のような気がする。
というか代理店の説明を聞いたら納得してしまった。
(1)Stratix VS Virtex-PRO ⇒Xilinxはリリースが遅れてかつ不具合で
   ALTERAに全敗。StratixIIが出れば完敗だろ。
(2)Cyclone VS Spartan3 ⇒Spartan3の方が最新デバイスであるから
   パフォーマンス等が良いかと思ったら実際に使用してみてびっくり。
   70Mhz出すのに一苦労だし、発熱と消費電力の問題が発生。
   コストも10万個時の価格はALTERAのほうが安かった。
(3)CPUに関してははALTERAの圧勝のような気がする。デザインウェーブ等
   の専門誌ではALTERAのCPUしか見ないもんな〜。
(4)MAXIIが出ればCOOLRunnerもやばい。

これから数年はALTERAの時代かな〜。MAXPLUS2しか知らないのでQUARTUSiiを
に乗り換えろとPALの営業に言われたが、そろそろ乗換えかな。面倒だな〜
829名無しさん@3周年:04/04/05 01:19 ID:YI5zczr1
つーか、ツールとサポートがかなり駄目って時点で材はテーブルにも上がらんかった。
830名無しさん@3周年:04/04/05 06:56 ID:EqeuMLwF
>>828
そう言えば、MicroBlazeはどこへ行ったのやら?
Niosしか話題にならないな
831名無しさん@3周年:04/04/05 13:05 ID:DlyVrLxb
>827
そんなあなたに朗報!

アルテラ・ソリューションズ・セミナ 2004概要
日時/会場: 
   2004年5月28日 (金) 大阪ガーデンパレスホテル 2F 桜桐の間 
832名無しさん@3周年:04/04/05 13:05 ID:JrZye3si
>>MicroBlaze

だって材以外への応用が効かないんだもん
833名無しさん@3周年:04/04/05 18:29 ID:9krLY5a6
>>Niosも同じじゃないの?
834名無しさん@3周年:04/04/07 22:41 ID:rjuiTimR
>>800>>808で言われてる財の代理店ってドコ?
気になって眠れない・・
財はメーカーが優秀ってのは意外。USならならわかるけど。

>>830
MicroBlazeはコレで遊んでみて
http://www.itee.uq.edu.au/~jwilliams/mblaze-uclinux/
MicroBlaze性能はいいんだけどねぇ
MP3プレイヤーとか作れるし。(意味ないけど)
835名無しさん@3周年:04/04/09 11:27 ID:/c9eU/JB
教えてください。
回路をUP1ボードで実行するとき、処理時間をどんな測定方法で計測するのか
というものです。
お願いします。
836名無しさん@3周年:04/04/09 12:31 ID:Tw3r3bTQ
>>834
俺的には、

TED >>>> 越えられない壁 >>> メメック > アルティマ=パルテック

だな。代理店の技術力は。
日本アルテラは、技術的に全然ダメな気がする。んじゃ、Xilinxはって
いうと、こっちも疑問だな。
とりあえず、TEDまんせーしとく。
837名無しさん@3周年:04/04/10 14:01 ID:712oWUOz
これからxilinxのCPLD(XC9536XL)を使おうと思っています。
まずダウンロードケーブルを自作するところです。
ところで巷でよく出ている回路ですが、
http://www.xilinx.com/support/programr/jtag_cable.pdf
これで3.3Vデバイスを焼いた実績はあるでしょうか?
その場合、74HC125に供給した電源は5Vですか、3.3Vですか?

ところでFPGAはAltera>Xilinxなんですか。
小規模CPLDはxilinxが半値で入手性がよく使いやすいです。
838名無しさん@3周年:04/04/10 16:18 ID:eDCC3B8a
システム側の電源をICの電源にしたら何も気にしなくて良いでしょ。
もちっと頭使え。
839名無しさん@3周年:04/04/11 11:25 ID:Xsa9ypwp
MAX+PLUSUでかいたVHDLをコンパイルするとcan't find libraryとでます。
環境設定がおかしいのでしょうか
840名無しさん@3周年:04/04/11 14:53 ID:eE3MxEt2
最初に使用ライブラリーを宣言した?
841名無しさん@3周年:04/04/12 00:02 ID:zafwh//U
>>836
なるほど、参考になりまつ
でもまぁ、結局はアサインされてるFAEの技術Lvによるんだろうけどね
842名無しさん@3周年:04/04/12 00:09 ID:lVQsfpmY
>>836
今アルテラの命令?で設計が出来る外注を集めTEDの様な体制を作ろうって
躍起になってるよ。
漏れみたいなゴミ虫外注に迄声がかかる状況を考えると相当焦ってる事が解る。
843名無しさん@3周年:04/04/12 02:41 ID:ekbXUwMM
>>842
うちも同様の極小規模外注なんだが、うちの社長は断っていた。
要約すると「うちの設計だけやってりゃ食っていけるさ」(By ALTERAwith代理店)
の態度が気に入らなかったようで。

その点小さいながらも、とりあえずメーカとして顔を立ててくれているTEDは好きだな。
844名無しさん@3周年:04/04/12 04:17 ID:lVQsfpmY
>>843
実は、TEDの外注に落ちた仕事をコネづたいに回して貰ったことがあるんだけど
TEDもかなり苦労があるんじゃないかなと思いました。
と言うのは、FPGAの仕事に付帯する回路エントリーの仕事だったのだけど恐ろしく
レベルの低い外注からの丸投げでTEDの要求を曲解して仕事のやり直しが何度も
あって、結局そいつ等の名刺をもらって直に乗り込んで打ち合わせをしたことが
ありました。
あんな外注をコントロールしてるんだから頭が下がるよ。

アルテラの代理店連中にここまで出来るだろうか?>無理っぽい
845名無しさん@3周年:04/04/12 22:52 ID:U+zKi+iE
TEDってそんなに技術力あるんだね。ちょっとびっくり。
846名無しさん@3周年:04/04/13 04:44 ID:9Ry5TKGk
たんに人が多いのと外注の使い方が上手いだけだと思うが
アルテラ系はそれが出来てないと思われ。
847名無しさん@3周年:04/04/13 04:45 ID:9Ry5TKGk
電気電子にスレが出来たみたいだから移動推奨かな。
早速馬鹿が荒らしてて鬱だが。(w

【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice
http://science2.2ch.net/test/read.cgi/denki/1081231226/
848名無しさん@3周年:04/04/13 15:40 ID:wqKjFfrM
あるてらの代理店に発注したVHDLがまともにうごかねー。納期ぴんち。
849名無しさん@3周年:04/04/18 14:22 ID:+dEOXrmK
電気板でもう600以上発言がある。

【Verilog】プログラムで作る回路 Project1【VHDL】
http://science2.2ch.net/test/read.cgi/denki/1072330555/

↓こっちは重複スレ。>>847が必死に名スレにしようとしているが16発言しかない。

>【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice
> http://science2.2ch.net/test/read.cgi/denki/1081231226/
850名無しさん@3周年:04/04/18 14:32 ID:X/q2onGI
こっちにまで出張して煽るとは随分な念の入れようだね。
特に重複でもないのに何でスレ潰ししたがるのかそっちの方が疑問。
851名無しさん@3周年:04/04/18 14:34 ID:X/q2onGI
AA貼りまでやって馬鹿な奴。
852初心者:04/04/19 00:13 ID:7aO+BgQI
ここの住人には何を今更的な内容ですが、今、自作のxilinxのダウンロード
ケーブルが動いてデバイスを認識できました。感激。
キットでも6500円、完成品なら1万円もする。そんじゃ自作するか、でユニバ
ーサル基板で作りましたよ。ケーブルは標準プリンタケーブルを使い、セント
ロ36pinで受けるようにしました。たぶんシールドがしっかりしているはず。
焼いたデバイスが適切に機能するか確認はまた後日。
実は部品集めてからaltera/xilinx両用で2500円のキットを発見。
最初から見つけていればキットにしてしまったかもしれない。
853名無しさん@3周年:04/04/19 00:51 ID:hXsV8ABM
で、結局何が言いたいのだ?
日記は自分のサイトにな。
854名無しさん@3周年:04/04/19 08:24 ID:CvC4wRsT
>>852
そういうのって単純に楽しいよな。わかるよ。
いろいろ実験して、面白いことできたら、また報告キボンヌ
855名無しさん@3周年:04/04/19 10:55 ID:NfMaZflb
こっちにまで出張して煽るとは随分な念の入れようだね。
特に重複でもないのに何でスレ潰ししたがるのかそっちの方が疑問。
856名無しさん@3周年:04/04/19 11:44 ID:3G46v2Q1
>>852
これからもどんどんCPLDやFPGAが普及していくだろうからガンガレ。
ところで、電源、A/D,D/Aなどアナログをはじめとする
定番インターフェースなどのTips集みたいな書籍やサイトの
お勧めはありますか?

>>850,851,855
あんたも荒らしと同じにみえまつが・・。荒らしに釣られる香具師も荒らし。
857名無しさん@3周年:04/04/21 12:28 ID:YII2w3zZ
機械系の新入生です。
メカトロをやりたくて、春休みあたりからH8マイコンをいじりだして
ステッピングモータ制御くらいは、どうにかこうにか出来る様に
なってきたのですが、次にHDLに挑戦したいのですが、
なんかWebとか見ているとAlteraはVHDLかVerilogかどちらかの
ライセンスしかとれない、とのこと。
今ならどちらがオススメでしょうか?
H8で、C言語は勉強しました。Verilogの方がCに近い、、、と聞いたのですが
ちょっと見てみると、似ている様で違うので、それならば全然違う
VHDLの方が混乱しないかな、とも思っています。
858名無しさん@3周年:04/04/21 13:00 ID:5hNK9u2U
>>857

どっちでもいいからガンガレ
859名無しさん@3周年:04/04/22 18:57 ID:oUpMf1lU
がんばれ、わたし♪
860名無しさん@3周年:04/04/22 23:57 ID:5sxLE9ti
>>857
記述量多いのがマンドクセ('A`)ならVerilog
カタい記述しないと作っててヽ(゚∀。)ノアヒャる性格ならVHDL
どうもVerilogの方が優勢みだいだが、
どっちもかなり普及してるのでなくなる心配は無用。
861名無しさん@3周年:04/04/23 01:18 ID:GhSQlDFt
>>857
alteraだの.xilinxだの言っている者はどうでもいい連中だと理解しなさい。
そんなライセンスなんて数時間でわかる程度の理解ですよ。
862名無しさん@3周年:04/04/23 01:40 ID:/b8SCBOE
>>861
チミがどうでも良い連中の筆頭に思えるんだが如何か
863名無しさん@3周年:04/04/26 15:42 ID:FM856VP9
>>861
>そんなライセンスなんて数時間でわかる程度の理解ですよ。

すまん。日本語として理解出来ないんだが、それはHDLな言語ですか?
864名無しさん@3周年:04/04/26 23:45 ID:0oIwByDh
先日、ALTERAの代理店の人がうちの会社の面接に来た。
現在の給料を確認すると「激安」で働いている事が判明。

本当にあの給料で働いているんですか?
本当なら転職を勧めます。

865名無しさん@3周年:04/04/27 08:23 ID:Up05zaU9
>>854
1000万とか超えてないの?

cyclone大ヒットって言ったのに・・・
866名無しさん@3周年:04/04/27 21:18 ID:q5S4pTex
>>864
漏れの会社はPALTEKと東京エレが代理店だが、技術担当も営業担当も良く変わるよな〜。
激務そうだし、それで給料が安ければ変わるよ。

>>865
cyclone大ヒットってXILINXのスパルタン3が駄目だからでしょ。
検討したけど手に入らないないし、価格は安くないし・・・
社内での量産実績がないし・・・。使えないよな。



867名無しさん@3周年:04/04/28 01:23 ID:dab499zT
代理店なんだろ
868名無しさん@3周年:04/04/30 11:37 ID:0F8XAFP0
>>864
昨年、ある有名なFPGA講師と話をしたことがあるが
30歳台の代理店の給料は
TED>MEMEC>伯東>PAL>ALTIMA>MACNICA
の順位だそうだ。
その給料の額を聞いて驚愕だったので、その代理店の人に
忘年会でそれとなく聞いてみたらビンゴ。不憫だけれど
優秀な技術者だったから今年からはうちに就職してもらったよ。
869名無しさん@3周年:04/05/03 14:50 ID:RePJSrVo
>>868
>ある有名なFPGA講師
だれだよそれ(藁
ヘッドハンターかよ、各代理店の給料を全部知っているなんて・・。
ありえん。
つくり花はやめれ。
870名無しさん@3周年:04/05/05 00:20 ID:88BpA07Y
_____
 ‖ ‖ ‖\
 ̄ ̄ ̄ ̄ ̄ ̄ ヾ
           ヾ
            ;
            ;
            ;
            ;
            ;
           ゞ..;_
           \〃 \         カッコーーン
             \〃 \
              \〃 \
                \◎ \       .|...|...|
                  .||;;;〃\     ノ ノ ノ
                  .|| .\〃\  ∧
                  .|| .■\〃\/ |
                  .||    \__/ / ガッ
                  .||       .人
                        <  >_∧∩
                         V`Д´)/ ←>>868
871名無しさん@3周年:04/05/05 10:43 ID:+h3HHTHv
>>869
彼のコトかとオモタ、、、
872名無しさん@3周年:04/05/05 23:25 ID:gDso2j/d
半年ほど前にヘッドハンター会社に勤める友人に聞いた時は
MEMEC>>>>伯東>>>>ALTIMA=MACNICA>TED>>>PALと回答だった。

MEMECと伯東はDQNでも給料は良いので転職が少ないが、辞める理由は
会社が面白くなので辞めるという回答が多いそうだ。
MACNICA系は上下の貧富差が激しいのが不満で平社員が辞めてしまう。
TEDは公務員的な平等給料に不満が出て、実力者が辞めてしまう。
PALは出来る人間が薄給で、出来ない人間が高給。平社員に不満が多く
転職が多い。
よって引き抜き斡旋が簡単に成功するはTEDとPALで、逆にお薦めしないのも
TEDとPALだそうだ。  

その結果、検討していた半導体商社は辞めて某メーカーに転職した。

873名無しさん@3周年:04/05/05 23:32 ID:Rut4kTm0
>>863 :名無しさん@3周年 :04/04/26 15:42 ID:FM856VP9
>>861
>そんなライセンスなんて数時間でわかる程度の理解ですよ。

何かのアニメのキメ台詞らしいよ
861はアニオタと思われ
874名無しさん@3周年:04/05/12 09:29 ID:Bnp64EQa
Amplify使っている人います?ぶっちゃけどうですか?

正直Certifyは使いにくい。むきーってなるくらい使いにくい。

と、シンプリシティのセミナーの前に聞いてみる。
875名無しさん@3周年:04/05/14 00:58 ID:KDpxyaNm
誰か、JTAGコンフィグのシステムを開発したやついる?
Xilinxで挑戦中なんだけど、どーもうまくいかん。。。
iMPACTの波形見てみたけど訳わからんことしてるし
876名無しさん@3周年:04/05/14 01:01 ID:R18onMhS
なひたふ位しっておけ
877名無しさん@3周年:04/05/18 07:29 ID:zxQOwLBt
>>875
*.BINの内容を垂れ流すだけでいいはずだぞ
エンディアンに注意しろ
878名無しさん@3周年:04/05/18 12:36 ID:sv0y9ohx
JTAGから流す場合は前処理と後処理が必要
とりあえずなひたふれ
879名無しさん@3周年:04/05/18 13:51 ID:vR9FEh96
もしかしてなひたふも知らない素人だったりして
880名無しさん@3周年:04/05/21 12:09 ID:bwxN/Y6J
アルティマ、大量に誤爆ってない?
881名無しさん@3周年:04/05/21 12:19 ID:Aj284v8E
なひたふ知らないプロですが何か?
882名無しさん@3周年:04/05/21 12:28 ID:zmfQW3sP
アルティマ、大量に誤爆
883名無しさん@3周年:04/05/21 12:58 ID:bwxN/Y6J
今、詫びメールきた
884名無しさん@3周年:04/05/21 13:38 ID:zmfQW3sP
今、詫びメールきた2


885名無しさん@3周年:04/05/21 14:33 ID:Aj284v8E
今、詫びメールきた3
886名無しさん@3周年:04/05/21 19:21 ID:rmb9cKPi
俺宛てのメール受け取ったヤシ誰だよ!ヽ(`Д´)ノ
887名無しさん@3周年:04/05/21 19:29 ID:jbZb4Cnu
いま WebEdition の authorize request 出すとひどい目に遭いそうか?
888名無しさん@3周年:04/05/21 20:33 ID:zmfQW3sP
>886

呼んだ
(゚Д゚)
か?
889和田次郎:04/05/22 14:10 ID:xbdVeNls


                  ま
890名無しさん@3周年:04/05/24 20:59 ID:vNnZ6vo5
明日はパシフィック横浜行ってきます
891名無しさん@3周年:04/05/26 00:55 ID:i7O9hj/G
レポ(・∀・)シル!!
892名無しさん@3周年:04/05/26 13:18 ID:wM0/cEy1
>>891
えっと。何となく5人に1人は、今までにあったような人ばっかりでした。
来場者の中の(出展者の)関係者比率はかなり高いのではないでしょうか。
無料のセミナーは、はぁーとため息がでるくらい、他の展示会で言ってい
る事と同じでした。

あと、普通の来場者の中には、ツール購入の決定権を持っていそうな人は
見あたらず、商談には結びつかないんじゃないの?って感じ。話してても
隣のブースの営業が聞き耳立ててそうだし・・・

有料セミナーは、全部見たわけじゃないけど、実務者レベルで面白そうな
感じでした。(一部は資料だけしか見てません)「俺、こんなの作ったよ。どうよ」って感じ。
私はそういうのが好きなので楽しかったです。
893名無しさん@3周年:04/05/26 21:52 ID:i7O9hj/G
>何となく5人に1人は、今までにあったような人ばっかりでした
業界狭すぎだな…w
894名無しさん@3周年:04/05/29 13:28 ID:198svj/0
いまさらだけど、QuartusII4.0になって、使い勝手とかどうよ?
どの辺がよくなった?
895名無しさん@3周年:04/05/30 14:52 ID:QEq4xFPR
フィット効率がすこしあがった
コンパイル時間がとてもあがった
フィットが遅いのは相変わらずだが
合成が遅くなったような希ガス

結論: Athlon64ママンホスィ
896名無しさん@3周年:04/05/31 09:27 ID:961Ku0bD
今月の中頃、Stratixと、DDRのDIMMを乗せた高付加価値?の
評価ボードの営業が来たYo!
>>803 の上司ですか?

個人的には、>>803 の上司キタ━━━━━━(゚∀゚)━━━━━━!!!!
って感じでした。
897名無しさん@3周年:04/05/31 19:46 ID:5x7rISkO
ちゃんと「896の上司ですか?」って訊いたか?
898名無しさん@3周年:04/05/31 19:47 ID:5x7rISkO
サヨナラ…orz
899名無しさん@3周年:04/06/03 01:56 ID:y59X6IqS
A
900900:04/06/03 09:17 ID:TDt+31yP
900
901名無しさん@3周年:04/06/03 12:24 ID:bp0rwHzR
901
902名無しさん@3周年:04/06/03 15:41 ID:bp0rwHzR
902
903名無しさん@3周年:04/06/03 20:08 ID:bp0rwHzR
903
904名無しさん@3周年:04/06/04 01:15 ID:BjPNpCds
904
905名無しさん@3周年:04/06/04 19:02 ID:2DgbQedT
905
906名無しさん@3周年:04/06/05 00:07 ID:FMmOp7Fd
906
907名無しさん@3周年:04/06/05 16:57 ID:y76oPvgZ
907
908名無しさん@3周年:04/06/05 20:19 ID:y76oPvgZ
908
909hage:04/06/06 01:09 ID:53t5euOp
支援上げ
910名無しさん@3周年:04/06/06 01:39 ID:+CqXmXbP
909
911名無しさん@3周年:04/06/06 10:05 ID:Wd5wDKCG
9111
912名無しさん@3周年:04/06/06 20:21 ID:U/9Lf55J
912
913名無しさん@3周年:04/06/08 14:12 ID:gwK7t7eq
913
914名無しさん@3周年:04/06/10 03:43 ID:px4l6a2X
【FPGA/CPLDスレ】 XILINX/ALTERA/Lattice
http://science3.2ch.net/test/read.cgi/denki/1081231226/

誘導
915名無しさん@3周年:04/06/11 12:31 ID:oJRBs6rR
71 :めんご :04/06/09 00:15 ID:GZd1PQ/y
財はパッケージに放射線を出す材料を使って
SONYタイマー見たいな出刃慰素だしてたよね?
あれはどうなった??

あとさ、須派3は内部の廃船利層州がめっちゃすくないやん。
Fittingで問題にならんのかね?
916名無しさん@3周年:04/06/16 05:44 ID:Pg3iHVav
最近、ALTERAとXilinxはどちらが勢いあるの?ま〜いろいろあってうちの会社
ではザイリンは採用禁止だけど他の会社ではけっこう使用しているよね〜。
アルテラとザイリンが最近搭載された有名な製品はなんですか?

917名無しさん@3周年:04/06/16 10:59 ID:Zl7ibAdb
両者がいい勝負してるからどんどんデバイスが良くなってるんじゃないの?
早くNios IIデリバリしてくれぇ。
918名無しさん@3周年:04/06/17 00:48 ID:x7WUpz4X
PDP TVや液晶TVにALTERAもXILINXも乗っているのは聞いたことがある。
また、えって驚く商品にALTERAのデバイスが搭載されている(される?)
と営業の人から聞いた事があるのだが、本当かは知らない。
個人的には、その商品名から考えると台数的にFPLDの採用は考えずらいの
だが、他社の選定基準のことなので・・本当なのか定かではない。
919803:04/06/17 17:41 ID:ELdM8Hsv
>>896

遅レスすいません。
結局話は流れたので違うと思いますよ。
StratixはやめてCycloneで作ってます。

話は変わりますが最近個人的にドングルをなんとか・・・ならないかと。
デバイス買っているんだからツールは無料にしろよという感じです。
920名無しさん@3周年:04/06/17 20:49 ID:fXRk/Bki
ドングルはUSBタイプが便利やで
921名無しさん@3周年:04/06/18 07:36 ID:bwKW/Drm
>>918 この前うちの会社に来たX社の営業が一番有名な液晶TVに
  うちのデバイスが乗っていると言っていた。PALの営業が来たときに
  その話しをしたら「うちのデバイスはいろんなS○○Yの民生品に
  いっぱい採用されていると言っていたよ。採用製品名はいわんかった 
  が・・」
922名無しさん@3周年:04/06/18 13:49 ID:s9lOMoxd
>>920

Altera製品でUSBタイプのドングルなんてあるの?
923名無しさん@3周年:04/06/18 13:51 ID:s9lOMoxd
↑補足です。

AlteraのQuartusIIなどに付いてくるドングルでUSBタイプなんてあるんですか?
という意味です。

「AlteraがUSBのドングルを販売しているんですか?」
という意味ではないです。
924ググレ:04/06/18 19:18 ID:id4P+6Km
Crack ALTERA Quartus Licence
925名無しさん@3周年:04/06/18 20:48 ID:aYc1IQAY
>>924
ばか者め!業務にクラック版使えと?!
926名無しさん:04/06/19 00:43 ID:ELV3jVqD
俺は正規ユーザだけどキー無しライセンスを使ってますが何か?
ハードウェアキーなど百害あって一理無し。パラレルポートは
ROMライタやPLDライタ専用です。
927名無しさん@3周年:04/06/23 01:25 ID:N+UcIdhd
ドングルに意味があるのかよく解らん。
というかquartusでfittingが正常終了しなくて、いろいろやっていた結果
メールで送られてきたキーの改行コードが合わなかった事が原因だと解ってから
こういうシステム嫌い。
928名無しさん@3周年:04/06/23 02:24 ID:OfM13hW4
>メールで送られてきたキーの改行コードが合わなかった事が原因だと解ってから
>こういうシステム嫌い。
Flexlmをつかっていれば「\」が改行コードだということで、あなたの遭遇した
場面とドングルとは一切関係ない。

ツールのプロテクタを作っている立場上、USBはHUB経由で情報ハックできるから
イヤだ。
929名無しさん@3周年:04/06/23 03:28 ID:Mbb6WQ77
本人はドングルの所為だと思ってるんだからそっとしときましょう。
930名無しさん@3周年:04/06/23 19:34 ID:vf0u1CLs
パラレルポート経由でもハック出来ますが
何か
931名無しさん@3周年:04/06/24 22:15 ID:GejolMNq
>>921
俺の会社でも日本アルテラの営業マンがALTERAのサイクロンの凄さを
力説してたよ。なんかソニーさんを中心に国内でかなり多く採用を頂いております。
「もちろん無鉛化に厳しいソニーさんで採用されているから気になる環境関
連も問題ありません」と言っていた。ソニーさんはどのくらい使っているの?
と聞いたら既に数十万個出荷しており、ここ1年で100万個ちかく出るのではと
言っていたよ。
何に採用されたかは言っていなかったが・・本当ならすごいがそんな商品にFPGA
が乗るのかな?
932名無しさん@3周年:04/06/24 22:36 ID:PuWLVsY/
>>931
スゴ録とかじゃないの?
933名無しさん@3周年:04/07/01 08:04 ID:ni/eYeYU
サイクロン2ってどうよ?
934名無しさん:04/07/08 10:56 ID:xKapKkYO
最近新しい話題が無い。
935名無しさん@3周年:04/07/08 19:16 ID:Iyr139NL
XilinxのLogic Cellと、AlteraのLogic Elementってほぼ同じ意味ですか?
Logic CellとLogic Elementが同じなら、同じ規模の回路がはいるかどうか知りたいです。
936socket774@techno:04/07/08 23:28 ID:rUK+w4UW
>>935
多分デバイスの使用効率のことを言ってると思うのですが、、、
どちらもロジックを構成する為の「最小単位要素」
という意味合いでは同じですが、この「単位」は
同じメーカーであっても、デバイスの異なるシリーズ等で
構造が異なる為、一概に同じとは言えません。
だからXilinxのロジックセル(CLB)の使用効率が
10%だからALTERAの競合する同規模のデバイスでも10%になるかといえば
そうでもありません。
逆に同じような構造のデバイスだと同じような結果になる事もありますので
HDL設計なら一度両方でコンパイルされてみるのがよいと思います。
長文失礼しますた。
937名無しさん@3周年:04/07/09 10:30 ID:N0MpCvxp
>>936
そうです。デバイスの使用効率のことです。
説明不足の文ですみません。
やはり、一概に同じというわけではないのですね。
詳しい解説ありがとうございました。
938名無しさん@3周年:04/07/18 22:25 ID:tg/IVOBs
>>937
もうちょっと詳しい話しをすると、現在ALTERAのサイクロンやストラテックスの
構成最小単位「ロジックエレメント(LE)」は、FF+4入力LUTなのよ。
それに対してXILINXのVIRTEX2やVIRTEX-2/PROの「スライス」は2xFF+2x4入力LUT
なのよ。
それだけ見ると、SLICEはLEの2倍あるってことなんですよ。

さらにXILINX-SLICEはもっと膨大な入力を持っていて、マルチプレクサとRAM/ROM用の
ライトゲート信号もある。
同じモノをLEで表現しようとしたら3倍はなるぐらいの高機能なんですよ。

目安として比較するのだったら、レジスタ数だけでいいんじゃないかな。LUTの構造
までも比較対照するなら>>936さんの書いているように、フィットするのが一番
だと思います。
939名無しさん@3周年:04/07/21 02:58 ID:7mErgIHa
ザイリンコの$99とどきますた
関税とられたぷー
940名無しさん@3周年:04/07/21 04:02 ID:/cY4gQF7
厳密には消費税。とDHLの手数料。
941Niosファン:04/07/24 23:47 ID:bdyIK10c
何年か前の エクスかリバーTシャツ愛用しているけど、洗いすぎて
ぼろぼろになってきちゃった、また 配ってくれないかな〜
だれか、着ていないのちょうだい。。。。
942名無しさん@3周年:04/07/25 09:12 ID:BHyWAUI9
>>941
可愛いおにゃの子が着たやつなら、むしろ着たやつの方が可。
943Niosファン:04/07/25 10:51 ID:B6y3nNBK
>>942
同感です。
おにゃんこが着たやつだったら、ぼくも破格で買います。
どっちのファンなのか分からなくなってきた・・・・
944名無しさん@3周年:04/07/27 11:25 ID:mVWNNLVk
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
おにゃんこが着たやつだったら
V
945名無しさん@3周年:04/08/05 12:52 ID:8zJ15dyb
Niosを使ってみたいのですが、
開発ツールやバグ、サポートはどうですか?
946名無しさん@3周年:04/08/06 20:57 ID:HzVgzJnt
サポートはいい! 但しイイお客ならだけど・・・代理店に廻されたらサポート当てにしない方がいいです。
開発ツールは4ヶ月に1回位アップデートします、良いんだけど たまにバグバージョンが出たりもします。
どんどん性能が上がる楽しみは有りますが、組み込んだものを市場に出す事を考えると
開発ツールのバージョン管理が結構やっかいです、基本的に上位互換のはずなのですが
失敗が許されない環境ではやはり開発した環境で改版も行いたいと考えると、何とも歯がゆいです。
しかし、私はNios大好きです。 抜群に速いし好きなペリフェラルが自由に構成できる魅力は
他のディスクリートCPUには無い最大の魅力です。
947名無しさん@3周年:04/08/06 22:06 ID:cD9srd+s
Niosは、良いんだが寺オンリーだから嫌だな。
どっちも使うから、ターゲットを選ばないソフトマクロの方が良い。
948名無しさん@3周年:04/08/06 22:50 ID:OE/bhUMA
>>946
> サポートはいい! 但しイイお客ならだけど・・・代理店に廻されたらサポート当てにしない方がいいです。
> 開発ツールは4ヶ月に1回位アップデートします、良いんだけど たまにバグバージョンが出たりもします。
> どんどん性能が上がる楽しみは有りますが、組み込んだものを市場に出す事を考えると
> 開発ツールのバージョン管理が結構やっかいです、基本的に上位互換のはずなのですが
> 失敗が許されない環境ではやはり開発した環境で改版も行いたいと考えると、何とも歯がゆいです。
> しかし、私はNios大好きです。 抜群に速いし好きなペリフェラルが自由に構成できる魅力は
> 他のディスクリートCPUには無い最大の魅力です。

感想まったく同じ
ただ、
> 基本的に上位互換のはずなのですが
> 失敗が許されない環境ではやはり開発した環境で改版も行いたいと考えると、何とも歯がゆいです。
これはなんとかならんのか?
既存のCPUと違い、ディスコンの心配がいらないのが売りでないのか?>寺
949名無しさん@3周年:04/08/07 23:44 ID:RRRq9Z44
>>947
ソフトマクロでNios並のものがあれば、もちろんそれが理想なのだが・・・
950名無しさん@3周年:04/08/08 00:15 ID:cG0sFE3U
でも、コンパイラも必要だし 現実にはバランスの良い開発環境を望むのはムリでしょ。
951名無しさん@3周年:04/08/08 05:49 ID:pg+oRU2m
商売の種が見えるって事だね。
952名無しさん@3周年:04/08/08 14:03 ID:fRWY/bYQ
それでも寺が好きだよぉ!
953名無しさん:04/08/09 09:24 ID:lkuD3/4H
NiosIIにUSB2.0をインプリメントすることは可能ですか?
954名無しさん@3周年:04/08/09 09:36 ID:8b9Jl/mW
不可能です
955名無しさん@3周年:04/08/09 16:50 ID:CZNq+ODq
可能です
956名無しさん@3周年:04/08/11 22:05 ID:ut1CnEnM
↑ でも タダじゃないでしょ。 IP買うなら数百万円だし、自分で作れるくらい
の人ならこんな質問しないでしょ。 つまり ムリって言うことじゃないですかね。 
957名無しさん@3周年:04/08/16 21:28 ID:9u3p6Ni7
Niosって、以前からWebEdisionに付くようになるとかささやかれていましたが
全然タダにならないですね、入手方法も評価キット買うしか方法が無いし 高いし
もっと普及してもらいたいのに、何でユーザーを広げようとしないんでしょうね アルテラは!
958名無しさん@3周年:04/08/16 22:26 ID:Qf2Qie3q
シェア故の奢りでしょう。
そのうち考えを改めるかも。
959名無しさん@3周年:04/08/17 01:05 ID:g5Z/j+bb
957>>
NIOSがタダになっても誰も特にならないよ。無償IPって結局はノンサポートで
保証無しでしょ。バージョンアップもなくなるだろうし・・
そんなCPUは怖くて使えないよ。H8だってただじゃないぜ。
ちなみに俺の部署ではNIOSでの量産を検討しているが、価格で問題になった
ことはないが。
960名無しさん@3周年:04/08/17 02:24 ID:Y2MIrhgN
>>959
GNU Projectみたいにならないかな?
開発ツールはGCC、ソフトマクロの開発もGNUみたいに
961名無しさん@3周年:04/08/17 02:25 ID:lLu7/OuC
仕事で使ってる奴しか居ないような口ぶりだな。
962名無しさん@3周年:04/08/17 07:01 ID:wMS5TB54
なれよ
963名無しさん@3周年:04/08/17 17:26 ID:/JbEbRhd
Niosを実際に仕事で使うとバージョン管理が面倒ですよ。
年間3回くらいアップデートしてくれるから もうCDの山です
組み込んでも売り切りなら問題ないでしょうが、アフターサポートはご勘弁って感じですね。
H8とかは変わりようがないけど どっちも良し悪しですね あ〜はがゆい
964名無しさん@3周年:04/08/18 13:28 ID:+0hVZuO4
ん? これから仕事で使おうとしてるんだけど(^^;
単に新しいバージョンでコンパイルし直すだけじゃダメってこと?
965名無しさん@3周年:04/08/18 16:16 ID:Gl8jkUvL
アルテラさんは、現在に至るまでに 何回か大きなバージョンアップしてくれましたが
たまにバグってくれます。 後で(数ヵ月後)分かるのですが、その時は何がなんだか分からなくてオロオロしますよ。
まして納期に追われいてるような状況では本当に勘弁して〜って感じです。
今度 NiosU なんて新しい物が出てきて評価したいけど、開発環境をアップデートするのが怖いです
リリース済みのNiosシステムのサポートも続けないとイケないので、現在の開発環境を変える勇気が無いです。
一度辛い目に合ってバージョンダウンした敬意があるので。
少なくても、昔のバージョンのCDは捨てないほうが良いですよ。(私的には Nios好きなんですけどね) 
966名無しさん@3周年:04/08/18 19:24 ID:3ifRhfyc
その辺のトラブルって別にアルテラに限らずどの分野でも有りがちだな (w
967名無しさん@3周年:04/08/19 20:03 ID:jJXlqM0n
アルテラのネットセミナーを受講すると オリジナルTシャツがもらえる!
968名無しさん@3周年:04/08/19 20:38 ID:jJXlqM0n
EPCS4 とかのシリアルROMは、コンフィグレーションに必要な容量以外の部分に
コンフィグレーション以外のデータを保存するような使い方って出来るのでしょうか?
たとえば、EPCS4(512KB)のうち 256KBをコンフィグレーションデータ 残り 256KBをNiosの
プログラム格納エリアに割り当てるとかです。
969名無しさん@3周年:04/08/20 19:33 ID:XQdqenu0
あと 1回で消える? さよならアルテラ
970名無しさん@3周年:04/08/21 16:45 ID:rG0v0TR7
FPGAで電波の波形を作って送信する事出来るんでしょうか。 DDSとかってそういう物ですか?
どうやって作るのか 簡単なサンプル情報とか在処を教えて下さい。
受信はFPGAで出来ないでよね・・・
971名無しさん@3周年:04/08/21 22:03 ID:V6NFdRbG
「電波の波形」

退場
972名無しさん@3周年:04/08/21 23:40 ID:th+c9xqN
受信はFPGAで出来ないでよね・・・

意味不明
973名無しさん:04/08/22 10:25 ID:6WkAXq6b
>>970
クロックをフィルター通してアンテナにつなげば微弱電波のキャリアだけ
は出せる。キャリアぶつ切りの変調ならデータを乗せるのも簡単。
FPGAだけで電波の受信は無理だけどデータのデコードはできるでしょう。
974名無しさん@3周年:04/08/22 11:35 ID:OAz9V594
>>973 それ サンプル無いですか? やってみたい RS232Cの通信が出張れば
975名無しさん@3周年:04/08/25 01:01 ID:bVxA0pTJ
>>973 それ サンプル無いですか? やってみたい RS232Cの通信が出張れば
976名無しさん@3周年:04/08/25 20:48 ID:jROlNELT
977名無しさん@3周年:04/08/25 21:05 ID:6lWnMFt7
>>975
なんでそんなに必死なのよ?
978名無しさん@3周年
DDSで好きな周波数を作って、メモリに保存してある波形パターンを読み出す方法は、
デジタルシンセでも使われてますよ
正弦波を読みだしてDACにでもかければ、キャリアを作ることはできるでしょう