【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 19

このエントリーをはてなブックマークに追加
952774ワット発電中さん:2013/12/21(土) 21:11:22.85 ID:tfzvxrax
>951
 そういうことか。でもザイリンクスでも使えるよ。AHDL−>VHDL変換プログラム
があってかなりの精度で変換できる。自分は以前ザイリンクスやった時は
アルテラで開発してあとでVHDLに変換して最終シミュレーションをした。
変換に癖があるので書き方を工夫しておかないと読みずらいコードになるけどね。
953774ワット発電中さん:2013/12/21(土) 21:19:21.29 ID:ozAxg6jt
>>948
その認識は誤ってるな、マイクロンなどでは、ちゃんとシュミレーション・モデルを提供している。
例えば "mt48lc16m16a2.v" みたいな感じで。

自前でSDRAMのモデルをコーディングする事は当然可能だが、
その場合、自身の理解度によりけりだから、Simで動いても実際には動かないと言う事が多々ある。
更に怖いのは、例え動いていても規格違反だが たまたま動いてるってのが良くある。 <- んで、これが一番ん痛い

気が付いた時は、"既に多量の不良品を生産してしまった後" と言うことになるからな。
954774ワット発電中さん:2013/12/21(土) 21:21:46.75 ID:N3xyEQN0
>>951
Abel HDLじゃないの?
955774ワット発電中さん:2013/12/21(土) 21:28:17.80 ID:nramgFnH
>>952
確かに変換はクセがあるんだよなぁ…
昔やったことあるけど失敗したのはelseを書かない場合の動作。
あれはハマった。

1000行とかのコード書いたとして、
変換したら全部見直ししないとまともに使えないんじゃ
やってられない。
んなことする位なら最初から汎用的に使える言語で組む。

>>954
Abelにも似てるよね。
956774ワット発電中さん:2013/12/21(土) 23:08:17.49 ID:QL1ueq+6
図面で描いた所で、モジュールの端子から
ラベルがボンボン飛んでいるだけ、の図面には
ならないのかね?
957774ワット発電中さん:2013/12/22(日) 00:59:30.83 ID:/HPDTpPS
俺はFPGAめったにやらないが、全部verilogで書いて設計資料としてブロック図をワードで書いてるよ。
まあワードで書くのは勧められないが。
ワードのブロック図で計画を立てれば、あとはそれ通りコーディングするだけだからあまり考えずに済む。
958774ワット発電中さん:2013/12/22(日) 01:01:21.26 ID:/HPDTpPS
設計資料としてもちゃんと残る。
ちなみに字の高さ4pointでも印刷してちゃんと読める。
959774ワット発電中さん:2013/12/22(日) 01:26:52.61 ID:Bth1uR/E
>>957

Wordでブロック図って、図形の挿入で四角形とかちまちま描くの?

Excelの表とかVisioの図をOLEで埋め込みとか?

それにしても、Wordってもういまいち残念なソフトだよね。
印刷目的のA4紙フォーマットの仕様書の作成にはなんとか使えるけど、
画面上でみる設計文書書くには、イマイチ。

HTMLで書くのも面倒だし、なんか良い方法はないかね?
960774ワット発電中さん:2013/12/22(日) 01:49:36.46 ID:q9lV6vZz
Visioで書いてコピペで貼りつければいいだけだろ
そんな難しいことじゃない
961774ワット発電中さん:2013/12/22(日) 01:52:45.72 ID:2/06Dh5s
ブロック図書くならyEdとか向いてるんじゃね
962774ワット発電中さん:2013/12/22(日) 02:26:39.84 ID:/HPDTpPS
>>959
図形でちまちま書いてます。慣れるとサクサク書けます。
線が斜めったり、バぐったりするのが大変ですが。
100ページ超えるとバグりまくる気がします。
あるテキストボックスに文字を書くと別のテキストボックスにそれが表示されたりとか。
Visioで書いて貼るのがいいのかもしれませんが、
微修正したいときにわざわざ別のファイル開いてコピーして張り替えるのが面倒。
963774ワット発電中さん:2013/12/22(日) 03:29:04.83 ID:q9lV6vZz
Visioで書いて貼りつければワード上でVisioが起動されて微修正できる
964774ワット発電中さん:2013/12/22(日) 07:22:41.27 ID:rk00QkT+
>>953
Micronのシミュレーションモデルならタイミングエラーとかはwarning出してきたような?

>>940
そこまでシミュレータと相容れない環境で好き勝手やるなら、デバッグは実機でやった
方が早いだろw SignalTap使い倒せば、なんとか動くところまでは辿り着くんじゃない?
コーナーケースバグとかは出ないように毎日祈ればOK
965774ワット発電中さん:2013/12/22(日) 07:52:49.37 ID:RF8gUI3d
Visio一択だな。
最初はWordの図面で描いてたけど、
描きにくい&バグる、でやめた。
966774ワット発電中さん:2013/12/22(日) 08:10:09.70 ID:JuK0GZFZ
第一階層スケマで接続って、Qsysのこと?
967774ワット発電中さん:2013/12/22(日) 08:43:39.67 ID:58S1HtIf
>>965
とりあえずパワポもあるよ
968774ワット発電中さん:2013/12/22(日) 09:03:44.62 ID:U//NDtfv
スケマとHDLは繰り返す。
969774ワット発電中さん:2013/12/22(日) 09:24:30.61 ID:QBdtruEE
会社ではVisio、家(趣味)ではパワポ使ってるけど、パワポで図面を描くのはキツイ
amazonでOfficeのアカデミック版を(学生でなくとも)購入可能らしいので検討中
970774ワット発電中さん:2013/12/22(日) 09:46:06.00 ID:DafT+kMU
>>948
> どのみちSDRAMなんてシュミレーションできないでしょ。
うん? マイクロンのWebにチップは勿論DIMMのHDLモデルが置いてあるよ。
マイクロンに限らす、丁寧なベンダーはHDLモデルを提供してる。

さて、トップを回路図で書いたら基板レベルのシミュレーションが出来ねぇぞ。
971774ワット発電中さん:2013/12/22(日) 09:48:52.82 ID:DafT+kMU
>>953
おっと、既出だったか。
972774ワット発電中さん:2013/12/22(日) 10:27:38.71 ID:rc1QueJ2
>953
>971
そんなのがあるのか? ありがとう。
でもそれならスケマチックからでも同様に利用できるよ。そもそもそういうデリケートな
問題が発生するとすればゲートレベルでテストしないとだめでしょ。ゲートレベルなら
言語を混在してもテストできる。

TOPのスケマティックはVerilogに自動変換できるよ(多分あったような気がする)
ということは、RTLもできるのかな?
973774ワット発電中さん:2013/12/22(日) 11:06:40.34 ID:GhjFQ3XS
>  管理という点でスケマチックの方が楽だと思うよ。直感的に構造が解る。

直感の履歴や差分をどうやって取るつもりだろう、この人。
974774ワット発電中さん:2013/12/22(日) 11:36:17.83 ID:rc1QueJ2
直感は解る時に必要なのであって履歴には必要ないよ。WW
 差分を判断するのは何だろ? Aaa−−>BBBに変わったってところを
のこしておきたいだけだろ。
diff一発で差が解るというのは便利だよな。しかし大量に差分が出てくればかえって不便
なこともある。
 そもそもスケマティックでそんな細かいロジックは書かない。HDLで書く。
HDLの差分ならそうやって残せばいい。というかその時にdiffを取れはすむ。
上位のIFなどが変更になるなら「重大な変更」だからそれなりの説明が必要になる。
ならスケマチックの方が説明をつけやすいと思うよ。
それにスケマチックで書いてもテキスト変換は簡単にできるからDIFFは取れるさ。
975945:2013/12/22(日) 12:04:26.94 ID:eFvq4lbf
俺が書いた 「頭の固いお上」ってのが rc1QueJ2 とか tfzvxrax のような人たちなんだろうな。
976774ワット発電中さん:2013/12/22(日) 12:26:26.90 ID:Nse19MM/
少なくとも一人で設計してるのに言語が混在するのはまともではない
まあ人の話を聞かない人だから勝手にやってくれって感じかね
977774ワット発電中さん:2013/12/22(日) 12:35:58.76 ID:fnnEzeEy
静的コード解析とかやってるのだろうか
978774ワット発電中さん:2013/12/22(日) 14:56:25.62 ID:rc1QueJ2
>スケマとHDLは繰り返す。

スケマティックは最終的に使うのは単なるネットなんだからコンパイルとはあまり関係ない。
マッピングツールみたいなものだな。
マッピングは視覚的な方がはるかに見やすい。しかし論理回路のエディットはHDLの方が
便利なことが多い。
だから混在するのがいいのさ。原理的に親和性にはなんら問題ない。
979774ワット発電中さん:2013/12/22(日) 14:58:15.16 ID:rc1QueJ2
 言語混在が出来ないのはシステム境界の意識が低いからだろ。モジュール化すれが
混在は便利なだけで問題は何もない。
980774ワット発電中さん:2013/12/22(日) 15:03:01.77 ID:rc1QueJ2
>977
 そういうのはどの時点でやるかだよ。全部出来上がってからやる馬鹿いないだろ。
981774ワット発電中さん:2013/12/22(日) 15:34:11.95 ID:vjUCnI7J
>>979
メンテナンス性とか
単言語シミュレーターが使えないとか
シミュレーター以外にも検証ツールがかけられないとか
デメリットしかねーだろ
そもそも言語で回路実現性に違いがあるわけでもねーだろうが
982774ワット発電中さん:2013/12/22(日) 15:52:39.23 ID:RF8gUI3d
言語も2つ。
ベンダーも2つ。

ソフトの世界みたいにx86向けにCで書きゃぁ、ほとんどOKな世界になってほしい。
983774ワット発電中さん:2013/12/22(日) 17:12:32.23 ID:eFvq4lbf
スケマさんはConstraintの設定も直感的にやりたいからGUIでやるの?w
984774ワット発電中さん:2013/12/22(日) 17:39:52.03 ID:YE8CYMBw
FF間はゲート10段まで
985774ワット発電中さん:2013/12/22(日) 18:13:44.43 ID:rk00QkT+
個人でやってるプロジェクトの場合、フリーなコアを拾ってきたりすると
言語混在な状況は出来ちゃうね。
が、AHDLは無いわw 論外
986774ワット発電中さん:2013/12/22(日) 20:00:46.42 ID:vjUCnI7J
スケマさんの意見があまりにも個性的なのでまとめてみた
・TOPをスケマティックで書けば管理が簡単、図を別に書く必要がないから。
・スケマティックで差分を取りたい時はテキストに変換してDIFFをとれば良い。
・大量に差分が出てくればかえって不便である、スケマティック→テキスト変換の方が差分が少ない。
・AHDLはアドバンスHDLの略なので新しい言語である
・AHDLは他の言語と比べて"言語の性能"が違う
・AHDLでXilinxでも開発できる。アルテラで動かしてVHDLに変換してザイリンクスで動かせば良い。
・SDRAM込みだとRTLシミュレーションでは問題は見つからない。ゲートレベルでないと意味が無い。
・言語混在が出来ないのはシステム境界の意識が低いから。モジュール化すれば混在 は便利なだけで問題は何もない。
・ところでゲートレベルシミュレーションが遅くて参るのだが。&#xA0;
987774ワット発電中さん:2013/12/22(日) 20:59:28.33 ID:rc1QueJ2
>が、AHDLは無いわw 論外

 うんなことはない。チョッと使っただけだけど全然違うわ。秀逸。
VHDLがほんと駄目に見えてきた。
verilogのtaskみたいなのが自然にできる。(美しさは比較にならんけど)
それにtaskは基本的にテストベンチしか使えん。AHDLモジュールとタスクがシームレス
に動作する。まるでCで書いてるみたいに書ける。
988774ワット発電中さん:2013/12/22(日) 21:18:58.30 ID:huqCJxaH
図形入力の信奉者ってやたら入れ込んでるよな
989774ワット発電中さん:2013/12/22(日) 21:20:44.66 ID:+38Uapi8
>>959
> Wordってもういまいち残念なソフトだよね。
仕様書の書き方がおかしいんじゃない?

大方、
> Excelの表とかVisioの図をOLEで
から察するに、表と絵だけ書いて仕様書だと言ってるんじゃないの?

そうだな、公なUSB規格書がいいかな。
仕様書ってのは、殆どが文章で書くものなんだよ。

文章が書けない奴が、表と絵で誤魔化すのが常。
そんなのは俺は仕様書とは言わないな。

さて、その視点がズレていると「Wordってもういまいち」とのたまうと思うがどうよ?
990774ワット発電中さん:2013/12/22(日) 21:22:39.70 ID:rc1QueJ2
 図示できるかどうかが重要だよ。絵がかけないから文章で誤魔化すのが常WWW
991774ワット発電中さん:2013/12/22(日) 21:25:48.56 ID:huqCJxaH
こう言うバカがVEとかを生き長らえさせてるんだろうな
992774ワット発電中さん:2013/12/22(日) 21:27:44.27 ID:U//NDtfv
HDL者にチャレンジ精神はどこにあるのか?
993774ワット発電中さん:2013/12/22(日) 21:29:00.25 ID:rc1QueJ2
それを言うならVHDLをだろ。WWW まあVEも似たものどうしだが。
994774ワット発電中さん:2013/12/22(日) 21:30:57.44 ID:raD1R1Rg
typesaving verilogかわいいよTSV
995774ワット発電中さん:2013/12/22(日) 21:43:22.13 ID:ENbpMw0p
 
996774ワット発電中さん:2013/12/22(日) 21:57:25.43 ID:rk00QkT+
AHDLで書かれた下位モジュールがあるというだけでモジュールや機能ブロック単位の
RTLシミュレーション不能。そりゃシステムどころかモジュールの境界を意識するわ。

そんで最後にえいや!で合成かけて、ゲートレベルの全体シミュレーション1発でリリース?
動く方が怖いよ。
997774ワット発電中さん:2013/12/22(日) 22:20:10.11 ID:wAeS/Dmr
次スレ

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://uni.2ch.net/test/read.cgi/denki/1387718215/
998774ワット発電中さん:2013/12/22(日) 23:43:57.13 ID:uhvwX9JW
AHDLなんてMax+Plus Uまでやろ
999774ワット発電中さん:2013/12/22(日) 23:44:20.32 ID:VlAvjYx/
>>997


埋めるか
1000774ワット発電中さん:2013/12/22(日) 23:44:53.90 ID:VlAvjYx/
次スレ

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://uni.2ch.net/test/read.cgi/denki/1387718215/
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。