【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 19

このエントリーをはてなブックマークに追加
1774ワット発電中さん
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/

■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 18
http://uni.2ch.net/test/read.cgi/denki/1359245319/
2774ワット発電中さん:2013/06/19(水) 06:37:06.40 ID:k7lv+VZv
過去ログ参照したいときは、外部キャッシュサイトも便利です。
スレタイで検索しましょう。
・ログ速 ttp://www.logsoku.com/
・2chビューアーD ttp://2ch.viewerd.com/
・2ちゃんぬる ttp://2chnull.info/
・unkar ttp://unkar.org/r/denki/
他にもあると思う

以上、テンプレらしきもの。
     _,,,
    _/::o・ァ
  ∈ミ;;∧,ノ∧    ,,,,,   ,,,,,
    ( ´・ω・) ,,,,(o・e・),(。・e・),,     新スレです
     /ヽ○==(。・e・)(。・e・)(o・e・)     仲良くつかってね。
    /  ||_彡,,, ノ彡,,, ノ彡,,, ノ
    し' ̄(_)) ̄ ̄ ̄(_)) ̄(_))  ガラガラ
3774ワット発電中さん:2013/06/21(金) 07:51:03.62 ID:0p2i9CWm
こっちがさきあげ
4774ワット発電中さん:2013/06/22(土) 11:07:05.43 ID:lmgZ286l
ほしゅ
5774ワット発電中さん:2013/06/22(土) 21:27:51.47 ID:k3eAb0Zh
新スレですね
6774ワット発電中さん:2013/06/23(日) 10:25:43.18 ID:u1fsK/CS
前スレが落ちてる
7774ワット発電中さん:2013/06/24(月) 19:15:57.09 ID:8oZqYGvZ
あげ





また落ちそう…
8774ワット発電中さん:2013/06/24(月) 21:02:11.64 ID:4Gx7kGsV
話題がないなら無理にあげる必要ないだろ
9774ワット発電中さん:2013/06/24(月) 22:49:22.27 ID:bFCsFBGt
前スレの最後でCycloneIIIの質問を書いた俺はションボリだ。あれのせいで落ちたのかもしれんがw
10774ワット発電中さん:2013/06/24(月) 23:09:11.97 ID:UXWqdZBy
FPGA用につかえる、GPLライセンスで公開されているHDLライブラリとかあるん?
11774ワット発電中さん:2013/06/24(月) 23:21:24.58 ID:wO4jLsr4
>>10
opencore
12774ワット発電中さん:2013/06/25(火) 21:52:41.19 ID:YL3FeEW+
>8
レス数20超えるまでは無理にでも書き込まないと安心できない。
13774ワット発電中さん:2013/06/26(水) 12:53:14.97 ID:rwxAcIyv
ぢゃぁ しりとりでもしようぜ!


「Xilinx」
14774ワット発電中さん:2013/06/26(水) 13:34:06.68 ID:UxwNcihK
Xanax
15774ワット発電中さん:2013/06/26(水) 13:53:06.42 ID:RZA0gTh6
xtal
16774ワット発電中さん:2013/06/26(水) 16:11:00.73 ID:faaZywtK
linux
17774ワット発電中さん:2013/06/26(水) 16:20:32.44 ID:W3jzBOwX
XEXEX
18774ワット発電中さん:2013/06/26(水) 17:18:06.08 ID:QB1OO6ZY
Xevious
19774ワット発電中さん:2013/06/26(水) 19:32:05.03 ID:jb4eHUVM
Spartan-X
20774ワット発電中さん:2013/06/26(水) 19:35:19.35 ID:ch5V/4Qe
AT-X
21774ワット発電中さん:2013/06/26(水) 19:41:15.21 ID:jcBleJm4
MSX
22774ワット発電中さん:2013/06/26(水) 19:48:39.51 ID:sd15q69G
Xanadu
23774ワット発電中さん:2013/06/26(水) 20:00:35.11 ID:jb4eHUVM
UQ-WiMAX
24774ワット発電中さん:2013/06/26(水) 20:31:09.45 ID:sd15q69G
X-ray
25774ワット発電中さん:2013/06/26(水) 20:31:28.92 ID:YTHl1M8O
xerox
26774ワット発電中さん:2013/06/26(水) 23:46:21.66 ID:dAEeF3fq
xor
27774ワット発電中さん:2013/06/27(木) 00:14:14.17 ID:Sh2dPVvj
もうこのスレいらないんじゃね?w
28774ワット発電中さん:2013/06/27(木) 00:20:00.15 ID:XrdmcQXb
もうFPGAイラネ。
29774ワット発電中さん:2013/06/27(木) 11:31:57.88 ID:jAY2RePj
しりとりじゃないじゃんw
XENIX
30774ワット発電中さん:2013/06/27(木) 22:48:32.38 ID:UlOvZ1nF
X-men
31774ワット発電中さん:2013/06/27(木) 22:57:08.51 ID:TiyJywFw
はい、30の負けでしりとり終了〜 (エックスメ「ん」)
32774ワット発電中さん:2013/06/28(金) 00:55:41.65 ID:4fpm5gN/
ツマンネ
33774ワット発電中さん:2013/06/28(金) 06:38:52.50 ID:aMAGFtHJ
ねんまつ
34774ワット発電中さん:2013/06/28(金) 12:51:25.17 ID:bdE3UdXz
ツェナーダイオード
35774ワット発電中さん:2013/06/28(金) 14:07:25.23 ID:Z+PjAgzA
ドラえもん
36774ワット発電中さん:2013/06/28(金) 17:19:09.84 ID:L4HpmQhj
んっ…
37774ワット発電中さん:2013/06/28(金) 20:32:11.83 ID:Jl98sqTe
あぁっんっ
38 忍法帖【Lv=3,xxxP】(1+0:8) :2013/06/28(金) 23:31:38.85 ID:wPVBeL4X
ツイストペアケーブルテレビ
39774ワット発電中さん:2013/06/28(金) 23:33:05.17 ID:wPVBeL4X
予測変換orz
40774ワット発電中さん:2013/06/29(土) 07:11:46.23 ID:Q3SIGl7t
>38
ルックアップテーブル
41774ワット発電中さん:2013/06/29(土) 08:25:44.54 ID:nET/p2zs
ルックアヘッド
42774ワット発電中さん:2013/06/29(土) 09:50:39.47 ID:nwmeeyRc
ルックお風呂の防カビくん煙剤
43774ワット発電中さん:2013/06/29(土) 21:36:35.06 ID:IZBwTn6L
いやはや内容が無いようってスレになっちまったな。
FPGA女子OPEN とかあったらスポンサーはどこなんだろう?
44774ワット発電中さん:2013/06/29(土) 21:58:13.19 ID:YIOxebcu
どこかで小売してるんけ?>FPGA
45774ワット発電中さん:2013/06/30(日) 21:22:09.50 ID:692w0mr4
>>44
共立電子でspartan6
46774ワット発電中さん:2013/06/30(日) 21:42:29.05 ID:5/QiwFfr
47774ワット発電中さん:2013/07/02(火) 02:24:44.23 ID:jlvQ2RFZ
最近出た本、http://gihyo.jp/book/2013/978-4-7741-5651-4
を見ながら、Micro Blazeを試しているんですが、わかりません。
アプリを2つも3つも行き来して、さらにTclも使うみたい。
難しいです。
アルテラも、こんなに面倒でわかりにくいのでしょうか
48774ワット発電中さん:2013/07/02(火) 09:28:15.48 ID:1Qhlk0/v
無償で内蔵CPUという事ならAlteraの方が専用ツールが使えるから簡単だと思う
MicroBlaze MCSは周辺の仕組みまでちゃんと分かってないと全然使えんと思う
49774ワット発電中さん:2013/07/02(火) 10:33:02.18 ID:N3tsOmo1
ツールを行ったり来たりするのはアルテラも似たようなもんじゃね
50774ワット発電中さん:2013/07/02(火) 16:51:28.03 ID:1Qhlk0/v
ツールの行き来はそうだろうけど、 >>47 の本のは無償で使えるMicroBlazeだから
余計に面倒な事になってる

LatticeのWebが落ちとる
ちょうど採用しようかどうしようか検討してたのに…
51774ワット発電中さん:2013/07/04(木) 10:10:33.19 ID:glAKPKH5
アルテラは、途中でTcl使わせますか?
52774ワット発電中さん:2013/07/04(木) 12:07:38.66 ID:Yjq502v8
その本は読んでないけど、MB作るときにTcl必須では無かったと思うが?
あと、Alteraでも必須じゃ無いけどTcl使えた方が便利だと思う。
53774ワット発電中さん:2013/07/04(木) 13:10:20.12 ID:hX7VSbyN
Xilinx XAPP495のサンプルソースを少し改造して
http://www.xilinx.com/support/documentation/application_notes/xapp495_S6TMDS_Video_Interface.pdf

Atlysボード(Spartan6)で1080Pのカラーパターンが出力出来るようになりました。
やったー!
54774ワット発電中さん:2013/07/04(木) 22:39:00.16 ID:11i1BwWp
>>53
やるじゃねぇか。
55774ワット発電中さん:2013/07/05(金) 19:15:42.63 ID:Bsb7UlFO
>>53
LEDチカチカでどや顔連中のスレに、そんなレベルの高いことが出来る奴がいたのか
56774ワット発電中さん:2013/07/05(金) 23:08:35.86 ID:AEzyULfd
レベルが高い…?
57774ワット発電中さん:2013/07/05(金) 23:12:12.35 ID:RLdRuwbg
ただのポーティングじゃ
58774ワット発電中さん:2013/07/05(金) 23:37:49.59 ID:U1K58znb
DVIくらいフルスクラッチで映像出力まではたいしたことないでしょ
59774ワット発電中さん:2013/07/06(土) 00:13:08.29 ID:LrW81xTs
伝送クロックがものすごいことになるけどな
60774ワット発電中さん:2013/07/06(土) 00:42:16.87 ID:H5AB3Ebv
>>59
ピクセルレートが100MHzぐらいで、TMDSのビットレートが1.0Gbps弱だから全然すごくないだろ。
TMDS SERDES部分はSelectI/O Wizardでテンプレが用意されてるし。
61774ワット発電中さん:2013/07/06(土) 06:10:16.52 ID:G0sK/bxv
まぁまぁw
何か自分でやって達成感を得ることはレベルに関わらず大切なことだ。
この気持ちを失って言い訳ばかりしてるやつが社内にもいっぱいいる。
62774ワット発電中さん:2013/07/06(土) 09:58:45.33 ID:LrW81xTs
>>60
オレには無料っぽい。

ってか、wizard 使ったらフルスクラッチじゃないだろ。
63774ワット発電中さん:2013/07/06(土) 12:15:11.09 ID:H5AB3Ebv
>>61
達成感は同意だけど、同じようなレベルのことを>>55みたいにバカにする
奴は嫌だな

>>62
無料って何?
あと、フルスクラッチだとなんか意味あんの?
別に手動でプリミティブ並べても同じだけど

つか、ボード仕様見たらHDMIドライバは専用チップが載ってるから
ピクセルクロックで出せば終わりだった
64774ワット発電中さん:2013/07/07(日) 00:17:03.07 ID:M4D3JuOG
いまは自分で禄にコードなんて書かないでFPGAするゆとり時代だからな
ぽとぺたでも狂喜して報告(凄いだろって自慢したいのかな)
65774ワット発電中さん:2013/07/07(日) 01:20:53.02 ID:Y+SBQpJm
自分で書けば偉いって言う思考停止
66774ワット発電中さん:2013/07/07(日) 03:48:04.30 ID:zHumLuaq
規模が大きくなってるんだから全部HDLで書こうなんて思うなよ。
既存IPどんどん使え〜
QsysとかXPSとかの自動接続ツール使おう〜
Cの合成ツールとかMatlabとか活用しようぜ〜
HDLなんか一行も書かないで済むならそれが一番だ。書かなきゃならなくなるのも現実だけどね。
既存IPも全部自分で書き直した、なんて本人の自己満で、バグの混入と保守性の悪化を招く迷惑行為だ。
67774ワット発電中さん:2013/07/07(日) 09:27:26.84 ID:yAzzeIm8
もう、FPGAなんて使わずに、製品買って来いよ
68774ワット発電中さん:2013/07/07(日) 10:44:10.95 ID:Y+SBQpJm
>>67
極論にすらなってないよ
69774ワット発電中さん:2013/07/07(日) 11:24:25.79 ID:t/Wgo8FZ
>>66
RTLのHDLでゴリゴリじゃあまりにも開発効率わるいからね
ついでに、FPGAなんて自社のエンジニアじゃなくドカタにやらせるって感じになってきているし
70774ワット発電中さん:2013/07/07(日) 11:55:51.32 ID:KRCrsL9k
たしかにあんなもんはドカタ仕事だな。
71774ワット発電中さん:2013/07/07(日) 18:12:41.01 ID:hkOT66Xc
ソフトウェアでやらかした崩壊に至る道をそのままなぞる気か
72774ワット発電中さん:2013/07/07(日) 21:04:16.27 ID:dHPnPyzQ
>>71
ソフト産業は知らないが、崩壊に至る道をたどっだのはかって隆盛の電子産業じゃない
半導体、液晶、家電...昔はすごかったらしいが
新しい電子産業のスマホなんて最初から完敗って状態だし。崩壊した産業じゃこうなる気がするね
崩壊した・駄目産業だから自分たちもドカタを積極的に利用することにしたって気がする
73774ワット発電中さん:2013/07/07(日) 23:25:07.98 ID:VhRnlWcD
いずれ近い将来にwebプラットフォームの時代が来るから早めにwebにおいで
74774ワット発電中さん:2013/07/08(月) 01:16:15.80 ID:1IkV10G3
XPSもQsysもマイナーでしょ?
普通はCadenceかARMに流れていくと思うけど
75774ワット発電中さん:2013/07/08(月) 08:22:47.69 ID:X2qoEiar
えっ?

もしかして、アメリカンジョーク?
76774ワット発電中さん:2013/07/08(月) 21:49:18.17 ID:k91AI2ir
FPGAメーカーのではなくてCadenceでFPGAしている奴いるのか
FPGAにCadence使うってASICのプロトのためか
77774ワット発電中さん:2013/07/08(月) 23:23:38.88 ID:sATYD6/1
IP次第じゃないの
78774ワット発電中さん:2013/07/09(火) 10:53:50.06 ID:MlsDEHy9
XILINXからダウンロードしようとしたらエラーが出てイラッ
ALTERAと違って面倒だなぁ

現在、ウェブ サイトに問題が発生しているためリクエストを実行することができません。
しばらくして再度お試しください。
お使いのブラウザの「戻る」ボタンはクリックしないでください。
しばらくして再度お試しください。
それでもこの問題が続く場合は、[email protected] までメールでご連絡ください。
79774ワット発電中さん:2013/07/09(火) 21:38:09.19 ID:3mQy4MOk
>>78
>ダウンロードしようとしたらエラーが出てイラッ
ダウンロードして、インストールに成功しても、最後の仕上げ「ライセンス管理」でイラッ。
「ライセンスファイル、ここにあるじゃん。なんでまたこの画面が出るの?
   何が言いたいの? わけわかんない」

英語の言い回しも、PlanAheadになって、少し分かり易くなったけど、
ISEのときは「何、その英語。何が言いたいの?」という感じでイラッ。
クオータ酢は、分かり易い英語で、感心した覚えがある。
80774ワット発電中さん:2013/07/09(火) 21:45:54.56 ID:clzo/6v5
カリカリし過ぎ
81774ワット発電中さん:2013/07/09(火) 22:34:08.11 ID:ih1KxYnB
忍耐力が必要なのか
82774ワット発電中さん:2013/07/13(土) 08:52:01.52 ID:f5XXuzol
AlteraのトランシーバIPがエラッタありすぎてワラタw
staratixV 安いけど(XILINXの同規模に比べ) テストあまりやってないのか

顧客にIP評価させるな
83774ワット発電中さん:2013/07/13(土) 09:38:18.89 ID:ph41ZuBN
すごいことになってるのはArriaだけじゃなかったのか。
84774ワット発電中さん:2013/07/13(土) 10:10:44.81 ID:H/eFRAzI
小野寺さん可愛いな
85774ワット発電中さん:2013/07/13(土) 20:52:29.16 ID:ZkTRX6Re
Quartus IIでコンパイル後に自動で書き込みまでさせる事はできませんか?
86774ワット発電中さん:2013/07/13(土) 21:47:38.25 ID:8YVBENNr
tclのバッチ書けば
87774ワット発電中さん:2013/07/14(日) 09:45:13.64 ID:xxd44QQV
自分なら compile.sh でコンパイルして書き込むところまで quartusの外のスクリプトで書くな。
趣味の問題だけど。
88774ワット発電中さん:2013/07/15(月) 22:36:09.38 ID:Q6Ow8or4
なるほど、複数方法があるんですね 色々試してみます
ありがとうございました
89774ワット発電中さん:2013/07/16(火) 00:14:17.04 ID:wDoyPMTy
一度作ったNIOSUでSOPCに変更を加えた際に
Software Build Tools for Eclipseで再度ビルドを行おうとしても
public.mkでエラーが出てしまいます。
再度新規でプロジェクトを作成すればエラーは出ません
(このときはI/O追加)

SOPCに変更を加えた後の適切な操作はどういった物になるのでしょうか?
参考にしている資料では再度CTRL+Bでビルドが必要としか書いてありません。

検討違いな事を言っていたら申し訳ありません。
90774ワット発電中さん:2013/07/16(火) 02:08:10.85 ID:pZB5XmL8
>>89
正しい用語うろ覚えだけど、BSLの再生成だったかはやってる?
91774ワット発電中さん:2013/07/16(火) 12:49:13.37 ID:jQZtmUD+
>>89
ProjectペインのBSPを右クリック -> Nios II -> Generate BSP
92774ワット発電中さん:2013/07/16(火) 19:15:56.01 ID:wDoyPMTy
>>90>>91
Generate BSPで無事出来ました
ありがとうございました。
93774ワット発電中さん:2013/07/17(水) 00:44:28.23 ID:vk9GqswE
NIOS IIIってまだ?
94774ワット発電中さん:2013/07/17(水) 00:55:26.10 ID:kBRJXcik
ARMでいいよw
95774ワット発電中さん:2013/07/17(水) 01:18:04.05 ID:2uqP5zM8
ARM・・・M1の実装って意外と無いよね?
96774ワット発電中さん:2013/07/24(水) 06:31:21.02 ID:KSUVcPPe
>>94
じゃあ、ARM互換のNIOS IIIなら、OKか。
97774ワット発電中さん:2013/07/25(木) 12:34:57.78 ID:wWXozf7A
>>96
INTELとAMDみたいなものか
98774ワット発電中さん:2013/07/25(木) 23:02:27.24 ID:hJjGi7t+
FPGAマガジン No2 好評発売中
99774ワット発電中さん:2013/07/26(金) 09:13:43.28 ID:vDncoV10
週刊FPGAマガジン
創刊号はPEEL16V8がついて780円
100774ワット発電中さん:2013/07/26(金) 14:03:28.96 ID:FGsA1b2S
PEEL付録なら、週間GALマガジンにすればいいな。まちがって買う人いるかもしれん。
101774ワット発電中さん:2013/07/26(金) 15:49:22.89 ID:vDncoV10
じゃあ、『週刊GALコレクション』で
102774ワット発電中さん:2013/07/27(土) 12:20:25.25 ID:XASQPlQW
質問です。
将来ise design suiteはwindows8に対応すると思いますか?

未来を予測できる方、お願いします。
103774ワット発電中さん:2013/07/27(土) 12:27:32.25 ID:NPUjM0hh
今は、ダメなの?
104774ワット発電中さん:2013/07/27(土) 12:27:38.37 ID:HZ+O02T4
ISEは終了なんじゃないかなー。
Vista/7で動けば当面はユーザーも死なないし、文句があるならlinux版つかえってことになりそう。
105774ワット発電中さん:2013/07/27(土) 12:32:54.14 ID:HZ+O02T4
106774ワット発電中さん:2013/07/27(土) 19:08:31.59 ID:pk/svSuo
>>104
ビビッドやったけ
107774ワット発電中さん:2013/07/28(日) 01:57:04.06 ID:qkIptPkC
>>106
ちがうちがう。ビバホーム
108774ワット発電中さん:2013/07/28(日) 19:09:36.56 ID:kVCA1tsB
ツールの名前を変に変更するのはやめてくれよ
何がどー変わったのか?コンセプトが何かとか調べるだけでも手間だし
QuestaSimとかフォルダみたらModelSimそのまんま。名前だけ変えんな!!
Vivado の SE はSystemC合成できるのがISEとの違いと思っていいのか?
またQuartusも変わるのかな?Maxplusから変わったときはISEは変わらなかったかな?
109774ワット発電中さん:2013/07/28(日) 20:32:00.37 ID:6ACEA7Sm
Vivadoは全く別ツール。GUIがPlanAheadに似てるだけで、合成から配置配線から全く別物。
ISEは14.xで打ち止めって聞いた。
Vivadoが2012.4→2013.1ってメジャー番号(?)が変わってもISEは14.4→14.5ってなってる。
110774ワット発電中さん:2013/07/29(月) 01:13:22.64 ID:Dj1DskLn
ISEはこのままバグフィックスだけだろうな
とうとう14.6まで来てるし
111774ワット発電中さん:2013/07/29(月) 01:50:46.15 ID:PXuJuu46
シミュも合成も外部ツール使ってるなら、デバイスフィッタなんてやるこたぁ決まってるだろ。
QuartusもISEも大して変わらん。
変にマンマシンいじくるのはやめてくれって感じ
まぁ、ISEがつぎはぎでやってきて、これ以上は一新したほうがベターってことになってVivado登場ってことだろ?
Quartusがずっと前にやったことをようやく今やる感じか?

>Vivadoが2012.4→2013.1ってメジャー番号(?)が変わってもISEは14.4→14.5ってなってる。

社内のリビジョンが反映される方式を、最近流行の暦がリビジョンになる方式に変更しただけだろ。
バージョン番号なんて好きに振るだろ
112774ワット発電中さん:2013/07/29(月) 20:41:56.39 ID:Pmc5Xoht
社内の派閥も有るんだからツールが
割れるのも仕方ないよ
113774ワット発電中さん:2013/07/29(月) 21:37:23.35 ID:jPqlFYaf
vivadoは良いけど、7より前にも対応してくれないかな
114774ワット発電中さん:2013/07/30(火) 00:13:54.62 ID:Xpj8XrvX
普段ISEでVHDL使いですが。
VIVADO,ESLって何言語?
SystemC?それとも他の何か?
どうもC系のHDLって使い物になるか信用できん。
そのまま合成したら規模と速度が満足いくもんができるのかいな。
115774ワット発電中さん:2013/07/30(火) 00:35:45.63 ID:6MlNiMOt
VIVADOもVHDL使えるよ
HLSはMATLABとか使えるらしいが使ったことない
116774ワット発電中さん:2013/07/30(火) 03:30:44.04 ID:tIXFQygf
>>114
>VIVADO,ESLって何言語?

C#
117774ワット発電中さん:2013/08/05(月) 02:37:56.99 ID:uw+33mBf
今日、本屋さんでCQ出版の「すぐに動き出す!FPGAスタータ・キットDE0 HDL応用回路集、芹井 滋喜」
という本を見て、買おうかと思ったのですが、やめました。
なんと6000円近くもするんです。
雑誌記事の焼き直しみたいな本で、なんでそんな金額を出さないといけないのか、
イラッと来ました。
このシリーズの本、他のも含めて、高杉だと思いませんか?
118774ワット発電中さん:2013/08/05(月) 07:48:43.46 ID:TSfQT5Ty
数が出ないものはしょうがないね
119774ワット発電中さん:2013/08/05(月) 11:58:21.58 ID:gIDKv/WV
基板と部品ついてるから?
120774ワット発電中さん:2013/08/05(月) 12:09:12.04 ID:YcGLLQeY
DE0-nano 売り切ればっか
何処で買える?
121774ワット発電中さん:2013/08/05(月) 12:19:24.52 ID:ZG5eem0e
Digi-key
122774ワット発電中さん:2013/08/05(月) 12:25:41.97 ID:YcGLLQeY
やっぱりか・・・
123774ワット発電中さん:2013/08/05(月) 12:29:50.46 ID:zb5BjAPm
>>120
あたーよ
http://eleshop.jp/shop/g/gBAD121/
在庫:5
124774ワット発電中さん:2013/08/05(月) 12:34:17.70 ID:YcGLLQeY
>>123
!30分くらい前にみたら、在庫ゼロだったのに
ありがとう
125774ワット発電中さん:2013/08/08(木) 03:17:32.37 ID:CTygt+Ph
FPGAの中にまいこんが入れられると聞いて、やってきました。
ALTERA, Xilinx, Actel など、どこのメーカ良いでしょうか?
126774ワット発電中さん:2013/08/08(木) 07:20:39.67 ID:FUUh3MMd
>>122
Digikeyで買えば良いじゃん
127774ワット発電中さん:2013/08/09(金) 01:23:26.15 ID:lY67eDMs
>125
用途によるとは思うけど、XかAのデカいのを買っておけばできないことは少ないんじゃないかな?
個人が所有できる価格帯のボードって制限があるなら、DE0とかかな?
128774ワット発電中さん:2013/08/09(金) 02:03:10.88 ID:iqXobmXX
zedboard
129774ワット発電中さん:2013/08/09(金) 06:21:08.72 ID:1u5odDNy
4bitまいこんを自作
130774ワット発電中さん:2013/08/09(金) 22:00:23.48 ID:Sy1tz2tj
VERTEX7の最大のをdigikeyで見たけど
あんなの試作でしか使いようがないね。

あの中にZ80とか入れようとしてるなら
一度マイコンというものを使う目的を思い出した方がいい。
131774ワット発電中さん:2013/08/10(土) 00:44:08.80 ID:QaIQY2ZR
Artix-7、XC7A35Tとか低ロジック容量のはいつになったら出るのよ…。
132774ワット発電中さん:2013/08/10(土) 03:21:19.43 ID:RSmzW2YM
>まいこん
この文字を見ると、一瞬いやらしいことを考えてしまうのは、修行が足りない証拠でしょうか。

ほかにも、全国共通「おこめ券」とか
133774ワット発電中さん:2013/08/10(土) 06:36:44.55 ID:dVFZxKeQ
134774ワット発電中さん:2013/08/10(土) 09:24:57.73 ID:wSTekzX3
>>132
まいこんから何を連想するの
135774ワット発電中さん:2013/08/10(土) 10:48:13.38 ID:LC02V3WG
>>134
おまいはピュアなやつだなw
136774ワット発電中さん:2013/08/10(土) 17:18:03.73 ID:k2vvLGxY
ウコンの力
137774ワット発電中さん:2013/08/12(月) 12:21:58.53 ID:7MjFoq2h
>>134
たヴんこのこじゃね?↓
ttp://matome.naver.jp/odai/2135056626523000501
138774ワット発電中さん:2013/08/12(月) 20:20:37.20 ID:JeLYsKJ2
>>134
いとうまいこの舌
わからないネタやな
139774ワット発電中さん:2013/08/13(火) 23:20:33.71 ID:ig+p04Nc
ASIC屋です。
最先端のプロセスでチップを作ろうとすると、
二ヶ月に500Kを一年半売らないとペイしません。
今時そんな博打打とうとするのはアホのすることです。
FPGAにASICは完全に置き換わるように思われます。
今後のご発展をお祈りいたします。
140774ワット発電中さん:2013/08/14(水) 00:17:34.47 ID:Yswjr/fU
>>139
タバコの箱くらいの基盤作ろうとしたらASIC化しないと
141774ワット発電中さん:2013/08/14(水) 02:12:12.27 ID:Ysj1O5re
ASIC組み込むアプリケーションがないんだろ
まだスマホとか日本メーカががんばってればな
消費電力
速度
工数
価格
に見合ったアプリケーションがない
4k,8kテレビとデジカメの画像プロセッサ、ナビぐらい?

>>139
ご冥福をお祈りいたします。
142774ワット発電中さん:2013/08/14(水) 02:22:36.56 ID:lszDARzl
>>140
ASICなんて使わずに、大抵の物は収まるよ。
ま、「基盤」と言う位だから、相当な物なんだろうけど。
143774ワット発電中さん:2013/08/14(水) 03:15:02.99 ID:2AAKM6f6
ASIC のほうがパクられにくいってのはメリットじゃね?
144774ワット発電中さん:2013/08/14(水) 10:44:20.04 ID:6eVSZnrG
みんな
> 二ヶ月に500Kを一年半売らないと
の部分は理解できるもんなの?
145774ワット発電中さん:2013/08/14(水) 11:04:29.19 ID:94S6TjdY
>144
そのペースかはともかく、採算を取るのに必要な製造数は世代ごとに急増してる。
だからFPGAなどASIC以外に頼るアプローチや古い世代のプロセスにとどめるアプローチは以前より増えてる。
146774ワット発電中さん:2013/08/14(水) 11:58:10.60 ID:u7Q+Sb58
外販すればOK
147774ワット発電中さん:2013/08/14(水) 14:10:07.95 ID:Ysj1O5re
外販にいそしむ親子 == 外販母子
148774ワット発電中さん:2013/08/14(水) 19:42:36.82 ID:6eVSZnrG
500KはASICの規模なんだろうけど素人の俺には二ヶ月が何の期間で一年半も何の期間なのか、何故二回期間が書かれてるのかさっぱり分からん
149774ワット発電中さん:2013/08/14(水) 20:09:03.25 ID:PrW3IXPU
50まんこ
150774ワット発電中さん:2013/08/14(水) 20:15:20.36 ID:AownSj9S
二ヶ月ごとに五十万個の出荷を、一年半続ける、という意味です。
151774ワット発電中さん:2013/08/14(水) 20:24:37.18 ID:CW0tqmHK
そんなことも分からない本物の素人だらけなのか、ここは。
152774ワット発電中さん:2013/08/14(水) 20:30:51.20 ID:nyMRkIPB
玄人さんチース
153774ワット発電中さん:2013/08/15(木) 00:18:52.12 ID:QzqOIbyF
>>150
なるほど。全然違ったなw サンクス
俺は組み込み系だけどソフト屋だし出来合いのマイコン使う仕事ばかりだから
マジで何書かれてるかサッパリだったよ。
154774ワット発電中さん:2013/08/15(木) 01:02:56.84 ID:q2yD5OjY
>>153
マイコンでも同じだよ。全体を見れない土方だと判らないかもだが。
155774ワット発電中さん:2013/08/15(木) 01:37:22.48 ID:IecFiIEY
下を見てもしょうがなくね
156774ワット発電中さん:2013/08/15(木) 01:39:39.23 ID:WVzMnNo0
ASICに使う最先端のプロセスって?
まだ32nmは使ってないでしょ?
157774ワット発電中さん:2013/08/15(木) 08:25:09.65 ID:NxO/zvHT
>>156
そうですね。正しい意味でのASICだと45あたりが
ボリュームゾーンでしょう(tsmcあたりもそのようなことを言ってます)。
ただし28で作る環境も、ツール、IP等整備されています。
ASICと言えるか微妙ですが、アプリケーションプロセッサでは、
昨年から28での製造をしている例があります。

スレ違い、と怒られる前に消えますね。
158774ワット発電中さん:2013/08/15(木) 09:22:32.04 ID:tAwPb1Cu
>153-154
 関係している業界によっては知らないのが当然のこともあるよ。
生産規模がASICとは無縁な組み込み装置業界では、デバイス関連の知識とくに受注ロットや生涯生産個数
の事情なんか知る術はない。FPGAで済んでしまう。
159774ワット発電中さん:2013/08/16(金) 02:36:22.96 ID:1KzA/Sr+
>>154
出来合いの石を買って使う側からしたらサポート期間と単価以外興味ないでしょ。
メーカー側の採算性とかそんなもん知ったことではないよ。
勿論単価交渉にそういう知識はいるんだろうけどそんなもんハード屋がやるし
ソフト屋が気にするところではないわな。
全部一人でやらないといけないとかの規模の会社なら話は別なんだろうけどサ
160774ワット発電中さん:2013/08/17(土) 12:38:54.57 ID:IGBbdzcH
オレ某AISCベンダーに勤めてるけど、営業から聞いた話では
「お客さんがASICのこと"FPGA!FPGA!"って連呼するんだよw」と言ってた。
なんか、本気でFPGAとASICを混同しているお客様だったようで
なんで、そんなにNREがかかるのかとか、トンチンカンなことを言っていたようだ。

実際、お客様から見れば、「自分のところの論理を実装したLSI」ぐらいの
認識しかないし、そういう場合もあるんだろう。
161774ワット発電中さん:2013/08/18(日) 00:21:56.54 ID:fMGnDU9g
まぁ、FPGAがASICを代替しようとしている世情を反映してるってことでw
162774ワット発電中さん:2013/08/18(日) 07:44:29.24 ID:o3LsV56P
ちゃんと指摘してやれよ.....
163774ワット発電中さん:2013/08/18(日) 08:34:53.58 ID:3mSE4iU/
NREかからなくてもチップ単価が何桁も違うだろw
164774ワット発電中さん:2013/08/18(日) 11:21:33.32 ID:jQlbFtGh
でもFPGAといっても
ほとんどグルーロジックに毛の生えた用途にしか使ってないんじゃないの?
能力的にもGAはあくまでGA
GAでできて、数をさばけないものをわざわざASIC起こす意味もないし。
165774ワット発電中さん:2013/08/20(火) 08:44:40.83 ID:4SSU6ocF
未だにGAってあるのかしらん?
166774ワット発電中さん:2013/08/20(火) 10:30:36.41 ID:no4Xla3M
>>164
認識が古過ぎね
167774ワット発電中さん:2013/08/20(火) 12:08:05.90 ID:wSeULiX+
>>165
一昔前のS/C(スタセル)なみの集積度。
メモリなどの作り込みが出来ない分今じゃ機能的に
FPGAに勝てない部分が多いと思うね。

量産効果が出るほど作らないからG/Aの出番は
無いかもな。
168774ワット発電中さん:2013/08/20(火) 12:46:03.77 ID:4SSU6ocF
>>167
調べたら東芝が0.3um、富士通が0.18um、ルネはもうやめたみたい。

2000年より前のプロセスだよなぁ。
169774ワット発電中さん:2013/08/21(水) 23:55:27.93 ID:R5YSFini
>>157 です。
FPGA屋さんに教えて頂きたい事かあり
、再びはい出てきました。

ASICを代替しようとすると、それと同等の信頼性試験をパスすることを
顧客は要求すると考えるのですが、
コンフィグ後のFPGAって
誰かが、高温高電圧連続動作でイジメて
「このデータで焼いたFPGAは今後十年間に故障は十万個中三つ以下」とか確かめるものなのでしょうか?

このあたり、FPGAベンダーに、うまいこと逃げられないようにしないと、
これまでASIC屋がやっていた案件をFPGAにスムーズに移行できないのでは、
と気になっています。
FPGAの信頼性について、御詳しいかたいらっしゃいましたら、御教示下さい。
170774ワット発電中さん:2013/08/22(木) 05:19:16.61 ID:yWCDWeXh
>>169
FPGAベンダーのデータしかありえない。
171774ワット発電中さん:2013/08/27(火) 05:02:10.97 ID:5uORmh0L
DE2i-150なんていうのが出たんだな
勉強用としては安くてかなりよさげ
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=529&PartNo=1
172774ワット発電中さん:2013/08/27(火) 18:00:33.84 ID:r69YFSyO
いい加減 VGAはやめて、HDMI or DVI にして欲しいな。
173774ワット発電中さん:2013/08/27(火) 18:04:16.23 ID:r69YFSyO
こっちも良さげ
SoCKit - the Development Kit for New SoC Device
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=13&List=Simple#Category139

Xilinxに比べて勢いがあるな。
174774ワット発電中さん:2013/08/27(火) 18:58:32.54 ID:SZW/6QTX
とうの昔にzedboard出てるじゃん…
175774ワット発電中さん:2013/08/27(火) 19:07:14.43 ID:CB3DXbVv
AtomとFPGAがMCPで一体化したE6x5Cかと思ったら別チップなのか。
FPGAの方はファンが付くみたいだけど、Atomは大丈夫なのか
176774ワット発電中さん:2013/08/27(火) 19:30:03.82 ID:iGH+Xofz
Atomさん省エネすぎて自然空冷だったマザボとかあったね、チップセットにファンがついててCPUと間違えられてたけどそんな感じなのかな
177774ワット発電中さん:2013/08/29(木) 08:56:49.72 ID:/kT8g2jR
IEE 1364 STD
$fread はバイナリ・ファイルを読み込むに下functionが使えるが
integer code = $fread( mem, fd, start);
integer code = $fread( mem, fd, start, count);

$fwrite にはこれに相当する物が見当たらない・・・・
for(i=start; i<(start + count); i=i+1) begin $fwrite( fd, "%c", mem[i] ); end
いちいち↑みたいに書かなきゃいけないのか?

イマイチ中途半端だな。
178774ワット発電中さん:2013/08/29(木) 09:26:22.54 ID:NSvYLk8e
イエェー
高島忠夫です
179774ワット発電中さん:2013/08/29(木) 10:00:05.81 ID:/kT8g2jR
↑↑じゃまだ このカス野郎

Draft読んでみたけど美味しそうなところが無い
今まで通り、こっち使ってた方がマシだと解った。
http://chris.spear.net/pli/default.htm
180774ワット発電中さん:2013/08/29(木) 10:25:43.42 ID:NSvYLk8e
スペックを読めないばかりか、IEE 1364などと書いてるゴミからカス呼ばわりされる筋合いなどないんだが
181774ワット発電中さん:2013/08/30(金) 00:19:29.27 ID:FKtafzY1
カス乙ww
182774ワット発電中さん:2013/08/30(金) 12:05:21.80 ID:W374YidF
スペックも読めない馬鹿はだれもまともに相手してもらえないなぁ。ゲラゲラ
アホにマジレスしても無駄だからな
183774ワット発電中さん:2013/08/30(金) 13:00:31.75 ID:bFtTtn0L
HDLer は底辺だということがよくわかるスレ
184774ワット発電中さん:2013/08/30(金) 14:35:10.55 ID:s7I4Lv9U
てst
185774ワット発電中さん:2013/09/01(日) 00:50:33.21 ID:tPK/jxeQ
>>183
底辺職業の低脳連中が集うところだからな
186774ワット発電中さん:2013/09/01(日) 01:10:45.41 ID:IZypjupG
宇宙開発()
187774ワット発電中さん:2013/09/01(日) 06:55:43.11 ID:sj7U17q0
PCI express + IO(40bit)なFPGAボードで数万円でないかなー
PCから高速にI/Oアクセスしたいのだが、、
188774ワット発電中さん:2013/09/01(日) 16:57:51.36 ID:aTNGDwlP
PC(WindowsPC)って高速にタイミング制御するの難しいよね。
多分やりたいのは高速で取り込みたいって事かな?

「高速」がどの位か分からないので値段だけで判断して
ContecのDIO-48D-LPEとか。一応FPGA乗ってるし。
まぁコレジャナイ感いっぱいだと思うけど…。
189774ワット発電中さん:2013/09/01(日) 19:38:04.34 ID:wkNLSBKz
>>188
PCというか、アプリケーション向けOSは、リアルタイム処理が
苦手だから、大量のバッファーメモリー必要だな。
190774ワット発電中さん:2013/09/01(日) 19:51:10.58 ID:RLyC+iIQ
最近のlinuxは結構動く。
191774ワット発電中さん:2013/09/01(日) 19:52:07.97 ID:RLyC+iIQ
てか、バッファリングしたらリアルタイム処理にならんだろうに。
192774ワット発電中さん:2013/09/01(日) 21:23:58.38 ID:pXe8ViEa
ああああああああああああああああ
193774ワット発電中さん:2013/09/01(日) 21:50:39.02 ID:fmGH0MRi
>> 187
目的の速度がどれぐらいかわかりませんが、単発Readを繰り返す
様な使い方だったらPCIexpressは向いていません。単発Writeや
バーストRead/Writeしないんだったら、むしろPCIの方が早いです。
194774ワット発電中さん:2013/09/02(月) 05:26:57.76 ID:GWEj1zlk
プリンタポートでやれや
195774ワット発電中さん:2013/09/02(月) 09:55:46.67 ID:5lr/W9vO
196774ワット発電中さん:2013/09/02(月) 10:23:58.37 ID:FnlA6dRM
NIOS2のSPIコアは送信ボーレートの途中変更できないの?
197774ワット発電中さん:2013/09/05(木) 02:56:48.77 ID:5kURhv7p
仕事でFPGAを使用することになりました。
開発ツールは50万程度なので問題はないのですが、
FPGAのインプリメントには、大変な能力が必要で、高性能のPCが必要だと聞きました。

そこで質問ですが、インプリの時間短縮のために、

1. みなさんは、CPU, メモリなど、どの程度のスペックのPCでやっていますか?
2. SSDの使用は効果が高いですか?
    (CPU--RAM間で考え込むので、ドライブは軽負荷だと思うんですが)
3. CPUとクロックが同じなら、デスクトップでもノートでも時間の違いは
   無いと考えますが、どうでしょうか? グラフィックは関係ないと思いますし。
   なるべくノートでやりたいです。
4. FPGA仕事で使う、オススメの高速PCは、ありますでしょうか?
5. シミュレーションは、CPUパワーが命だと思うのですが、この理解は間違いでしょうか?
198774ワット発電中さん:2013/09/05(木) 05:50:46.53 ID:5qzNiy/l
なんかさー、心配するポイントがおかしくないか?開発環境気になるならまずは
手持ちのマシンで試してみるってのが普通の技術者じゃねーの?
それに使うソフト名も開発の規模も情報出さずにエスパーしろと?
なんか余計なお世話だけど色々な意味で先行き厳しそうだな。
199774ワット発電中さん:2013/09/05(木) 06:11:52.15 ID:E9mq25PE
ツール買うのと共にマシンの稟議書も書きたいんでしょ多分。
昔々MAXPLISII使うのに200万のマシンを用意した、なんて時代も有ったが、
今はパソコンの能力がとんでもなく上がっているので
上位機種選んでRAM最大にしときゃノートでもOK、
シミュレーション時間を左右するのは、実は設計者のセンス。マシンは2の次。
200774ワット発電中さん:2013/09/05(木) 08:29:07.97 ID:KIDO+Ynm
そもそも、ド素人の作るレベルなら、たいした規模じゃ無いだろうから、ATOMで十分
201774ワット発電中さん:2013/09/05(木) 08:34:34.69 ID:WxTO058J
>>200
モノ作ったことないの?
202774ワット発電中さん:2013/09/05(木) 10:29:30.50 ID:KpBAJkAi
50マソの開発ツールって何だろう
203774ワット発電中さん:2013/09/05(木) 10:43:43.72 ID:E9mq25PE
営業さんの言いなりにアレもコレもフルセットで揃えたらそんな値段かも。
204774ワット発電中さん:2013/09/05(木) 10:46:32.53 ID:E9mq25PE
でも結構な部分無料ツールで行けたりする。
205774ワット発電中さん:2013/09/05(木) 11:07:31.50 ID:UOnV6j4H
何でもフリーツールでやる情強のオレ、カッコイイ!
206774ワット発電中さん:2013/09/05(木) 11:17:42.83 ID:LzB2YU3q
とりあえずメモリは多めに積んでおけ
207774ワット発電中さん:2013/09/05(木) 12:25:28.81 ID:3KQ/xhnx
FPGA開発用ノートPCは、ThinkPad を使ってる人がほとんどだね。
208774ワット発電中さん:2013/09/05(木) 13:25:31.66 ID:nPo2P6rl
ノートで開発なんてしないだろ
209774ワット発電中さん:2013/09/05(木) 13:57:22.46 ID:UOnV6j4H
インプリはサーバーでやるんだよ
当たり前じゃん
210774ワット発電中さん:2013/09/05(木) 14:01:26.70 ID:yuxlmQiY
>>207
昔はプリンタポート必要だったからな
211774ワット発電中さん:2013/09/05(木) 15:11:36.35 ID:E9mq25PE
ドングル?
212774ワット発電中さん:2013/09/05(木) 15:37:03.41 ID:6jEktg72
プリンタポートからJTAGで書き込めるんよ
213774ワット発電中さん:2013/09/05(木) 17:09:00.20 ID:Oi91uhPv
コア数は少なめでいいからSandyBridge以降でクロック数高いのが良いね。
初代 Core i7 とは段違い。
SSDも効果は大きい(SoPC Builder の読み込みが圧倒的に速い)。
214774ワット発電中さん:2013/09/05(木) 17:17:04.61 ID:F3BQTTII
5. シミュレーションは、CPUパワーが命だと思うのですが、この理解は間違いでしょうか?

シミュレーション・ソフトが勝負、検証が一番時間かかるからな。
安いのは、マルチコアの対応を外してあったり規模やライン数に上限つたりとかで、
其れなりに制限をかけてるよ。

上位のライセンスは、50万じゃ とても買えない。
215774ワット発電中さん:2013/09/05(木) 18:05:15.42 ID:UOnV6j4H
そりゃ、もちろん、VCSやNCは買えないよ。
216774ワット発電中さん:2013/09/05(木) 21:17:45.22 ID:LuKbYn4r
64bit OSは必須。メインメモリは8GBは欲しい。
217774ワット発電中さん:2013/09/05(木) 23:14:13.22 ID:nPo2P6rl
安いんだし16GBでよくね
218774ワット発電中さん:2013/09/06(金) 00:37:51.41 ID:e/21+z2x
>>197

デバイスは古めのcycloneV

1.ノートのCore7、8GBでやっているけど、何とかなってる。可能ならば16Gは欲しいけど予算とトレードオフかな?
2.HDD-500G
3.現場でやるのでディスクトップはなし。
4.メモリはDDR3でデュアルコアなら大丈夫じゃね?
5.シミュレーションはモジュール単位でやって、全体は実機+Signaltapでないとできない。。

今のプロジェクトは20−30分位で終了。
サイズが大きくて、使用率が上がれば上がるほど時間は伸びる。

スピード重視か、コスト重視かで構成は変わるけど、スピード重視だったらICを複数に分けて
設計するのもいいぞ。

何人で開発やるかわからんが、評価ボードは人数分+1枚は用意しておけ。
予算ケチって基板の枚数がすくないのに、なぜか人多かった事があって、
早いPCがあっても、基板待ちであまり活用されなかった時がある。
219774ワット発電中さん:2013/09/06(金) 01:02:22.21 ID:8bye6WsL
>>197
1. Core i5 のデスクトップ
2. SSDは使ったことがありません。
3. 同一スペックで比較してもノートは体感的にもっさりするので、デスクトップを
 使っています。
4. 早いPCに超したことはありません。会社の最速インプリ用マシンはXeonの
 E5-2867Wを使っています。
5. その理解は間違いです。シミュレーションツールの値段と速度は比例しますが、
 PCの性能では底まで差が付きません。例えばModelSimではAlteraが無償で
 提供しているバージョンを1倍とすると、PEで3倍、SEで10倍です。なので、
 無償版でシミュレーションに3分かかったとすると、PEでは1分、SEでは18秒で
 終わる感じです。メーカーの人から聞いたのですが、SEと比較して、PEや
 無償版にはソフトウェアウェイトを入れていて、わざと性能を落としているとの
 ことです。なので、PCをいくら早くしてもそれ程の効果は無いのです。
220774ワット発電中さん:2013/09/06(金) 05:35:14.26 ID:Z+z6/CQQ
DebussyのNovasどうなった? どっかに吸収かな
初期の頃はWindows板も出したりしてけど、途中からはLinux版だけになったよな、確か。

使用時間としては1番お世話になったなツール、この手のツールとしてはスケマが秀逸だった。
221774ワット発電中さん:2013/09/06(金) 06:58:28.82 ID:6z+q4Dqs
デジャビュが
222774ワット発電中さん:2013/09/06(金) 12:52:08.47 ID:BfzLsi8l
>>218
ディスクトップは回転が速いけど、かさばるからなw
223774ワット発電中さん:2013/09/06(金) 17:57:58.26 ID:iAW+eGzm
そんなにでかいディスク使ってるの?
224774ワット発電中さん:2013/09/07(土) 03:04:50.87 ID:1nV7tjWW
クラウドを使った設計が普通なのに、ローカルPCでしこしこって化石杉じゃない
225774ワット発電中さん:2013/09/07(土) 03:20:36.75 ID:YEfMmjj7
サービス終了で成果が消えるのか
226774ワット発電中さん:2013/09/07(土) 08:26:41.78 ID:uTp72a/2
自分のPCでも信用できなくてバックアップ取るのに、どこにあるかわからんようなもんにメシの種預ける気にもならん
227774ワット発電中さん:2013/09/07(土) 08:29:13.18 ID:MjKYNhit
ファーストサーバの恐怖
228774ワット発電中さん:2013/09/07(土) 08:41:21.56 ID:bzm0EdT2
229774ワット発電中さん:2013/09/07(土) 11:40:17.52 ID:zNdXpcbt
サーバーはよくデータが流出して怖い
230774ワット発電中さん:2013/09/07(土) 18:11:39.68 ID:YfYPSjqu
おまえらの設計なんて誰も盗んだりしないから安心しろ
231774ワット発電中さん:2013/09/07(土) 18:34:53.62 ID:5lVyS8LJ
>おまえらの設計なんて誰も盗んだりしないから安心しろ
アホか
流出した内容に価値があるかどうかの問題じゃない
「流出したこと自体」が問題になるのに

個人情報流出だって大部分はどうでもいいようなオッサンオバハンの情報なのに
大騒ぎになるだろ
232774ワット発電中さん:2013/09/07(土) 22:24:03.21 ID:0zO7VKkJ
>>220
今はsynopsysだったと思う。
modelsim(questa?)のスケマ機能より見やすいよね。
233774ワット発電中さん:2013/09/07(土) 23:17:46.39 ID:KEz8HvSR
>>231
あほだなおまえ
おまえの設計なんてそのオッサンオバハンの個人情報にも満たないゴミだつってんだよ
流出しようが何も問題にならない。何しろゴミだから
234774ワット発電中さん:2013/09/07(土) 23:39:51.13 ID:+KCARbv2
そういえばクラウド設計環境とか言ってる学生が粘着してたな
235774ワット発電中さん:2013/09/07(土) 23:50:49.87 ID:BScV2+5E
なんで相手の仕事の内容や品質も見たことないのに値踏みできんの??
自衛隊に収める製品作ってる人かもよ?
236774ワット発電中さん:2013/09/08(日) 01:13:39.97 ID:95INsMq7
自衛隊に納める製品といっても大したことは無い。値段は良いけどね。
物凄く幼稚な設計レベルの基板が納入されてるのを知ってる。俺が設計した物の方が圧倒的に高性能。
そのうち置き換わるかも。
237774ワット発電中さん:2013/09/08(日) 01:25:43.81 ID:MetofsTg
わーすごーい(棒
238774ワット発電中さん:2013/09/08(日) 07:30:06.94 ID:DCvoAmxT
>>236
防衛とか電電公社(NTT)とかの官公庁への納入ってのは型検とかあっても実はユルユルなんよな
自分らの給料は税金で、所詮人ごとだしな。
だから政商ってのはやめられない。甘すぎる蜜
メーカに無理矢理仕様押しつけてきたけど、自分たちの食い扶持を稼がなければならなくなって、
鼻であしらってきたメーカ(アップル)様に頭押さえつけられて這いつくばってるドコモが笑える
239774ワット発電中さん:2013/09/08(日) 07:42:40.37 ID:8sDKpjc8
設計はオンプレミスにかぎる。
240774ワット発電中さん:2013/09/08(日) 08:32:16.39 ID:Z9eiU7TK
>>233の会社が機密情報の取り扱いに無頓着なのはよくわかった
できれば社名教えて欲しいものだな
そんな会社とはぜったい取引しないようにするから
241774ワット発電中さん:2013/09/08(日) 09:27:51.04 ID:HHv1Fgnl
腐ったりんごは、さっさと除かないとな
会社まで腐ってしまう。
242774ワット発電中さん:2013/09/08(日) 09:29:48.79 ID:DCvoAmxT
>>240
俺の会社じゃなくおまえのゴミ会社だよ。
機密情報どころか、自体の価値が負資産でない糞会社ごときが無理すんな
社会に寄生するダニのお前がよ
243774ワット発電中さん:2013/09/08(日) 11:37:40.28 ID:YlFZ5bcE
>>242 生物未満のウィルスの自己紹介乙
244774ワット発電中さん:2013/09/08(日) 11:42:33.74 ID:u5L6LXAk
にちゃんの伝統芸能だなあw
245774ワット発電中さん:2013/09/08(日) 11:45:47.05 ID:sSnLiEFW
クラウド開発は10年前のチャイナリスク並みの危険度
当時大丈夫と思う人もいただろうし、危険と思う人もいただろう

なんか怪しいぞって感覚は持ってた方がいいと思う
246774ワット発電中さん:2013/09/08(日) 17:38:18.37 ID:O7CVbjeh
ID:DCvoAmxT

糞杉てワロタw
社内の奴が皆こんな風なら本当に腐ってるな
247774ワット発電中さん:2013/09/08(日) 19:02:50.96 ID:lbYH+NaX
>240 の情報だけで >240 がゴミ会社に勤めてると確信できる >242 はエスパーか病気のどちらかだと思った。
248774ワット発電中さん:2013/09/08(日) 19:55:36.38 ID:67Aqaigv
ただのさわーぐれーぷでしょ・・・エスパーとか病気とか言いすぎじゃね?(^ω^;)
249774ワット発電中さん:2013/09/08(日) 20:19:24.47 ID:MetofsTg
病気
250774ワット発電中さん:2013/09/08(日) 22:54:34.40 ID:byCZOWBJ
>おまえらの設計なんて誰も盗んだりしないから安心しろ
あぁ、盗めない/盗んだら痛い目にあうような細工がしてあるからな。
見破れたならたいしたものだ。誉めてやろう。
251774ワット発電中さん:2013/09/08(日) 23:28:48.25 ID:s/jQlZE7
所々に意味不明なロジック仕込んどけば、盗作対策になると思うが。
252774ワット発電中さん:2013/09/08(日) 23:29:58.37 ID:s/F1NXp6
流出が怖くないとか
まともな会社じゃないか無職orニートの発想
253774ワット発電中さん:2013/09/08(日) 23:57:49.88 ID:4eU2UGOe
>251
社内で引き継ぎも出来なくなる、に一票。
254774ワット発電中さん:2013/09/09(月) 03:01:48.87 ID:IGERv7P8
>>250 >>251
最後にマスク修正するのは、RTLが盗まれても大丈夫なようにだ(キリッ!
255774ワット発電中さん:2013/09/16(月) 17:08:24.50 ID:ilp+FtJr
ho
256774ワット発電中さん:2013/09/18(水) 05:55:41.69 ID:nmzSL8KR
ズバリ アクテルってどうよ?
257774ワット発電中さん:2013/09/18(水) 07:26:55.57 ID:QyNxfvOa
もう今、新規なら上位2社しか選択ない。
ラインナップ、ツール、技術サポート、公式以外の情報。
258774ワット発電中さん:2013/09/18(水) 08:28:28.21 ID:TAWoeoDH
>>256
特殊向け
259774ワット発電中さん:2013/09/18(水) 11:20:44.66 ID:Z2uYY2Ne
Latticeをシカトすんなよ
http://akizukidenshi.com/catalog/g/gM-06174/
260774ワット発電中さん:2013/09/18(水) 17:01:02.31 ID:c2S9uuMh
VHDLをコンポーネント
261774ワット発電中さん:2013/09/18(水) 17:03:16.99 ID:c2S9uuMh
失礼、途中で書き込んでしまいました

1個だったVHDLファイルを複数のコンポーネントに分けた場合
動作が同じであることを証明する方法は有りますか?
論理合成でバイナリでは一致しないのかな・・・

1ファイル数千行の悪夢のようなソースに遭遇しまして・・・
262774ワット発電中さん:2013/09/18(水) 17:46:23.93 ID:9zpoBs60
フォーマリティチェック!
263774ワット発電中さん:2013/09/18(水) 17:46:47.80 ID:vfDLcfTp
一般的に可能な方法は、多分無い。

個別の事例によっては(たとえば100%のテストが作れるとか)可能かもしれない。
264774ワット発電中さん:2013/09/18(水) 18:04:01.63 ID:QyNxfvOa
シミュレータ上でよければ、分割前後のインスタンスをベンチに2コ並べて走らせればよろし。
比較するならXORまたがせて出力覗けばよい。
265774ワット発電中さん:2013/09/18(水) 18:04:01.96 ID:AFLdGCNF
論理等価性をチェックするソフトウェアはあるよ
お値段がいくらするのかは知らない

コンポーネントを分けるってことは階層構造が変わるから
セル同士の対応とるのも全自動ってわけにいかないだろうから
手間はかかるだろう
(接続情報からある程度は自動でやってくれる)
266774ワット発電中さん:2013/09/19(木) 17:06:12.58 ID:FRZw71pT
横からですがフォーマル検証ツールの仕組みというか、
何をもって等価と判断してるか解説した書籍やサイトってないですか?
論理が等価かどうかなんて、どう考えても計算が爆発しそうな気がするんですが・・・
267774ワット発電中さん:2013/09/19(木) 17:08:38.03 ID:kJHl6uNh
とんでもなくどうでもいい話で恐縮なんだがみんなQuartusIIのことを「クォータスツー」って呼んでる?
うちの研究室の先生方が「カルタスツー」って呼んでて混乱してるんだ。
268774ワット発電中さん:2013/09/19(木) 17:52:00.77 ID:I34h0ln7
自分はクォータス派だったけど会社の人はクオルタスって読んでる
269774ワット発電中さん:2013/09/19(木) 19:17:44.77 ID:9YXfjtRQ
youtube見るとクオータスって言ってる
270774ワット発電中さん:2013/09/19(木) 19:50:42.57 ID:tATvBT3S
スズキの4輪に乗ってる先生がいたんじゃないかw
271774ワット発電中さん:2013/09/19(木) 21:24:39.51 ID:kJHl6uNh
「クオータスツー」でググったらアルティマとかエルセナとかのページが出てきたわ。
今まで「クォータスツー」としか打ってなかったからどっちが正解か出てこなかったようだね。
しかし既に「カルタスツー」になじんでしまった自分が居る・・・
272774ワット発電中さん:2013/09/19(木) 22:09:06.25 ID:uSk/EjKx
>>267
クォータスツーだよ。
先生のお気を悪くしないよう指摘しないほうがイイよw
273774ワット発電中さん:2013/09/20(金) 00:25:22.63 ID:hTT6I67D
>>266
FF間の論理が等価かどうか判定してるだけでしょ
普通に合成できてるんだから、爆発はしないと思うが
274774ワット発電中さん:2013/09/20(金) 05:00:56.40 ID:Dx7EON+2
みんなダウンロードケーブルに何使ってる?
純正品?サードパーティー品?それともft2232DとかHJ-LINK?
275774ワット発電中さん:2013/09/20(金) 10:06:31.33 ID:COZOGDHi
>>272
もしかしたらドイツ読みかフランス読みかもしれんw

逃げ道を用意してから、さりげなく訂正に追い込むべきw
276774ワット発電中さん:2013/09/20(金) 11:06:51.90 ID:YzylndD7
てst
277774ワット発電中さん:2013/09/20(金) 11:09:35.68 ID:YmrREAMO
ググったら「カルタス」って読みはラテン語のものとかいう話が出てくるな。
てことは、原典的には「カルタス」の方が正しくて「クオータス」って読んでるAlteraとかが間違ってるという話に・・・
278774ワット発電中さん:2013/09/20(金) 11:33:02.26 ID:YzylndD7
それはペルソナをパーソナルと英語読みするのと同じだろ
TeXとかもイギリス人にいったら"テックス"だと強行に主張しやがった、
"テフ"はギリシャ読みだと言ったらよーやく納得した
279774ワット発電中さん:2013/09/20(金) 11:54:05.46 ID:kGY2F6hE
>>278
ばかめ、TeXの読み方は「てハ」だ! クヌース先生の本(TeX Book)に解説があるお。
例えば音楽家の名前、バッハのハみたいなやつで、舌の奥を
口蓋にちかづけて出す、口蓋摩擦音(?←だとおもったがうろ覚え)らしい。
280774ワット発電中さん:2013/09/20(金) 11:55:12.16 ID:4M50lMtI
どうでもいい
281774ワット発電中さん:2013/09/20(金) 12:57:25.79 ID:/5ejNGOc
ドイツ語聞いてると、咳をしたみたいな勢いで「ハッ」と言ってるだろ。あれ
282774ワット発電中さん:2013/09/20(金) 13:56:15.99 ID:tTher2k3
>>279
人を馬鹿というなら手元に資料もないのにうろ覚えでしゃべんな。
おまえの持ってないTeX Bookから該当部分を正しく引用してやるわ

ギリシャ語のchiのように発音する。
TeXのXはblecchhhという言葉の語尾と同じ響きになる。
スコットランド語のlochとかドイツ語achのようにchと発音したりスペイン語のjや
ロシア語のkhのような発音をする。

つまり、ギリシア語発音を説明するのにドイツ語"も"引き合いに出したにすぎないのだよ
そして、日本ではテフと一般的に呼ばれてることが多い

http://ja.wikipedia.org/wiki/TeX
283774ワット発電中さん:2013/09/20(金) 14:34:29.06 ID:W2PfOLM8
どうでもいい
284774ワット発電中さん:2013/09/20(金) 14:48:11.23 ID:QkbhcprS
てへぺろ
285774ワット発電中さん:2013/09/20(金) 14:55:54.58 ID:kGY2F6hE
>>282
ゴメンネごめんね〜っ!(U字工事調で読む)
286774ワット発電中さん:2013/09/20(金) 17:31:51.81 ID:W2PfOLM8
>>282
blecchhh って何?
287774ワット発電中さん:2013/09/20(金) 18:28:58.43 ID:YmrREAMO
カルタスカルタスルルルルル
288774ワット発電中さん:2013/09/20(金) 20:33:35.10 ID:pVB5Z+n/
>>277
そうなんかw
ドヤ顔で訂正したら、教養の差が露呈して大恥かいてたかもなw
まぁ、Alteraはクォータスって言ってるから、それで問題ないんだけどw
289774ワット発電中さん:2013/09/24(火) 03:11:56.46 ID:977kOrEJ
教えてください。

MicroBlazeのような、FPGAに置くソフトCPUでは、
ポートのI/O方向をソフト上で動的に変更できるのでしょうか?
それとも、HDLのレベルからやり直しなのでしょうか?
290774ワット発電中さん:2013/09/24(火) 08:56:50.64 ID:hU4TRa5H
静的に変更は可能だろ
完全に任意のピンヲ割り当てられるかというと No
動的に変更はちょっと微妙
291774ワット発電中さん:2013/09/24(火) 10:06:51.02 ID:977kOrEJ
H8とかなら、DDR=1 =0 で入出力が変えられるんですが。
MicroBlazeでは無理でしょうか?
292774ワット発電中さん:2013/09/24(火) 11:07:33.89 ID:aqezc9XP
MicroBlazeの作り方次第。
汎用I/Oの場合、入出力、入力専用、出力専用にカスタマイズできるから、入出力になってないと無理。
入出力になっていなければ、そのように変更してもらったら良いのでは?
293774ワット発電中さん:2013/09/24(火) 20:38:12.45 ID:mn242yN5
MicroBlazeを普通のマイコンと同じと考えているところが、根本的な間違い。
あれはIPであり、内部回路の一部を構成するもの。

最終的にFPGAをマイコンにするのは、そのほかのデザインによる。
294774ワット発電中さん:2013/09/24(火) 22:14:54.18 ID:ZPhCR9ch
ip作成時にマニュアルみたいなのが生成されなかったか?
295774ワット発電中さん:2013/09/25(水) 16:10:01.33 ID:gGYlRExA
実際にGPIOペリフェラルをインスタンシエートしてみればわかる話じゃん
296774ワット発電中さん:2013/09/26(木) 17:23:51.01 ID:QsFV3m7M
LatticeのWebが落ちてる?
昨日からアクセス出来ない
297774ワット発電中さん:2013/09/26(木) 23:09:33.27 ID:FMGoSj49
アクセスできたぞ。知らん間にMachXO3がリリースされとる。
298774ワット発電中さん:2013/09/27(金) 09:29:02.22 ID:pIxrIv+R
携帯からもPCからもアクセス出来ん
なぜだ
299774ワット発電中さん:2013/09/27(金) 13:22:14.29 ID:dNe7Ls13
PCからアクセスできたぞ
300774ワット発電中さん:2013/09/27(金) 19:45:31.52 ID:2TlpnsTG
どうでもいい
301774ワット発電中さん:2013/09/27(金) 23:22:15.86 ID:vI1I/2MV
アクセスできた俺はすげーて感じだな
302774ワット発電中さん:2013/09/30(月) 10:16:06.56 ID:9Nf4bakX
NIOS2のマルチコア解説してる書籍ない?
303774ワット発電中さん:2013/09/30(月) 11:23:56.25 ID:ruCvCA2m
アルテラのドキュメント
304774ワット発電中さん:2013/09/30(月) 19:24:41.83 ID:6RCP7XKf
別にマルチコアだからと言って
設計上何が変わるわけでもないと思うが…
305774ワット発電中さん:2013/09/30(月) 22:22:01.31 ID:+Vc+FSjW
ズバリお聞きします。

ALTERAのNiosと、XilinxのMicroBraze。

覚えるのは、どちらが容易ですか?
凝ったことはしません。純粋にマイコンとして使う場合です。

・GPIO
・RS232C
・タイマー割り込み
・マイコンと自作のロジックモジュールは、バスを接続しない。
 同居させるだけで両者独立です。
・ツール代など、多少のお金はかかってもいいです。(お小遣い程度)
306774ワット発電中さん:2013/09/30(月) 23:28:34.33 ID:uljUz3iX
使うだけならmicro blaze mcsでいいじゃん
web packでも使えるし
307774ワット発電中さん:2013/09/30(月) 23:31:28.60 ID:TvCGA+vq
MicroBrazeに一票
308774ワット発電中さん:2013/10/01(火) 00:37:33.74 ID:Q3GAWX83
>>304
変わるだろ
309774ワット発電中さん:2013/10/01(火) 02:51:29.64 ID:Edl1Rr/2
>304
とりあえず、2コアを同一のFlashにつないで同一の制御を行うと、2コアそれぞれの初期化処理が衝突して起動しないっていう残念な罠は知ってる。
あとはNIOS II IDEでマルチコアデバッグオプションを有効にしないと不便とか、未経験ならスケジュールにそれなりの余裕を持った方がいいって思う程度の罠はあるかなぁ。
310774ワット発電中さん:2013/10/01(火) 03:34:26.78 ID:a2ICqtx6
>>305
Niosの方が何年も早く無料化されたからなあ。
無料のMicroBlazeって、どのデバイスから使えるんだっけ?
311774ワット発電中さん:2013/10/01(火) 03:58:50.31 ID:+282lcnG
無料なのはパイプラインにもなってないeだけじゃね
312774ワット発電中さん:2013/10/01(火) 08:02:29.51 ID:dQk0XbGT
わざわざソフトプロセッサを使う意味がわからん
313774ワット発電中さん:2013/10/01(火) 09:12:56.44 ID:uL+Lvm7Z
使いこなしが足りないと思われ
314774ワット発電中さん:2013/10/01(火) 09:16:22.47 ID:V4keuyEU
監視用とかに便利だと思うけどな
315774ワット発電中さん:2013/10/01(火) 15:51:49.17 ID:CLrp8IQH
H8とかのように廃盤になったときに困るからでしょう。
316774ワット発電中さん:2013/10/01(火) 18:28:09.63 ID:bcnc+gtP
>>314
異常系を検出するのに、メイン系を使うなんてありえんわ。
317774ワット発電中さん:2013/10/01(火) 18:44:00.67 ID:3a/6OGrN
>>311
性能は、それほど必要でないんじゃないの?
Niosは、確かリファレンスデザインにSRAMもあったが、
Xilinxのは、みんなsdramだった気がするなあ。
318774ワット発電中さん:2013/10/01(火) 19:03:20.82 ID:1zBmtC+W
性能と言っても色々ある。高速なだけならCPUなど不要だが
ファイルシステムを実装するなど、高機能が必要になると、CPU無しでは無理。
319774ワット発電中さん:2013/10/01(火) 19:58:21.21 ID:5qmc1eqV
延々ステートマシンを書けば出来そうだが
320774ワット発電中さん:2013/10/01(火) 21:54:05.12 ID:+rfknf/+
>>319
頑張れw
321774ワット発電中さん:2013/10/02(水) 04:19:13.52 ID:OK2mbIQl
16桁2行のLCD文字表示器の、初期化をVerilogで書いたら、えらい目にあった。
とても大変だった。
322774ワット発電中さん:2013/10/02(水) 06:06:49.47 ID:4vCXln0C
>>321
なぜ、Verilogで書いた?
超高速初期化が必要だったの?
323774ワット発電中さん:2013/10/02(水) 06:19:09.48 ID:t4dj05H8
ほとんど待ちステートになりそう
324774ワット発電中さん:2013/10/02(水) 08:02:32.68 ID:Gn2P0/2B
>>321
100円マイコンで出来ることなのにさw

乙!!www
325774ワット発電中さん:2013/10/02(水) 12:23:52.10 ID:AezZOtIv
ステート数が増えすぎたので、簡単なスクリプト処理エンジン作って、
メモリ上のスクリプトを実行させたことがあったな。
326774ワット発電中さん:2013/10/02(水) 13:04:26.73 ID:XWBm+Te5
OpenCoresの "USB 1.1 Function IP Core"
SETUPで既にずっこけ、まともに動かん・・・・

プロトコル・アナライザでも無いと、チョット無理だな。
327774ワット発電中さん:2013/10/02(水) 13:05:38.96 ID:q1a5L3OW
>>325
限りなくマイコンに近付いていく
328774ワット発電中さん:2013/10/02(水) 13:37:11.35 ID:zcxKg2lK
>>322
そんなの大体想像つくんだが、
FPGAは他の処理のために必須であったと、
とくにその処理にはCPUは不要であったが、表示のためだけにCPUを載せるとコストアップになるし、
実装面積も増える。んで、FPGAだけで対応した。
マクロプロセッサ載せると、それだけのためにソフト開発環境も用意しなきゃいけないし、
第一載せられるか、容量の検討もしなきゃいけない。
んで、HDLだけで完遂した。
LCD表示をFPGAだけでやってるのなんてよく見るし、別におかしいとは思わない
329774ワット発電中さん:2013/10/02(水) 13:47:03.22 ID:KfRJUE3j
リソース使用量がわからんステートマシンより予想がつくソフトcpuの方が確実そうだが
330774ワット発電中さん:2013/10/02(水) 17:59:25.23 ID:q1a5L3OW
さすがにソフトコアよりは小さくなるだろ
331774ワット発電中さん:2013/10/02(水) 18:08:55.84 ID:4vCXln0C
ソフトコアは検証で泣いて死ぬ。
332774ワット発電中さん:2013/10/02(水) 18:12:07.92 ID:zhFGkSOn
てか、FPGA屋ってソフトなんて禄に作れないだろ。
333774ワット発電中さん:2013/10/02(水) 18:25:04.15 ID:yWh7Bey2
ソフト屋の感覚でFPGA使うと死ぬ
334774ワット発電中さん:2013/10/02(水) 19:03:51.54 ID:YcWpk4ww
FPGAはExcelの感覚で使えば良いんだよ
335774ワット発電中さん:2013/10/02(水) 19:11:18.99 ID:dbxT40rA
336774ワット発電中さん:2013/10/02(水) 19:20:58.62 ID:pfLjW3pT
>>327
そうね。ただ、マイコンほどの汎用性は要らないからずっとコンパクトだけど。
337774ワット発電中さん:2013/10/03(木) 07:54:35.90 ID:7PlaqAkf
ハードマイコンにあってソフトマイコンに無い物。

それは、
・ADCやらDACやらのアナログ回路。
・サポートする豊富なライブラリ。
・「こんな事が出来るんかい!!」と、時々あっと驚くアプリケーションノート。

それらを外付けして、ドライバー書いて…。無駄な手間なんだよね。
自由度があるのは、それだけ手間暇かかるのと同義なんだよね。
338774ワット発電中さん:2013/10/03(木) 08:07:22.96 ID:Jrrj0i1o
>・「こんな事が出来るんかい!!」と、時々あっと驚くアプリケーションノート。
笑うところですか?
339774ワット発電中さん:2013/10/03(木) 08:43:46.63 ID:Eb1GQ/St
クロック発振を止めるとかのサスペンド、割込み復帰機能もないんじゃないの?
あってもFPGAのクロック止めないと意味ないし。
340774ワット発電中さん:2013/10/03(木) 09:08:48.97 ID:yr5VfE/a
Arduinoでも使っててください
341774ワット発電中さん:2013/10/03(木) 09:11:47.61 ID:5TOqlA4S
>>339
あるじゃん
342774ワット発電中さん:2013/10/03(木) 11:03:17.88 ID:gf43L7DY
LatticeのWebサイト、今日は急にレスポンス良くなってる
昨日はログインするのに30秒待たされたのに

Diamond 3.0がもうすぐ出そう
2.2はLSEにバグがあったから早く出て欲しい
343774ワット発電中さん:2013/10/03(木) 12:14:21.20 ID:fXwRERiT
FPGAはクロック止めてもそれなりに消費電力あるからバッテリ常時通電機器には使えないね
344774ワット発電中さん:2013/10/03(木) 12:40:57.95 ID:EcWEUWde
シチズンのGPS電波を受信して時間を合わせる腕時計はFPGA使ってるぞ
345774ワット発電中さん:2013/10/03(木) 13:19:10.36 ID:l9eqQpcR
>>344
型番よろ
346774ワット発電中さん:2013/10/03(木) 13:24:23.40 ID:5TOqlA4S
>>345

http://techon.nikkeibp.co.jp/article/NEWS/20111210/202430/

>搭載されたのは8000ロジック・セルの「iCE65L08」で、フットプリントが4mm×5mmのWCSPに封止した製品。
347774ワット発電中さん:2013/10/04(金) 05:30:11.03 ID:r3aqGhFX
 FPGAで内部バスを双方向にしたりってするの? 
348774ワット発電中さん:2013/10/04(金) 06:39:27.69 ID:6JeRDFsL
>>346
ありがとう。小さくて良いね。
ポチろうと思ったら在庫0だった。
349774ワット発電中さん:2013/10/04(金) 08:16:36.12 ID:xO4V/BAq
>>347
muxにツールが変換するんじゃ
350774ワット発電中さん:2013/10/04(金) 19:35:16.24 ID:sI4Wkgai
このスレおすすめのFPGA評価基板を教えろください

1万円以下ぐらいの安いやつで
351774ワット発電中さん:2013/10/04(金) 20:03:09.79 ID:wSZ3xFIT
>>350 dkrks
352774ワット発電中さん:2013/10/04(金) 20:26:28.19 ID:83m6PPNN
>>350
死ね
353774ワット発電中さん:2013/10/04(金) 21:34:06.87 ID:ZN9ntNWh
354774ワット発電中さん:2013/10/04(金) 23:41:47.95 ID:Ia5OBE9d
355774ワット発電中さん:2013/10/04(金) 23:47:07.08 ID:UTsqbmHb
>>354
これマジいいよ
356774ワット発電中さん:2013/10/05(土) 09:01:12.83 ID:slFrxaLM
FPGA評価基板を教えろ
357774ワット発電中さん:2013/10/05(土) 09:23:20.84 ID:Aon+o+lg
FPGA評価基板って言うのはな、
FPGAのメーカーがFPGAを使いたいかもって思ってる
潜在ユーザーに使い勝手をハードル低く理解してもらえるように
提供しているデモ基板の事だよ。
単なる変換基板じゃなくて、必要な周辺回路が載っていたり
基板外への接続が容易になっていたりといった工夫があるものが
多い。

・・で、間違ってないよな? >356
358774ワット発電中さん:2013/10/05(土) 10:09:55.27 ID:VBO8keyS
ヒューマンの基板で良いだろハゲ
359774ワット発電中さん:2013/10/05(土) 10:47:35.67 ID:g+H3Pv0a
1万円以下ぐらいの安いやつでたのむ
360774ワット発電中さん:2013/10/05(土) 11:16:26.51 ID:uoMR3MB3
>>359
>353 のが良さそうじゃん、俺は気に入った。
361774ワット発電中さん:2013/10/05(土) 11:30:30.26 ID:NkQ6EdFA
本屋に行け
362774ワット発電中さん:2013/10/05(土) 13:35:35.16 ID:PljxJ/Ae
>>357
まあそうだが評価基板で何を評価したいかもあるよね
機能?電力?パフォーマンス?
SRIO評価したいとかだとSERDESにSMA沢山付いたの薦めにゃならんし
まずは用途を明確にして欲しいよね
363774ワット発電中さん:2013/10/05(土) 13:56:30.32 ID:IeMi7d1C
364774ワット発電中さん:2013/10/05(土) 14:31:28.26 ID:6riHnZuF
FPGAに拘る理由が知りたい。
365774ワット発電中さん:2013/10/05(土) 17:29:13.17 ID:F4WEdB+D
簡単なロジック書いてお勉強したいってくらいならなら、CPLDになってしまうけど、
PSoC3/4/5あたりもいいかな。一応Verilogで内部ブロック書けるし。
PSoC4のPioneerKiとか、
ワンチップマイコンにADC、コンパレータ、シリアル通信モジュールとかと
一緒になってるから、練習台程度のロジックだけでもいろいろお役立ち。
366774ワット発電中さん:2013/10/06(日) 23:30:51.83 ID:JTNikTEj
>312
ソフトIPの中にCPUを入れる(そのCPUの制御をIPユーザに意識させない)ときにはあると便利。
367774ワット発電中さん:2013/10/07(月) 08:02:09.92 ID:JlRI52u5
>>366
ユーザは中身がFPGAなのか、CPUなのか、そもそも気にしてない。
368774ワット発電中さん:2013/10/07(月) 08:22:06.97 ID:E77xxxPg
たぶん話が噛み合ってない
369774ワット発電中さん:2013/10/07(月) 21:36:00.03 ID:SAKjsOkI
FPGAでオーディオ信号処理を行いたいのですが、
どのボードが適してるでしょうか?
ADCとかあらかじめ乗ってる物が望ましいです
ザイリンクスの物で安くておすすめの物があれば教えてください
370774ワット発電中さん:2013/10/07(月) 21:53:22.00 ID:E77xxxPg
純正
371774ワット発電中さん:2013/10/07(月) 22:53:43.51 ID:oyuoMSnt
>>369
高速なコンパレータを外付けすれば、ADCは作れる。
372774ワット発電中さん:2013/10/08(火) 01:00:35.25 ID:bDwDf/HT
>>369
digilent
373774ワット発電中さん:2013/10/08(火) 21:13:02.46 ID:uMpBQqq0
>>371
コンパレータが予め載ってないから却下でね?

>>369
FMCとかメザニンコネクタ付きを選べば、ADCボードはよりどりみどりだよ。
374774ワット発電中さん:2013/10/08(火) 21:27:03.70 ID:EYQyXxW4
オーディオ中心で良いならI2SのCodecが載ったボードで良いんじゃない?
「安い」の範囲がわからないけど、ZedBoardは4万ぐらい。
375774ワット発電中さん:2013/10/08(火) 22:19:29.67 ID:bNuosZzt
FMCのカードとかって、自分でおこしたことある?
結構面倒だよね、あのコネクタ。
376774ワット発電中さん:2013/10/09(水) 03:39:26.30 ID:8bBkgxHb
アルテラのメザニンカードを使ったことがあるけど、信じられない信号配列。
3.3V----GND----+12Vとか、LVDS(+)----LVDS(-)----+12V とかが
0.5mmピッチで整然と並ぶのさ。

デバイスを簡単に壊せる
377774ワット発電中さん:2013/10/09(水) 08:25:16.86 ID:zw5irOtR
>>375
面倒って、コネクタの実装のこと?
あれ、400〜500ビンのBGAだしね。

湾曲しているのは返品/交換するとして、実装不良にしない為にはリフロー炉を理解した部品レイアウトがポイント。
それさえ抑えておけばどってことない。

>>376
+12VとGNDを並べるのは普通。
LVDSと+12Vを並べるのも、沿面距離を確保していれば理屈の上では問題ない。
なぜって、+12VはAC的なGNDだから。

ただ、+12Vにレギュレータのバックノイズが乗っているとヤバいね。
とても差動伝送路とは言えないや。
378774ワット発電中さん:2013/10/09(水) 10:19:03.67 ID:rgex9v3O
>>377
>>376の言ってることは、そういうことではないと思うよ
379774ワット発電中さん:2013/10/10(木) 16:51:16.76 ID:ihRN8Ln2
秋月のこれどうよ?
Papilio Pro LX9(FPGA Spartan 6 LX 開発ボード)
http://akizukidenshi.com/catalog/g/gM-06926/

・Spartan 6 LX:XC6SLX9 TQG144 (TQFP 144pin 0.5BSC)
・外部メモリ:64Mb SDRAM、64Mb SPI Flash
・FTDI FT2232 USB 2.0 Full Speed Interface
・48 I/O pins arranged in a Papilio Wing form factor
・32Mhz Crystal Oscillator

自前のHDMI回路検証用に、TMDS出力ができる安いヤツを探してたんで丁度良い
安いんで、ためしに俺が買ってみるわ。
380774ワット発電中さん:2013/10/10(木) 17:23:50.78 ID:poNzHZ+u
レポよろ
381774ワット発電中さん:2013/10/10(木) 17:48:00.85 ID:wq6s1Rf6
やっす
382774ワット発電中さん:2013/10/10(木) 17:49:58.72 ID:vYAh0Eao
>>379
良いと思うよ、SparkFunで見つけて買おうかどうしようか迷ってたら
秋月に登場して驚いてた
ゲームマシン向けに設計してるみたいだけど、ちょっとしたことには使えそうだよね
SDR-SDRAMだからMCBが使えないのが残念だけど、QFP版だから仕方ないね
でもこれって差動ペア等長になってるの?
383774ワット発電中さん:2013/10/10(木) 18:01:48.75 ID:wq6s1Rf6
組み込むこと考えると、コネクタ形状だけちょっとな。
このZigZagの方が着脱しやすいのかな?
ソケットついた状態で配布されるのかな。
はんだづけはしないでほしいんだが。
384774ワット発電中さん:2013/10/10(木) 18:17:22.31 ID:vYAh0Eao
前世代版と思われる↓があるからコネクタの仕様はここから来てるんだろうな
ttp://akizukidenshi.com/catalog/g/gM-06927/

何でこんな形にしたのかは、何とかduinoにインスパイヤされたとかかな?
それか逆刺し防止?

あと、2.54mmグリッドに乗ってるのかどうか気になるな
Latticeの↓は向こう側とこっち側で2.54mmグリッドに乗ってないから
ttp://akizukidenshi.com/catalog/g/gM-06174/
385774ワット発電中さん:2013/10/10(木) 18:17:46.17 ID:wq6s1Rf6
>>382
ちょっとしたことどころか相当のことできない?これ

http://www.hdl.co.jp/XCM-306/index.html
ヒューマソでーたオワタ
ヒューマソデータのも用途によっては小型で、余計なチップ載ってなくていいんだけど、
それなら値段安くないとな。
386774ワット発電中さん:2013/10/10(木) 18:24:15.80 ID:vYAh0Eao
SDRAMついてるからMicroBlaze乗せちゃったり、カメラ付けて動画取り込んだりも出来るけど、
使えるピンが少ないからね
でもそれなり以上には使えるよね

Spartan-6シリーズはちょっと気にしてHDL書けば全体100MHz動作出来るから
貧乏人の俺には非常にありがたいんだけど、QFP版が容量小さいのしかないのがヒッジョーに残念

ヒューマンのはまあ、おもちゃにしたい我々貧乏人向けではないという事で
設計も製造も国内みたいだし
387774ワット発電中さん:2013/10/10(木) 18:27:55.77 ID:wq6s1Rf6
3x16ピンだといちど挿してしまうとなかなか外れにくいんだが、
このZigZagだと多少着脱しやすいかな?と思うんだが。
でも下向きがいいんだよな。ソケットよりピンヘッダが俺的にはいい
そーゆーのはこっちが適宜決めるんでソケットははんだづけせんといて。

こっちの基板は2.54に見えるけど
388774ワット発電中さん:2013/10/10(木) 18:37:48.42 ID:vYAh0Eao
残念だけど、秋月の写真見るとどう見てもソケット実装済だな

2.54mmの件はピッチじゃなくて、左右両翼のソケットが同じ2.54mmグリッドにのってるかって事
ユニバーサル基板に載せた時にコネクタがズレて載らなくなる
>>384 のLatticeのヤツは向こうとこっちでグリッドがズレてる
かつてはCQ出版様の付録基板でも同じミスがあった

しかしこの基板、SparkFunではUSD99.95なのに秋月はよくこの値段で出せるな
FPGAなんてH8みたいにそうそう大量には売れないと思うが、相当買い叩いてるのかね?
389774ワット発電中さん:2013/10/10(木) 18:42:33.40 ID:wq6s1Rf6
秋月ちょっと前にあったAvnetのUSBに挿して使う小さいカード消えてる?
390774ワット発電中さん:2013/10/10(木) 18:50:44.29 ID:wq6s1Rf6
>>>384 のLatticeのヤツは向こうとこっちでグリッドがズレてる
>かつてはCQ出版様の付録基板でも同じミスがあった

普通にAltium使ってれば、まずそういうことにならんよな。
逆にどーゆー使い方してんのか知りたいわ。
作業途中でグリッド変更して気づかぬままセーブでもした?
391774ワット発電中さん:2013/10/10(木) 19:06:27.39 ID:wlkXQKrA
単に、ズレてる事が問題だと思ってない、ド素人が設計してるだけ。
392774ワット発電中さん:2013/10/10(木) 20:41:26.64 ID:ihRN8Ln2
>>388

Gadget Factory だと $84.99 で出てる、良心的な価格である事は変わりなけどな
多少高い程度なら国産品を選択したいと思うけど、2〜3倍とかだとボラレてる気がして買う気がせん。

話題のジグザグ・コネクタは、自分処のドータ・ボードを対象にした配置になってて
横に出っ歯た小さいコネクタ、全て電源(5V/3.3B/GND)に割り当てられているみたい
信号線自体は、左が1列/右が2列の構成。
393774ワット発電中さん:2013/10/10(木) 21:08:29.32 ID:Cf7qXXUB
>>378
「プローブで当たると、誤って+12Vと信号をショートさせてしまう」と言いたいんだろうが、そもそもそんなプローブで当たる状況になるのがね。
考えてみようよ、たかがLVDSだよ?
一発完動が当たり前。

…と言えば>>376がかわいそうだから、あえて素知らぬ顔をしていたものをw
394774ワット発電中さん:2013/10/10(木) 21:15:30.87 ID:4P9Au8tP
ショートする要因が、プローブだけだと思っている時点で経験不足だね。
僕は速攻でカブトンテープ貼ったけどね。
395774ワット発電中さん:2013/10/10(木) 21:25:43.62 ID:wq6s1Rf6
ヒューマンデータで死蔵品一掃セールやってるな
なんかほしいのある?
http://www.hdl.co.jp/syobun/index.html

Virtex4 LX60 のピッチの細かいコネクタのやつとか。20万→3万だな
こういう変換基板かまして本体基板小さくする意味あるのかな?
http://www.hdl.co.jp/ZKB/ZKB-041/index.html
実装面積結局一緒だろ
値段も値段だし、そら売れんわな。

LX25の2.54ピッチのやつも1.3万だな
396774ワット発電中さん:2013/10/10(木) 21:37:17.79 ID:neDx1Hab
Vertex4 とか微妙だな
397774ワット発電中さん:2013/10/10(木) 21:55:00.78 ID:9z0P09Ee
いくら安くてもゴミはいらん
398774ワット発電中さん:2013/10/10(木) 23:01:19.04 ID:As3K+n+E
>>395
こういうSoM使えばマザーボード側の設計が楽になるってことじゃね?と思ったが
わざわざ2.54に変換するのか…

手配線用?
399774ワット発電中さん:2013/10/10(木) 23:44:20.91 ID:wvS1QtMs
>>395
>こういう変換基板かまして本体基板小さくする意味あるのかな?

本体基板は8層以上の多層ビルドアップ基板だろうから
小さくしたいと言う欲求は強いだろう
400774ワット発電中さん:2013/10/11(金) 00:48:45.38 ID:+u16yxGU
コンパイル遅すぎる どうにかならんのかこれ
401774ワット発電中さん:2013/10/11(金) 04:23:58.97 ID:omeeWHdA
趣味でFPGAやってる人に聞きたいんだけど
評価ボードをそのままハードウェアにとりつけて作ってる?
なるべくコスト下げたいから何か作る度に評価ボードを犠牲にしたくない
こういうのってFPGAの搭載基板から作るのが普通?
402774ワット発電中さん:2013/10/11(金) 06:49:44.04 ID:1+lNBiwk
>>401
QFPとかならサンハヤトの変換基板とユニバーサル基板で作るけど
BGAになると、利益が出るわけでもない趣味の一品物で基板起こす気にはならないなぁ
下手するともう一個評価ボード買える位の値段になるんじゃね?
403774ワット発電中さん:2013/10/11(金) 08:03:27.99 ID:8xIBDT1A
>>394
>>393
> 一発完動が当たり前。
404774ワット発電中さん:2013/10/11(金) 08:04:58.42 ID:cz7BtLg+
>>401
趣味はなんでもあり

>なるべくコスト下げたいから何か作る度に評価ボードを犠牲にしたくない
そらFPGAのパターンも引かにゃだめっしょ。
評価ボードは教育&治具用と思った方がいいかと
405774ワット発電中さん:2013/10/11(金) 08:08:16.81 ID:8xIBDT1A
スキルが無い奴ほど使いたがるのがビルドアップ基板
406774ワット発電中さん:2013/10/11(金) 08:12:30.52 ID:8xIBDT1A
昨夜、AlteraのサイトでArdino?みたいな評価基板をがあったな。
407774ワット発電中さん:2013/10/11(金) 08:18:44.66 ID:FGCIBQZU
>>402
BGAは自分で実装できないよぉ
408774ワット発電中さん:2013/10/11(金) 08:44:24.26 ID:q2/NBmpw
>379あたりから香ばしいのがわいている件
409774ワット発電中さん:2013/10/11(金) 12:46:56.95 ID:xud01c+h
Pipistrello LX45
Price: $149.95

これも良いな、日本高すぎ。
410774ワット発電中さん:2013/10/11(金) 13:49:49.89 ID:NTDAWg8C
>>409 そうそう。そのとおり。
日本製品の価格を下げるため、まずはxud01c+hの給料を
中国、いや東南アジア並みに下げるところからはじめよう。
411774ワット発電中さん:2013/10/11(金) 14:52:27.55 ID:xud01c+h
なら、ヒューマンデータでも買って手本をみせろよ
カス野郎が
412774ワット発電中さん:2013/10/11(金) 15:03:45.18 ID:U7XwBFq+
なにいってんだこいつ
413774ワット発電中さん:2013/10/11(金) 16:35:02.73 ID:NTDAWg8C
安いボードのユーザ層はこういう人たちだということが
よくわかりました。

本当にありがとうございました。
414774ワット発電中さん:2013/10/11(金) 17:20:31.03 ID:ZrKG1luk
>>379 のより
DE0-nanoの方が良いような気がするが。どう
415774ワット発電中さん:2013/10/11(金) 18:09:04.37 ID:4eeNNhWK
Papilioは標準で拡張ボードが用意されてる点が特徴でしょ。
それに魅力を感じないならDE0-nanoでも変わらないんじゃない?
416774ワット発電中さん:2013/10/11(金) 19:19:26.96 ID:yOlchBaB
DEも用意されてね
417774ワット発電中さん:2013/10/11(金) 19:49:03.14 ID:cz7BtLg+
>>409
ペリフェラルはそのままLX9でいいのにSDRAM容量も増やすわSDカードも入れるわ
より教育ボード色が強いな
せっかくピン数増えたのに勝手に使うなって感じかな?
418774ワット発電中さん:2013/10/11(金) 20:21:01.53 ID:sl7IlE/M
>>407
リフロー炉を作ればおk
419774ワット発電中さん:2013/10/11(金) 21:32:54.54 ID:m82RikVR
>>411
ヒューマンデータは無駄に、かつ馬鹿馬鹿しいほど高い。

俺の記憶だと、あの会社は十人位の会社規模だったはず。
が、雑誌に広告載せて知名度は高い。
でも作る基盤は誰でも作れるレベルであの値段。

海外のいい基板が知れ渡ったら、潰れるんじゃね?
420774ワット発電中さん:2013/10/11(金) 21:34:39.94 ID:m82RikVR
>>418
そこはホットプレートだろw
421774ワット発電中さん:2013/10/11(金) 21:35:22.34 ID:1+lNBiwk
>>407
だから実装も基板屋さんにお願いすることになるからコストアップなんだってば

それより評価基板そのまま使っちゃった方が簡単で早いでしょ。
422774ワット発電中さん:2013/10/11(金) 21:56:15.05 ID:yOlchBaB
>>419
基盤って書く奴には作れなさそう
423774ワット発電中さん:2013/10/11(金) 22:10:37.80 ID:bDrXENFb
誰でも作れるような基板だからこそ買って済ますんじゃね?
424>>404:2013/10/11(金) 23:44:46.28 ID:omeeWHdA
つまり趣味でFPGAやるなら評価ボードそのままのっけちゃった方が
コストあんまかわんないし早いって事ですか?
425774ワット発電中さん:2013/10/12(土) 00:44:22.55 ID:JjXCAckW
>>424
自前で基板設計して俺ボードしている奴が多いよ
426774ワット発電中さん:2013/10/12(土) 01:56:48.82 ID:S1TnkMQJ
DE0nanoみたいなのってザイリンクス出してないの?
コスパいいボード教えてくれ
427774ワット発電中さん:2013/10/12(土) 02:40:46.97 ID:a1jTqqqH
>>426
digilent

小さくてもいいから安いやつならavnet
428774ワット発電中さん:2013/10/12(土) 09:35:00.39 ID:cW1F+d4+
digilent
http://ac-solution.teldevice.co.jp/board/digilent/
教材用ボードだな

avnet 秋月は消えてたけど共立は10/E再入荷
http://eleshop.jp/shop/g/gBAD122/

avnetはともかくdigilentはあんま経験者が買うとは思えないので、
開発手法を勉強するだけなら、実機なんかなくても、
シミュレータの使いかた、テストベンチの書き方あたりに習熟するのが先だと思うけど
買わないと体験できないのはダウンロードとchipscopeのぐらいか?www
何を作りたいとかはっきりした目的あるならいいけどさ
429774ワット発電中さん:2013/10/12(土) 12:41:27.39 ID:gAEyKwTi
>>388 左右両翼のソケットが同じ2.54mmグリッドにのってるか?って事

物が届いたんで報告、 
上に2.54mmユニバーサル基板を乗っけて、左右両翼にピンヘッダーを刺して確認。
結果、乗ってる。
430774ワット発電中さん:2013/10/12(土) 17:10:11.07 ID:B3AAsA5Z
だいたい Basys2 一択じゃないか?
ttp://www.digilentinc.com/Products/Detail.cfm?Prod=BASYS2
431774ワット発電中さん:2013/10/13(日) 15:59:15.07 ID:pXEP+s3g
>>427
avnetて名前がいやらしい
432774ワット発電中さん:2013/10/13(日) 16:16:46.41 ID:/LH+2HeS
何を想像してるんだおまいはw
433774ワット発電中さん:2013/10/13(日) 19:06:21.54 ID:cDfwf3RN
>>430
今頃スパスパ3じゃだれも飼わないだろ。
434774ワット発電中さん:2013/10/13(日) 19:07:01.85 ID:cDfwf3RN
今は最低チュパチュパ6でないとな
435774ワット発電中さん:2013/10/13(日) 19:23:19.36 ID:GDIJYo4S
spa3でもいいけど、3E-100じゃぁな
Z80一個が入るかどうかの規模だよな、タダでも要らね。
436774ワット発電中さん:2013/10/13(日) 22:12:20.61 ID:Op5rgLwK
じゃあ、オレにくれ
437774ワット発電中さん:2013/10/14(月) 09:25:11.40 ID:P+g8Sl+l
だから買ってないし。Spa3はDWのおまけなら何枚かある
438774ワット発電中さん:2013/10/14(月) 10:41:14.19 ID:iEKubj6u
教えてください。
みなさんの経験から、FPGAとFPGAの間の通信で、
1GHzで変化する16bitデータとclock、その他信号の、全20対のLVDSの信号を、
50cmの距離を伝送できると思いますか?
ノートPCの液晶パネルはLVDSガンガンですが、50cmより短いし、周波数も低いし。
439774ワット発電中さん:2013/10/14(月) 10:51:56.83 ID:OzZ1btos
http://sa89a.net/mp.cgi/ele/fpga_hdmi.htm

こう言うのを見ると、できるんじゃないかと思うな。
440774ワット発電中さん:2013/10/14(月) 11:31:54.00 ID:P+g8Sl+l
200GS/s 7GHzオシロて。。。
441774ワット発電中さん:2013/10/14(月) 11:57:53.71 ID:66SvQaoq
>>440
200GS/s "ET"
442774ワット発電中さん:2013/10/14(月) 13:27:36.35 ID:/DJ26Bqs
できるだろ
443774ワット発電中さん:2013/10/14(月) 17:23:32.92 ID:i0EooqKr
50cmとなると同一の基板内じゃないね。
コネクタをケーブルはそれなりのものなら
悩まされずに済むかと・・・
444774ワット発電中さん:2013/10/14(月) 20:06:48.38 ID:Gdoi3tsp
PCIE x 20レーンみたいなものかね

パラレルバスでバスクロック1GHzなら、俺には無理
445774ワット発電中さん:2013/10/14(月) 20:21:37.52 ID:1nnokZPx
シリアルでやるか、またはXDRやDDR3にあるようなデータ線遅延差を補償する機能を使わないと厳しいだろうね。
何枚か作って一枚成功なら無茶も通るかもしれないけど。
446774ワット発電中さん:2013/10/15(火) 19:02:26.25 ID:7wb+KWZo
FPGAで1GHz clockでLVDSの吐けるデバイスって、あるの?
1GHzってことは、内部2GHzでしょ
447774ワット発電中さん:2013/10/15(火) 19:17:56.18 ID:hXr8eKtr
>>446
???
448774ワット発電中さん:2013/10/15(火) 19:41:20.36 ID:auBzcspt
>>438
無理。
理由は>>445氏が述べている通り。

2Gbps信号を20対束ねるって事は40Gbps。
それならXAUI信号経由 40Gbps QSFPで飛ばすのが最も簡単。
つまり光で飛ばすのがイイ。俺ならそうする。

アルテラの Stratix IV GT FPGA、40G QSFP 光モジュールとのダイレクトな相互接続性を実現
http://www.altera.co.jp/corporate/news_room/releases/2010/products/nr-sivgt_interop.html
449774ワット発電中さん:2013/10/15(火) 19:57:49.51 ID:CWhMayTU
今は出来なくとも、近い将来できるようになるさ
450774ワット発電中さん:2013/10/15(火) 20:06:55.83 ID:dr3RI5Vr
>448
>>446
451774ワット発電中さん:2013/10/15(火) 20:10:43.68 ID:3AmucEyB
>>445
>遅延
I/ODELAY使って調整すれば?
452774ワット発電中さん:2013/10/15(火) 20:53:59.27 ID:auBzcspt
453774ワット発電中さん:2013/10/15(火) 20:59:13.14 ID:auBzcspt
って、わかんないか。
 ttp://www.altera.co.jp/corporate/news_room/releases/2010/products/nr-sivgt_interop.html
 「Stratix IV GT FPGA に搭載される 11.3Gbps 内蔵トランシーバを利用」
別にLVDSで無理でも、今のFPGAにはLVPECL, CMLがあるのさ。

ところで、
>>438
> 1GHzで変化する16bitデータとclock、
これって500Mbpsとも読めるなぁ。
454774ワット発電中さん:2013/10/16(水) 03:30:51.39 ID:TrgX/NkX
>451
他人がやるぶんにはそれもいいと思うよ
455774ワット発電中さん:2013/10/16(水) 04:16:57.17 ID:RessbH4d
>>451
ケーブルの這わせ方次第でコロコロと特性が変わる条件下では、調整しても無理。
でも、二つの基板間をブリッジする中継基板にし、それをネジ留めするならアリかも。
ただ、そもそもの「16bitデータとclock、その他信号」のクロックとデータを分けるやり方より、8b/10bとか64b/66bでクロックを埋め込んでしまえばディレイの調整が要らなくなる。

>>453
1GHz SDRなら1Gbps、DDRなら2Gbps。500Mbpsにはならんだろ?
「16bitデータとclock」なら、質問者の頭の中は1Gbpsの計20Gbpsだろうな。
それと40Gbps QSFPとか、LVPECL/CMLとか、質問者には荷が重すぎるだろ?

>>438
発想を変えれば出来る。
こうしてみな。
・8b/10bとか64b/66bを使う。
 各対それぞれにクロックが埋め込まれるから、受信側はFIFOでデータを揃えられる。
・1対1Gbpsを出すため、LVDS送受信部には500MHz DDRを使う。
 LVDSで済むから、LVPECL/CMLとかの高いFPGAを使わなくていい。
 (8b/10bはオーバーヘッドがあるから、その分クロックを上乗せしておくこと)
 (Virtex6なら、500MHz DDRで1Gbps出せるのはデータシート見て確認した)
・ケーブルやコネクタには、HDMIやDisplayPortを流用する。
 4対を内包しているから、5本束ねれば20対になる。
 (CAT5も4対だが帯域が足りない。SATAは帯域は十分だが2対しかない)

>>454
IDがTriger X / NAK Xに見えて、通信ぽいIDだなw
俺、関東住みだが、あと数時間で小降りなるとは思えないorz
フレックス出社で午後から出勤にしようかw
456774ワット発電中さん:2013/10/16(水) 08:21:06.28 ID:o4vt2rte
遅延の合わせ込みの問題じゃないだろ。ISIとかでそもそも合わせられない。
457774ワット発電中さん:2013/10/16(水) 08:39:28.47 ID:ODq7PdSB
できるかどうかは基板屋さんの腕次第ってのはど?
実際そーならないかな?
458774ワット発電中さん:2013/10/16(水) 11:04:26.24 ID:vQL5X0lh
1Gbpsと言ってもケーブル上は500MHz
8b/10b使うなら、一発完動が当たり前。
459774ワット発電中さん:2013/10/16(水) 11:08:07.47 ID:vQL5X0lh
>>457
DDR2 SDRAMと大差ないよ。
回路図に指示事項書いとけば十分。
460774ワット発電中さん:2013/10/16(水) 13:43:14.15 ID:lRVtIs06
8b/10bで同期掛けるなら、CDRが要るからLVDSじゃ無理で高速シリアルトランシーバ内臓のが要るけど、
トランシーバ内臓だったらローコストFPGAでも3.125Gbpsいけるからレーン数減らせるんじゃない?
461774ワット発電中さん:2013/10/16(水) 21:54:04.79 ID:xVV5kKZX
1Gbps 16ペア +1ckでStratixIIIとかIVなら普通のLVDS TX、RXで取り扱える。
XilinxならSpartan6でもできる。

clockはDDRでも1/8ckでもいいし。
内部は1Gbps/8の125MHzあたりが妥当かな。
ケーブル伝送なら、きちんとした帯域を確保している同軸か、差動ケーブルつかわないとトラブルのもとになりそうだけど。

トランシーバー内臓をつかうのもありだとは思うけど。
462774ワット発電中さん:2013/10/16(水) 22:20:04.43 ID:bQYx3llN
知ったかを眺めてたのにマジレス
463774ワット発電中さん:2013/10/16(水) 22:55:24.97 ID:RessbH4d
>>460
> CDRが要るからLVDSじゃ無理
その根拠は?

ちなみにアルテラは出来ると言っているけど?

http://www.altera.com/literature/an/an518.pdf の冒頭
> This application note addresses the different aspects of Serial Gigabit Media
> Independent Interface (SGMII) implementation using the soft-CDR mode in the
> StratixV, Stratix IV, Stratix III, ArriaV and Arria II FPGAs.
>
> The supported Altera FPGAs contain dedicated circuitry for supporting differential
> standards such as LVDS at various speeds including 1.25 Gbps. The high-speed LVDS
> I/Os of these devices support many high-speed networking, communications I/O
> interconnect standards, and applications including SGMII.
464774ワット発電中さん:2013/10/17(木) 00:15:27.92 ID:m9MY2hxo
465774ワット発電中さん:2013/10/17(木) 08:03:18.79 ID:E1staSsZ
>>460
CDRは論理層、LVDSは物理層。
ちょっと考えれば「LVDSじゃ無理」なんて恥をかかずとも済んだものをw
466774ワット発電中さん:2013/10/17(木) 09:34:54.01 ID:8Mukc3m8
bpsとHzの区別もできない、auBzcspt もなかなかの猛者だな
467774ワット発電中さん:2013/10/17(木) 10:12:43.42 ID:xgfRQe36
USBでも50cm超えると誤動作することがある
468774ワット発電中さん:2013/10/17(木) 10:58:18.69 ID:FdZuMFDz
シリアルで50cmでトラブルて
そら設計してるやつが無能過ぎる。
469774ワット発電中さん:2013/10/17(木) 11:14:09.21 ID:4ZA3cxdG
5mならまだわかるけど、50cmはないだろ
470774ワット発電中さん:2013/10/17(木) 12:00:28.35 ID:8zNW3rj9
たぶん467はケーブルで引っ張らずに接続してると見た
どーやってつないでんの?
471774ワット発電中さん:2013/10/17(木) 13:46:44.40 ID:8FmRkHIh
確かに 5m の USB ケーブル使ったときは動かなくなったな
なんで 5m の USB ケーブルが売ってるのか謎だった
472774ワット発電中さん:2013/10/17(木) 13:48:51.81 ID:CuFlJK4l
>>465
CDRは分類としては物理層だろう。
広義のLVDSは電圧レベルの規定だが、
狭義のLVDSはデータに同期したクロックを逓倍してデータを再生する方式。
LVDSの電圧レベルでCDR使ったらもはやそれはLVDSという通信方式ではない。
473774ワット発電中さん:2013/10/17(木) 14:37:23.48 ID:4ZA3cxdG
>>472
液晶とかに使ってる通信規格としてのLVDSと電圧だけのLVDSがややこしいわな
474774ワット発電中さん:2013/10/17(木) 19:38:54.75 ID:KfNPFydS
>>471
USB規格でmax5m
475774ワット発電中さん:2013/10/17(木) 23:44:23.81 ID:MBsw0uOB
>>472-473
LVDS規格を無視こいて、広義のLVDSだとか狭義のLVDSだとか、よ〜ゆ〜わ。
規格で定義されているのは電気的特性だけ、呆れるよ。
476774ワット発電中さん:2013/10/17(木) 23:59:54.08 ID:2ZPWQ4SB
>>474
規格は電圧降下と遅延だけじゃなかった?
大体5mが限度みたいだが
477774ワット発電中さん:2013/10/18(金) 02:07:05.90 ID:k577O/hc
テレビ分野では米ナショナル セミコンダクター社が開発した "FPD-Link" をLVDSと呼ぶことが一般的である
478774ワット発電中さん:2013/10/18(金) 08:40:18.91 ID:PHsgOpSk
(キリッ を忘れてるぜ
479774ワット発電中さん:2013/10/18(金) 09:05:14.21 ID:SeNw0kuv
知らなかったからって煽るなよ
480774ワット発電中さん:2013/10/18(金) 11:27:07.44 ID:BsFZmCNf
別に、分野を限定する必要は欠片も無い
481774ワット発電中さん:2013/10/18(金) 17:55:13.29 ID:izx56TNS
テレビ分野なんて知らんので
チャネルリンクとか普通にSERDESって言ってる。

LVDSで通じるのか。ふ〜ん。
まぁ混同するので、これからもSERDESって言うと思う。
482774ワット発電中さん:2013/10/18(金) 18:40:59.59 ID:L4Bb17BS
わざわざ無知を自慢しなくていいよ
483774ワット発電中さん:2013/10/18(金) 18:47:21.66 ID:YW0Lcnlb
意固地っても何も得るものないのに
484774ワット発電中さん:2013/10/18(金) 19:15:15.75 ID:YGkSMwLZ
>>481
SERDESとLVDSは別物だよ。
SERDESの後、RS-422で飛ばしても構わない。帯域が足りればね。
485774ワット発電中さん:2013/10/18(金) 19:25:23.93 ID:L4Bb17BS
SERDESってLVDSより一般名詞っぽくね
486774ワット発電中さん:2013/10/21(月) 00:21:05.99 ID:PfRc4V/Q
意固地を拗らせた
487326:2013/10/21(月) 18:32:52.47 ID:G6b/0KWc
OpenCoresの "USB 1.1 Function IP Core"
ホストのChipやらハブ変やら変えると、動いたり動かなかったで結構苦労したけど
ようやっと安定して動くようになった。
488774ワット発電中さん:2013/10/22(火) 18:56:49.63 ID:bvHOEbSJ
>>487
おめでとう。
PHYはどうしたの? 外付け?
489774ワット発電中さん:2013/10/22(火) 21:09:11.83 ID:ae5DXRXm
USB1.1の12Mbpsだけだから、Pad出力に抵抗付けただけ。
外付けPHYとか付けたら、もう少し楽できたかも・・
490774ワット発電中さん:2013/10/22(火) 21:23:23.22 ID:KfIMXYpu
ttp://japan.xilinx.com/products/silicon-devices/fpga/
> かつてないレベルのシステム統合を可能にし、ASCI クラスのシステム レベル性能を提供します。

「ASCI クラス」ってなんや?
笑っていいよなw
491774ワット発電中さん:2013/10/22(火) 21:29:19.97 ID:eJM7icqH
>>489
簡単な回路で実現できるのか
ESDは大丈夫なのかな?
492774ワット発電中さん:2013/10/22(火) 22:00:04.48 ID:ggoMrpCI
>>490
おまえ、ASCI 知らないの?
493774ワット発電中さん:2013/10/22(火) 22:00:35.93 ID:ov2RF11L
>>490
アスキーか
494774ワット発電中さん:2013/10/22(火) 22:05:09.91 ID:FJ9Wj4/2
ASCIは技術者として常識だろうな。何か知らないけど。
495774ワット発電中さん:2013/10/22(火) 22:09:27.46 ID:/fS5pz1d
ど素人の俺様に言わせればたぶん
Application Specific Integrated Circuit の略だろうな。順違うけど。
496774ワット発電中さん:2013/10/22(火) 22:27:23.02 ID:ae5DXRXm
>>491
D+/D- それぞれに33Ωと、FULL/LOWスピード判別用の1.5kΩの計3本の抵抗
趣味の工作だからな、33ΩがESD対策のつもり

お遊びとは言え、すぐ壊れるようでは困るしな。
497774ワット発電中さん:2013/10/22(火) 22:44:06.46 ID:WhzuAPfJ
Asyncronus Serial Communication Interface
498774ワット発電中さん:2013/10/22(火) 22:54:09.13 ID:Bxz6kJPa
ASICだろうが、ともかく、つづりはasynchronousな
499774ワット発電中さん:2013/10/22(火) 23:41:59.14 ID:wHkx83s/
>487
おめでとう。
真似てみたいのでコツとかあれば教えてくれるとうれしい。
500774ワット発電中さん:2013/10/23(水) 08:02:03.76 ID:vnTC4HuO
USBがらみの開発をするのであれば自作でも良いので
USBアナライザを用意した方が良い
501774ワット発電中さん:2013/10/23(水) 08:21:21.41 ID:1qLm/Ssy
USBアナライザを作るのであれば自作でも良いので
ロジックアナライザを用意したほうが良い
502774ワット発電中さん:2013/10/23(水) 18:09:04.45 ID:2xDkGz5c
>>492>>495>>497>>498
そんなのをネタにせず、まずは英文サイトと見比べろよw
そして大笑いして終わりさ。
503774ワット発電中さん:2013/10/23(水) 18:17:23.70 ID:Vr5Uswxg
笑いの沸点低いね
504774ワット発電中さん:2013/10/23(水) 18:33:14.09 ID:EiMyTFcb
>>489
> Pad出力に抵抗付けただけ。
ほぉ〜、1.5Mbpsならその手をPIC/AVR方面で見かけたけど、12Mbpsでも出来るとは驚き。
505774ワット発電中さん:2013/10/23(水) 20:16:55.50 ID:qNuOdFyl
>>504
フルスピードまでは、普通の3.3Vロジックじゃなかったっけ。
506774ワット発電中さん:2013/10/23(水) 21:09:34.10 ID:xXJ3DAbN
そうだけど、一応90Ωの差動信号。
507774ワット発電中さん:2013/10/23(水) 21:20:46.96 ID:u2+X8Epk
似非差動だから、ちゃんとした差動にしてしまうと動かない
508774ワット発電中さん:2013/10/24(木) 00:43:04.06 ID:MNgQtxM0
>>504
12Mbpsってめちゃイージーだろ
>>439のみたいにローコストFPGAのIOに抵抗挿入しただけで740Mbpsの差動が出せる時代だよ
509774ワット発電中さん:2013/10/24(木) 12:55:45.58 ID:dHS8jvgH
>>508
LVDSで済むHDMIにわざわざLVTTLだとか、
即再設計ものの波形で開口しているとか、
それで動く動くと喜んでいるとか、
そんなので1GHzも大丈夫と言ってしまう>>439とか、
丸ごと信じて「740Mbpsの差動が出せる」と言ってしまうおまえさんとか。

いやはや、ホビーストの無茶ぶりと楽観視はただただ驚くばかりだよ。
ただ、時々それを頭っから信じてしまう顧客がいるのが困りものw
510774ワット発電中さん:2013/10/24(木) 13:00:41.10 ID:tQmYAzik
なにいってんだこいつ
511774ワット発電中さん:2013/10/24(木) 14:03:17.50 ID:t14GLSgj
>>506
フルスピードまでは、インピーダンスマッチングはしてないんでは?
3.3Vもあるのに、90Ωで終端したら電流が流れ過ぎて、ドライブできない。
512774ワット発電中さん:2013/10/24(木) 14:19:33.55 ID:jTrto0OL
終端15kΩだからマッチングはとってない
90Ωは、ケーブルの特性インピーダンスでしょ。
513774ワット発電中さん:2013/10/26(土) 08:02:45.53 ID:eQrk4K9G
>>511>>512
レシーバ側が90Ωで終端されていないのはその通り。
が、ドライバー側はLS/FSだけならシングルで39Ω、HSと一緒ならシングルで45Ωが規格だよ。

Universal Serial Bus Specification Revision 2.0
> 7.1.1.1 Full-speed (12 Mb/s) Driver Characteristics
> When the full-speed driver is not part of a high-speed capable transceiver,
> the impedance of each of the drivers (ZDRV) must be between 28 Ω and 44 Ω,

> When the full-speed driver is part of a high-speed capable transceiver,
> the impedance of each of the drivers (ZHSDRV) must be between 40.5 Ω and
> 49.5 Ω,
514774ワット発電中さん:2013/10/26(土) 08:32:07.76 ID:LDPaBxXp
HDMI に音声出力を乗っけようとして、Specificationを見てたんだけど 結構めんどくさない。
んで 教えてもらいたい事が有るんだけど、詳しいヤツいない?
515774ワット発電中さん:2013/10/26(土) 09:17:23.78 ID:jeMuocRF
わざわざFPGAで組むのか?
ADV7511 でも使っとけ
516774ワット発電中さん:2013/10/26(土) 09:40:46.40 ID:LDPaBxXp
趣味の工作なんだから、自分で作る事に意味がある
完成したプラモに 興味は無い。
517774ワット発電中さん:2013/10/26(土) 10:00:38.89 ID:a6gEPB06
趣味の工作なら人に聞いてはダメだろ?
消えろ
518774ワット発電中さん:2013/10/26(土) 10:54:51.78 ID:iINpmhwK
なんだ、趣味の工作はシリコンの精錬から派か
519774ワット発電中さん:2013/10/26(土) 10:57:54.69 ID:4s8W2c1b
そんなバカな!              まずは鉱脈から探さなきゃw
520774ワット発電中さん:2013/10/26(土) 11:08:54.37 ID:ImyUSk+z
インゴッド重そうw
521774ワット発電中さん:2013/10/26(土) 11:14:01.08 ID:uWzPu5Rw
量産するのでなければ1インチとか十分
522774ワット発電中さん:2013/10/26(土) 11:23:42.97 ID:U9UKkcwa
マスクを作るのは個人では大変そうだ。
一品ものなら露光も違うかもしれんけど。

# 一品ASICを作る方法ってある?
523774ワット発電中さん:2013/10/26(土) 11:27:12.18 ID:U9UKkcwa
電子ビーム露光ってのがあるのか
524774ワット発電中さん:2013/10/26(土) 11:45:20.79 ID:ImyUSk+z
あれ、めっちゃ時間かかるw
525774ワット発電中さん:2013/10/26(土) 12:15:12.44 ID:ed+H/GMy
あれ、めっちゃホーリデー
526774ワット発電中さん:2013/10/26(土) 17:29:42.43 ID:MiUvvRIY
>>513
何が言いたいのか良くわからんけど、
結局、>>489 で、何も問題ないでしょ。
527774ワット発電中さん:2013/10/26(土) 20:15:40.95 ID:6guOxO3y
ザイリンクスのマークって (シグマ) に見えて仕方ない。
528774ワット発電中さん:2013/10/26(土) 20:30:27.23 ID:SX3usB43
>>526
>>487
> 動いたり動かなかったで結構苦労
529487:2013/10/27(日) 10:36:48.25 ID:nCOwq8hM
それ、本質的な部分は rtl の方だから

別に外付け phy を付けて安定したわけじゃない
該当部分のソースコード修正して、 >496 のとおりの安定だから。

外付け phy をつけた方が良いのはその通りだけど、この事例ではそれが本質ではかった。
530774ワット発電中さん:2013/10/27(日) 10:48:48.41 ID:BBN+uwvx
>>527
θがあれに見えるようなものか
531774ワット発電中さん:2013/10/27(日) 11:56:31.97 ID:dlqjclam
>>530
ワロタw
532774ワット発電中さん:2013/10/27(日) 12:36:50.25 ID:kqTnSZ+P
>>529
フルスピードで外付けPHYつけてる回路なんて見たこと無い。
533774ワット発電中さん:2013/10/27(日) 15:07:40.33 ID:SLKwZ04Y
>>532 内臓されているだけだ
534774ワット発電中さん:2013/10/27(日) 17:12:30.17 ID:psSrkje7
>>533
ただの3.3Vロジックなんだから、
+端子と−端子が同時に変化するようにRTLを書くだけでしょ?
フルスピードのPHY内蔵してるFPGAなんてあるかい?
535774ワット発電中さん:2013/10/27(日) 17:59:25.46 ID:AgS5JXaD
>>534
ただの3.3Vロジックではないから
おれは外付けPHY使ってたよ
FPGA端子直出しじゃ規格通らん
536774ワット発電中さん:2013/10/27(日) 18:12:54.10 ID:fk+o556o
8bitマイコンでもソフトで書けるレベルだけどな。
専用PHYなくてもフルスピードなら余裕でコンプライアンス通るだろ。
537774ワット発電中さん:2013/10/27(日) 23:32:38.26 ID:T1HKlrX4
>>536
やってみれば判るよw
538774ワット発電中さん:2013/10/27(日) 23:53:18.03 ID:9QL3jPKG
>>536
USBのコンプライアンスって・・・
539774ワット発電中さん:2013/10/28(月) 00:00:46.19 ID:9mHI/hPP
>>534
> ただの3.3Vロジックなんだから、
なぜ、マイコンでは独立したピンになっているのが多いのか?
マルチプレックスされてても、なぜCMOSとして定義されていないのか?
そこら辺、考えてみれば判るよ。

例 : PIC16(L)F1454/5/9
http://ww1.microchip.com/downloads/en/DeviceDoc/41639A.pdf
> RA0/D+/ICSPDAT(3) RA0 TTL CMOS General purpose I/O.
>               D+ XTAL XTAL USB differential plus line.
>               ICSPDAT ST CMOS ICSP? Data I/O.
540774ワット発電中さん:2013/10/28(月) 00:46:10.81 ID:euHv6SHu
純粋な 3.3V CMOS との実質的な違いって内蔵直列終端の有無くらいじゃないの?
541774ワット発電中さん:2013/10/28(月) 00:50:45.83 ID:AfwRzoCC
FPGAでもやるみたいに終端外付けすれば良くね
542774ワット発電中さん:2013/10/28(月) 08:25:26.12 ID:fHy/iB6g
>>540
「内蔵直列終端の有無」なら、MicrochipがXTALとして定義しないって。
そんなのは考えなくても判るだろ?

いい加減規格書読め、公開されているから。
543774ワット発電中さん:2013/10/28(月) 08:38:58.93 ID:CEruOgVY
出力しか考え付かない時点で本物のバカなんだろ。

Differential Input Sensitivity VDI |(D+)-(D-)| 0.2 V
こんなの差動じゃなきゃ受けられない
単純な3.3VCMOSじゃ逆立ちしたって無理。
544774ワット発電中さん:2013/10/28(月) 08:56:18.33 ID:YxxM5uyC
入力が差動かどうかと、出力がCMOSかどうかとは微塵も関係ない。
545774ワット発電中さん:2013/10/28(月) 09:12:53.43 ID:CEruOgVY
双方向端子である事すら知らない
本物だな
546774ワット発電中さん:2013/10/28(月) 09:18:07.97 ID:SKzzeFCD
入出力ポート分けるのは普通にやるけどな
547774ワット発電中さん:2013/10/28(月) 09:40:03.94 ID:YxxM5uyC
例えばこれ
http://users.ece.utexas.edu/~valvano/Datasheets/MCP2551.pdf
なんかだと、レシーバは差動で、トランシーバはバイポーラのエミッタ接地とコレクタ接地という、非対称で変則的な回路になってる。
双方向端子が云々とか、よくそこまで馬鹿を晒せるもんだw
548774ワット発電中さん:2013/10/28(月) 10:15:17.08 ID:SKzzeFCD
茶々だけど、
トランシーバーは、送受信器。
送信だけならトランスミッター。
549774ワット発電中さん:2013/10/28(月) 10:35:03.82 ID:YxxM5uyC
>>548
そうだった。ありがと。
550774ワット発電中さん:2013/10/28(月) 20:53:21.30 ID:E0obOO27
>>544
> 出力がCMOSかどうか
出力がCMOSでないことは、既に>>513氏が述べているのだが。
ハテ?
551774ワット発電中さん:2013/10/28(月) 21:23:43.20 ID:Rwau1Lt3
ホビースト … たまたま動いていりだけなのに、それで全てOKだと考える人
セミプロ … 失敗が心配、あるいは高い部品が良いと思い込んでいる人。ちゃんと動くけど、コストかかり過ぎ
プロフェッショナル … たまたまかそうでないかを見極め、性能とコストのバランスを取れる人
552774ワット発電中さん:2013/10/28(月) 21:48:18.85 ID:WboFepKX
>>551
今年の新人 ・・・ 「駄目な箇所は無いのに、うまく動かないんです!」
553774ワット発電中さん:2013/10/28(月) 22:48:16.64 ID:2MdHbco3
せめてテディベアに相談すると「あっ」と気づくぐらいにまでなれば・・・
554774ワット発電中さん:2013/10/28(月) 23:00:11.80 ID:bfajZukt
>>538
アホですか
555774ワット発電中さん:2013/10/28(月) 23:57:52.70 ID:ZKpUKy8i
>>552
かわいいやつじゃないかw
556774ワット発電中さん:2013/10/29(火) 08:25:39.02 ID:QTcXesYs
>>552
その新人を>>551に当てはめると、ホビーストよりも下w
だから新人かw

だだ>>552氏は、何故そうなる前に設計段階でチェックしてあげなかったの?
昨今、パワハラだと言われちゃうよ。
メンドクセーw
557774ワット発電中さん:2013/10/29(火) 08:29:48.02 ID:gFzN+UaQ
>>554
バカですか?
558774ワット発電中さん:2013/10/29(火) 18:57:39.97 ID:Grnj43oX
http://appllio.com/20131029-4380-motorola-project-ara
これのfpga module作って欲しい
いろいろ遊べると思う
559774ワット発電中さん:2013/10/30(水) 05:16:27.41 ID:dXGN5F2v
>>547
> バイポーラのエミッタ接地とコレクタ接地という、非対称で変則的な回路
だからホビーストは一つを全てだと考えがちなんだよな。
(と、やんわりと指摘したのだがw)

たまたまマイクロチップがそう構成したからと言って、それが全てではない。
例えばTIのSN65HVD255ならこうなっている。
http://www.ti.com/ds_dgm/images/fbd_sllsea2c.gif
正負共にオープンコレクタ。

そもそもCANはバスであり、幾つものデバイスがぶら下がる。
ぶら下がるからオープンコレクタで駆動する。
かつ車載向けの高信頼性バスとして、正負どちらかが切断されても通信を維持出来ることを意図している。

そんなCANを、しかもマイクロチップの内部ブロックを引き合いに出して、「だからUSBも…」と強弁するのは我田引水も甚だしい。
560774ワット発電中さん:2013/10/30(水) 07:49:04.60 ID:Q6cFj7kC
>>559
>「だからUSBも…」と強弁するのは我田引水も甚だしい。

なんて、一言も書いてない。
「入力が差動かどうかと、出力がCMOSかどうかとは微塵も関係ない。」
と言ってるだけ。

>たまたまマイクロチップがそう構成したからと言って、それが全てではない。
>例えばTIのSN65HVD255ならこうなっている。

そんなの当たり前。「入力が差動かどうかと、出力がCMOSかどうかとは微塵も関係ない。」
と言う主張をしているだけなのだから、別にCANのコレクションを開陳する必要など無いし
一例が全てだと主張している訳じゃ無いのが理解出来ないのか。

日本語が理解できないんじゃ、話にならんなw
561774ワット発電中さん:2013/10/30(水) 07:58:44.23 ID:XIQzdwqx
>>560
「入力が差動かどうか … 関係ない」に続けて「例えば」と引き合い出しておいて、よーゆーわw

そもそも差動とは言い難いCANを持ち出したのが間違い。
「微塵も関係ない」と言う主張の裏付けになってないよw
562774ワット発電中さん:2013/10/30(水) 08:08:58.98 ID:Q6cFj7kC
>>561
>そもそも差動とは言い難いCAN

お前の腐った頭では差動じゃ無いのかも試練が
一般的にも、CANを設計したボッシュも、差動信号と言ってる。
563774ワット発電中さん:2013/10/30(水) 08:22:22.10 ID:PBwUd28R
ID:YxxM5uyC

ASICの専用品 持って来て引き合いに出すとか
それじゃUSBのphyもって来るのと同じゃねぇーか、馬鹿すぎる。
564774ワット発電中さん:2013/10/31(木) 00:53:40.71 ID:PH/IV0pA
で、
「入力が差動かどうかと、出力がCMOSかどうかとは微塵も関係ない。」
に、ついては理解出来たのか?
565774ワット発電中さん:2013/10/31(木) 05:41:33.18 ID:1xvo/Nis
>>564
まだ強弁するんだ ウゼ

>>534 「ただの3.3Vロジック」
>>535 「3.3Vロジックではない」
>>543 「|(D+)-(D-)| 0.2 V 差動じゃなきゃ受けられない」
>>544 「入力と、出力は関係ない」

↓おまえは差動出力を理解してないんだw
>>534 「+端子と−端子が同時に変化するようにRTLを書く」
566774ワット発電中さん:2013/10/31(木) 06:15:05.46 ID:1xvo/Nis
そもそもスキューが保証されないLVCMOS33で頑張って、
それが良いか悪いか議論しているこの流れがそのものが、
 ク ダ ラ ナ イ

FPGAには DIFF_HSTL_I_18 / DIFF_HSUL_12あたりとか、案外 LVDSとか、
LVCMOS33よりも USB HSにより適合しそうなI/Oピンがあるのだから、
まず規格書とデータシートを見比べるのが先だろ?

「プロフェッショナル … 性能とコストのバランスを取れる人」
567774ワット発電中さん:2013/10/31(木) 07:52:22.35 ID:A/9RpQKu
>>564
関係する/しない以前に、3.3Vロジックでは差動 0.2Vを受けられない。
そこを熱く語ってもダメなものはダメ

理解出来ましたか?w
568774ワット発電中さん:2013/10/31(木) 08:31:24.94 ID:aOnZTz4A
真ん中へんに釣っておくとか
569774ワット発電中さん:2013/10/31(木) 09:01:38.75 ID:Dumhlkgr
>>565
>>567

ぜんぜん反論になってないなw
俺は一つの事実しか述べてないんだが、なにファビョってるんだw
570774ワット発電中さん:2013/10/31(木) 09:04:27.95 ID:Dumhlkgr
>>568
簡単な外付け回路で、スレッショルドに追従した基準電源を作った事がある。
結構、うまく行くよ。
571774ワット発電中さん:2013/10/31(木) 13:26:21.85 ID:uSjKQdg3
>>566
ん? HSは、FSまでとは全然違う。
電圧も0.1Vだし、インピーダンスも合わせなきゃ、まともに通信できない。
HSの話は、誰もしていない。
572774ワット発電中さん:2013/10/31(木) 13:29:14.22 ID:9a+40F6b
いつまで続けるの
573774ワット発電中さん:2013/10/31(木) 13:33:21.16 ID:uSjKQdg3
>>567
FSまでは、差動で受けずに、
+端子だけで論理判定も可能だが、
差動で受けたいなら、FPGAなんだから差動で受けられるでしょ?
外付けのPHYが必要な理由にはならないと思うが。
574774ワット発電中さん:2013/10/31(木) 14:01:36.98 ID:EJj7VKHF
>536
そもそも、こいつからはじままったんだろ
汎用マイコンのポートだけで、コンプラ通るか・・・

上の方でも誰かが動作報告くしてように
個人で動かす程度なら、別にに差動じゃ無くても普通に動くよ。
575774ワット発電中さん:2013/10/31(木) 21:37:44.38 ID:H8D/Fs5J
どうでも良いけど、感覚的にハイスピードよりフルスピードの方が速そう。
576774ワット発電中さん:2013/10/31(木) 22:49:44.08 ID:Uv9GtDAw
後付けだからしょうがない
577774ワット発電中さん:2013/10/31(木) 22:58:03.08 ID:UIQiYrfd
どうも失礼します。
この度イメージセンサの画像解析をFPGAで行おうと思っているのですが、
ALTERAとXilinxのどちらにしたら良いか迷ってます。
使い勝手がいい、無料のIP充実している、の2つの判断基準に選びたいと思ってます。

また、2年前までXilinxのISEをつかっていたのですがそれと比べて
vivadoやQuartusの使い勝手は如何でしょうか?
教えていただければ幸いです。
578774ワット発電中さん:2013/10/31(木) 23:03:29.42 ID:W39bBEHd
Quartusのほうが使い易い。
579774ワット発電中さん:2013/11/01(金) 04:40:57.13 ID:1KlEVjDM
Cyclon IV に、LVDS用の内蔵終端抵抗機能は無いのでしょうか?
Quartusのピン定義の表を見ても、出てこないので、あのBGAのピンの下に
20個も30個も終端抵抗置くのか、心配しています。
BGAの腹の下には、さらにパスコンも置かないといかんし。
580774ワット発電中さん:2013/11/01(金) 07:50:49.92 ID:Ql5MoxXW
>>579
アサイメントエディタで定義かqsfで書くんでは
581774ワット発電中さん:2013/11/01(金) 07:56:34.05 ID:oCrdr7S3
完全には無くせなかった気がする。
左右のバンクなら直列抵抗だけでも減らせるけど。
582774ワット発電中さん:2013/11/01(金) 09:20:49.83 ID:EuFEhCpi
厳密にUSB規格満たしたいならドライバはCMOS、レシーバは差動バッファ使えばいい。
全部FPGA直でできる。

楽したいならドライバもレシーバもCMOSでいい。
両方試したけどケーブル引き回しても性能に差はなかったな。
583774ワット発電中さん:2013/11/01(金) 17:22:11.38 ID:ogRF9h36
>>582
USBは完全に差動ではないからなぁ〜♪
その点は要注意だよん。
584774ワット発電中さん:2013/11/01(金) 18:13:49.92 ID:63bII4LQ
>>571
>ん? HSは、FSまでとは全然違う。
たんなる打ち間違えw
585774ワット発電中さん:2013/11/01(金) 19:28:40.35 ID:ogRF9h36
>>573
>FSまでは、差動で受けずに、
>+端子だけで論理判定も可能だが、

それは無理っす。USBは完全差動じゃなくて、(D+ = D-)
となる(スキューの問題ではなく、意味がある)時があるです〜♪
586774ワット発電中さん:2013/11/01(金) 22:41:47.51 ID:jxDgUDy/
>>579
CycloneIVはLVDS用の内蔵終端がない。
CycloneVか、Spartan6がおすすめ。
Spartan6ならIDELAYで動的位相調整も簡単。
587774ワット発電中さん:2013/11/01(金) 23:16:35.45 ID:OTJQzC6O
>>583
ショートの規定もあるしね
外付PHYじゃないならFPGAメーカに
セミコン部分のチェック入れてもらわないと
SSもFPGAのPHYでなんちゃっては出来るけど
規格は満たせ無いのよねぇ
588774ワット発電中さん:2013/11/01(金) 23:48:25.91 ID:OzAHxGuT
ちょっとなに言ってるかわかんない
589774ワット発電中さん:2013/11/02(土) 01:25:06.87 ID:605E1OwW
>>585
それって、SETUPのときでしたっけ?
そのときだけマイナス端子も見ればいいだけですよね。
そんなにノリノリで、書くことでもないと思うんですけど。
590774ワット発電中さん:2013/11/02(土) 01:31:42.19 ID:srXxKNsQ
そのときだけ見るって難しくないか?本当にやったことあんの?
591774ワット発電中さん:2013/11/02(土) 12:53:48.80 ID:TZQMvGUx
>>586
本当にですか?
ショックです。
みなさんは、
あんな狭い所に
チップ抵抗置いて
いるんですか?
パスコンどうする
んですか?
592774ワット発電中さん:2013/11/02(土) 14:21:02.79 ID:sSuituJX
>>590
SE0の出番はEOPだろ?
データの取り込みは差動の片方見ておいて、両方Lowだったら取り込み終了って処理にするだけじゃん。
593774ワット発電中さん:2013/11/02(土) 14:43:23.41 ID:b6dqbbRF
>>591
何が重要かを考えて部品配置していけば良い。
それで不具合が起きるなら設計変更すればよろし。
594774ワット発電中さん:2013/11/02(土) 19:20:10.35 ID:d4oHluEx
>>592
接続のときもどちらがプルアップされるのか見ておかないと駄目だし、
いきなりケーブル引っこ抜かれたり、電源切れたりっていうのも
あるからね〜
595774ワット発電中さん:2013/11/02(土) 20:27:59.57 ID:srXxKNsQ
笑っていいとも当初はたけしが司会候補に挙がっていた
596774ワット発電中さん:2013/11/04(月) 19:02:09.77 ID:LgP3slZU
>>578

577です。ありがとう御座います。
ISEの使い辛さは商用として有得ないと薄々思っておりましたww
Quartus弄ってみます。

ちなみに画像解析のIPについて
FPGAメーカーによる違い等の情報お持ちの方がいらっしゃいましたら、
アドバイス頂ければ幸いです。
597774ワット発電中さん:2013/11/05(火) 01:02:44.54 ID:AwXMNov0
質問がアバウト過ぎね
598774ワット発電中さん:2013/11/05(火) 01:36:00.31 ID:Fu3FYDTH
最近調べてないから間違ってるかもしれないけど、たしかこんな感じ。
- アルテラは有償でザイリンクスは一部無償
- アルテラはAvalon-STでザイリンクスは知らない
SystemCを持ち出すならAlteraでお金じゃぶじゃぶしちゃえばいいと思う。
599774ワット発電中さん:2013/11/05(火) 01:37:58.83 ID:Fu3FYDTH
当たり前だけど、代理店かForumの類で聞いた方が正確なアドバイスが得られると思う。
600774ワット発電中さん:2013/11/05(火) 08:33:09.18 ID:NvEZj5qa
>>591
> あんな狭い所にチップ抵抗置いているんですか?
ピン配がよく考えられてる。
隣がGNDピンとかで、置き場所を確保出来るとか。
パスコンはさらにその奥に配置出来るし。

それ以前にピン間を差動のまま通しがたいから、パッケージの外で終橋するのがいいかも。
>んですか?
601774ワット発電中さん:2013/11/05(火) 09:01:20.23 ID:4HxvFrmQ
>>598
お金じゃぶじゃぶなら外注とかね
602774ワット発電中さん:2013/11/05(火) 09:03:51.92 ID:4WtlgbWA
>>598
ザイリンクスはAMBA AXI4だね
603774ワット発電中さん:2013/11/05(火) 15:41:38.92 ID:hThu763Z
終橋ってなんですか?
604774ワット発電中さん:2013/11/05(火) 19:22:57.38 ID:UN6TGhZa
文脈からエスパーしてみる
──┐
──┘ 終端
──┬
──┴ 終橋
605774ワット発電中さん:2013/11/05(火) 21:46:47.49 ID:3p0/4b97
学生のFPGA初心者ですが、質問させてください。
Verilogで、case文によるROMを実装しようとしているのですが、
ブロックメモリに自動で割り当ててくれず困っています。

FPGA(Terasic DE2-115, Altera Cycron IV搭載)に、小型のプロセッサ(Navre AVR clone)を
複数個載せてようとしており、
そのプログラムとSRAMをFPGA内のブロックメモリ(M9K memory)に割り当てようと考えています。

小型プロセッサの処理はすべて同じなので、実行するプログラムも同じです。
なので、トップモジュールで小型プロセッサを任意の個数インスタンス化しようと
したのですが、Megafunctionで作成したブロックメモリによるRAM・ROMを組み込んだモジュールを
複数インスタンス化しても大丈夫なのか調べたけど解らず。
また、将来他のデバイスに乗り換えても変更が少なくなるようにしたかったため、
Verilogによる、RAM・ROMの実装を行おうと試行錯誤しています。
(この方針自体が間違っていれば指摘お願いします)

RAMに関しては、コンパイル後QuartusIIのTchnorogy Map Viewerで、
M9Kメモリブロックに割り当てられたのを確認できたのですが、
ROMがどうしてもロジックユニットの組み合わせで合成されてしまいます。

書いてみたソースコードは以下の2パターンです。
1.入力なしRAMに初期値としてプログラムデータを$readmemhで読み取り
http://pastebin.com/RkDStXQ4
2.case文によるROM実装(http://www.altera.co.jp/literature/hb/qts/qts_qii51007_j.pdfの推奨構文とほぼ同じ)
http://pastebin.com/GvPztFmN

推奨構文にはない信号CEが有りますが、取り除いても同様にロジックユニットで合成されます。
何が原因でブロックメモリに割り当てがされないのでしょうか?
606577:2013/11/05(火) 23:55:37.19 ID:UeN0W5KX
597,599>>
ごもっともです。
画像処理IPについて大雑把な比較を伺いたくて
このような質問に致しました。
分かり辛くてすいません。
代理店の方に聞いてみます。

598,602>>
ありがとうございます。
調べてみます。
ちなみにFPGAはVerilogで行う予定です。
使い勝手はC++と同じように書ければ
SystemCの方がよさげですね。

601>>
お金じゃぶじゃぶ無いです(TT)
とりあえずの目標は開発品の処理、評価の手法を
一通り解説できるようになる事なので、
IPの外注は開発の展開次第ですね。
607774ワット発電中さん:2013/11/06(水) 00:32:29.42 ID:Kwkirhkt
終端→しゅうはし→終橋
の可能性も
608774ワット発電中さん:2013/11/06(水) 01:18:53.50 ID:i/S0Bb9U
>>605
推論が誤爆する場合はさっさとウィザードでIP化した方が楽
移植性を考えるならラッパ被せればいい
609774ワット発電中さん:2013/11/06(水) 01:27:26.54 ID:Dy3fD7nu
>>605
ROMの内容が簡単だから組み合わせ回路で作った方がメモリ使うより良いと判断されてるんじゃね?
合成ログになんかメッセージない
610774ワット発電中さん:2013/11/06(水) 06:26:37.66 ID:+uSHQbTh
>>607
!
611774ワット発電中さん:2013/11/06(水) 10:20:27.03 ID:eDcl2S80
>>605
Quartus II 13.01 webのSingle Port ROMのテンプレートでちょっと試したけどブロックメモリに割当てられたよ
メニューから下の順番でたどってけばテンプレートがあるから比べてみれば?

EDIT -> Insert Template -> Verilog HDL -> Full Designs -> RAMs and ROMs -> Single Port ROM
612605:2013/11/06(水) 19:13:30.05 ID:KikiAs6H
>>608
やはり用意されているものを使ったほうが確実ですか。
シミュレータでの動作確認でメモリの初期値の設定が必要かもしれませんが、
最終手段として考えています。

>>609
メモリの内容を2Kbyteほどに増やしてみましたが、変化ありませんでした。
ログには、
RAM logic "rom_with_readmem:rom|mem_rtl_0" is uninferred due to asynchronous read logic
となって、推論がされていないようです。
出力はレジスタで出しているので、大丈夫なはずなのですが...

>>611
こんな便利な機能があったんですね。
テンプレートで同様のROMを作成しましたが、改善されませんでした。


Googleで同様の現象がないか探したところ、公式フォーラムにありました。
http://www.alteraforum.com/forum/showthread.php?t=28242
英語が苦手なので間違って読み取っているかもしれませんが、
トップモジュールで、ROMの出力を信号で直接他のモジュールに接続すると、
推論が失敗してしまい、組み合わせ回路をはさむと改善するようです。(2ページ目に指摘あり)
実際、RAMモジュールの出力とスイッチ入力のアンドをとって
プロセッサモジュールに接続したところ、ブロックラムに推論が成功しました。
でも、この方法だとその場しのぎにしかなりませんね。
もっとスマートな方法があればいいのですが...
また、階層を分けるような(パーテション?)設定をすれば良いとの指摘もありますが、
使用しているQuartusがWeb Editionで機能制限の対象のため、パーティション設定は使用できません。
613774ワット発電中さん:2013/11/06(水) 21:04:31.49 ID:EdVn9Eg9
zedboard秋葉原か日本橋で買える所ってないでしょうか?
614774ワット発電中さん:2013/11/06(水) 21:08:42.85 ID:Hq8sbaTq
ん?Alteraの推論ってけっこうアホなのか?
615605:2013/11/06(水) 22:15:11.88 ID:KikiAs6H
解決しました。
原因は最適化のせいで、ROMモジュール=>プロセッサへのデータ信号配線が
省略(同じ階層のモジュールをまとめて最適化)されてしまったためでした。
公式フォーラムの内容のように、間に何か入れると信号が省略されないため、
推論がうまくいくようです。

間になにか入れなくても、とにかく信号を省略しないようにすればいいので、
公式ドキュメントの" Quartus II Integrated Synthesis"
http://www.altera.co.jp/literature/hb/qts/qts_qii51008.pdf
の"Keep Combinational Node/Implement as Output of Logic Cell"より
ROMからプロセッサへのデータ信号にkeep属性を付加するようにしました。
616774ワット発電中さん:2013/11/06(水) 22:19:27.33 ID:i/S0Bb9U
>>614
AもXも推論は誤爆が気になる
617774ワット発電中さん:2013/11/06(水) 22:54:32.67 ID:Hq8sbaTq
RAMとかROMの推論コケられると痛いから勘弁してほしいな。
618774ワット発電中さん:2013/11/06(水) 22:55:12.07 ID:Hq8sbaTq
まぁ、経験上、今のところXで誤爆はないが・・・
619774ワット発電中さん:2013/11/06(水) 23:30:49.52 ID:i/S0Bb9U
推論誤爆→無理矢理ロジックを作ろうとして合成時間爆発のコンボ
推論通るように書くってのが隔靴掻痒っぽいのでIP使うようになった

Xはデュアルポートかつバイト単位のライトイネーブルありで誤爆した覚えが
620774ワット発電中さん:2013/11/06(水) 23:34:25.19 ID:Hq8sbaTq
合成エンジン「あっ…(察し)」
621774ワット発電中さん:2013/11/06(水) 23:50:53.07 ID:zLX2ebML
XでもSP6なら正しく推論してくれるのにKTX7だと読み出しデータが全アドレス同じになるという現象に逢ったな。
エラーにならない上にロジックで辻褄合うように合成してくれたりもないんで余計に厄介。
ROMやRAMの表現はHDLの言語仕様自体に組み込まれるべきだよなぁ。

推論に頼らないとなると毎回ウィザードで生成させなきゃならなくてメンテナンス性悪い。
ウィザードの設定ミスって長時間のコンパイルの末エラーとか勘弁してほしい。
622774ワット発電中さん:2013/11/06(水) 23:53:55.38 ID:6wH3D74H
寺の小口デリバリは多少よくなった?
623774ワット発電中さん:2013/11/07(木) 02:58:36.67 ID:gjmyO+GJ
IPウィザードと推論の中間の方式でブロックRAMのプリミティブを呼ぶって方法を使ってる
ループで必要容量分のインスタンスを生成する

vivadoだとIPの生成がtclからできるとかで試してみたい
624774ワット発電中さん:2013/11/08(金) 00:51:34.37 ID:J//RtGoY
FPGAのIO電源の電圧って、規格間の中途半端な電圧でも使えるでしょうか?

たとえば、IO電源の電圧が3.3V系と2.5V系で使える素子を2.85V系で使うとか、
2.5V系と1.8V系で使える素子で2.2V系で使うとかです。
625774ワット発電中さん:2013/11/08(金) 03:54:39.82 ID:Ng/gcyIH
データシートには、何て書いてあったの?
626774ワット発電中さん:2013/11/08(金) 21:37:22.76 ID:oaVDAaSn
ロジックIC 閾値で勉強したらよろし
FPGA以前の話だから
場合によってはトレラントも
627774ワット発電中さん:2013/11/08(金) 22:50:39.87 ID:NERbszc6
FPGAのVCCIOの定格電圧と推奨動作電圧を確認
FPGAの出力端子のVoh min > 相手のICの入力端子のVih max
FPGAの出力端子のVol max < 相手のICの入力端子のVil min
FPGAの入力端子のVih max < 相手のICの出力端子のVoh min
FPGAの入力端子のVil min > 相手のICの出力端子のVol max
あとはお互い、出力が相手の入力の定格内に入れば取りあえず動くんじゃね
628624:2013/11/08(金) 23:37:22.92 ID:8TPUSw9N
すみません、文章が不明確でした。

閾値の話ではなくて、FPGAのVCCIOに供給する電源電圧として3.3Vや2.5Vでなく
2.85Vや2.2Vを使えるでしょうかという意味です。
勿論、2.85Vも2.2VもFPGAのVCCIOの絶対最大定格の範囲内ですが、
そんな電圧系の信号規格は無いので、データシートには直接的な記述はありません。

普通のCMOSロジックICであれば、対応している信号規格の間の中途半端な電源電圧でも
動作しますが、FPGAの場合も同様でしょうか。
629774ワット発電中さん:2013/11/09(土) 00:21:44.54 ID:I9VOjLs/
FPGA-AでできてもFPGA-Bでできるかわからないんじゃないかなー。
630774ワット発電中さん:2013/11/09(土) 10:36:50.45 ID:eUKTwoCS
>>628
具体的なデバイス名を出せ。
(コアほどシビアでないから、一般的にはOK)
保証が欲しいならメーカに聞け。
631774ワット発電中さん:2013/11/09(土) 15:00:51.78 ID:h7VzYMsF
>>628
2.85Vや2.2VはRecommended Operating Conditionsの範囲内ですか?
632774ワット発電中さん:2013/11/09(土) 16:16:01.70 ID:hk2LVD5u
1.6-1.9, 2.4-2.6, 3.2-3.5V とかとびとびでしか規定がないんだよな。

>628
物理的には動かない理由は特にないんだが、端子の設定がね...
LVTTL25 とか LVCMOS25 とか LVCMOS33 とかあるけど 2.2V じゃどれもマッチしないのは明らかで、
Vccio に 2.5V 与えても LVCMOS33設定のまんまで動いちゃうけど、そういうのと同じ話でしかないよね。
あとはもうメーカーに聞くしか。
633774ワット発電中さん:2013/11/10(日) 10:46:20.45 ID:jC436Yik
>>630
メーカは絶対にカタログスペックを超える動作の保証などは担保しない
634774ワット発電中さん:2013/11/10(日) 10:52:23.74 ID:DAa+1Eam
>>633
そうでもないぞ。
まあ、大口顧客であれば、だけど。
635774ワット発電中さん:2013/11/10(日) 12:57:49.86 ID:jC436Yik
>>634
それは選別とか、特注とか対価を払って依頼する場合だろうが。
しかも、販売しかやってない日本法人がラインナップにある現行製品に対し、
何の見返りもなくスペック外の保証なんかするかよ
636774ワット発電中さん:2013/11/10(日) 12:59:57.82 ID:jC436Yik
>>634
あるというなら具体的に、どういうスペックを保証したのか言ってみろ
637774ワット発電中さん:2013/11/10(日) 13:23:45.05 ID:DAa+1Eam
>>636
ホビーストにゃわからんだろ。
つっかかってくんな馬鹿が。
638774ワット発電中さん:2013/11/10(日) 18:19:07.96 ID:zS9+T/4d
>>637
普通におかしな話だろう。何のためのカタログスペックだよ。>>635みたいな話なら理解できるが、そもそもそんな危なっかしいもの製品に使おうとする事自体が信じられん。大口なら尚更だ。リスキーすぎる。
639774ワット発電中さん:2013/11/10(日) 19:07:40.66 ID:y5AUrpEC
> ホビーストにゃわからんだろ。
> つっかかってくんな馬鹿が。

典型的な、お馬鹿プロの発言。
相手するだけ時間の無駄。
640774ワット発電中さん:2013/11/10(日) 19:17:21.59 ID:0IPoAG0C
悪口にはコンプレックスが出ますね
641774ワット発電中さん:2013/11/10(日) 19:33:12.94 ID:xNI2n+Gm
中途半端な電圧がそんなにたくさん必要なのか?
素直に外でレベル変換すれば?

電圧低く使うと速度守れないからメーカーは保証やらなそうだな
642774ワット発電中さん:2013/11/10(日) 20:30:55.67 ID:c5d4wXhb
東京エレクトロンのボードはやたらレベルシフタ使ってるイメージ
643774ワット発電中さん:2013/11/10(日) 20:31:07.56 ID:DAa+1Eam
>>638
対価はたくさん買ってくれること。大口って意味わからんかったか?

カタログスペックってw
カタログに書いてないことはビタ一文対応しませんって宣言してんのかよ。
契約次第だろ、そんなもん。

今回の件は別にオーバースペックで使う話でもないし、
購入数量によっては十分対応可能な範囲だろ。
644774ワット発電中さん:2013/11/10(日) 20:58:38.77 ID:W8w2X9Pn
月/100万個で一年くらい継続購入するような大口顧客であれば、多少の無理はきくよ。
もともと、記載してるスペックには余裕があるからな
もちろん、実力の範囲内で 実動作上でも問題を起こす可能性が無くい事、 が条件だが。

月/10万個程度の顧客だと微妙、俺なら丁重にお断りしろと言う。
645774ワット発電中さん:2013/11/10(日) 21:32:20.22 ID:iyp4Ti3n
ド玄人さんは具体的なことは絶対に書きません
646774ワット発電中さん:2013/11/10(日) 21:40:03.62 ID:z8hjHh+S
「『ド素人』連呼さん」の名前かww>ド玄人さん
647774ワット発電中さん:2013/11/10(日) 22:07:25.46 ID:UpyjMycN
その筋の人
648774ワット発電中さん:2013/11/10(日) 23:58:26.28 ID:feYo3r40
惜しい人材を失った
649774ワット発電中さん:2013/11/11(月) 05:25:08.44 ID:XqPNmcwy
>>643
>契約次第だろ、そんなもん。

>>635
>それは選別とか、特注とか対価を払って依頼する場合だろうが。

馬鹿には対価を払って依頼するって意味が理解できないのか?文盲
ポート電圧などは動作範囲がきっちりカタログに記載されてるはずだ。
その範囲を逸脱した動作を保証させるのは特注そのものなんだよ馬鹿たれ。
仕事したことないのか常識ないゴミ。
650774ワット発電中さん:2013/11/11(月) 05:28:44.04 ID:XqPNmcwy
>>ID:DAa+1Eam

>>636にはよ答えな
対価も払わずどういうカタログ非記載のスペックをチップメーカに保証させたのか。
逃げるなペテン野郎
651774ワット発電中さん:2013/11/11(月) 08:17:32.54 ID:n3QRxm1i
ん?動作範囲を逸脱した動作を保証するような話だっけ?
652774ワット発電中さん:2013/11/11(月) 09:21:48.20 ID:5fWk6DCO
スペック外保証なんてよくやる話だよな
小口や個人レベルじゃ無理なんだろうけど
別に対価なんて払わないし
できる?って聞いてみて、一応できるよ、マージンは減るけどね
って言われる
653774ワット発電中さん:2013/11/11(月) 09:47:27.05 ID:hrDP52sf
それって保証っていうのか?
654774ワット発電中さん:2013/11/11(月) 10:46:43.64 ID:rrTcOTh+
相手すんな
655774ワット発電中さん:2013/11/11(月) 14:39:51.12 ID:r2GHX4R0
一筆貰わなきゃ保証とは言えない
動かない時の解析やらもしてくれる旨な
656774ワット発電中さん:2013/11/11(月) 15:32:14.87 ID:EbynILWI
>>655
動かなきゃ自分で解析しろよ、ド素人。
657774ワット発電中さん:2013/11/11(月) 16:01:54.73 ID:p2wvdFe8
語るに落ちてるんですが、それは
658774ワット発電中さん:2013/11/11(月) 16:40:33.68 ID:rrTcOTh+
ド玄人君は今日も元気w
659774ワット発電中さん:2013/11/11(月) 19:14:48.93 ID:27Nvg8I9
ここで言う解析とは製品を動くようにしろでは無いのですが、それは
660774ワット発電中さん:2013/11/11(月) 20:27:14.93 ID:Mxm/vGFJ
ID:jC436Yik
やれやれ、また噛み付き男の登場かよ。
661774ワット発電中さん:2013/11/11(月) 20:29:47.10 ID:Mxm/vGFJ
>>642
それ、Virtex-6じゃないの?
あれは3.3VがNGだし
662774ワット発電中さん:2013/11/11(月) 20:31:38.31 ID:Mxm/vGFJ
>>645
そりゃそうさ、守秘義務があるからね。
663774ワット発電中さん:2013/11/11(月) 21:12:16.55 ID:O8JRYjVC
xilinx、試しに使ってみたら FF:30%程度の使用率に対してLUTsが70%とか
回路の内容によりけりだろうけど、Alteraと比べるてULTsとFFの比率がいまいちだな。
664774ワット発電中さん:2013/11/11(月) 21:55:10.72 ID:TqGneoXd
Spartan-3の頃の記憶だと、Alteraよりも回路を押し込めるのが難しかった気がする。
使用率100%近くなると無理やり迂回したルートに配置配線されて、タイミング満足しないことが多かった。
多分SLICEに複数のFF、LUTがある構造のためだろうなと勝手に思ってる。

最近はそこまでギリギリの設計してないからSpartan-6とか分からんけど。
665774ワット発電中さん:2013/11/11(月) 22:03:43.61 ID:6tbURkFg
月/100万個FPGAを購入する顧客ってどれ位いるんだ?
666774ワット発電中さん:2013/11/11(月) 22:07:13.45 ID:WpWItjjC
>>663
同一RTLでアルテラだとどうなるの?
667774ワット発電中さん:2013/11/11(月) 22:21:45.75 ID:n3QRxm1i
噛み付き男わろたw
668774ワット発電中さん:2013/11/11(月) 22:28:46.30 ID:OlTSu4g5
月100万個とかASICにしそうだ
669774ワット発電中さん:2013/11/11(月) 22:40:34.80 ID:5MRFPCMQ
ちょっと動作保証電圧や温度を超えるくらいなら大量購入とバーターで保証してもらえることもあるよ。
型番ちょっと変わるけど。
670774ワット発電中さん:2013/11/11(月) 22:41:29.81 ID:6tbURkFg
>>668
普通はそうするよな。
日本に月100万個のFPGAを使うユーザー、それも1年ぐらい継続購入、いるのかな
671774ワット発電中さん:2013/11/11(月) 22:53:37.49 ID:Bu/gG7/h
あんまり追い詰めてやるなよ。
口先だけのチキン玄人馬鹿なんだから
選別依頼どころかせいぜい秋月のメール便ユーザなんだからよプゲラ
672774ワット発電中さん:2013/11/11(月) 23:15:11.25 ID:yQ+vtMFb
え? 秋月がメール便はじめたんけ??
673774ワット発電中さん:2013/11/12(火) 08:48:15.46 ID:g8Ne4oDH
>>664
> 使用率100%近くなると無理やり迂回したルート
それはどこのFPGAでも同じでは?
674774ワット発電中さん:2013/11/12(火) 08:52:59.57 ID:g8Ne4oDH
>>670
自動車に1台2個載せれば、それくらいいくね。
衝突防止で画像処理が増えているから、既に載っているかも。
675774ワット発電中さん:2013/11/12(火) 09:02:12.56 ID:E9Dil2oC
>>671
どちらかと言えば、俺にはアンタがアホに見える。
いや、単に俺個人の感想だ。キにするなw
676774ワット発電中さん:2013/11/12(火) 09:59:40.75 ID:vu2VRyw8
秋月のLatticeの3000円のやつは使い物になるのだろうか
http://akizukidenshi.com/catalog/g/gM-06174/
あれで入門出きるのなら買いたい

できないのなら高いけど共立のDE0 nanoにする
明かに多機能そうだしALTERAだし
http://eleshop.jp/shop/g/gBAD121/
677774ワット発電中さん:2013/11/12(火) 10:13:40.10 ID:wlSUHTRA
入門ならIOがついてる無印DEの方が良くね
678774ワット発電中さん:2013/11/12(火) 10:40:01.67 ID:vu2VRyw8
>>677
やっぱりか
DE0 Nano
●12bit 8チャンネルA/Dコンバータ実装
●DE0と同じく、オンボードでUSB-Blasterを実装しているので、USBケーブルでPCと接続するだけでプログラミングが可能
●外部I/O用ピンヘッダ実装済
●32MB SDRAM、2kb I2C EEPROMも用意
●8個のLED、プッシュスイッチ、ディップスイッチ実装DE0 Nano

DE0
●SDカードソケット
●USBブラスタ回路(オンボード)
●ALTERA EPCS4シリーズ・シリアルEEPROM(コンフィグレーション用)
●プッシュボタン×3
●スライドスイッチ×10
●LED×10
●4桁7セグメントLED
●16×2行LCDインターフェース(LCDモジュールはオプション)
●50MHz水晶発振器
●VGA出力回路
●RS-232Cシリアルポート
●PS/2ポート
●40ピン拡張コネクタ×2(72個のI/Oが使用可能)
●付属品:アプリケーションDVD、ACアダプタ、USBケーブル、赤・黒電源用ケーブル、シリコンキャップ×4、ヘッダピン×2

確かに4000円高いけど雲泥の差だな。
普段ArduinoとかAVRだけなのでしょぼいスペックに慣れすぎていた
679774ワット発電中さん:2013/11/12(火) 10:41:06.88 ID:vZ0Pm/dj
ハード屋じゃないからよくそういう事情は知らないけど、大口になればなるほど
カタログスペックから逸脱した使い方された時のリスクは売る方も使う方も上がるよね?
それを全部選別品にすればコストも比例して増大する訳だし。大口だから納期とか価格の
便宜図るというのは理解出来る話だけど本来保証している以上の性能を保証するのを
大口になればなるほど許すというのは全く理解出来ないんだがどういうロジックな訳?
普段大口のお得意さんに選別品を少しだけ回すという話ならまだ理解出来るがそういう
意味だったのかな?こういう一般論ならNDAとか逃げ打たなくても説明出来るでしょ?
680774ワット発電中さん:2013/11/12(火) 10:45:05.98 ID:wlSUHTRA
>>678
明確にやりたいことが決まっていて何かに組み込むならnanoでもいいと思う
試しながら色々やりたいんだったらボタンとかLEDがある方が楽
681774ワット発電中さん:2013/11/12(火) 13:54:23.55 ID:mZhTKHWa
>>678
そこはもうちょっとがんばってCyclone V GX Starter Kitにすべき
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=830
682774ワット発電中さん:2013/11/12(火) 14:10:07.88 ID:vu2VRyw8
>>681
豪華絢爛なんだけど、初心者なのでとりあえずエルチカ出来ればいいので
秋月のLatticeの3000円でいいかなと思ってます

ここ見てると簡単そうだし
ttp://suz-avr.sblo.jp/article/53423886.html
683774ワット発電中さん:2013/11/12(火) 18:12:27.62 ID:w49DdCO/
3.3VのFPGA出力で、1.8VのICをたたく時って、どのようにしてますか?
・直列抵抗1本
・分圧で抵抗2本
・レベル変換IC

受け側ICの「絶対最大定格」では、Vdd+0.3V以下になっているんですが、
直列抵抗1本だと、FPGA出力=3.3Vの時、相手には、1.8+0.6V(ダイオード分)が
かかってしまいます。
壊れてしまうように思います。ビビっています。
684774ワット発電中さん:2013/11/12(火) 18:18:23.33 ID:6pztYdPc
レベルシフタ
可能ならFPGAのIO電圧を変更する
685774ワット発電中さん:2013/11/12(火) 18:55:59.13 ID:YG0UVKoc
>>682
>とりあえずエルチカ出来ればいい
これならDEなんて出す必要ないだろ
686774ワット発電中さん:2013/11/12(火) 19:14:44.87 ID:gmPj8+vp
>>683
信号速いレベル変換だな

3.3V起動してて、1.8Vが起動してないとき、
抵抗使ったら1.8VのICは入力電圧に耐える?
687774ワット発電中さん:2013/11/12(火) 19:21:37.51 ID:6PCascEr
どっち一つにしろよ。
1.8Vって低消費電力化の為じゃないの?
688774ワット発電中さん:2013/11/12(火) 19:24:53.19 ID:ojkRbPHT
高速IOでは?
689774ワット発電中さん:2013/11/12(火) 20:38:03.71 ID:AV2dtg1j
>>683
>・直列抵抗1本
ぜったいダメ

>・分圧で抵抗2本
線路のインピーダンスか高いから注意。
負荷側が近くで、とっても低速信号なら時々使う。

>・レベル変換IC
1.8V→3.3Vとか、双方向なら使う

・デジトラ
OCでも構わない箇所限定
反転出力の事

・SN74LVC125/126/541/16245 (TI製限定)
TIのは5Vトレラント機能あり。
Vcc 1.8Vに3.3V信号を繋いでもOK
レベルシフターより安い。
他のメーカのはよく知らない。ルネと東芝はダメだった気がする。

>・レベル変換IC
1.8V→3.3Vとか、双方向とか、他の手がダメなときに最後に考える。
690774ワット発電中さん:2013/11/12(火) 20:41:34.03 ID:AV2dtg1j
× 16245
○ 16244

244は向きが対向してるのがね
691774ワット発電中さん:2013/11/12(火) 21:52:55.34 ID:ZSyveh82
双方向のレベル変換なら小信号用FETでも作れる。
回路はレベルシフタをディスクリートで組んだ構成になる。
多分高速信号だと使えない。

1.8VのUARTとかI2Cとか無いわけじゃないし
低速&少信号数ならこの程度が低コストで使いやすい。
692774ワット発電中さん:2013/11/12(火) 22:23:51.58 ID:Rn+vKPYc
>>679
お前もしつこいなw

べつにカタログに書かれてるスペックが実際のチップのカツカツの
性能じゃない場合も多いことくらい分かるだろ。

そもそも、ここでの話は、オーバースペックの話じゃないし。
離散化して記載されたIO電圧の中間で使ってもかまいませんか?
って話だろ。
693774ワット発電中さん:2013/11/12(火) 22:28:44.27 ID:6pztYdPc
>>691
i2cのマニュアルとかにあるな
IC化したやつもリニアから出てる
694774ワット発電中さん:2013/11/13(水) 01:48:21.07 ID:YaTGDw9c
676ピンや484ピンのBGAを、4層基板でやり切ることはできるでしょうか?
電圧の種類も多いし、4層ではキツイでしょうか?
695774ワット発電中さん:2013/11/13(水) 01:58:03.36 ID:Jjauob2c
信号の数次第じゃね
696774ワット発電中さん:2013/11/13(水) 07:23:53.03 ID:4AaXenXL
>>692
途中から一般論になってたけどな
697774ワット発電中さん:2013/11/13(水) 07:33:44.95 ID:4s+w+BsV
>>692
電圧の使用範囲なんてカタログに明記されてるのにそれを逸脱して使うのはオーバースペックなんだよアホ
こいつ、物事を理解できない最低のアホだな
698774ワット発電中さん:2013/11/13(水) 07:40:37.87 ID:4s+w+BsV
>>692
特注なり、選別なり対価を払っわないかぎり、
メーカに問い合わせても、

カタログ記載の電圧範囲でお使いください

これがまともなメーカの回答だ。
つーかそういうことを問い合わせた経験もないんだろお前。
だから、ど素人と皆に笑われてることぐらい理解しろ。
やりたきゃ金払うか、ユーザ責任で勝手にやってくれというのはあったりまえのこと
んなこともわからないのか低脳が
699774ワット発電中さん:2013/11/13(水) 08:18:34.68 ID:cgYxPKYU
でたw
これが噛みつき男か。

腹の虫が治まらず必ず2回レスするのな。病気だろ、これ。
700774ワット発電中さん:2013/11/13(水) 08:18:46.37 ID:PBFS+rtp
>>694
外側から何列目まで使うかによる
ピン間配線1本で引くと、表面層は2列分、内層は1列分引ける
4層だと電源層・グランド層除いた表と裏の表面層だけになるので、
外側から4列目までしか引き出せない
ちなみに、256ピンのフルグリッドで内側の列まで使うと6層くらいになるよ
701774ワット発電中さん:2013/11/13(水) 08:47:59.32 ID:35nxORDE
>>694
電源はコアが中心に、I/Oが周辺だからなんとかなる。
三端子コンデンサ使えばパスコンも減らせられる。

>>700に付け加えると、BGAのボールピッチが1mmなら100μ幅で2本引き出せる。
0.8mmピッチなら穴埋めして80μ幅にすれば引き出せるけど、逆に高くなる。

インテルのCPUみたく、電源を上下から、信号を左右からとI/Oを半分捨てる覚悟があれば、左右は全層信号に割り当てる手もある。
702774ワット発電中さん:2013/11/16(土) 01:19:05.07 ID:gupriT3f
>三端子コンデンサ使えばパスコンも減らせられる。
三端子コンデンサって、何ですか?
Tの字のタテの部分にCがあって、入出力が左右にある3端子なら、
1個のコンデンサなので、個数は減らせないと思う
703774ワット発電中さん:2013/11/16(土) 07:38:10.20 ID:oiGlEI7r
alteraのDE0( EP3C16F484C6N )にquartusU13.1でprogrammer→「program configure」で書き込もうとしてるんですがチェックボックスにチェックができませんでした・・・
startボタンも灰色(disableな状態)です
http://www.hirokinakaharaoboe.net/pdf/DE0_tutorial_2.pdf
上記を参照しながらwktkしてたのですが31pで詰みました助けて
704774ワット発電中さん:2013/11/16(土) 09:33:16.20 ID:rOGpopmS
>>702
いや特性が優れてるから、従来のパスコン数個のところを1個で、とかね。
でも使い方が難しいんで、適当に使っても効果は得にくい感じ。
705774ワット発電中さん:2013/11/16(土) 10:07:38.27 ID:k6HYsEVt
ザイリンクスのマニュアルに具体例書いてあったような
706774ワット発電中さん:2013/11/16(土) 15:22:47.97 ID:MU4NhwEM
中国メーカー製品の基板を見ると驚愕する。

先日開けて見た産業用の画像処理装置の基板など
54MHzで動くCyclone2のパスコンがIO電源2個、コア電源2個の計4個だけ。
コンフィグROMも水晶発振器(54MHz)もパスコンは無し。DRAMには1個。
(容量は不明、サイズは全て1608)

これだけでも動くものなんだなと感心した。
707774ワット発電中さん:2013/11/16(土) 15:44:22.97 ID:idwAKdr0
>>703
データの変換した?ザイリンクスもだけど、コンフィグROMに書き込むときは、合成終わった後に書き込み用データを生成しないとダメよ
708774ワット発電中さん:2013/11/16(土) 16:19:56.67 ID:MI5MatZe
>>703
参考にしているマニュアルはコンフィグROMではなく、デバイスを直接コンフィグ
する方法です。(なので、書き込みファイルの拡張子は"sof"になっています。)

コンフィグROMに書き込むには707さんが書いているように、データを生成する
必要があります。(書き込みファイルの拡張子は"pof"です。)
709774ワット発電中さん:2013/11/16(土) 16:35:27.72 ID:MU4NhwEM
>>703
DE0でコンフィグROMに書き込むなら、基板上のスイッチをRUNからPROGに切り替えないといけない。
(書き込み後はまたRUNに戻す)
QuartusIIのプログラマもJTAGモードじゃなくてASモードを選ばないといけない。
そして他の人も言ってるように書き込むファイルは.sofじゃなくて.pof
710774ワット発電中さん:2013/11/16(土) 16:37:50.42 ID:CbyRgCfo
>>708
JTAGで認識して、デバイスは見えてる?
711774ワット発電中さん:2013/11/16(土) 16:41:01.79 ID:MU4NhwEM
>>703

あれ、他の人につられてコンフィグROMに書き込めないという話だと思い込んでしまったけど、
読み直してみると、もしかしてコンフィグROMじゃなくデバイスを直接コンフィグできないって話なの?
712774ワット発電中さん:2013/11/16(土) 21:36:08.57 ID:WIvKzFxx
DE0でFPGAの勉強中
PinPlanner使うのが面倒だったんでピンアサインしたqsfファイルを吐き出すエクセルマクロを作ってみた
これで端子名もひと目でわかるし、GPIO使うときとかも楽になりそう

http://dl6.getuploader.com/g/mcnc/351/pinassign_xlsm.jpg
http://dl6.getuploader.com/g/mcnc/352/qsf_view.jpg
713774ワット発電中さん:2013/11/16(土) 22:12:04.72 ID:MI5MatZe
>>703
もし710さんが書かれている様にFPGAを直接コンフィグしようとしているのでしたら
確認.1:Hardware SetupでUSB-Blasterが選ばれていますか?
    → 選ばれていないなら、Hardware Setupボタンを押して、選択して下さい。
      選べないなら、ドライバのインストールがちゃんと出来ているか再確認のこと
確認.2:USB-Blaster,JTAGが選ばれている状態で、ターゲットボードの電源を入れた
    状態で、Auto Detectボタンを押して、EP3C16F484が検出されますか?
    → 検出されないなら、ターゲットボードの設定がどこか間違っているか、
      接続に問題があるか、電源がちゃんと入っていないかも知れません。
714774ワット発電中さん:2013/11/17(日) 04:20:34.20 ID:0lAt52q3
さっき起きてquartusのバージョンがいかんのかとか思って13.0SP入れてやってたら今度は別のエラーがでて結局13.1を入れなおしました
もう一度同じ手順でやってみて気づいたんですが最初にやった時は、PinPlannerで「file」->「create/update」->「Create Top Level Design File」という無駄な手順を自分が勝ってにやってしまってたみたいで色々とおかしかったみたいです
Pin Plannerで配置→そのままQuartusのメイン画面でcompilation→Programmerで見るとすでにデバイスが配置され、.sofファイルもaddされておりProgram/configureにもチェックが入っておりました
DE0をRunにスイッチングした状態で起動→Programmerで「start」でLED点灯!!!点きましたー!
>>707-711,713
ありがとうございました!
715774ワット発電中さん:2013/11/18(月) 12:10:56.05 ID:lvQDqx9F
XilinxのISE
記述の行位置変えただけでも、Routerに影響でるのかぁ・・・
気が付かなかった。

いろいろ試して汚くなったファイルを、
目処がついた処で整理したら Metしなくなった・・・
えっ? てんで追ってったら上の結果だった。
716774ワット発電中さん:2013/11/18(月) 12:19:55.54 ID:DCWPBiAr
他の記述との相対的な位置が変われば変わるんじゃないの?
717774ワット発電中さん:2013/11/18(月) 19:53:46.54 ID:uaSR56Rh
モジュールのインスタンス名変えただけでも変わったことあるよ
718774ワット発電中さん:2013/11/18(月) 19:57:08.03 ID:CrFiCKgm
Quartusで回路図入力だけど、信号名変えただけ(繋がりは変えてない)で
タイミングエラー出たことあるよ
719774ワット発電中さん:2013/11/18(月) 20:48:25.33 ID:hA34fxNm
論理的な最適解じゃなくてヒューリスティックだからあり得るのでは
データベースに格納される順番が影響するとか
720774ワット発電中さん:2013/11/18(月) 21:31:38.40 ID:1WL0uola
シード変えると結果が変わるようなアルゴリズムで配置配線してるからしゃーない
721774ワット発電中さん:2013/11/18(月) 23:10:12.75 ID:sddEgdjT
疑似乱数使ってんの?
占いやってるようなもんだね。
722774ワット発電中さん:2013/11/19(火) 00:00:04.49 ID:QEc4xETf
あほみたいなツールだなw
723774ワット発電中さん:2013/11/19(火) 00:05:39.31 ID:wlrh6QFv
優先順位が同位だからリスト順てことか?
724774ワット発電中さん:2013/11/19(火) 00:09:57.04 ID:yMu6yXY+
近似解を出すのにgreedy法とか使ったら元の順序がもろに効いてくるよ
725774ワット発電中さん:2013/11/19(火) 01:51:23.58 ID:SGkMvk+K
最適解なんか計算量的に無理だから近似アルゴリズム使ってるんだろ
726774ワット発電中さん:2013/11/19(火) 07:17:43.46 ID:4EWEfO4/
最適にならないのに最適化って言葉を使うよね。
727774ワット発電中さん:2013/11/19(火) 08:06:54.58 ID:kX93V/Lt
GPUに計算させるやつとかないの?
728774ワット発電中さん:2013/11/19(火) 09:08:04.37 ID:yMu6yXY+
GPUに計算させたからって、何倍か速くなるだけで、
その程度じゃ実用規模の回路の最適化問題で厳密解が求まったりしないよ。
あと組み合わせ問題を解くのはそれほど得意じゃない。
729774ワット発電中さん:2013/11/19(火) 10:51:38.44 ID:eWC9wOEN
3割節約より正しいほうが有益
730774ワット発電中さん:2013/11/20(水) 08:01:05.02 ID:LCKe2xol
微妙に入らない時のために
時間をかけて頑張るモードを追加してほしい
731774ワット発電中さん:2013/11/20(水) 08:51:11.32 ID:gZB0c65G
>>730
あるじゃん
732774ワット発電中さん:2013/11/20(水) 22:48:07.94 ID:UQeW9suj
もう既出かな?
Stratix 10 FPGA: 想像を超える性能を実現
http://www.altera.co.jp/devices/fpga/stratix-fpgas/stratix10/stx10-index.jsp

いきなり10に飛んだ
733774ワット発電中さん:2013/11/20(水) 23:24:09.18 ID:f1T7gLgO
単精度10TFLOPSってほんまかいな
K40でも4TFLOPSでしょ
734774ワット発電中さん:2013/11/20(水) 23:43:51.23 ID:gZB0c65G
論理性能(出せるとは言ってない)
735774ワット発電中さん:2013/11/21(木) 08:26:59.02 ID:yKVD2KGs
Virtex12 とかになるんかいな?
736774ワット発電中さん:2013/11/21(木) 08:29:52.63 ID:L5iBW93J
>>733
ファウンドリーがインテルで14nmだから、集積度アップのお陰じゃね?
737774ワット発電中さん:2013/11/21(木) 09:10:22.47 ID:W0mABZXA
DSP何個積むんだろ
738774ワット発電中さん:2013/11/22(金) 08:02:53.19 ID:B95PQeOv
乗算器数分がDSP数。
時分割で見かけの数を増やすことも。
739774ワット発電中さん:2013/11/22(金) 11:56:40.43 ID:fbrgrrON
1サイクルごとにデータを投入できるとして、
1GHzクロックで10TFLOPS出すには、DSP(乗算器)が1万個いるね。
Virtex-7でも整数用とはいえ3600個積んでるから、
14nmのおかげで可能といえば可能か。
理論値とはいえ、すごい時代だ。
740774ワット発電中さん:2013/11/22(金) 12:20:10.45 ID:Ixw8Dwyu
そのストラティクス10とやらで俺のFPGAを論理合成してほしい。
741774ワット発電中さん:2013/11/22(金) 15:48:45.59 ID:srTOzriF
Stratix 10:
100GFLOPS/W の単精度浮動小数点効率
742774ワット発電中さん:2013/11/23(土) 00:43:42.13 ID:HLaSRwHP
10TFLOPSで走らせたら100W食うってか
743774ワット発電中さん:2013/11/23(土) 04:01:08.12 ID:HmrrXeem
>>742
デスクトップ用CPUの高性能クラスは、100〜200Wだから、だいたい計算合ってるんじゃないか。
FPGAにも温度検知と可変速ファンが必要な時代になってきたかね。
744774ワット発電中さん:2013/11/23(土) 11:22:07.32 ID:fxlYqaWw
>>743
今までも必要だったよ。FANを付けられない場合は規模を小さくするか、クロックをゲートして消費電力下げるのは茶飯事。
745774ワット発電中さん:2013/11/24(日) 11:53:45.48 ID:9BkhXHEZ
Number of Slice Registers 4,862 11,440 42%
Number of Slice LUTs 5,108 5,720 89%

この状態で、Xstの"Keep Hierarchy"を Soft -> No に変更すると、
セルが足りないとかでRouting出来なくなる。

"Soft" -> "No" だからRouterにとっては楽な方向だろ?
しかもまだ10%も余裕有るのに・・・
どうなってんだ? このツール
746774ワット発電中さん:2013/11/24(日) 12:00:45.07 ID:tb8nXcE8
逆に考えるんだ。

"Keep Hierarchy" No時代。

Xst「うう、入らないよ...」

>745「む、しょうがない、俺の言う通りに詰め込んでみい」

"Keep Hierarchy"をポチっとYesにする。

Xst「おー、入った〜、10%も余った〜、>745すごー」
747774ワット発電中さん:2013/11/25(月) 13:25:11.52 ID:0yBGGTCm
ちなみに、
Hierarchy
って、何て読むのでしょうか?
正式な発音ではなくて、日本語的な発音でいいんですが。
セミナーに行ったとき、講師の人の言い方が、それぞれ違ったので、
どんなふうに音に出せば良いかわからなくて。

ハイラルキー
ヒエラルキー
748774ワット発電中さん:2013/11/25(月) 14:44:21.54 ID:n9yU3UF8
俺は、ハイラルキー
749774ワット発電中さん:2013/11/25(月) 14:45:31.77 ID:n9yU3UF8
訂正、ハイアラーキー
750774ワット発電中さん:2013/11/25(月) 17:03:06.00 ID:ob4roVpU
英語が「ハイアラーキ」で日本語が「ヒエラルキー」だと思ってる
751774ワット発電中さん:2013/11/25(月) 18:11:38.86 ID:KnKePvsy
ドイツ語:ヒエラルヒー(キー)
英語:ハイアラーキ
日本語:階層
752774ワット発電中さん:2013/11/25(月) 18:34:11.52 ID:0yBGGTCm
ありがとうございます。
「...ラーキー」と延ばすんですね。
これからは自信を持って口に出そうと思います。

ありがとうございました。
753774ワット発電中さん:2013/11/25(月) 20:51:22.28 ID:21FpkRQM
P&amp;Rの読み方も教えてよ。
プレイスアンドルートだと思ってたら
youtubeでプレイスアンドラウトって
言ってた。
それと、パーって読んじゃダメなん?
754774ワット発電中さん:2013/11/25(月) 22:05:02.24 ID:GIwxgvHi
http://www.nicovideo.jp/watch/sm7462282

これって技術的難しい方なの?
755774ワット発電中さん:2013/11/25(月) 22:11:25.51 ID:kOXaJPtq
routeはルートともラウトとも発音するね。
アメリカだとラウトが多いかんじだけどルートで通じる。
route 66 はアメリカ人でもルートって歌ってるし。東西で差があるのかも。
PARとかの略語はわからなければ1文字ずつ発音しておけば問題なし。
756774ワット発電中さん:2013/11/25(月) 22:31:12.97 ID:nc309UAw
一瞬なんのスレか分からなかったw
757774ワット発電中さん:2013/11/26(火) 00:13:44.19 ID:o94srpK0
semiconductorだってセマイ...だったりするからな
notはナットぜだし
758774ワット発電中さん:2013/11/26(火) 03:39:38.89 ID:CLS8sBmC
>>753
>それと、パーって読んじゃダメなん?
それくらいは、いいと思う。

LiteとLight、
LongとWrong、
ListとWrist
の、発音ができない。
759774ワット発電中さん:2013/11/26(火) 08:31:14.66 ID:NrG9w2kp
Lite なんて造語でしか使わないし、
発音はLightと同じじゃないの?
760774ワット発電中さん:2013/11/26(火) 12:53:58.00 ID:+el4HMJv
いろんな国の人が集まる所に行ってみると良いよ。どうでも良くなるから。
共通語は英語になるんだけど、各語独特の発音になってて面白い。
Simulatorがどう聞いても「じむぉれぃたー」にしか聞こえないドイツの人とか
Informationが「いんふぉる”めーしょん」とRがやたら巻いてるラテン系とか
P&Rだって「ぱー」「ぴえんなー」「ぷれーすあんどるーと」50音では表記できないほどさまざま

で、そんなの気にする人なんかいない。聞きたいのは話の内容で発音じゃ無い。
もし逆に、その場で「英語の発音が良いですね」なんて言われたら落ち込むべきだよ
おまえの話は内容が無さ過ぎて発音ぐらいしか話題にできない、って事だから。
761774ワット発電中さん:2013/11/26(火) 13:12:16.86 ID:qDQyXc5Y
>おまえの話は内容が無さ過ぎて発音ぐらいしか話題にできない、って事だから。
面白かったです。
762774ワット発電中さん:2013/11/26(火) 14:52:37.64 ID:00iVIehA
DE2-115でFPGAの学習してるんですが(趣味です)、
付属CDより新しい最新のチュートリアルやらデモを入手しようと
公式サイトを見に行ったら、以下の2つが公開されてました。
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=165&No=502&PartNo=4 のCD-ROMより、
 DE2-115 CD-ROM (Cypress USB) v.3.0.0 2013-11-12
 DE2-115 CD-ROM (NXP USB) v.1.0.6 2012-07-21
違いが何かわからず、CypressとNXPどちらを使えばいいか迷っています。
どちらを使えばいいか知ってる方がいましたら助言おねがいしまする。
763774ワット発電中さん:2013/11/26(火) 17:46:01.77 ID:PqCbGIPS
>>762
なんかそんなことすら解決できなくて大丈夫かって気がするが
USBがCyなのかPhiなのか違いだと思うけど、
まぁ、付属のCDとその2つの中身を比べてみればどっちを使うべきか分る気がするけど
764774ワット発電中さん:2013/11/26(火) 19:25:29.47 ID:00iVIehA
>>763
確認したところ、指摘の通り載っているUSBコントローラの違いでした。
こんな簡単な事も気づけないとダメですね...
もう少し悩んでから質問するべきでした。
ありがとうございます。
765774ワット発電中さん:2013/11/27(水) 01:02:18.64 ID:s1pUJQ75
DE2-115 同じ型番で 売るなんて信じられない
初めて使うなら、フルからハイスピードで、問題ないけど
766774ワット発電中さん:2013/11/28(木) 23:51:27.19 ID:qvajhsrZ
Alteraいじってましたが、microsemi(旧アクテル)をやる必要が出てきました。
AやXについては、入門書で導入方法を扱ってたりしますが、
microsemiのを扱ってる書籍ご存知の方いらっしゃいますか???
767774ワット発電中さん:2013/11/28(木) 23:57:34.82 ID:gWK3J0sf
公式のチュートリアル
768774ワット発電中さん:2013/11/29(金) 00:22:06.21 ID:cNixQWzr
>>767
え?
769774ワット発電中さん:2013/11/29(金) 01:59:03.19 ID:nkBbA0Wr
770774ワット発電中さん:2013/11/29(金) 02:35:53.23 ID:cNixQWzr
まともに使えるようになるのに丸一か月はかかりそうだ。
こんな時間まで毎日仕事してるのに、できるかなあ。。。
771774ワット発電中さん:2013/11/29(金) 04:07:33.96 ID:oFHXwptK
>>770
なんでActelを?
772arisa ◆QaHT6HayjI :2013/11/29(金) 06:57:20.45 ID:cDuQ7aN4
>>766
ツール使ってれば、そのうちなれるよ。
3日でそれなりに使えるようになるでしょ。
書籍なんて無い、お前が書いてくれw
あと、
Alteraで100MHzオーダーで設計していたのが平気で20MHzまで下がっていく世界だから、
そこを踏み外して設計すると大変なことになる。
おかげで、sdcファイルの書き方を覚えたよ。
ASICの数千行はありえないけど、数百行は余裕であるかも。
足し算器を4ビットまで分解して、FF突っ込んだりしたし。
高速化させなければたぶんAlteraと感覚は変わらないのではないかと。
あと、合成のサイコロは割と頭悪いんで。

>>771
宇宙線とか福島原発の放射能とかいろいろあるんだよ。電子が動くのは怖いですねー
773774ワット発電中さん:2013/11/29(金) 07:22:57.86 ID:RLedRxOn
Altera: (* keep = 1 *)
Xilinx: (* keep = "true" *)

こんなので、わざわざ使い分けなければならないのかね?
774774ワット発電中さん:2013/11/29(金) 21:44:44.26 ID:EZm2yHqY
48ビットの除算をしようとしたけど、XILINXのIPって完全パイプラインじゃないのね。
ALTERAから移植してるところなのに設計見直しかぁ…
775774ワット発電中さん:2013/11/29(金) 22:27:22.87 ID:A3xhPSpE
複数個インスタンス化してスループット稼ぐとか
776774:2013/11/30(土) 00:02:22.25 ID:BCRouH9G
加えて言うと、除算器1個で乗算器9個も使ってる。
複数実装するとパイプライン除算を実現するだけで乗算器食いつぶしてしまうw
777774ワット発電中さん:2013/11/30(土) 00:20:42.59 ID:MQ2545AV
>>771
うーん、放射線。。。

>>772
貴重な情報ありがとうございます。
やっぱりActelのフラッシュFPGA、スピードが遅いんですか。
そこそこのゲート規模の回路で、80MHzくらいで動いてくれないと、これまでやってきたシステム設計が崩壊するんですが。。。
AlteraのStratix(ハイエンド品)に及ばないのは当然でしょうが、DE0とかに乗ってるCyclone(ローエンド品)程度の速度は出るでしょうか?

あと皆さんはActelについてどの様なイメージですか?
778arisa ◆QaHT6HayjI :2013/11/30(土) 01:43:24.04 ID:Rg+kKWbY
>>777
でねーよ。そこまでのスピード出すならFANOUTは2にして、1/3以内のロジックで組め。SPEEDグレードは最高だ。
おまえ、大甕だろ
779774ワット発電中さん:2013/11/30(土) 12:30:34.28 ID:efYNUGv2
>>777
> Actelについてどの様なイメージですか?
Cortex-M1をタダで提供してくれる、ありがたいFPGAベンダー
780774ワット発電中さん:2013/11/30(土) 14:51:24.20 ID:94oquKk5
自前の単純なパイプライン除算器を48÷48にして、PlanAheadでZynqに入れてみた。
Slice 1300個、FF4000個、LUT5000個ぐらい使ったよ。さすがに48/48はでかいw。
FMAX 150MHz、スループット1,レイテンシー50、ってレジスタの塊みたいな回路になった。
Zynq 7020の1割ぐらい占めるし、Xilinxもこりゃダメだと思ったんじゃ無いかな?
2bit/clockにすればFFは減るだろうけど、LUTはあまり変わらないよね?FMAXも相当落ちそうだし。
781774ワット発電中さん:2013/11/30(土) 15:55:56.69 ID:MQ2545AV
>>778
ありがとうございます。
・FANOUT2にしてみます。(初めての取り組みになりますが)
・1/3以内のロジックを目安にします。重要な目安ありがとうございます。
・SPEEDグレードは最高の物を使います。(量産品ではないので予算的には大丈夫と思います)

SDRAMのDQM0-3なんかはワード単位でしかアクセスしない予定なんで、1個のピンで制御したほうが良さそうですね。
レジスタ間のゲートが少なくなるよう、パイプラインに努めます。

他に何かありますか?
IOピンは400ピンくらい使います。
782774ワット発電中さん:2013/11/30(土) 16:06:56.68 ID:MQ2545AV
補足ですが、「そこそこのゲート規模」と書きましたが、
Alteraで普通にロジックを書いても1万LE程度の規模だと思います。
783774ワット発電中さん:2013/12/01(日) 05:19:42.05 ID:4I8a8H3s
Cyclone IV: C3.0-V LVCMOS / 3.3-V LVCMOS
微妙に違うんだけど、3.0V なんて規格あったけ?
784774ワット発電中さん:2013/12/01(日) 09:25:00.65 ID:xH8ajCqi
そもそも 3.3V CMOSの規格書って、あったっけ?
これもただの業界標準なだけのはず。
785774ワット発電中さん:2013/12/01(日) 15:29:34.87 ID:Ko+Ys+Kb
LVCMOSはJEDECの規格でしょ
786774ワット発電中さん:2013/12/01(日) 17:05:06.87 ID:lKsabVVu
JEDEC Standard JESD8C.01, Interface Standard for Nominal 3 V/3.3 V Supply Digital Integrated Circuits
というのがあるらしい。
787774ワット発電中さん:2013/12/01(日) 18:11:47.26 ID:ZYFs2fi7
>>785
おぅ、しらんかったorz
788774ワット発電中さん:2013/12/02(月) 00:58:01.08 ID:MMzgAvTz
今まではユニバーサル基板工作オンリーだったのですが、
今回業者に頼んで、初めてプリント基板を製作します。
しかもいきなりSPARTAN6の676ピンを使おうと思っています。
変更のきかないプリント基板で、BGAが1発で動くかとても心配です。
何かアドバイスとか失敗談とかがありましたら、教えてくださいますか。
789774ワット発電中さん:2013/12/02(月) 03:25:00.36 ID:tH29macS
温度プロファイル取らないでいたら歩留まりがすごいことになったとか。>失敗談
790774ワット発電中さん:2013/12/02(月) 08:30:46.29 ID:WkbUBs6X
>>788
世界が違うからいきなりは…。
作ったユニバーサルのビン数は?
作ろうとしている基盤のビン数は?
ステップ踏むべき。
791774ワット発電中さん:2013/12/02(月) 08:40:38.19 ID:WZQeycaI
BGAを自分で半だ付け?
792774ワット発電中さん:2013/12/02(月) 09:20:01.44 ID:CFiJuL6l
>>788
無理して6層とかにするな。
10層くらいが無難
793774ワット発電中さん:2013/12/02(月) 11:16:27.16 ID:o6cGgtBH
内層のVcoreの引き回し。先に考えておこう。

>>791
流石に実装も頼むだろう
794774ワット発電中さん:2013/12/02(月) 19:18:03.63 ID:R2t6cNsd
専用線を、使ってないのも含めてすべて引き出しておくとか。
空きピン処理間違えたときに何も出来なくなるのはつらいからな。

まぁ間違えないのが一番良いんだけどね。
795774ワット発電中さん:2013/12/02(月) 23:18:56.46 ID:/ohJal4e
とにかくピン番の確認を何度もした方がいい。
QFPやSOPのように「足を跳ねる」ということができないので、
GNDと接続されてたとかで、基板はパーになる。

しかしお金と勇気のある人だ。
796774ワット発電中さん:2013/12/03(火) 08:16:18.64 ID:te/HOwF8
パターン設計は外注だと思うけど、回路図も含めて
設計してもらえばミスも少ないよ。
FPGAの経験のあるところに任せたら?
797774ワット発電中さん:2013/12/03(火) 08:40:52.06 ID:gK31LK15
>>795
> しかしお金と勇気のある人だ。
そうだな。失敗間違い無しだな。
798774ワット発電中さん:2013/12/03(火) 08:41:37.58 ID:1g0Znumo
> DE0&DE0-nano用拡張ボードを発売します!
> 11月上旬発売予定で準備中です。いましばらくお待ちください。

待ってたんだけど出てこないな。
中止になったのか?
799774ワット発電中さん:2013/12/03(火) 12:14:23.55 ID:kFnrVrWD
Terasicの新しい学習・評価ボードがそろそろ来るな
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=816
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=830

SoCKitはCortex-A9搭載でアルテラ版Zedboardポジションだし
C5Gは77,000LE.値段はDE0の倍するけど新定番になるかな
800774ワット発電中さん:2013/12/03(火) 13:43:07.16 ID:1g0Znumo
P0150:21,644、 digikeyにもきてるな

待ってても、来そうも無いからこっちにしようかな
801774ワット発電中さん:2013/12/03(火) 13:44:52.38 ID:eAO2+TET
>>799
前から気になってたけど
ほとんどおきまりのことしかしないCPUボードならまだしも、
こういう余計なデバイス満載のFPGAの学習ボード買って何すんの?
こういうの買って身につくのはSignalTapとか
Chipsopeつかった実機のデバッグ手法で、
学習という意味では
HDL使った設計手法とテストベンチの書き方が肝要で、
ほとんどPCだけで事足りるだろ。
こういう余計なデバイス満載のFPGAボードいったい何してるのか聞きたい
802774ワット発電中さん:2013/12/03(火) 13:47:02.55 ID:eAO2+TET
あ、専門学校とかでテキスト見ながらIPを部分的にこさえながら
カリキュラムこなしていく教材というならわかる。
803774ワット発電中さん:2013/12/03(火) 14:11:11.34 ID:pMOL/gSR
拡張基板を自前で作っての実証実験。
なので拡張コネクタの無い市販の基板は必要ない。
拡張コネクタのピン数や差動信号の本数を気にして買う。
市販の基板に載ってるデバイスのうち、汎用的な物、EthやHDMIやUSBなどは
デバッグや実機でも使うことが多いので結構役に立つ。スイッチやLEDももちろん。
数が少ない場合は市販の基板+自前の拡張基板のまま使う。
量産が決まったらちゃんと基板を起こす。
最初から量産が決まってるなら市販の基板を使うことは無い。
804774ワット発電中さん:2013/12/03(火) 14:32:19.55 ID:1g0Znumo
>>801
残念ながら余計なデバイス満載のFPGA・ボードほうが国産のすっぴん・ボードよりも遥かに安いという現実がある
数量の差でしょうがないんだろうけどな・・・

俺はLEDとかSWとかA/Dとか全く使わないよ。
欲しいのは、>803とほぼ同じで Eth/HDMI/USB/RAM だな。
805774ワット発電中さん:2013/12/03(火) 16:00:37.65 ID:te/HOwF8
余計な外付け部品があると、
その部分もケアしないと
壊れそうでイヤなんだよなぁ。
まあ、壊れたって話は聞かないけど。
806774ワット発電中さん:2013/12/03(火) 17:35:10.25 ID:Ero2XKuu
>>805
何より使わないデバイスのために、使える信号線が減るのが一番嫌でしょ。
ジャンパあたりで切り離せるようになってんのかな?
でも、あんまよくないよなそういう引き回しは
807774ワット発電中さん:2013/12/03(火) 18:06:22.51 ID:te/HOwF8
ISE で合成かけてるんだけど、
Map や P&R でマルチスレッドにすると結果が変わるのかな?
デフォルトオプションがOFFになってる意味がわからない。
808774ワット発電中さん:2013/12/03(火) 18:52:51.95 ID:j/doElK9
再現性なくタイミングがメットしなかったり、エラーでとまったりした
ちゃんと検証はしてないけど安全のために切ってるな
809774ワット発電中さん:2013/12/03(火) 19:25:42.90 ID:+6Y1OEoG
数百万程度の低予算の開発とかだと評価ボードを使う場合がある
研究所向けの試作装置とかな
810774ワット発電中さん:2013/12/03(火) 19:53:24.63 ID:Ero2XKuu
terasic見たけど、ほしいのはDE0-nanoだけだな。
nanoだったら価格的にもサイズ的にも、ピンヘッダのインターフェースにしても、
治具にも使えるし、
そのまま組み込んで便利に使えそうだとおもた
811774ワット発電中さん:2013/12/03(火) 20:08:35.23 ID:OitSm6Ea
値段的に手が出ない
\10kぐらいなら、買って遊んでみたいんだが
812774ワット発電中さん:2013/12/04(水) 07:51:38.18 ID:sB/Z69/+
>>803
USB/RAMは欲しいし、HDMIもあっていいけど、
イーサ?それだとC5GじゃだめでSoCkitになるよな?
イーサはデバッグじゃなく、実機対応か?
CPUコアでネットワークて、一体何開発してんの?
そこらあたりの仕事となると、チーム組んでやるような大規模開発じゃないの?
しかも、実証実験というセンシティブかつ重要な部分を下請けに丸投げ?
発注元がこれ使って開発してるんで環境合わせたとかならまだわかるわ。
大規模開発の一部を請け負ったというならね。

拡張基板用の拡張コネクタってのも
そもそも、ピンヘッダさえあればいくらでも拡張基板なんて作れるように思うけど
何mも引き回すとかじゃなければ。
813774ワット発電中さん:2013/12/04(水) 08:34:53.90 ID:UqE1W0sV
>>812
> ピンヘッダさえあればいくらでも

ピンヘッダ? 20年前からやって来た人か?
今時、FMCかメザニンでないと使い物にならんよ。
814774ワット発電中さん:2013/12/04(水) 08:41:40.72 ID:LkQE1/Cn
>>813
>今時、FMCかメザニンでないと使い物にならんよ。
買ってきた基板を繋ぐだけならな。
今時のゆとりだね。
815774ワット発電中さん:2013/12/04(水) 09:40:39.74 ID:YP0T005R
高速信号をピンヘッダに通すの?
816774ワット発電中さん:2013/12/04(水) 09:57:40.84 ID:T5aVIZu9
高速だと、大概差動シリアルになっちゃうから 意外と動いてしまう

適当に手配線しても、800MHz程度のDVがで映ってしまうからな。
817774ワット発電中さん:2013/12/04(水) 11:51:00.09 ID:i9b8mgEd
ピンヘッダの認識とかEther使うようなアプリが大規模開発レベルとか
認識がかなり昔のまま止まってしまってるようですね。是非更新してください。

基板なんて道具なんだから使い方ぐらい自分で考えなよ。
使い方が思いつかないなら必要ないって事。
818774ワット発電中さん:2013/12/04(水) 14:05:12.29 ID:J/zYKNSj
>>813
>今時、FMCかメザニンでないと使い物にならんよ。

SoCKitもC5GもFMCじゃないから使い物にならんよなぁ ゲラゲラ
で nano はピンヘッダなんだよな。20年前じゃなくてもな
これalteraもいっちょかんでるから文句言ってやれよ。
819774ワット発電中さん:2013/12/04(水) 14:13:03.43 ID:J/zYKNSj
>>817
どーせたいしたことやってないのに実証実験とか、
使いもしないデバイス満載の教育ボード嬉嬉として喜んで買ってるのが
片腹痛いってせせら笑われてることにいーかげん気付よ痛い奴だなほんとに
どーせ学生だろ。卒論のテーマでなんか作ることになってんか?

>ピンヘッダの認識
L成分が効いてくるから製品なら短期間でデバイス壊すこともあるから危険だが、
短時間の実証実験ならほとんど問題ないぜwww
820774ワット発電中さん:2013/12/04(水) 14:25:55.14 ID:4SQpLHZT
なにいってんだこいつ
821774ワット発電中さん:2013/12/04(水) 15:12:07.25 ID:i9b8mgEd
市販基板の使い方が思いつかない、って言うから自分の例を出しただけなんだが…
他人を見下したいだけの人でしたか。
まぁ、自分の作ってる物なんて大した物じゃ無くていいや。
819はさぞかしすごい物を作ってるんでしょう。えらいえらい。

大した物じゃ無くても自分でなにを作るか決められるので楽しんでます。
822774ワット発電中さん:2013/12/04(水) 16:47:48.22 ID:18BVyo2C
もともと評価ボード(しかも学習向け)なんて、自分でBGAパッケージのデバイス単品手配して基板設計して…が
出来ないかコスト的に見合わない学生や新人エンジニア相手のもんだろ

それに百ドル程度の安いボードの周辺回路はVGAにオーディオ、SDカードやRS232Cとどれも割りと簡単に使えるものばかりだし
全部同時使用とはいかなくとも用途なんていくらでもあると思うんだがなあ
823774ワット発電中さん:2013/12/04(水) 17:09:39.71 ID:18BVyo2C
ちなみに俺は昨日C5GとSoCKitのリンク貼った者だが、FPGAと仕事とは関係がない一般人だし
プロから見れば大したことしない(できない)くせに豪華なボードを有難がって確かに滑稽に見えるかもしれん。

今は電子楽器、和音の出るシンセを設計中だけど
ソフトだけでは難しいネタが手軽に実現できて便利だと思ってる。
ただ、最近DE0のロジック規模がそろそろ手狭に感じてきたから新機種の話題でも、と思って貼っただけなのに
>>801ですぐ噛み付かれたもんだから
ちょっと反論したくなって書き込んでしまった。長文失礼
824774ワット発電中さん:2013/12/04(水) 19:36:51.70 ID:mFfSCCXB
基板起こしてやると工数が跳ね上がるから、できるだけ価格を抑えたいときは評価ボードを使うね
入札時のアドバンテージになるし
基本的にFPGAの設計と評価で済むからこっちのリスクも少なくて済むし
それか、FPGAがほとんど任意波形生成器程度の機能しかなくて(ただしデータレートがGbit単位)、その他に金をかけたい時とか

どれも量産しない一点物の特注品だけどな
825774ワット発電中さん:2013/12/04(水) 19:48:27.06 ID:D198KjhW
適材適所も理解できない奴が暴れてるな
826774ワット発電中さん:2013/12/04(水) 21:24:38.71 ID:LkQE1/Cn
>>824
評価ボード使うの?
Humandata あたりの基板つかったら?
827774ワット発電中さん:2013/12/04(水) 21:53:09.63 ID:JDUYvg4h
>>826
余程のことがない限り、FPGAベンダが出してるボードを使うよ
質問があるとき、ボードが別のメーカー製だとFPGAとボードで別にしなきゃならないから面倒だし
変な設計のボードに当たって、おかしなことになる確率を減らしたい(ベンダ製でそういうのがないとは言わないが)
ベンダさんとのお付き合いもありますし(いい感じに割り引いてくれる)
828774ワット発電中さん:2013/12/04(水) 21:54:55.50 ID:RWYPFGqF
humandataこそ高い割にはサポート悪くてロクなもんじゃなくね
829774ワット発電中さん:2013/12/04(水) 22:24:52.06 ID:LkQE1/Cn
値段はどうでもいいんだけどさ。
製品に使うには無駄に大きいと思うんだ。

実験用にはHAPSとかTEDのボード使って、デモ用にまとめるときはHDLのボード使ってる。
どのボードもサポートが必要になったことはないです。
830774ワット発電中さん:2013/12/04(水) 23:16:10.39 ID:LOZHIMLX
777
俺もmicrosemiのsf2使うことになった

80mhzは出る、150mhzくらいはいけるらしい、多分cyc3より、ちょい下な印象かな。

本でもブログでもいいんで、入門ページ作ってくれ、頼む
831774ワット発電中さん:2013/12/05(木) 00:19:11.02 ID:594p+/Ce
Humandataを不満だったって読んだじゃないか
832arisa ◆QaHT6HayjI :2013/12/05(木) 06:47:37.26 ID:AUWJyM3h
そのデバイスでの。80MHz〜150MHzでの設計手法を、同人誌にしてくれ。頼む。
開発時には、Xilinx,ALTERAに戻りたくて、派遣先で転職情報サイト見てたレベル。
いろいろ楽しいけど、もうやりたくない
掛け算器・メモリアクセス・32ビットの1クロック足し算でその周波数を超えるのは難しいかったなー。
それが楽しい人なら、やるべきだと思うけれど。
構造上仕方ないよね。
ECCは実装した方がいいぞ。そのデバイス選ぶんなら。
>>830
833774ワット発電中さん:2013/12/05(木) 09:10:14.62 ID:11BjS2o5
>>831
座布団一枚
834774ワット発電中さん:2013/12/05(木) 09:26:40.60 ID:iF9nl+zi
smartfusionってarm入ってるし面白そうとは思ったけどFPGA的には茨の道なんだなぁ
835774ワット発電中さん:2013/12/05(木) 09:27:45.89 ID:JK/a68un
高速大容量とは違うベクトルの製品だし
信頼性はいいと思う
836774ワット発電中さん:2013/12/05(木) 09:39:31.83 ID:iLMCaBiK
>>831
さぶっ
風邪引いて寝込んだ
837774ワット発電中さん:2013/12/05(木) 23:01:58.52 ID:dym71L4L
libero11.1いれてみたが、なんだこれ
おい、全然意味わかんないっす

あぁぁぁ、quartusが懐かしい
838arisa ◆QaHT6HayjI :2013/12/06(金) 08:02:44.56 ID:bWR4qTWJ
>>837
左からLEDのようなボタンを順に押していくだけじゃーの?2年ぐらい前なのでよく覚えてねーけど。
だいたい、ここできいても経験者がほとんどいない状況でまともな回答なんて得られるわけ無いんだから、請負元・派遣元に使い方ぐらいきいてコイよ

VisualEliteよりはまし。
839774ワット発電中さん:2013/12/06(金) 08:32:53.44 ID:R2HwTgTW
>>816
> 800MHz程度の
その程度は既に高速とは言わないよ。
840774ワット発電中さん:2013/12/06(金) 08:55:01.26 ID:JHt7bPud
明確な定義がある訳でもないのに、くだらない言葉遊びがよ。
841774ワット発電中さん:2013/12/06(金) 09:47:30.34 ID:bmCyENUV
>>838
VisualEliteなんてまだ使ってるところあるのか?
842774ワット発電中さん:2013/12/06(金) 17:29:09.54 ID:BFhnEU9d
>>777
アブネットにマニュアル発見
843774ワット発電中さん:2013/12/06(金) 21:08:39.90 ID:7IMvl3um
ID:J/zYKNSj
なんだ、いつもの噛み付き男か…
844774ワット発電中さん:2013/12/06(金) 22:08:38.96 ID:9/59/hKw
>837
さっき本屋さんのぞいてきたけど
Actel、FPGAマガジン2号に数ページだけ載ってた。
詳しい使い方までは書いてなくて概要だけ。
845774ワット発電中さん:2013/12/07(土) 08:34:27.39 ID:TOWPoBxo
>>844
sf2触りはじめたが、
こりゃ使い込めば、結構なパフォーマンスを引き出せそう、面白い。
なにより、m3がこんな簡単に使えるとは思わなかった。
846774ワット発電中さん:2013/12/07(土) 10:50:35.87 ID:/XdG7dTn
SF2?
847774ワット発電中さん:2013/12/07(土) 10:54:38.00 ID:Op+Aqw9W
>今は電子楽器、和音の出るシンセを設計中

クレグレも2の12乗根汚染を広げるようなものは作らんでくれ。
音律とかハーモニーとかチットは齧ってくれよな。

電子楽器ならではの機能が、瞬間調律変更だ。転調時にその時鳴ってる音の周波数を
同じにして、全体のスケールを変更するものだ。

ナントカ音律とか一杯あるけどあれは、鍵盤楽器の為であって、生楽器のオケは
純正律が基本だ。
848774ワット発電中さん:2013/12/07(土) 10:56:36.03 ID:RAQk/82m
こいつ完全な病気だなw
849774ワット発電中さん:2013/12/07(土) 13:43:06.41 ID:7zFJKyr2
>>846
Microsemi
850774ワット発電中さん:2013/12/07(土) 17:24:48.90 ID:uM2LpWCY
平均律で桶
851774ワット発電中さん:2013/12/07(土) 17:33:36.04 ID:SxQ2Cogb
>850
平均律でやる限り、玩具でしかない。
852774ワット発電中さん:2013/12/07(土) 17:53:30.71 ID:PmL4uiwg
>>851
おまえ、音楽の父バッハをディスてんのか!
853774ワット発電中さん:2013/12/07(土) 20:49:00.19 ID:QAt3aZAQ
>852
オマエバカだろ。

バッハは平均律なんかやってないよ。「うまくごまかした調律」とでもいうべきを
平均律と訳したのは音律シラナイ英語バカのチョンボだ。

2の十二乗根を世界にはびこらせた戦犯はヤマハだよ。
854774ワット発電中さん:2013/12/07(土) 23:14:29.46 ID:9S71D0zn
ピアノの調律をググると和音が綺麗になるように微妙に平均律からずらして、
しかも転調してもそれほど悪くならないようにとか、だましだましって感じ
だな。
855774ワット発電中さん:2013/12/08(日) 07:26:44.00 ID:CPir0Qls
>854
鍵盤楽器の宿命。
オルガンは沢山のパイプがあるからマダまし。
ピアノは曲中で音律変えるなんて絶対できないからね。
電子ピアノならそんなことできるのに、デジピ製造者はそーゆー製品は
造らない。生ぴが売れなくなるからね。「デジピは玩具」という立場が製造者にはオイシイ状況。
856774ワット発電中さん:2013/12/08(日) 09:50:11.13 ID:qrLFFjzA
バッハってバハ武藤のこと?
857774ワット発電中さん:2013/12/08(日) 10:10:19.73 ID:AxcgQiUK
>>855
ヤマハや河合はそうかもしれんが、
ローランドやカシオなら生ピとやらが売れなくてもいいのでは?
858774ワット発電中さん:2013/12/08(日) 11:41:21.81 ID:zoMsOzya
ローランドは音楽知らんモン。軽音楽やってる奴らがターゲット。
箔付けに協会用電子オルガン出してるけど売れてるか疑問。所詮チャチオルガン
カシオは玩具屋。
ドしてもデジピ買うならカシオと言うのもアリ。
スタインウエイフルコン買えないなら、ヤマハのハイブリッド。
ヤマハやカワイのデジピ買う連中が一番カワイソウ。
859774ワット発電中さん:2013/12/08(日) 11:59:42.33 ID:+f6DBGlD
もうその辺で、
860774ワット発電中さん:2013/12/08(日) 13:17:42.44 ID:HUzYe0tc
>>827
「変な設計のボードに当たって」の下り超同意。時間と信頼感を買う感じね。
でもヒューマンデータは良い会社だと思うよ。購入前に回路図も
アートワークもチェックできから適材適所で使えるし、供給も悪くない。
某国産ベンダは出来もサポートもクソ中のクソだった。
861774ワット発電中さん:2013/12/08(日) 13:24:32.86 ID:U+REey6l
それ、「某」とかつけずに実名を。
862774ワット発電中さん:2013/12/08(日) 13:46:49.76 ID:HUzYe0tc
実名書いたら訴えられてまうやん。無理無理。
社内では情報共有して要注意取引先にしたけどね。
客が購入製品のデバッグ延々させられた挙げ句に逆ギレされる
素敵な体験を是非、あなたも。
863774ワット発電中さん:2013/12/08(日) 13:54:12.56 ID:7lizV8Nq
>>862
日本の電機産業って衰退すべくして衰退したんだな・・・
864774ワット発電中さん:2013/12/08(日) 13:56:33.02 ID:3oeUHWKs
ヒューマンデータって提供されてる情報はかなりいいよね
わかってて使うにはいい基板だと思う
865774ワット発電中さん:2013/12/08(日) 16:52:32.55 ID:qrLFFjzA
老舗だしな。ただ
高い
866774ワット発電中さん:2013/12/08(日) 18:20:38.23 ID:ZnmD6/8O
>>865
産機用の少量多品種で高くなるだろうからな
高いのが嫌なら自分で設計作製してねスタンスだろう
867774ワット発電中さん:2013/12/08(日) 20:08:38.22 ID:+wmEzAS9
868774ワット発電中さん:2013/12/09(月) 12:41:34.29 ID:EvrJjzzf
869774ワット発電中さん:2013/12/09(月) 13:12:45.69 ID:rueIhm/v
870774ワット発電中さん:2013/12/09(月) 13:36:25.60 ID:d+56NuSQ
871774ワット発電中さん:2013/12/09(月) 17:01:12.25 ID:s+SGWwmR
872774ワット発電中さん:2013/12/09(月) 20:09:57.65 ID:9kegv+5E
873774ワット発電中さん:2013/12/09(月) 21:17:46.48 ID:1SqUGrCt
874774ワット発電中さん:2013/12/09(月) 21:57:32.73 ID:Uk1U0Jd5
875774ワット発電中さん:2013/12/09(月) 23:39:09.27 ID:9WzXxpzp
876774ワット発電中さん:2013/12/10(火) 02:06:12.41 ID:0MHr111b
あ、microsemiについて色々書いてくれてありがとう。
最初の質問主です。
未だシステム設計とアナログ実験をがりがりやってる段階で、
microsemiに取り組むのは年末辺りからかな。
まずはツールの使い方覚えて、内蔵モジュール(内蔵ROMとかプログラマブルディレイ)の使い方調べて、
じっくり取り組んでみたいと思う。

超基本的なこと聞きたいんだけど、例えば40MHzのクロック1つで、通常の非同期SRAMに40MHzでライトできるものなの?
nWEに180度位相をずらしたクロックをゲートを通して出力すれば出来そうだけど…。
普通のやり方が分からん。
先輩方お願い。
877arisa ◆QaHT6HayjI :2013/12/10(火) 07:20:43.77 ID:z1K/nEUU
>>876
おまえは、質問だけして、自分で考えようともしないから、
半ばから下の、派遣会社が向いていると思う。
878774ワット発電中さん:2013/12/10(火) 08:07:42.58 ID:fsaTmvxY
>>876
非同期のSRAMのnWEに位相ずらしたクロック信号?
ちょっと何言ってるか、意味分からん。
879774ワット発電中さん:2013/12/10(火) 09:44:32.09 ID:fAd9cT/p
>>876
無理ではないがやらない方が良い
80MHzのクロックでやれ
880774ワット発電中さん:2013/12/10(火) 10:08:25.78 ID:3ZrvGPlh
DCM載ってる奴をどうぞ
881774ワット発電中さん:2013/12/10(火) 11:06:58.54 ID:t6a46UvX
PLLとDLLどうつかいわければいいの?
882774ワット発電中さん:2013/12/10(火) 12:44:58.10 ID:6wyuRl8t
必要に応じて
883774ワット発電中さん:2013/12/10(火) 23:40:46.61 ID:iTHvFRfR
>>878
ありがとうございます。
やっぱり80MHz同期回路で制御するのがいいですか。

タイミング制約満たせなかったら40MHzでやってみます。
macrosemiのfpgaはprogramable delayとかいうのも入ってるようなんで、それで調整してみようかと。
sdramは40MHzのクロックで40MHzでアクセスできると思うので、
これで殆んどの回路は40MHzで動かせるはず。
884774ワット発電中さん:2013/12/11(水) 09:09:09.47 ID:cBSfXeZK
40mhz辞めた方が…
885774ワット発電中さん:2013/12/11(水) 09:17:51.88 ID:T1vSNU30
40_ヘルツ
886774ワット発電中さん:2013/12/11(水) 15:12:41.73 ID:Cuvki+h9
DE0-nano 使ってる人いるよね?
sdramのDRAM_CS_Nに繋がってるPIN_P6だけ やたらと遅延が大きいんだけど、 そういうもん?
もしそうなら、"GND"にスタックさせて逃げるけど なんか気になる。
887774ワット発電中さん:2013/12/11(水) 15:50:13.36 ID:7W8DnET+
居ないよ
888774ワット発電中さん:2013/12/11(水) 19:21:40.79 ID:TOtIiKln
>>886
VREFピンだから寄生容量が大きくて遅いみたいだねぇ
GND固定で問題ないならそれがベストかもしれん
889774ワット発電中さん:2013/12/11(水) 19:40:31.22 ID:eZSjL9ru
他のメモリとバスを共有しないならGNDでいいのか?
890774ワット発電中さん:2013/12/11(水) 19:48:13.79 ID:Cuvki+h9
>888
そういう事ですか・・・

Chip Plannerでみても配線長/段数等 隣のピンと大差無いし、なんでコイツだけ遅いのか不思議だった。
オンボードのSDRAMの制御ピンだけど、実使用上はGNDに落としても動作に支障無いハズなのでそれで逃げます。
どうもありがとう

だけど、他の人はどうしてんだろうね。
891774ワット発電中さん:2013/12/11(水) 20:06:04.74 ID:TOtIiKln
>>890
限界のクロックレートまで上げなければ問題ないからねぇ
100MHzとかなら普通にタイミング違反なく動くでしょう
892774ワット発電中さん:2013/12/11(水) 20:31:39.90 ID:gbZVzm/8
140MHzでも特に問題なく動いたぞ
893774ワット発電中さん:2013/12/11(水) 20:52:44.69 ID:cMdF3WAB
他の人はLチカさせて放置です
894774ワット発電中さん:2013/12/11(水) 20:57:56.79 ID:TOtIiKln
>>892
見た目問題なく動いていることと、タイミング違反なく動作していることは全く違うわけだが
895774ワット発電中さん:2013/12/11(水) 21:01:36.17 ID:nu/BugVP
問題なく動くことが大切だよね。
896774ワット発電中さん:2013/12/11(水) 21:16:03.96 ID:RsaCBFpC
>>894
タイミング違反も無いけど
897774ワット発電中さん:2013/12/11(水) 23:03:56.01 ID:cMdF3WAB
Xilinx、20nm FPGA製品群を発表 - 最大440万ロジックセル製品を提供
http://news.mynavi.jp/news/2013/12/11/320/index.html
898774ワット発電中さん:2013/12/12(木) 00:24:40.66 ID:zVeXboaT
ロジックセル数ってどういう定義?
いろんなサイトではロジックセル=LUTみたいな書き方してるけど、
LUT数とは合わないよね。
899774ワット発電中さん:2013/12/12(木) 00:35:49.87 ID:cAJDhk9F
ASIC換算じゃね
900774ワット発電中さん:2013/12/12(木) 00:58:54.49 ID:zVeXboaT
> 440万ロジックセル品(5000万ASICゲート相当)
って書いてあって、ますますわからんのよね
901774ワット発電中さん:2013/12/12(木) 01:17:46.56 ID:dosi4/7F
そんなものデバイス/メーカによって違うんじゃないの。

Alteraだったら1LE=1LUT+1FFがロジック・セル単位だったはず。
XilinxならSLICE=ロジック・セルと考えていいと思う。
シリーズによってSLICE内のLUT、FFの数は違ってる。
902774ワット発電中さん:2013/12/12(木) 01:35:21.74 ID:zVeXboaT
メーカーが違う場合は定義も当然違うからいいとして、
Xilinx内で、ロジックセルがこれこれからこれこれに増大とか比較されたら、
定義が必要でしょ?
XC7V2000T ロジックセル 1,954,560 スライス 305,400
なので、スライスとも大幅にちがう。
903774ワット発電中さん:2013/12/12(木) 05:53:11.50 ID:gmCTgW8w
quartusのtestbench template wirterでEDAツールの設定を何も指定してない時ってエラー出したほうがいいと思うんだけどなんで出さないんだろう
904arisa ◆QaHT6HayjI :2013/12/12(木) 06:40:17.50 ID:bAHHzloz
xilinxの定義より、まず相当回路を君で実際に動かしてそのロジックが1/3いないだったらいいんじゃないか適当設計がべすと
905774ワット発電中さん:2013/12/12(木) 08:28:06.48 ID:QPtzpbQX
>>886
20年前じゃあるまいし、いまどきピンヘッダIFの基板を買う馬鹿はいません。www
906774ワット発電中さん:2013/12/12(木) 08:28:45.16 ID:YovSgbQo
妙なコテ付きが増えたな。
ウザいわ
907774ワット発電中さん:2013/12/12(木) 08:41:17.36 ID:17L084yq
>>905
実際に販売されているから、そこまで否定は出来ないよ。

それはそうとFMCとメザニン。
OpenVPXやATCAのキャリアボードとドーターボードの接続にはFMCが普通。
FMCと聞いて評価ボードの連想は、「ちと視野が狭い」と思った。
908774ワット発電中さん:2013/12/12(木) 09:03:03.32 ID:QPtzpbQX
>>813
>ピンヘッダ? 20年前からやって来た人か?
>今時、FMCかメザニンでないと使い物にならんよ。

ってことです
909774ワット発電中さん:2013/12/12(木) 09:09:57.66 ID:lD/n538e
>>814
>今時、FMCかメザニンでないと使い物にならんよ。
買ってきた基板を繋ぐだけならな。
今時のゆとりだね。

ってことです
910774ワット発電中さん:2013/12/12(木) 09:13:09.38 ID:p6+5kjBz
>>902

http://japan.xilinx.com/support/documentation/user_guides/j_ug474_7Series_CLB.pdf
P.10 デバイス リソース

> デバイスの容量は、論理的に従来の 4 入力 LUT とフリップフロップ 1 つに相当するロジック セル
> 単位で計測されます。7 シリーズ FPGA の CLB には、6 入力 LUT、豊富なフリップフロップと
> ラッチ、キャリー ロジック、そして SLICEM 内に分散 RAM またはシフト レジスタを構築する機
> 能が備わり、実質的な容量が増加しています。ロジック セルと 6 入力 LUT の数の比率は 1.6:1 です

XC7V2000Tの6入力LUT数 1,221,600 x 1.6 = 1,954,560
911774ワット発電中さん:2013/12/12(木) 09:38:02.64 ID:zVeXboaT
>>910
ほほー、サンクス
の換算値なのか!
912774ワット発電中さん:2013/12/12(木) 21:17:10.99 ID:zbZ9i+P1
913774ワット発電中さん:2013/12/13(金) 01:10:34.27 ID:TjVdwdvX
必要に応じて使えよ
914774ワット発電中さん:2013/12/13(金) 01:42:44.35 ID:9ZFQrQfD
マイクロセミの開発環境libero11.2をインストールして少しいじってみた。
取りあえずブロック回路図で入力と出力をつないでコンパイルしてピンの設定いじってまたコンパイルしてみた。
sdcも書いてないからエラーは出てるみたいだけど、書き込みファイルは生成できたみたい。
使いこなせるようになるのにかなり時間かかりそうだけど、着実には進めていけそうな印象。
評価ボードはやく来ないかなあ
915774ワット発電中さん:2013/12/14(土) 06:14:50.60 ID:AwqPAMY5
すみませんDE0でセグの表示でうまくいかないので何か知ってたら教えていただきたいです

assign HEX0_D[6:0] = seg_out(SW[2:0]);

function seg_out;
 input [2:0]SW;

 case(SW[2:0])
  3'b000: seg_out = 7'b111_1111;
  3'b001: seg_out = 7'b111_1001;
  3'b010: seg_out = 7'b010_0100;
  3'b011: seg_out = 7'b100_1111;
  3'b100: seg_out = 7'b001_0001;
  3'b101: seg_out = 7'b001_0010;
  3'b110: seg_out = 7'b000_0010;
  3'b111: seg_out = 7'b101_1000;
 endcase
endfunction

3つのスイッチで0~7を表示しようと上記のコードで書き込んでみたのですが
7'b111_1110の状態で表示されてしまいます
assign HEX0_D[6:0] = 7'b111_1111;
と直接書くと0がキチンと表示されるのでcase文がいけないのでしょうか?
916774ワット発電中さん:2013/12/14(土) 06:20:23.93 ID:0oNTNZOg
function [6:0] seg_out;
917774ワット発電中さん:2013/12/14(土) 06:28:11.45 ID:AwqPAMY5
>>916
ありがとうございました
てかセグの表示めちゃくちゃだったw
918774ワット発電中さん:2013/12/14(土) 18:27:29.89 ID:9ik/Cxyo
Signal-Tapで見るとノイズがすごいのだが実機はとくに問題なく動いてる。
こんな場合は気にしないでいいのか?
919774ワット発電中さん:2013/12/14(土) 19:17:43.94 ID:H59xYDlz
状況による。
発症していない不具合の可能性は放置してかまわないかどうか、みたいなもん
920774ワット発電中さん:2013/12/17(火) 00:36:39.04 ID:9BDIJ/kO
>915
なんでお前はsegout= 7'b0000001 って書くんだ。
 segout=1;
って書けよ。アフォじゃないか?
segoutはバスサイズが決まってるのだからいちいち7'bなんて書く必要はない。
case分だって
0,1,2,3,4,5、、、、って書けよ。
3'bなんて無駄なことを書くのは良くないぞ。
921774ワット発電中さん:2013/12/17(火) 00:55:10.89 ID:yEZq1y/j
segout=1;
7seg outでこんな書き方する奴いるのか。馬鹿だからだろ
922arisa ◆QaHT6HayjI :2013/12/17(火) 03:59:34.76 ID:s4SDmHBD
>>920
VHDLで書いてこい。
923774ワット発電中さん:2013/12/17(火) 08:44:28.51 ID:DQYeEtO+
暗黙の型変換ほど、厄介なものは無い。
明示的に書く事が正道。
924774ワット発電中さん:2013/12/17(火) 10:42:13.01 ID:QoSbcLcq
型変換ではないのだが
925774ワット発電中さん:2013/12/17(火) 14:27:18.15 ID:a4jzs334
こんなのは再利用しようよ。
926774ワット発電中さん:2013/12/18(水) 10:27:29.02 ID:Rva0xKkR
ビットの幅なんて型みたいなもんだ。
つーか依存型があればきちんと型にできるんだが、Haskellでも依存型は標準化が進んでないんだよな...
927774ワット発電中さん:2013/12/18(水) 18:35:19.81 ID:misPlr+C
verilog のテストベンチで31ビット幅のフローティングの123はどうかくの?
928774ワット発電中さん:2013/12/18(水) 18:35:56.43 ID:misPlr+C
あ間違い32ビット幅だった。
929774ワット発電中さん:2013/12/18(水) 18:54:06.27 ID:iZYbo0OM
  ∧,,∧
 (;`・ω・)  。・゚・⌒) チャーハン作るよ!!
 /   o━ヽニニフ))
 しー-J

        アッ! 。・゚・
  ∧,,∧ て     。・゚・。・゚・
 (; ´゚ω゚)て   //
 /   o━ヽニニフ
 しー-J    彡

    ∧,,∧    ショボーン
   ( ´・ω・)
  c(,_U_U      ・゚・。・ ゚・。・゚・ 。・゚・
     ━ヽニニフ

            よしバレてない
       クルッ ∧,,∧  
         ミ(・ω・´ )つ サッサ
         c( U・ ゚U。彡・ 。・゚・ 
 ━ヽニニフ

 ∧,,∧   。・。゚・。 ゚・。゚・ できたよ〜
( ´・ω・)つ\・゚・ 。・゚・・/
930774ワット発電中さん:2013/12/18(水) 18:57:49.58 ID:misPlr+C
>929
 なんだよお前は、チョロチョロしやがって。消えろ。馬鹿。
931774ワット発電中さん:2013/12/18(水) 20:56:08.69 ID:6Y/Qh61V
>>929
ワロタw
932774ワット発電中さん:2013/12/18(水) 22:35:43.58 ID:5zhh4fTR
>>929
これの ・ ゚ はLEDのON/OFFだな
933774ワット発電中さん:2013/12/20(金) 05:02:10.60 ID:0iqDtrvX
Quartus V12 + modelsim V10の組み合わせでつかってるけど
GateLevelSimlationはできるのにRTLsimulationができないのは何故なのだろう。
TOPレベルをスケマチックで書いてるからだろうか?
simulationが遅くて参るのだがRTLsimulationの方が速いだろうか?
934774ワット発電中さん:2013/12/20(金) 06:51:38.22 ID:giGAWfDu
Modelsim 速度制限のあるやつだったり?
935774ワット発電中さん:2013/12/20(金) 07:51:58.35 ID:IiYMIORQ
Gateが遅いのはModelsimに限った事じゃない
回路内容によりけりだけど、1桁くらいは違って当然という認識だな。
936774ワット発電中さん:2013/12/20(金) 09:01:39.39 ID:HpITgIee
>>933
> TOPレベルをスケマチックで
未だにそんな奴がいるとは…
ここで質問してないで、さっさとHDLで書着直せ。

それとおまえ、テストベンチを知らないだろ?
勉強しとけ。
937774ワット発電中さん:2013/12/20(金) 09:07:44.09 ID:giGAWfDu
いやトップレベルだけはスケマ使うのは極めて普通だろ
938774ワット発電中さん:2013/12/20(金) 10:12:05.57 ID:qnazzD7p
もうやめてほしい。
90年代には終わった筈の風習。
939774ワット発電中さん:2013/12/20(金) 10:41:27.58 ID:Yz9EFm9a
回路を考えないでHDLをコーディングするのはスパゲティHDLの素
940774ワット発電中さん:2013/12/21(土) 05:43:30.01 ID:tfzvxrax
>935
やっぱりそうなの?


>938
 エッ? 90年代の風習なの? HDLだけよりは解りやすくて便利だけどね。

 もともとVHDLで書き始めたんだけど、Verilog の方がいいのかなと思って
VerilogとVHDLが混在している。というかAHDLというのが最新らしくてこっちの方が
言語的に洗練されているし定義が厳密ですっきりしているので今回はAHDLと
VHDL(昔のコード)とVerilogとが混在している。テストベンチはVerilogで書いた。

 modelsimを前回やった時は確か下層のレベルの信号が見えたように思うのだが
今回はtopしか見えない。これはすこし不便だね。言語を混在すると下層はみえないの
だろうか?

TOP層 回路(スケマチック)
 1層 バス接続などの上位回路(スケマチック)
 2層 機能ブロック(スケマチック)
 3層 演算など複雑な処理 AHDL+Velirog+Vhdl

2,3層で機能を実現、1層で接続、topでPINフィッティングという感じでやった。
上位層をVHDLでマッピングするのとか無茶苦茶めんどくさいし視覚的に解りにくい。
スケマチックならヒョイと出来る。
941774ワット発電中さん:2013/12/21(土) 08:29:07.00 ID:XNOq9w+S
今更AHDL…?
942774ワット発電中さん:2013/12/21(土) 08:43:10.88 ID:HbvTkucV
>>915
何で全部1で0が表示されるの?
943774ワット発電中さん:2013/12/21(土) 08:50:04.42 ID:znbV6Yw9
>>920
ネットで落としたPDFで勉強してるのでverilogの詳しいところは今のところ理解できてないです
>>942
自分でもなんでこうしたかわかりません
付けてみたら色々間違ってて笑いましたよw

>>940
ハードウェア開発にも参照モデルみたいなのってあるんでしょうか?
944774ワット発電中さん:2013/12/21(土) 10:24:51.75 ID:5iAtwhKE
AHDLってまだサポートしてんのか
懐かしすぎるだろ
945774ワット発電中さん:2013/12/21(土) 11:17:40.01 ID:QL1ueq+6
図面で変更来歴追ってくとかもはや不可能な時代なんだよ、
テキストで書いておけばdiffで用が済む、

頭の固いお上に説明するのにブロック図様の物が必要なら別途Visio かなんかで書くよ。
946774ワット発電中さん:2013/12/21(土) 11:53:50.34 ID:SiR6uj7q
>>940
そんなのなら、RTLでシミュレーション不可は当たり前。
>>937
そんなことしたら、SDRAM込みのシミュレーションが出来ん。
947774ワット発電中さん:2013/12/21(土) 20:41:29.47 ID:i6MNtP8s
>>945
管理という観点では同意。
948774ワット発電中さん:2013/12/21(土) 20:43:58.47 ID:tfzvxrax
>946
 やっぱりそうなのか

 どのみちSDRAMなんてシュミレーションできないでしょ。テストベンチでSDRAMを
書くのだから関係ない。
949774ワット発電中さん:2013/12/21(土) 20:44:32.79 ID:tfzvxrax
>944
えっ? でもAHDLの方がアドバンスHDLってぐらいだから新しいし第一性能が
月とスッポンほど違う。AHDLはかなり頭いい人が作ってると思う。プログラム
言語でいえばCみたいにスッキリしてる。
誰かがVHDLは糞、AHDLは極上カレーで似て非なるって書いてたけど何で使われないの
だろうね。

>945
なるほど。
 でも改訂はどうしても意味の説明が必要だし、図があったら説明が簡単なケース
も多いと思う。
950774ワット発電中さん:2013/12/21(土) 20:47:14.30 ID:tfzvxrax
>947
 管理という点でスケマチックの方が楽だと思うよ。直感的に構造が
解る。
951774ワット発電中さん:2013/12/21(土) 21:01:54.12 ID:nramgFnH
AHDLはAltera-HDLの間違いだろw
Altera以外に使えないから別メーカのデバイスに
同じ機能を実装するとなると記述し直しだもの。
碌なシミュレーションできないし。
そりゃ使わなくなるよ。
952774ワット発電中さん:2013/12/21(土) 21:11:22.85 ID:tfzvxrax
>951
 そういうことか。でもザイリンクスでも使えるよ。AHDL−>VHDL変換プログラム
があってかなりの精度で変換できる。自分は以前ザイリンクスやった時は
アルテラで開発してあとでVHDLに変換して最終シミュレーションをした。
変換に癖があるので書き方を工夫しておかないと読みずらいコードになるけどね。
953774ワット発電中さん:2013/12/21(土) 21:19:21.29 ID:ozAxg6jt
>>948
その認識は誤ってるな、マイクロンなどでは、ちゃんとシュミレーション・モデルを提供している。
例えば "mt48lc16m16a2.v" みたいな感じで。

自前でSDRAMのモデルをコーディングする事は当然可能だが、
その場合、自身の理解度によりけりだから、Simで動いても実際には動かないと言う事が多々ある。
更に怖いのは、例え動いていても規格違反だが たまたま動いてるってのが良くある。 <- んで、これが一番ん痛い

気が付いた時は、"既に多量の不良品を生産してしまった後" と言うことになるからな。
954774ワット発電中さん:2013/12/21(土) 21:21:46.75 ID:N3xyEQN0
>>951
Abel HDLじゃないの?
955774ワット発電中さん:2013/12/21(土) 21:28:17.80 ID:nramgFnH
>>952
確かに変換はクセがあるんだよなぁ…
昔やったことあるけど失敗したのはelseを書かない場合の動作。
あれはハマった。

1000行とかのコード書いたとして、
変換したら全部見直ししないとまともに使えないんじゃ
やってられない。
んなことする位なら最初から汎用的に使える言語で組む。

>>954
Abelにも似てるよね。
956774ワット発電中さん:2013/12/21(土) 23:08:17.49 ID:QL1ueq+6
図面で描いた所で、モジュールの端子から
ラベルがボンボン飛んでいるだけ、の図面には
ならないのかね?
957774ワット発電中さん:2013/12/22(日) 00:59:30.83 ID:/HPDTpPS
俺はFPGAめったにやらないが、全部verilogで書いて設計資料としてブロック図をワードで書いてるよ。
まあワードで書くのは勧められないが。
ワードのブロック図で計画を立てれば、あとはそれ通りコーディングするだけだからあまり考えずに済む。
958774ワット発電中さん:2013/12/22(日) 01:01:21.26 ID:/HPDTpPS
設計資料としてもちゃんと残る。
ちなみに字の高さ4pointでも印刷してちゃんと読める。
959774ワット発電中さん:2013/12/22(日) 01:26:52.61 ID:Bth1uR/E
>>957

Wordでブロック図って、図形の挿入で四角形とかちまちま描くの?

Excelの表とかVisioの図をOLEで埋め込みとか?

それにしても、Wordってもういまいち残念なソフトだよね。
印刷目的のA4紙フォーマットの仕様書の作成にはなんとか使えるけど、
画面上でみる設計文書書くには、イマイチ。

HTMLで書くのも面倒だし、なんか良い方法はないかね?
960774ワット発電中さん:2013/12/22(日) 01:49:36.46 ID:q9lV6vZz
Visioで書いてコピペで貼りつければいいだけだろ
そんな難しいことじゃない
961774ワット発電中さん:2013/12/22(日) 01:52:45.72 ID:2/06Dh5s
ブロック図書くならyEdとか向いてるんじゃね
962774ワット発電中さん:2013/12/22(日) 02:26:39.84 ID:/HPDTpPS
>>959
図形でちまちま書いてます。慣れるとサクサク書けます。
線が斜めったり、バぐったりするのが大変ですが。
100ページ超えるとバグりまくる気がします。
あるテキストボックスに文字を書くと別のテキストボックスにそれが表示されたりとか。
Visioで書いて貼るのがいいのかもしれませんが、
微修正したいときにわざわざ別のファイル開いてコピーして張り替えるのが面倒。
963774ワット発電中さん:2013/12/22(日) 03:29:04.83 ID:q9lV6vZz
Visioで書いて貼りつければワード上でVisioが起動されて微修正できる
964774ワット発電中さん:2013/12/22(日) 07:22:41.27 ID:rk00QkT+
>>953
Micronのシミュレーションモデルならタイミングエラーとかはwarning出してきたような?

>>940
そこまでシミュレータと相容れない環境で好き勝手やるなら、デバッグは実機でやった
方が早いだろw SignalTap使い倒せば、なんとか動くところまでは辿り着くんじゃない?
コーナーケースバグとかは出ないように毎日祈ればOK
965774ワット発電中さん:2013/12/22(日) 07:52:49.37 ID:RF8gUI3d
Visio一択だな。
最初はWordの図面で描いてたけど、
描きにくい&バグる、でやめた。
966774ワット発電中さん:2013/12/22(日) 08:10:09.70 ID:JuK0GZFZ
第一階層スケマで接続って、Qsysのこと?
967774ワット発電中さん:2013/12/22(日) 08:43:39.67 ID:58S1HtIf
>>965
とりあえずパワポもあるよ
968774ワット発電中さん:2013/12/22(日) 09:03:44.62 ID:U//NDtfv
スケマとHDLは繰り返す。
969774ワット発電中さん:2013/12/22(日) 09:24:30.61 ID:QBdtruEE
会社ではVisio、家(趣味)ではパワポ使ってるけど、パワポで図面を描くのはキツイ
amazonでOfficeのアカデミック版を(学生でなくとも)購入可能らしいので検討中
970774ワット発電中さん:2013/12/22(日) 09:46:06.00 ID:DafT+kMU
>>948
> どのみちSDRAMなんてシュミレーションできないでしょ。
うん? マイクロンのWebにチップは勿論DIMMのHDLモデルが置いてあるよ。
マイクロンに限らす、丁寧なベンダーはHDLモデルを提供してる。

さて、トップを回路図で書いたら基板レベルのシミュレーションが出来ねぇぞ。
971774ワット発電中さん:2013/12/22(日) 09:48:52.82 ID:DafT+kMU
>>953
おっと、既出だったか。
972774ワット発電中さん:2013/12/22(日) 10:27:38.71 ID:rc1QueJ2
>953
>971
そんなのがあるのか? ありがとう。
でもそれならスケマチックからでも同様に利用できるよ。そもそもそういうデリケートな
問題が発生するとすればゲートレベルでテストしないとだめでしょ。ゲートレベルなら
言語を混在してもテストできる。

TOPのスケマティックはVerilogに自動変換できるよ(多分あったような気がする)
ということは、RTLもできるのかな?
973774ワット発電中さん:2013/12/22(日) 11:06:40.34 ID:GhjFQ3XS
>  管理という点でスケマチックの方が楽だと思うよ。直感的に構造が解る。

直感の履歴や差分をどうやって取るつもりだろう、この人。
974774ワット発電中さん:2013/12/22(日) 11:36:17.83 ID:rc1QueJ2
直感は解る時に必要なのであって履歴には必要ないよ。WW
 差分を判断するのは何だろ? Aaa−−>BBBに変わったってところを
のこしておきたいだけだろ。
diff一発で差が解るというのは便利だよな。しかし大量に差分が出てくればかえって不便
なこともある。
 そもそもスケマティックでそんな細かいロジックは書かない。HDLで書く。
HDLの差分ならそうやって残せばいい。というかその時にdiffを取れはすむ。
上位のIFなどが変更になるなら「重大な変更」だからそれなりの説明が必要になる。
ならスケマチックの方が説明をつけやすいと思うよ。
それにスケマチックで書いてもテキスト変換は簡単にできるからDIFFは取れるさ。
975945:2013/12/22(日) 12:04:26.94 ID:eFvq4lbf
俺が書いた 「頭の固いお上」ってのが rc1QueJ2 とか tfzvxrax のような人たちなんだろうな。
976774ワット発電中さん:2013/12/22(日) 12:26:26.90 ID:Nse19MM/
少なくとも一人で設計してるのに言語が混在するのはまともではない
まあ人の話を聞かない人だから勝手にやってくれって感じかね
977774ワット発電中さん:2013/12/22(日) 12:35:58.76 ID:fnnEzeEy
静的コード解析とかやってるのだろうか
978774ワット発電中さん:2013/12/22(日) 14:56:25.62 ID:rc1QueJ2
>スケマとHDLは繰り返す。

スケマティックは最終的に使うのは単なるネットなんだからコンパイルとはあまり関係ない。
マッピングツールみたいなものだな。
マッピングは視覚的な方がはるかに見やすい。しかし論理回路のエディットはHDLの方が
便利なことが多い。
だから混在するのがいいのさ。原理的に親和性にはなんら問題ない。
979774ワット発電中さん:2013/12/22(日) 14:58:15.16 ID:rc1QueJ2
 言語混在が出来ないのはシステム境界の意識が低いからだろ。モジュール化すれが
混在は便利なだけで問題は何もない。
980774ワット発電中さん:2013/12/22(日) 15:03:01.77 ID:rc1QueJ2
>977
 そういうのはどの時点でやるかだよ。全部出来上がってからやる馬鹿いないだろ。
981774ワット発電中さん:2013/12/22(日) 15:34:11.95 ID:vjUCnI7J
>>979
メンテナンス性とか
単言語シミュレーターが使えないとか
シミュレーター以外にも検証ツールがかけられないとか
デメリットしかねーだろ
そもそも言語で回路実現性に違いがあるわけでもねーだろうが
982774ワット発電中さん:2013/12/22(日) 15:52:39.23 ID:RF8gUI3d
言語も2つ。
ベンダーも2つ。

ソフトの世界みたいにx86向けにCで書きゃぁ、ほとんどOKな世界になってほしい。
983774ワット発電中さん:2013/12/22(日) 17:12:32.23 ID:eFvq4lbf
スケマさんはConstraintの設定も直感的にやりたいからGUIでやるの?w
984774ワット発電中さん:2013/12/22(日) 17:39:52.03 ID:YE8CYMBw
FF間はゲート10段まで
985774ワット発電中さん:2013/12/22(日) 18:13:44.43 ID:rk00QkT+
個人でやってるプロジェクトの場合、フリーなコアを拾ってきたりすると
言語混在な状況は出来ちゃうね。
が、AHDLは無いわw 論外
986774ワット発電中さん:2013/12/22(日) 20:00:46.42 ID:vjUCnI7J
スケマさんの意見があまりにも個性的なのでまとめてみた
・TOPをスケマティックで書けば管理が簡単、図を別に書く必要がないから。
・スケマティックで差分を取りたい時はテキストに変換してDIFFをとれば良い。
・大量に差分が出てくればかえって不便である、スケマティック→テキスト変換の方が差分が少ない。
・AHDLはアドバンスHDLの略なので新しい言語である
・AHDLは他の言語と比べて"言語の性能"が違う
・AHDLでXilinxでも開発できる。アルテラで動かしてVHDLに変換してザイリンクスで動かせば良い。
・SDRAM込みだとRTLシミュレーションでは問題は見つからない。ゲートレベルでないと意味が無い。
・言語混在が出来ないのはシステム境界の意識が低いから。モジュール化すれば混在 は便利なだけで問題は何もない。
・ところでゲートレベルシミュレーションが遅くて参るのだが。&#xA0;
987774ワット発電中さん:2013/12/22(日) 20:59:28.33 ID:rc1QueJ2
>が、AHDLは無いわw 論外

 うんなことはない。チョッと使っただけだけど全然違うわ。秀逸。
VHDLがほんと駄目に見えてきた。
verilogのtaskみたいなのが自然にできる。(美しさは比較にならんけど)
それにtaskは基本的にテストベンチしか使えん。AHDLモジュールとタスクがシームレス
に動作する。まるでCで書いてるみたいに書ける。
988774ワット発電中さん:2013/12/22(日) 21:18:58.30 ID:huqCJxaH
図形入力の信奉者ってやたら入れ込んでるよな
989774ワット発電中さん:2013/12/22(日) 21:20:44.66 ID:+38Uapi8
>>959
> Wordってもういまいち残念なソフトだよね。
仕様書の書き方がおかしいんじゃない?

大方、
> Excelの表とかVisioの図をOLEで
から察するに、表と絵だけ書いて仕様書だと言ってるんじゃないの?

そうだな、公なUSB規格書がいいかな。
仕様書ってのは、殆どが文章で書くものなんだよ。

文章が書けない奴が、表と絵で誤魔化すのが常。
そんなのは俺は仕様書とは言わないな。

さて、その視点がズレていると「Wordってもういまいち」とのたまうと思うがどうよ?
990774ワット発電中さん:2013/12/22(日) 21:22:39.70 ID:rc1QueJ2
 図示できるかどうかが重要だよ。絵がかけないから文章で誤魔化すのが常WWW
991774ワット発電中さん:2013/12/22(日) 21:25:48.56 ID:huqCJxaH
こう言うバカがVEとかを生き長らえさせてるんだろうな
992774ワット発電中さん:2013/12/22(日) 21:27:44.27 ID:U//NDtfv
HDL者にチャレンジ精神はどこにあるのか?
993774ワット発電中さん:2013/12/22(日) 21:29:00.25 ID:rc1QueJ2
それを言うならVHDLをだろ。WWW まあVEも似たものどうしだが。
994774ワット発電中さん:2013/12/22(日) 21:30:57.44 ID:raD1R1Rg
typesaving verilogかわいいよTSV
995774ワット発電中さん:2013/12/22(日) 21:43:22.13 ID:ENbpMw0p
 
996774ワット発電中さん:2013/12/22(日) 21:57:25.43 ID:rk00QkT+
AHDLで書かれた下位モジュールがあるというだけでモジュールや機能ブロック単位の
RTLシミュレーション不能。そりゃシステムどころかモジュールの境界を意識するわ。

そんで最後にえいや!で合成かけて、ゲートレベルの全体シミュレーション1発でリリース?
動く方が怖いよ。
997774ワット発電中さん:2013/12/22(日) 22:20:10.11 ID:wAeS/Dmr
次スレ

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://uni.2ch.net/test/read.cgi/denki/1387718215/
998774ワット発電中さん:2013/12/22(日) 23:43:57.13 ID:uhvwX9JW
AHDLなんてMax+Plus Uまでやろ
999774ワット発電中さん:2013/12/22(日) 23:44:20.32 ID:VlAvjYx/
>>997


埋めるか
1000774ワット発電中さん:2013/12/22(日) 23:44:53.90 ID:VlAvjYx/
次スレ

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://uni.2ch.net/test/read.cgi/denki/1387718215/
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。