【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 18

このエントリーをはてなブックマークに追加
1B電池
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/


■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 17
http://uni.2ch.net/test/read.cgi/denki/1334690638/
2774ワット発電中さん:2013/01/27(日) 09:27:35.14 ID:XviBFewv
過去ログ参照したいときは、外部キャッシュサイトも便利です
・ログ速 ttp://www.logsoku.com/
・2chビューアーD ttp://2ch.viewerd.com/
・2ちゃんぬる ttp://2chnull.info/
・unkar ttp://unkar.org/r/denki/
他にもあると思う
3774ワット発電中さん:2013/01/27(日) 12:29:51.84 ID:utarI5rF
>>1
新スレ、ありがとう。
4774ワット発電中さん:2013/01/28(月) 14:10:04.43 ID:ukwFss6i
970 :774ワット発電中さん :2013/01/25(金) 17:26:28.53 ID:zFtYVQV0
クロックを1khzから200Mhzまで切り替えたいのだが、なんかい方法
ないですか? PLLのパラメータを切り替えるようなことって出来るんですか?
971 :774ワット発電中さん :2013/01/25(金) 17:55:01.34 ID:c1i5h326
>>970
外部にPLL IC載せて制御する
972 :774ワット発電中さん :2013/01/25(金) 19:45:25.82 ID:M1eoBvko
>>970
200MHzとは欲張ったスペックだな
LTC1799とICS511を組み合わせる
973 :774ワット発電中さん :2013/01/25(金) 19:55:29.43 ID:KeXsmq1u
普通にカウンタとPLL併用すればいいんでない?
974 :774ワット発電中さん :2013/01/25(金) 20:01:22.32 ID:cmMPa4uO
>>970
Xilinxの内蔵PLLについて言うと、PLL内部の分周器を使った周波数合成の設定値はパラメーターだからコンフィグ時に固定されるな。
切り替えをしたいならPLLの外側に分周器を入れて自前で周波数合成器を作ればできるかも。
フィードバックループにM分周器を入れればM逓倍ができるようになるから、それとPLL前段か後段にD分周器を入れて組み合せると
f_out = M/D f_in の周波数合成ができるはず。
975 :774ワット発電中さん :2013/01/25(金) 20:10:28.39 ID:6wJbr4dj
周波数ステップとかどうなのよ。
しかし広帯域だな。まだ200MHz〜400MHzのほうが扱いやすい。
976 :774ワット発電中さん :2013/01/25(金) 20:32:55.04 ID:vY6z9a8U
>>974
ダイナミックリコンフィグで動作中に書き換えできるんじゃね?
割と制御も楽だと記憶してる
977 :774ワット発電中さん :2013/01/25(金) 20:35:47.55 ID:7qX5VCO7
>>972
いい組み合わせ GJ!
978 :774ワット発電中さん :2013/01/25(金) 20:39:29.09 ID:lZu/zvM0
>>970
AlteraならCyclone III から
http://www.altera.co.jp/devices/fpga/cyclone3/overview/architecture/cy3-clock-mgt.html
http://www.altera.co.jp/literature/hb/cyclone-iv/cyiv-51005.pdf
XilinxもSpartan-6がPLL Dynamic Reconfiguration出来るみたい
http://www.xilinx.com/support/documentation/application_notes/xapp879.pdf
979 :774ワット発電中さん :2013/01/25(金) 21:44:45.66 ID:dW1dPJKD
なぜにDDSの話が出てこないの?
980 :774ワット発電中さん :2013/01/25(金) 21:49:22.27 ID:cmMPa4uO
>>976
>>978
確かにPLL_DRPを使ってPLLのパラメーターの書き換えができるようだ。
あとDCM_CLKGENというのも周波数合成に使えそうだな。
981 :774ワット発電中さん :2013/01/26(土) 13:33:55.56 ID:it2CCgQ8
こんなのあったぞ。FPGAデザインコンテスト。
学生なんかの参加希望者にはFPGAボードを貸し出してくれるとか、小耳にはさんだ。
http://lut.eee.u-ryukyu.ac.jp/dc13/
http://www.cs.tsukuba.ac.jp/~yoshiki/FPGA/Contest/index.php?FPGA%C0%DF%B7%D7%A5%B3%A5%F3%A5%C6%A5%B9%A5%C82013%20%A1%CABlokus%20Duo)
http://shop.cqpub.co.jp/hanbai/books/MDD/MDD201211.html
5774ワット発電中さん:2013/01/29(火) 03:38:41.75 ID:6r9tvFCJ
質問させてください
今から会社がFPGAを始めることになって、XilinxかALTERAか選択しようとしています。
XilinxのFPGAにできて、ALTERAのFPGAに出来ないことって、ありますか?
あるいはその逆でもいいです。

Xilinxには、DRAMコントローラーが内蔵されているのは調べました。
XilinxのFPGAは、全ピンLVDS対応しているが、ALTERAは特定ピンのみ。
XIlinxは、Verilog, VHDL混在のシミュレーションができる
ここまでは調べられました
6774ワット発電中さん:2013/01/29(火) 07:49:07.37 ID:cDgQkYkJ
>>5
大手では価格競争させて安く提示してきた方を使う。

どちらでも実現可能なのでただ速度がいる場合はXILINX使う事が多い
7774ワット発電中さん:2013/01/29(火) 08:12:04.08 ID:SrzLOC/l
>>5
視点を間違えてるよ。
FPGAの機能/性能ではなく、ツールの使い勝手で選ぶべきだよ。
中身の開発時間に関わってくるから。

俺は使い慣れたA派だけどw
8774ワット発電中さん:2013/01/29(火) 10:40:49.67 ID:ntf6RZaY
Xのツールは、使いにくいのか?
かれこれ5年くらい使ってるけど
9774ワット発電中さん:2013/01/29(火) 11:16:07.05 ID:aD5lj4hm
ISEは微妙に癖がある気がする
vivadoはかなり使いやすくなった
10774ワット発電中さん:2013/01/29(火) 12:24:49.14 ID:NSxR1PvB
xactを使ってる俺にしてみれば…
11774ワット発電中さん:2013/01/29(火) 12:34:23.07 ID:FbTvzPgo
>>8
馴れの問題。
でも、これからXはツールが2系統になるから大変?
12774ワット発電中さん:2013/01/29(火) 13:23:27.58 ID:6tmjL3ik
一言にツールの使い勝手と言っても、いろんな視点があるな。
・GUIの操作性
・合成/PAR/SIMエンジンの性能
・ログ/レポートの見やすさ
・コマンドライン操作の対応
・全体の統一性
・安定性

Xilinx(ISE)で不満なのは統一性がないことだな。
たとえばISEでdefineオプションを追加して、シミュレーターの起動でまた同じdefineが必要だったり。
Vivadoに期待してるけど7シリーズ以降しか対応してないんだっけ。
13774ワット発電中さん:2013/01/29(火) 14:12:03.50 ID:+l1DIuJu
Xilinxって、西濃はいいかもしれないけど、営業戦略的にある寺に負けていそうな
気がする。
14774ワット発電中さん:2013/01/29(火) 16:02:34.83 ID:PG7JsE23
確かに日本だと最近Aの方が目立つよねぇ。
前の方でも書かれていたけど同じレンジ比較して同じようなもの
作ったらXの方が良かったりするの?
15774ワット発電中さん:2013/01/29(火) 19:39:02.58 ID:bPe0MHEx
>>12
ISEはDATA I/OからSynario買って
表は繕ったけど裏でDOSアプリ
動かしました感が残ってるよね
16774ワット発電中さん:2013/01/29(火) 21:27:24.62 ID:+l1DIuJu
ISEの、エラーメッセージが、もっとわかりやすい英語だったらいいのに。
アルテラのそれは、解りやすいメッセージで、好感が持てる。
17774ワット発電中さん:2013/01/29(火) 21:28:43.69 ID:6tmjL3ik
>>15
Project Navigatorの前って昔すぎて知らん。
PlanAheadでだいぶIDE環境は改善されたと思う。
Vivadoはどうなんだろ。誰か感想書いてくれないかな。
個人的にはコマンドライン操作の柔軟性が気になる。
Makefile作ってビットストリーム生成までバッチ化したいからな。

AlteraとXilinxは最近ではシェアはほぼ互角のようだな。
http://www.fpgadeveloper.com/2011/07/list-and-comparison-of-fpga-companies.html
両社とも今は28ナノだから、そんなに性能の違いがあるとも思えない。
18774ワット発電中さん:2013/01/29(火) 22:27:19.78 ID:RtDCq6Ew
Aは中小企業だと足元見られてイヤンな感じ。
代理店の問題かもしれんが、2社しかないので選択肢がないんだよな。
小ロットの場合はウチの場合どうしてもXを選んでしまう。

ツールの使い勝手はAのGUIが初心者には分かりやすい。
細かいところまで突っ込んで設定するならどっちも大した差は無いと思う。
19774ワット発電中さん:2013/01/29(火) 23:08:58.91 ID:wt4Lpkr5
昔A系の代理店は中小でなくても相手からとれるならぼったくってた
20774ワット発電中さん:2013/01/30(水) 00:06:11.05 ID:jvY3qwKy
以前PALTEK経由でAlteraのを使ってたけど突然ザイリンクスに鞍替えされて
びっくりしたな。
21774ワット発電中さん:2013/01/30(水) 07:51:07.92 ID:satNs8dO
ISEには"cleanup project files"というmake cleanもどきがあるが
Aはある?
22774ワット発電中さん:2013/01/30(水) 10:37:42.99 ID:e5VnF3jT
アルテラは波形シミュレーションが楽だったなー。
自分はスケマチックとVerilogとAHDLを混在で使うので、波形シミュレーションがないと
ダメージ大きい。
 今回久しぶりにFPGAやって往生した。
Ver9で出来るのところは個別にシミュレーションをやって、Ver12ではシグナルタップ
のデバッグで乗り切った。V12でしかサポートしていないメガファンクションがあったので
困ったよ。
 AHDLが入ると、モデルシムがかからない。なんかいい方法ないかなー。
23774ワット発電中さん:2013/01/30(水) 11:15:15.43 ID:ZDLmTWuk
>>21
XilinxでもPlanAheadはcleanupコマンドがないな。
まあ作業用サブディレクトリができるからまるごと消せばいいだけだが。

>>22
AHDL部分だけ合成してゲートレベルにするとかできないの?
アルテラは使ったことないけど。
24774ワット発電中さん:2013/01/30(水) 11:41:38.30 ID:e5VnF3jT
>23
 アルテラのメガファンクションはAHDLで書かれているらしいが、ライブラリを提供して
いるのでモデルシムが出来る。
ファンクションレベルのシミュレーションは必要ないので、なにか方法はあると思うのだ
けどね。
 要するにライブラリ化できればいいのだけど。
25774ワット発電中さん:2013/01/30(水) 12:09:44.49 ID:ZDLmTWuk
>>24
Xilinxだと合成後(レイアウト後でもできるけど)のデータをVerilogフォーマットに吐く機能がある。
俺がやったのは、VHDLのモジュールを一部含むデザインをVerilogシミュレータでSIMするとき。
まずVHDLのモジュールだけ別に合成してVerilogゲートを作る。
それでVHDLのモジュールをその作ったゲートと差し替えてプリミティブライブラリ(LUTやFF等)と一緒にシミュレータに食わせる。
26774ワット発電中さん:2013/01/30(水) 15:57:36.15 ID:e5VnF3jT
 荒業だね。
IPの作り方って公開されてないよね。何でだろ。
27774ワット発電中さん:2013/01/30(水) 22:55:30.93 ID:R8O2s95S
>>25
デバイスの全体のネットリストをHDLで吐き出させるのね。
Aでもその機能あるし、やってみたこともある。
(古いプロジェクトがAHDLでシミュレーション出来ないから)

遅延情報含めると時間かかるから機能レベルでシミュレーション
させたんだけど、デルタ遅延に悩まされた…
28774ワット発電中さん:2013/01/31(木) 00:53:47.37 ID:KXXyalFe
>>27
デバイス全体をネットリストにすると、該当モジュールだけ切り出すのが面倒だから、
最初から該当モジュールだけ合成すればいい。
遅延SIMも不要だからレイアウト後じゃなくて合成後のネットリストの方がいい。

デルタ遅延の問題は、きちんと発生箇所を把握して遅延を手動で挿入するべき。
どこで発生するか分かってないようだと、そもそもRTLシミュレーションの方も危ない。
29774ワット発電中さん:2013/01/31(木) 02:32:55.63 ID:LgmeaZIe
>28
 そんなことが出来るのか? 初めて知った。コンパイルはフルコンパイル
意外は使ったことないものな。もっと使い方を勉強しないといかん。

 ネットリストって*.Voのことか? *.voをオープンしてCreate/update
だろうか?とおもってみたが、どうもHDLに変換できそうなコマンドがみつからない。
30774ワット発電中さん:2013/01/31(木) 08:12:29.23 ID:z3FT90Tr
DE0評価ボードを使ってFPGAで遊んでいるのですが
標準のPLLを使わず、NCO等を使ってPLLを作ってみようと思うのですが
なにか良い資料は無いでしょうか?
31774ワット発電中さん:2013/01/31(木) 12:14:28.78 ID:KXXyalFe
>>29
いや、モジュールを限定してコンパイルとかの機能は無いと思うが、
単に該当モジュールのみで仮のデザインを作ってコンパイルするだけ。
必要なのは合成までだから、制約ファイルもいらない。

アルテラの経験ないから、ネットリストの吐き出し方の手順は知らんが、
>>27の人によると機能自体はあるようだ。
XilinxもIDE環境がPlanAheadに移行してからはそのコマンドは消えてるから、
コマンドライン実行の合成コマンドのオプションとか見てみたらどうかな。
32774ワット発電中さん:2013/01/31(木) 23:41:33.50 ID:0Qis4OV4
>>30
> NCO等を使ってPLLを作ってみよう
なんか、根本的に誤解している気がする。
NCOって数値的に演算して正弦波データを作るものであって、発振器の類ではないよ。、
33774ワット発電中さん:2013/01/31(木) 23:45:28.35 ID:0Qis4OV4
>>29
>  ネットリストって*.Voのことか?
一般的にネットリストと言えばEDIFのことだと思っていたが、時代が変わったのかしらん???
34774ワット発電中さん:2013/01/31(木) 23:58:12.61 ID:OaV4YpcG
>>32
NCOってなんじゃら思ったらDDSのことか。
アナログのPLLとDDSを組み合わせるのは良くあるけど、そういう話ではなさそうだな。
35774ワット発電中さん:2013/02/01(金) 00:26:58.51 ID:c4dYgv61
ンコって何かと思った
36774ワット発電中さん:2013/02/01(金) 02:36:01.23 ID:Iz4TJJY4
>>29
Altera知らんけどググったら*.voそのものがVerilog記述のネットリストのようだな。
Verilogは拡張子に規定は無いから*.voがVerilogだとは気が付かなかった。

>>33
一般に言えばそうだけど、VerilogシミュレーターでゲートレベルSIMするときとかは
Verilog形式のネットリスト(ゲートモジュールのインスタンスとwire接続)を使うんだよ。
37774ワット発電中さん:2013/02/01(金) 02:49:38.98 ID:ymG7aqaT
Verilogとか絶滅してほしい。
38774ワット発電中さん:2013/02/01(金) 06:59:49.40 ID:evmewMiN
VHDLとか絶滅してほしい。
39774ワット発電中さん:2013/02/01(金) 07:43:08.91 ID:sYnObynE
SYSTEM-Cとか絶滅してほしい
40774ワット発電中さん:2013/02/01(金) 15:57:40.60 ID:c4dYgv61
時代はスケマ
41774ワット発電中さん:2013/02/01(金) 16:53:57.22 ID:Iz4TJJY4
時代はステマ
42774ワット発電中さん:2013/02/01(金) 23:43:49.12 ID:ymG7aqaT
QSysとかXPS使ってる人、使い勝手どう?
HDLのモジュール繋ぎ合わせるのに便利そうだから気になってるんだけど。
43774ワット発電中さん:2013/02/01(金) 23:51:46.64 ID:4Fh8g5x7
時代はトランジスタ直書き
44774ワット発電中さん:2013/02/02(土) 04:09:06.97 ID:2vtNND3k
時代は、チップスコープ
45774ワット発電中さん:2013/02/02(土) 09:41:18.70 ID:xUgV+EXy
時代はロジックテンプレートと字消し板
46774ワット発電中さん:2013/02/02(土) 09:53:13.72 ID:zislGsOY
時代は74シリーズ
47774ワット発電中さん:2013/02/02(土) 10:03:01.73 ID:BSQ02Rq4
TTLはすげぇよなぁ。
48774ワット発電中さん:2013/02/02(土) 12:01:19.76 ID:gSLZOYna
池田敏雄って、誰ですか?
49774ワット発電中さん:2013/02/02(土) 12:30:12.67 ID:BSQ02Rq4
偉人
50774ワット発電中さん:2013/02/02(土) 13:19:50.78 ID:NQ8725cs
時代は2SC1815
51774ワット発電中さん:2013/02/02(土) 13:25:21.10 ID:DlKnG18E
時代は、27nm
52774ワット発電中さん:2013/02/02(土) 16:04:24.63 ID:ugWDTp22
まわる まーわるーよ 時代はまわる
53774ワット発電中さん:2013/02/02(土) 20:21:25.96 ID:PEfw5Ozc
>>50
え?2SC945でも2SC372でもなくて?
54774ワット発電中さん:2013/02/03(日) 00:04:49.96 ID:Z6T8jtP3
>>53
2SC458(橙色)を忘れてもらっては困るな。
55774ワット発電中さん:2013/02/03(日) 00:11:09.78 ID:rI/ocwDt
>QSysとかXPS使ってる人、使い勝手どう?

QSysなかなか良いよ。
でも自作モジュールをQSYSに組み込む時に、エラー修正後もなかなかエラーメッセージが消えなかったりで、今春出るVer13に期待。
自分の使い方が悪いのかもだけど。
56774ワット発電中さん:2013/02/03(日) 00:19:28.30 ID:rI/ocwDt
Qsysの基本的な使い方が分かっていないまま、社内研究でいじってます。
Avalon-mmバスを、Qsysの外側のモジュールに出す場合、↓のモジュールを定義してQsysに組み込んでるけど、正しい方法ですか?

input clk;
input rst_n;
input [3:0] address;
input write;
input [31:0] writedata;

output _clk;
output _rst_n;
output [3:0] _address;
output _write;
output [31:0] _writedata;

assgin _clk = clk;
assign _rst_n = rst_n;
assgin _address = address;
assgin _write = write;
assgin _writedata = writedata;
57774ワット発電中さん:2013/02/03(日) 02:58:59.07 ID:EsufQkge
Altera のQuartusIIの使い方で教えてください。
New Project Wizardを実行したあと、デバイスを選択しますが、
毎回、EP4CE115F29C8Lというような名前を選ぶのが面倒です。
どこかにデフォルトとして設定することはできないのでしょうか?
よろしくお願いいたします。
58774ワット発電中さん:2013/02/03(日) 05:59:09.97 ID:zHJfYoaj
ウイザードの最初に
 「前回プロジェクトの設定情報だけコピー」
って機能があるだろ。
5942:2013/02/03(日) 09:20:54.27 ID:3IPAY5So
>>55
ありがとう!
検討してみるよ。
60774ワット発電中さん:2013/02/03(日) 09:29:54.62 ID:EsufQkge
>>58
お返事ありがとうございます。
この設定情報をコピーというのを毎回、自動的に読み込ませる方法は
ないでしょうか?
学校の授業で使わせるので、できるだけ自動化させたいのです。
よろしくお願いいたします。
6157:2013/02/03(日) 09:34:47.57 ID:EsufQkge
>>58
追加です。
C:\altera\12.1\quartus\bin\assignment_defaults.qdf に
set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name DEVICE EP4CE115F29C8L
という行を追加してみたのですが、特に効果がなかったのです。
6257:2013/02/03(日) 09:53:24.53 ID:EsufQkge
>>58
XilinxのISEだと、前回選んだデバイスが自動的に選ばれるようになっているので
便利なんですけど。
似たような名前がたくさん並んでいるので、間違えたものを選ばれてしまいます。
63774ワット発電中さん:2013/02/03(日) 11:20:49.09 ID:3IPAY5So
直接型名を選択するんじゃなくて、
機能やパッケージで絞り込みできるよね?
それでもたしかに毎回はめんどうだと思うけど、
実際の業務ではデバイス選定に際して重要な項目ばかりだから、
良い機会だと思って、それらの項目を教えつつ、
選択させて学ばせるって捉えてみてはどう?
6457:2013/02/03(日) 11:39:24.62 ID:EsufQkge
>>63
ご返答ありがとうございます。
たしかにデバイス選定は重要であると認識していますが、
学生たちは初学者ですし、時間が限られている学生実験なので、
回路設計の中味の方に集中させたいのです。

一人の教員で、複数の実験を並列に実験させているので、
できるだけトラブルは減らしたいのです。
65774ワット発電中さん:2013/02/03(日) 12:16:38.42 ID:KEkS1jn8
なら、あらかじめプロジェクト作って
qarファイルに圧縮して渡したら?
66774ワット発電中さん:2013/02/03(日) 13:00:10.55 ID:YN1VJ6SS
単に自分の能力が低いだけだろ。学生はだし。もしくは自分が学生。
67774ワット発電中さん:2013/02/03(日) 13:37:12.68 ID:NoANi3s7
オプティマイズにある、カメレオン + アナライザ4M
買おうと思ったら無くなっとる・・・orz
68774ワット発電中さん:2013/02/03(日) 13:53:19.92 ID:NI8HWc1d
65と同じだけど、初期設定の終わったプロジェクトを、フォルダ丸ごとZIPとかにするに一票。
ピン配置とか間違えるとやっかいなところは、答えのテキストに埋めておいてコピペさせるとか。

学生の人数はわからないけど、10人以下のクラスでも、プロジェクト用意して、答えも用意しておいても、
トラブルは起きるよ。何十人相手に教員一人ではトラブル対策だけで忙殺されると思われ。
本来の回路設計の実験を進めたいなら、トラブル対策要員を一人つけた方が良いかと。
院生のバイトとかいるでしょ?
6957:2013/02/03(日) 14:28:44.10 ID:EsufQkge
みなさん、いろいろとアドバイスありがとうございます。

ピン配置などの設定は、実験テキストに書いておけば、大丈夫なのですが、
デバイス名はすごく似ているので間違えやすいんですよね。
Xilinx のISEでは、前回の値が選ばれるのに
Quartusでは毎回入力しなければならないというのが困ったもんです。

assignment_defaults.qdf に書き込めば、デフォルトになると思ったのですが
読み込んでいる気配がありません。どうしてダメなんだろう???

悲しいことに、トラブル要員をつけることはできません。
70774ワット発電中さん:2013/02/03(日) 15:10:10.17 ID:NI8HWc1d
>Xilinx のISEでは、前回の値が選ばれるのに
これを由としているが、本当にそうかな?

前と同じデバイスが選択されている、って保証されている?事実上そう動作してるだけかもしれないよ?
前の値が正しいことはどうやって確認するのかな?前の学生がデバイスの設定を変えてしまっていたら?
デバイスは必ず確認した方が良いと思うけどな。
でなければ設定の終わったテンプレートを用意しておくか。それでもデバイスの確認は必須だと思う。
71774ワット発電中さん:2013/02/03(日) 16:48:39.64 ID:KEkS1jn8
デバイス名もピン配置も全てqsfファイルに記載されてるので
ピン配置を実験テキストに載せてコピペと何が違うのだろう…

メールとか共有フォルダとか使えないん?
zipやqarで渡すなり「この内容をqsfファイルにコピペして」で終わるやん。
72774ワット発電中さん:2013/02/03(日) 17:00:11.31 ID:wZKSPLXD
>>70
DOSバッチコマンド実行が一番だな
7357:2013/02/03(日) 17:11:35.80 ID:EsufQkge
>>72
DOSバッチコマンドって、どういう意味ですか?
Quartusを立ち上げる直前に、実行フォルダに
設定済のプロジェクトファイルをコピーさせるってことですか?

>>70
もちろんXilinxのときもデバイスが正しいかをチェックさせています。
デバイスの選択画面のデザインが、ISEとQuartusでは違うんです。
ISEでは、一つの画面でチェックできるのに、Quartusでは
デバイス名をスクロールさせなければできないようになっています。
わずかな違いですが、実習のときのトラブル発生につながりやすいのです。
74774ワット発電中さん:2013/02/03(日) 17:25:21.59 ID:rOmPqItT
そんなに馬鹿な学生なら諦めろ。世の中にはFPGA以外の仕事だって沢山あるのだから
そちらに導くベキ
75774ワット発電中さん:2013/02/03(日) 17:31:57.14 ID:rI/ocwDt
もうすぐA社とX社から一般発売するSoC FPGA(ARM入りFPGA)は楽しみですね。
Linux入れて遊びたいです。

会社的には「コストを考えるとFPGAは使わずCPUでやり繰りすれば良い」
という考えが強いのですが、CPUを使うとどうしてもアリキタリの物しか作れないので、
FPGAは手放したくないと考えてました。
FPGAはコストや拡張性、ネットワークアプリケーション等のフリーの既存資産を活用するのが困難ですが、
SoC FPGAなら両者のいいとこ取り出来そうで期待してます。

価格帯はどの辺に設定してくるでしょうね。
7657:2013/02/03(日) 17:57:29.60 ID:EsufQkge
>> 74
そんなに簡単に諦めていたら、人を育てることなんてできませんね。

FPGAを将来の専門にしなくても、別の仕事に就いたとしても、
ああ、ハードウェア設計とはどんなものかというのを
経験したことがあるかないかで、学生の将来は変わると思っています。

将来、専門にしないから、経験しなくていいというわけではないのです。
短い時間だけれども、一度でも実験で経験していれば、
それが将来、役立つときがくるかもしれません。
教育とはそういうものだと思っています。

幅広くいろいろなことを経験させて、自分の適性にあったことを
一生の仕事として選べるようにすることも教育には大切です。

assignment_defaults.qdf の変更は、何故、有効にならないのだろう?
77774ワット発電中さん:2013/02/03(日) 18:11:57.51 ID:wH6ixKkH
正しいデバイス名すら選べない奴が現場で使い物になるとは思えない
そんな奴に仕事を任せたらポカミスで炎上必至
78774ワット発電中さん:2013/02/03(日) 18:22:12.54 ID:wZKSPLXD
>>73
http://www.altera.co.jp/support/software/scripting/sof-qts-scripting.html

バッチファイルでスクリプト実行して環境作れば
誰が流してもできるはず。

GUIのないunixで終夜運転で流す際よく使われるやり方だったりする。
X環境のセッションきると切断されるので
79774ワット発電中さん:2013/02/03(日) 20:24:03.74 ID:jqqufVnU
>>76
人を育てるって事は、教える側のスキルもそこそこ必要だが、お前さんには無理そうって事だよ。言わせんな、恥ずかしいw
出来る奴はかってに自分で吸収するし、その方が知ったか教師に間違いを教わるよりも効率的だと思うぞ。
各自自習のお時間にでもしたらどうだ?
80774ワット発電中さん:2013/02/03(日) 22:55:56.07 ID:3Xx4BK4L
客観的に見てどちらに教わりたいかと言ったら>>76だな
81774ワット発電中さん:2013/02/03(日) 23:21:33.54 ID:jqqufVnU
こんなとこで自演とかw
学生見てないのwww
82774ワット発電中さん:2013/02/03(日) 23:24:34.98 ID:fT69ev9x
>>79
世の中の人間には、成長の次期、速度という「個人差」があるんだ。
>>79のように、学生時代に花咲く人もいれば、その後社会に出てから伸びる人もいる。
学生の時にできなくても、その後に「面白さ」を見つけて、黙っていてもドンドン勉強する人もいるんだよ。
逆にこういうパターンの人のほうが>>79よりも大きな成果を上げやすいのよ。
83774ワット発電中さん:2013/02/03(日) 23:36:24.10 ID:PmuzM4pm
>>82
それが通用するのはせいぜい高校生までだろう
今時の中学や高校はFPGAやMCUを教えるのか?
84774ワット発電中さん:2013/02/04(月) 00:09:54.07 ID:MKyT2fnE
>>83
ちゃうちゃう。
FPGAやMCUは、その結果としての形であって、
学ぶべきは、モノの見方考え方。
自分で調べるのが、知識を身につける1番の方法なんだけど、
それを「進んで調べるか」「言われて調べるか」で大きく異なるよね。
また、調べること、わかったときの快感を感じることで、
黙っていてもやり出すよ。だれでも、そういう部分を持っているんだ。
ただ、巡り会う時期が早いか遅いかってだけの違いでね。
それを少しでも早くするために、最初のとっかかりだけ、いろいろい経験させること、
これが教育の最大の目的なの。
学ぶことの楽しさがわかれば、自分で調べて、自分で勉強するようになるよ。
>>79だけでなくて、世の中の人み〜んながね。
>>79は、あっという間に追い抜かれるよ、きっと。
85774ワット発電中さん:2013/02/04(月) 00:57:30.31 ID:MKyT2fnE
>>84の訂正
>>79は、>>83の間違い。 すみません、>>79

あと、子供の頃に、親がいろいろと体験させるのは、大半が親のエゴだと思う。
本人は「そうすれば親が喜ぶ」ことを知っているので、やっているだけ。
高校を出るまでは、学校の勉強と自習で良いと思う。本来、その間にすべきことは、
・人間としての体力をつけること。(ひ弱で病気がちでは、エッチもできない)
・友達とケンカをすることで「相手の痛み」「相手を思いやること」を知ること。
   (女の子を喜ばせることを知ることでもある)
・読み書きが「普通に」できるようになること。

これが私の持論
86774ワット発電中さん:2013/02/04(月) 00:58:22.68 ID:x6PtVwaA
ここでグダグダ質問してるのが「進んで調べる」に該当するのかw
教育がなってないなwww
87774ワット発電中さん:2013/02/04(月) 03:31:01.18 ID:YJrO6owC
>>84
ほんとその通りだと思うわ。
20代後半になって、やっとそのことに気付いた。
88774ワット発電中さん:2013/02/04(月) 08:31:52.43 ID:TMLm7yWq
>>75
> 会社的には「コストを考えるとFPGAは使わずCPUでやり繰りすれば良い」
それは極端すぎるげど、適材適所かな。

今、Cortex-A8は1000円切った。A9もすぐだろう。
FPGAだと中々その値段にはならないと思う。
FPGAがいいのは、CPU/DSPがコスト的に見合わない高速処理分野。
いいとこ取りなんて、どっかに無駄が出るだけだと思う。

実際、AlteraにはAtomを組み合わせたFPGAもあるわけだか、一体誰が何に使ったのやら。
89774ワット発電中さん:2013/02/04(月) 10:11:59.50 ID:Vrb2xo8s
お金のコストだけ考えるならCPU最強
でも消費電力(電力のコスト)やら拡張性(手直しのコスト)を考えると
FPGAにもメリットがあるね
90774ワット発電中さん:2013/02/04(月) 13:06:58.67 ID:E1/I8ZT1
91774ワット発電中さん:2013/02/04(月) 13:41:50.93 ID:RzNfvgzr
>>75
CPU使うとアリキタリの物しか作れないとか考えてる時点でFPGAでもアリキタリ
の物しか作れないと思う。何か目的があってCPUではどうしてもダメという時に
初めて選択肢として登場するものじゃないかな。
個人的な偏見ではとりあえずLinuxを入れてみてとか言う人って起動するまでで
満足してそこから何か作ったりしない印象。趣味なら別にそれでもいいけど
業務でそれはないわ。目的と手段はきちんと明確に分けて考えるべき。
92774ワット発電中さん:2013/02/04(月) 13:46:09.12 ID:iSAc2Ieh
>>89
拡張性の点はわかるけど、消費電力はマイコンの方が少ないんじゃ無いの?
93774ワット発電中さん:2013/02/04(月) 19:23:02.15 ID:Vrb2xo8s
処理あたりの必要電力で考えると、CPUはけっこう大飯食らいだよ
94774ワット発電中さん:2013/02/04(月) 20:04:50.41 ID:I+1Hbntc
>>93
それって、x86系を念頭に置いてない?
今はCortex-A9の話しをしてるんだけど。
95774ワット発電中さん:2013/02/04(月) 20:22:19.92 ID:IiMCoEGx
動いていないFPGAの消費電力は極めて小さいのでは?
CPUは仕事をしていなくてもクロックが生きている限り一定量の
電気を使う
96774ワット発電中さん:2013/02/04(月) 20:28:44.27 ID:Vrb2xo8s
たとえば、100MB/secのバイトストリームデータを
とりこぼしなく処理したい場合を考えてみよう。

CPUなら少なくとも1GHz以上の動作クロックが必要なのに対し
FPGAならデータクロックと同じ100MHzで余裕で動く回路が実現できる。
当然、電力的に有利となるわけだ。
97774ワット発電中さん:2013/02/04(月) 23:20:58.64 ID:E1/I8ZT1
CPUも最近だとクロックゲーティングは当然やってて、コア毎に電源を切る技術もあるから、
うまく設計すればかなりリーズナブルな消費電力になる可能性がある。
それと効率性を話題にするなら、DSPやGPGPUも外せない。(まとめてプロセッサでいいけど)
FPGAとCPUのどちらが電力が有利だとは一概には言えない。どういう場合にどちらが有利になるかを考えないと。
98774ワット発電中さん:2013/02/04(月) 23:29:10.08 ID:CQhqaho2
99774ワット発電中さん:2013/02/04(月) 23:30:10.57 ID:CQhqaho2
恥を承知で聞くけど、消費電力を抑えるには、
カウンタはカウントしない時はカウントアップしない様に記述するのがいいの?
100774ワット発電中さん:2013/02/04(月) 23:41:11.36 ID:s/9iqfXQ
>>99
いいんじゃね
状態が一切変化しないなら、電気食うのはクロック分配だけだよね、漏れ電流は知らん
101774ワット発電中さん:2013/02/04(月) 23:44:09.26 ID:RzNfvgzr
元の話は同じ事をCPUでやらせる事とFPGAでやらせる事の比較ではなくてCPUのみとCPUとFPGAがワンチップの物の比較という話だと思うけど?
102774ワット発電中さん:2013/02/05(火) 00:31:45.13 ID:pyKAcMg8
以下@とAでは、@のほうが省電力大きくなる気がするんだけど・・・。
リセットについて省略してます。
パワープレイ何とかってツールで計算してみればいいのかな。

@常にカウントアップしてて、トリガパルスが来たら0になる。

always@(posedge clk)begin
if(trg_pulse)
cnt <= 8'h0;
else
cnt <= cnt + 8'h1;


Aトリガパルスが来たらフラグが立って、カウントアップを開始する

reg flag;
always@(posedge clk)begin
if(trg_pulse)
flag <= 1'b1;
else
flag <= flag;

always@(posedge clk)begin
if(flag)
cnt <= cnt + 8'h1;
else
cnt <= 8'h0;

こちらまだFPGA自分でやるようになって4か月の新参者で、
ようやっとMPEG4やTCP/IPプロトコルスタックを実装できるようになった者です。
103774ワット発電中さん:2013/02/05(火) 00:33:29.53 ID:pyKAcMg8
以下@とAでは、@のほうが省電力大きくなる気がするんだけど・・・。
リセットについて省略してます。
パワープレイ何とかってツールで計算してみればいいのかな。

@常にカウントアップしてて、トリガパルスが来たら0になる。

always@(posedge clk)begin
if(trg_pulse)
cnt <= 8'h0;
else
cnt <= cnt + 8'h1;


Aトリガパルスが来たらフラグが立って、カウントアップを開始する

reg flag;
always@(posedge clk)begin
if(trg_pulse)
flag <= 1'b1;
else
flag <= flag;

always@(posedge clk)begin
if(flag)
cnt <= cnt + 8'h1;
else
cnt <= 8'h0;

こちらまだFPGA自分でやるようになって4か月の新参者で、
ようやっとMPEG4やTCP/IPプロトコルスタックを実装できるようになった者です。。
104774ワット発電中さん:2013/02/05(火) 00:34:04.13 ID:pyKAcMg8
2回書いたごめん。
105774ワット発電中さん:2013/02/05(火) 00:44:32.70 ID:mzf92S20
MPEG4、TCP/IPをFPGAへ実装って凄いじゃん!
FPGA始めて4ヶ月でこれらを実装できるって天才か!
しかし、下2行と上の行とのギャップは・・・・・
106774ワット発電中さん:2013/02/05(火) 00:50:44.26 ID:n4cqIZzz
>>103
その二つ、そもそもの機能が違う気がするのだが・・・?
107774ワット発電中さん:2013/02/05(火) 00:56:08.04 ID:S2mufCHf
>>105
既存のIP追加しただけでしょ・・・双方1から実装してたらマジで天才
108774ワット発電中さん:2013/02/05(火) 00:59:32.74 ID:pyKAcMg8
>MPEG4、TCP/IPをFPGAへ実装って凄いじゃん!

全然すごくないです。
事前にVisualStudioで計算方法を確認してたから、上の行のレベルの小さなモジュールを沢山繋げて上からぶら下げたら動きました。
ファンクションシミュレーションとFmaxの確認をしながら進めました。
可変長符号を高速に扱うのに少し工夫した程度です。

@は常にカウントアップしてて、トリガパルスが来たら0に戻ってカウントアップします。
109774ワット発電中さん:2013/02/05(火) 01:13:16.11 ID:3Q1GT/7a
>>103
trg_pulseが来る前に加算器がトグルしてる分@の方がダイナミック電力は増える。
しかし余計な回路を追加した分Aの方がスタティック電流は増える。
どちらが多いかはまあ微妙なところだな。
ところで、trg_pulseが来るのが初期化処理の時だったりすると、Aにする効果は薄い。
8ビットの加算器がフリーランする程度だから、面倒だし@でいいんじゃねえの。
どうしても気になるなら電力シミュレーションしてみればいいよ。
110774ワット発電中さん:2013/02/05(火) 01:22:06.20 ID:3Q1GT/7a
まあけど一般論で言えば、Aのようにするのは正しい方向性だな。
もっと言えば、回路がディセーブルの時はクロックを止めたほうが電力減らせる。
111774ワット発電中さん:2013/02/05(火) 01:33:11.84 ID:pyKAcMg8
>>109,110の3Q1GT/7aさん

なるほど、その様に考えるのですか。
周りにFPGAをやる人が皆無なので、手ほどきありがたいっす。
112774ワット発電中さん:2013/02/05(火) 01:39:49.97 ID:pyKAcMg8
>ところで、trg_pulseが来るのが初期化処理の時だったりすると、Aにする効果は薄い。

このあたり、特になるほどです。
113774ワット発電中さん:2013/02/05(火) 12:36:24.17 ID:COTqiiAy
前スレ埋まってないのな・・・といいつつここに書く
FPGAに関してお前らのお薦めの入門書ってある?FPGAに関してだけじゃなくて
電子・電気の本とかでもFPGAでハァハァする為に必修の物があれば是非教えてクダサイ。
114774ワット発電中さん:2013/02/05(火) 13:37:27.62 ID:kTO9d7PV
>>113
STARCというところが出している本がいいよ。
値段も安いし、分かり易いし、入門者向けかな。
115774ワット発電中さん:2013/02/05(火) 14:56:44.95 ID:3Q1GT/7a
116774ワット発電中さん:2013/02/05(火) 15:59:33.25 ID:l/b957yF
 FPGAの理論的なアプローチはともかく、ツールの使い方が難しい。
 アルテラツールの使い方に詳しい本ないかなー。
117774ワット発電中さん:2013/02/05(火) 16:42:19.40 ID:kTO9d7PV
>>115
その2冊目の本、高校生の時に僕も買った。わかりやすかった。
118774ワット発電中さん:2013/02/05(火) 17:33:27.47 ID:3Q1GT/7a
119774ワット発電中さん:2013/02/05(火) 22:37:06.72 ID:QSF8Eb+1
長々と貼らなくても簡潔に/dp〜以降だけでいいんだぜ?
http://www.amazon.co.jp/dp/4534040865
http://ec2.images-amazon.com/images/I/515X5NVCDNL.jpg
120774ワット発電中さん:2013/02/06(水) 23:30:43.26 ID:AWh7XIef
http://www.amazon.co.jp/dp/4798034312
ハードウェア初心者向けかな

http://www.amazon.co.jp/dp/4789830446
ASICが中心で難しいけど、同期回路を勉強するのに
121774ワット発電中さん:2013/02/08(金) 00:02:52.01 ID:5uk1z0i+
スパルタン6(75LX)が載った詳細不明のジャンク基板を
入手したんだがこのスパルタン6の再利用は難しいですよね・・・?
見た感じ量産品っぽいので、ストリームの暗号化がされていそう
cfg_aes_onlyが切られていなければ・・・っと思っても「JTAG何処?」
状態なのでeFUSEの内容を取得するのも一苦労しそうだ
cfg_aes_onlyが切られていたら完全にゴミだ
DRAMも載っているっぽいし使えればウマーなんだがな
122774ワット発電中さん:2013/02/08(金) 00:49:17.83 ID:im3FND5w
>120
 回路なんて理論的にまとまっているからサッと読んで簡単に理解できるが、
ツールのマスターは大変だよな。癖があるし、ドンドン変わっていくし、
まとまりがないし。UIはレベル低い人が作ってるし。
 ツールの使い方をキチンと書いた本が欲しいよな。
123774ワット発電中さん:2013/02/08(金) 01:05:48.19 ID:kXwmlFoo
本にしてもすぐ変わるからな・・・
あれ何なんだろうな、もっと体系立てて作れないんだろうかね
124774ワット発電中さん:2013/02/08(金) 02:17:53.34 ID:NczSUYxg
ベンダーのセミナー受ければ良くね
一通り網羅した資料もくれるし
125774ワット発電中さん:2013/02/08(金) 02:49:13.75 ID:f8XIU+5D
いつも思うんだけど、

「簡単なISEの使い方」という見出しのwebページ記事を見ると、

「簡単なISE」の使い方なのか

簡単な「ISEの使い方」なのかと、考えてしまう。

いずれにしろ、ISEの使いにくさは、天下一品だと思うね。
126774ワット発電中さん:2013/02/08(金) 13:41:09.16 ID:a4EppDBS
考えるまでもなく後者だと思うが。日本語習いたての外人なら間違えるかもしれない書き方ではあるけど一般的な言い回し的に前者はしないでしょ。
127774ワット発電中さん:2013/02/08(金) 13:54:20.22 ID:bwzN6+zG
いや、どちらにも受け取れるから、どう読んだらいいのか迷う。
お利口さんは、
ISEの簡単な説明&nbsp; と、書くけどね。
128774ワット発電中さん:2013/02/08(金) 14:37:12.26 ID:QWag1IMU
>>127
お利口だかなんだか知らんが見出しの文章としては>>125の方ダロ
129774ワット発電中さん:2013/02/08(金) 15:19:45.05 ID:p+fDcwQo
・ISEの使い方をざっくり説明します
・ISEの使い方をわかりやすく説明します
のどっちかわかんね、てことだろ
130774ワット発電中さん:2013/02/08(金) 20:45:53.72 ID:5vQP631U
え?
131774ワット発電中さん:2013/02/08(金) 23:15:24.50 ID:zaSIincC
こういう奴らがFPGA使うとか、世も末
132774ワット発電中さん:2013/02/09(土) 00:29:14.85 ID:SEVcg9ok
簡単に教えますって意味にも取れるよな
俺は言いたいことわかるけど
133774ワット発電中さん:2013/02/09(土) 00:30:27.76 ID:SEVcg9ok
あ、違った
軽く教えますって意味にも取れるって言いたかった
134774ワット発電中さん:2013/02/09(土) 00:31:46.18 ID:SEVcg9ok
いや、一緒か・・・ もういいわ
135774ワット発電中さん:2013/02/09(土) 00:43:06.03 ID:DjvvAL04
こういう意味の区別をはっきりさせるために、
日本語には句読点というものが存在する。
>>125の後者の場合は
簡単な、ISEの使い方
とすることで意味を明確にする。
しかし、慣例的に句読点がなくても分かることがほとんど。
136774ワット発電中さん:2013/02/09(土) 02:56:10.05 ID:6t3bWFOz
確かに「こっちの意味だろう」とわかる場合が多いけど、
どちらにも受け取れそうなときは「もしかしてあっちの意味かもしれない」と、
迷うことがよくある。
137774ワット発電中さん:2013/02/09(土) 12:53:28.46 ID:ilyuliSn
読み手が迷うのは、書き手が問題。

「わかるだろ、普通」と言う人は、
相手の立場に立って物事を考えられない人だね。
138774ワット発電中さん:2013/02/09(土) 13:07:26.76 ID:mmSl63hl
日本語って文脈や慣用的に意味を読み取るものでしょ。その力が無いのを書き手の
せいにするのはどうなんだろ?また、見出しとかはあえてキャッチーな書き方や
ミスリードを誘う書き方をするもんだけど、それを文法がーとかってツッコミは
野暮なだけだと思う。つかいい加減スレチ。FPGAの話しようぜ。
139774ワット発電中さん:2013/02/09(土) 13:57:53.03 ID:4nzrsQNp
日本語だから許されるというわけじゃないぞ。
日本語でも英語でも、注意して文脈を読まないと分からないような悪文があるのは同じ。
おまえらも仕事で仕様書とかマニュアルを書くことがあるなら、
読解ミスを極力避けて、読み手に無用な負担をかけないようにしてるだろ。
こういう話題もたまにはいいんじゃないの。
140774ワット発電中さん:2013/02/09(土) 15:12:51.65 ID:DjvvAL04
英語もかなり文脈から判断しないといけない文書、多いよな。
FPGA関連の資料も全部日本語で提供してほしい。(切実)
141774ワット発電中さん:2013/02/09(土) 21:03:11.04 ID:8OaRssx7
見出しの付け方
普通の奴は
簡単なISEの使い方
ドカタは
ISEの簡単な使い方
って書くってことか。 ドカタが多いと落ちぶれるんだろな

この二つの見出しでどっちの方がたくさんの人から読んでもらえると思う?
見出しは正確さより、たくさんの人に読んで貰うようにするてのが目的だろ
ドカタ思考だと良い製品だけど売れないんですってなるだろな
142774ワット発電中さん:2013/02/09(土) 21:05:52.01 ID:HWnlGZcx
正確な表現に気をつけるのは、むしろ大学教授だけどなw
143774ワット発電中さん:2013/02/09(土) 21:09:33.43 ID:qVwNIQRy
そのドカタで成り立っているのが今の日本ですけどね
144774ワット発電中さん:2013/02/09(土) 21:27:21.71 ID:NiLHQGlh
ドカタじゃない >>141 がどんな素晴らしいものを作ってるのか興味あるな。
145774ワット発電中さん:2013/02/09(土) 21:48:06.28 ID:8OaRssx7
>>144
お前ドカタなの?
146774ワット発電中さん:2013/02/09(土) 22:47:54.33 ID:NiLHQGlh
>>145
アンタのレベルに比べればこのスレの皆さんドカタですよ。
147774ワット発電中さん:2013/02/10(日) 00:19:45.48 ID:oHH/dUcN
マニュアル書いたり特定の相手へのプレゼンとかしか文章書く機会ないから、
アトラクティブな表現より明確な表現を使うことが多い。
まあ確かにドカタ思考だと言えるかもしれんが、それでいいと思う。
148774ワット発電中さん:2013/02/10(日) 00:40:21.32 ID:la8O+dka
簡単なISEの使い方
ISEの簡単な使い方
実際、お前らはどっちを見出しにする?
俺は前者
149774ワット発電中さん:2013/02/10(日) 00:54:13.60 ID:zGK08VHG
>>148
俺は前者。だけど、スレチだからいい加減にしろ。
150774ワット発電中さん:2013/02/10(日) 02:08:54.31 ID:+EbfcL7V
今回の話題見ているとまだ正常な人が多いみたいで安心したわ。
でも見出し用の文章と仕様書の中の文章の違いが分からない奴もいるんだな。
ちょっと前のSoftware Designでエンジニアの文章が下手みたいな特集組まれて
いたのを見て正直ビックリしたけど(本は読んでないので詳細は知らんが)
今回の見てて妙に納得してしまった。俺も暫く海外に住んでいたらなんか日本語が
不自由な人になってしまって焦ったクチなんで人の事はあまり言えないけどサ。
151774ワット発電中さん:2013/02/10(日) 02:55:29.27 ID:4oXXszul
>>15
>本は読んでないので詳細は知らんが
そんな状態でよく言うね。

>俺も暫く海外に住んでいたら
わかった。これが言いたいんだね。

>>141
>見出しは正確さより、たくさんの人に読んで貰うようにするてのが目的だろ
おいおい、週刊誌じゃないんだぞ。
15210人に一人はカルトか外国人:2013/02/10(日) 08:21:49.32 ID:vi6xEsAK
★マインドコントロールの手法★

・沢山の人が偏った意見を一貫して支持する
 偏った意見でも、集団の中でその意見が信じられていれば、自分の考え方は間違っているのか、等と思わせる手法

・不利な質問をさせなくしたり、不利な質問には答えない
 誰にも質問や反論をさせないことにより、誰もが皆、疑いなど無いんだと信じ込ませる手法


↑マスコミや、在日カルトのネット工作員がやっていること

TVなどが、偏った思想や考え方に染まった人間をよく使ったり、左翼を装った人間にキチガイなフリをさせるのは、視聴者に、自分と違う考え方をする人間が世の中には大勢いるんだなと思わせる効果がある。
.....
153774ワット発電中さん:2013/02/11(月) 03:50:04.24 ID:Lg/DM6d0
>>152
創価の集団もこれ使うよ。
154774ワット発電中さん:2013/02/12(火) 20:03:25.72 ID:MmRokaGF
でもよ、層化の池田犬作は聖人だよ
155774ワット発電中さん:2013/02/12(火) 22:21:57.72 ID:ATH+KSCj
HDL書くのマンドクセ('A`)
156774ワット発電中さん:2013/02/12(火) 22:33:57.54 ID:/upbCMAs
HDLという文字をしばらく見たくない
なんで数値が38なんだよおおお
157774ワット発電中さん:2013/02/12(火) 23:10:05.37 ID:FlagrfLK
>154
性塵の間違いだろ?既に逝人かも知れんがwww
158774ワット発電中さん:2013/02/13(水) 01:39:31.75 ID:1wNqbCrO
>>154
なら、中国のPM2.5の対処、北朝鮮を大人しくしてくれ。
159774ワット発電中さん:2013/02/13(水) 02:27:51.58 ID:oSW1I46q
ここ最近の流れ何なんだろ。こんなにキモイスレだったっけ?
160774ワット発電中さん:2013/02/13(水) 08:37:12.13 ID:GUSliPH8
>>159
察してやれよ。
年度末で納期近し、みんなプッツンする頃なんだから。
161774ワット発電中さん:2013/02/13(水) 18:57:55.18 ID:lXLR8qfh
電子産業がキモイって感じだからな
松、富士半導体リストラ、統合、パイもリストラ
年度末までリストラするところ多いだろ。現実逃避したくなるよ
162774ワット発電中さん:2013/02/14(木) 00:19:52.78 ID:ZvUnW/02
どうもFPGAの仕事が無くなりそうだ。
どっかAlteraのFPGA使ったシステムで仕事できるとこない?
163774ワット発電中さん:2013/02/14(木) 09:07:12.39 ID:YbpNr1hI
誰でも何処でも出来る様になってきたから仕方が無いね。システムで提案出来る能力が無いと仕事も無くなると思うよ
164774ワット発電中さん:2013/02/14(木) 14:08:30.72 ID:RHgKbNvU
CPLDまでは使ったプロジェクトやった事あるけどFPGAってまだないんだよなぁ。
というか結局のところ必要性も無いんだけどね。なのでFPGAを使った仕事って
想像がつかないので何かやってみたいなぁ。
165774ワット発電中さん:2013/02/14(木) 14:23:22.60 ID:zONsrYbz
CPLDで4bitCPUとか作ってみたいが、レジスタ幾つ割り当てられるかな?
166774ワット発電中さん:2013/02/14(木) 14:33:00.75 ID:hOA9eY/t
>>165
おま俺・・・昔買った CPUの創りかた を参考に勉強をしようと思ったんだけどFPGAは大袈裟だし・・・CPLDでーとか思ったんだけどナカナカいい製品が無いなとか違う方向で止まってる。
167774ワット発電中さん:2013/02/14(木) 16:13:30.34 ID:fy23T3lx
DE0でもBasys2でも買ってしまえばどうにかなるよ。
1万円ちょいで買えるし。ちょっと円安になってきたけど。
168774ワット発電中さん:2013/02/14(木) 16:26:22.33 ID:hOA9eY/t
>>167
そうなんよね。結局学習用に使えるCPLDで小規模で安い製品って無いのでそういう物が無難なのかも。Xilinxでペリフェラルあまり無くて安いのもあったけどね。
169774ワット発電中さん:2013/02/14(木) 19:28:36.15 ID:Iq3IqytU
周辺なにもなくていいなら秋月のMachXO2とか安いのあるんだけどね。
170774ワット発電中さん:2013/02/14(木) 21:49:30.17 ID:aiQv+v3X
>>168
うんにゃ?
MAX Vなら100円台だけど?
171774ワット発電中さん:2013/02/14(木) 23:35:50.25 ID:hOA9eY/t
>>170
チップだけ買えばな。それは教育用なのかい?
172774ワット発電中さん:2013/02/15(金) 08:35:45.37 ID:1+xWrd8j
チップに教育用とか、あったっけ?
173774ワット発電中さん:2013/02/15(金) 08:40:17.28 ID:xut/XoPJ
昔の2064とか2018は教育用として秀逸だったな。
手配線で全て配置配線した事もある。
174774ワット発電中さん:2013/02/15(金) 11:30:01.11 ID:Nctac0VA
>>172
ごめん、眠い時に適当書いたらなんかそんな言葉になっちゃった。正確には俺が欲しいのは学習用にすぐ使える評価ボードみたいな奴。チップだけ出されても基板起こせない・・・
175774ワット発電中さん:2013/02/15(金) 23:04:13.98 ID:jQrCSl6S
学習用にはDE0が無難でしょ。
保護回路が少ないインタフェースもあるけど、壊れたって話は聞いたことない。

PLL、RAM、乗算器が入ってないと何の勉強にもならない。
PLDはコスト的にFPGAが敬遠されるアプリケーション向けの、超実用的プロ用デバイスだもん。
176774ワット発電中さん:2013/02/16(土) 03:28:26.94 ID:H2RvkrOX
超実用的、と言うと「超」の字の意味からして、実用からかけ離れた、
実用的でない、って意味になる。

チョー実用的、だったらすごく実用的という意味だったのに残念なことだ。
177774ワット発電中さん:2013/02/16(土) 05:27:56.44 ID:eIoOOaL+
普通に考えるなら、一般的に実用的であると認定されるレベルを超えた能力を持ってると解釈するとこだけど
ずいぶんひねくれた考えの持ち主だね
178774ワット発電中さん:2013/02/16(土) 05:31:41.62 ID:6vi9YBI4
チョー能力
179774ワット発電中さん:2013/02/16(土) 16:19:56.49 ID:zXgur45S
チョーさん
180774ワット発電中さん:2013/02/16(土) 16:39:28.29 ID:4ed1FJHr
チョー特急
181774ワット発電中さん:2013/02/16(土) 17:11:55.60 ID:P3/DGbyv
チョーチョーチョーいい感じ
182774ワット発電中さん:2013/02/16(土) 23:02:05.72 ID:qPoBpEiC
超人は人間ではありませぬ。

>>181
駄目駄目駄目だったのか
183774ワット発電中さん:2013/02/17(日) 00:15:02.09 ID:2EEGy8qF
『超』

超(ちょう)は、「〜を超える」を意味する接辞(接頭辞と接尾辞)である。中国語では動詞・形容詞としても働く。日本語でも形容詞とする説もあるがそうすると一般的な用例とそぐわなくなる。
漢語では本来は動詞で、「超える」「超えて行く」「飛び超える」を意味する。たとえば慣用句ののようにである。
現在の熟語に当てはめると、たとえば「超人」は「超&#12689;人」と返り点を打ち「人を超える」と訓読みできる。このような補足構造「動詞+目的語」の熟語を「接頭辞+語根」と再解釈することにより、接頭辞「超」が現れた。
その他の構造の熟語としては、
入超 :「入(輸入)が(輸出を)超える」の主述構造
超越 :「超える」と「越える」の並列構造
などがある。これらは接頭辞「超」としては解釈できない。
184774ワット発電中さん:2013/02/17(日) 00:16:29.98 ID:2EEGy8qF
日本の流行語「超(チョー)」

1980年代前半に主に男子小学生の間で、続いて1980年代後半から少女を中心にスラングとして単純な強意を表す用法が流行した。
一説には、新幹線を称して「超特急(特急を超越した列車)」との表現が、「とても速い列車」と認識されたことが、強意の用法の使用の増加の原因とされる。
元来の用法では、「超」のかかる品詞は超音波や超高層ビルなど名詞(形容詞性名詞、つまりいわゆる形容動詞を含む)に限られていたのに対して、チョーは名詞を初めとして形容詞や動詞にも用いられる。
超の使い方だが、1990年代初期には既に東京圏などを中心として大学生などの若者に多用されていた。
超がチョーになったのは、1990年代中盤から後半にかけてである。
1990年代後半では、「超の多用」がもう古いという認識も出ていた[誰によって?]が、結局は生き残った感がある。
なお、一般的には1980年代以降に流行した表現とされているが、1950年代後半から1960年代にかけても若者の間で多用されていた。
例えば、1968年の映画作品「温泉あんま芸者」では、「超すごい台風!」という表現が使用されている。このように、
過去存在していた出来事が世間から忘れられることによって新しい出来事であるかのように認識される現象を「ヒストリー・ポケット」と言い、
他には第二次世界大戦の終戦後に数年間使用された「クール・ビズ」などがある。
補足としては、接頭語であるとともに、副詞としても使われる。例:チョーかわいい、きもい、うざい、など。 上級向け: チョーやだ、チョー終わってる、など。
同義語として「激、げろ、超(ちょ)、デラ、めっちゃ」がある。

用例 [編集]
「中部大学4年のマイちゃんって知ってる?チョーかゎぃぃんだよ〜〜!」
「チョーベリーバッド」(後に略語としてチョベリバと変化した)
「バルトークのピアノソナタ弾いたことある?あれってチョームズいんだぜ〜!?」
「チョーイイネ!サイコー!」 - テレビ特撮ドラマ『仮面ライダーウィザード』で必殺技を繰り出す際にアイテム(ウィザードライバー)から発せれる音声。
185774ワット発電中さん:2013/02/17(日) 00:20:45.20 ID:2EEGy8qF
『超(ちょう、チョー)』

超(ちょう、チョー)とは、日本語における接頭辞・接尾辞の一種である。
超の用法を大きく分けると以下のようになる。
1.ある数量や基準を超えている、または逸脱していることを表す。 例:100万円超、超音速、超心理学
2.程度が甚だしいことを表す。 例:超高層ビル、超巨星、超キモい

特に2の用法に関しては、現代においては若者言葉としてよく使われている。流行り廃りの激しい若者言葉の中にあって、「超」は汎用性の高さもあってか比較的長く使われ続けている表現である。
また作品のタイトルなどで、(「程度が甚だしい」よりも更に漠然とした解釈で)「なんだか凄そう」な感じを表現するために用いられることもある(例:超魔界村)。これに似た用法は日本語における「スーパー」「ウルトラ」等の語にも見られる。
本来いずれの用法においても名詞と複合するのが原則であったが、若者言葉として広まると「超やべー」のように名詞以外のものと複合するパターンもごく普通に見られるようになった。
186774ワット発電中さん:2013/02/17(日) 00:46:16.42 ID:R3ZPiFIx
チョー文チョーうざいです。
187774ワット発電中さん:2013/02/17(日) 07:43:13.35 ID:i0vGB5Pu
超とかどうでもいいからFPGAを語れよ。
188774ワット発電中さん:2013/02/17(日) 10:21:17.36 ID:5BulSdQt
語れないからチョーチョー言ってるんだろ、察してやれよ。

て言うか、まずお前が語れよ。
189774ワット発電中さん:2013/02/17(日) 12:14:21.01 ID:MmSC7xk/
日立チョーLSIって会社には世話になった。
190774ワット発電中さん:2013/02/17(日) 15:24:24.65 ID:FvjtTWlB
チョリーッス!
191774ワット発電中さん:2013/02/17(日) 20:11:29.01 ID:QRPjKP1G
チョーFPGA,チョーFPGA,チョーFPGA
192774ワット発電中さん:2013/02/17(日) 20:31:06.91 ID:xyznarcq
オプティマイズにある、カメレオンUSBキットと ロジアナキット(4M)
無くなってる・・・orz
いつ入荷すんの?
193774ワット発電中さん:2013/02/17(日) 21:55:11.48 ID:1x6MQKlX
>>192
ページをよく読め
Spartan-2がもうディスコンだから入らないよ
ちなみに多分最後の1ケを買ったのは俺
194774ワット発電中さん:2013/02/17(日) 23:10:31.94 ID:dAPdCjzq
入荷未定って書いてある
195774ワット発電中さん:2013/02/17(日) 23:15:52.33 ID:g6ikDmJW
いまどきもっと高性能のがあるんだからそんなゴミを何時までも後生大事に使わなくても…
196774ワット発電中さん:2013/02/18(月) 02:30:33.59 ID:7MyAgXtR
>>185

 ニダーをあげる♪
        ♪      ∧,, ∧            ♪
♪        ∧∧∧,, ∧ ・ω・)
 イラナイ ∧∧/台\・ω・)   )      ニダーはいらない♪
   アル/ 支\^∀^)   )っ__フ   ♪    ∧,, ∧
  ∧,, ∧ `ハ´)   )っ__フ(_/ 彡    .∧,, ∧    )
 <*`Д´>   )っ__フ(_/彡    ∧,, ∧    )   )
 (っ  )っ__フ(_/彡    .∧,, ∧    )   ) Οノ
  ( __フ(_/彡   ∧,, ∧    )   ) Οノ ヽ_)
   (_/彡      <    >   ) Οノ 'ヽ_)
            (    )  Οノ 'ヽ_)
           (ゝ. Οノ 'ヽ_)      ♪
     ♪    ミ  ヽ_

 送還しましょ♪
         
                         そうしましょ♪
197774ワット発電中さん:2013/02/18(月) 08:40:21.31 ID:kQPfvEwx
>>175
> PLL、RAM、乗算器が入ってないと
乗算器がなくても、いまどきはツールがなんとかしてくれるんじゃねーの?
なんとかしてくれなくても、それはそれで勉強になるとは思うが。

> 超実用的プロ用デバイスだもん。
どっから出てきた?
198774ワット発電中さん:2013/02/18(月) 20:49:08.09 ID:0mGOgeOu
初心者ですが、うんざりかもしれませんが、基本的な質問をさせてください。

センサからの信号が20MHzのクロックにのってやってきます。
これを50MHzの信号処理モジュールで処理したいと考えております。
FPGA内で20MHzクロックで扱うデータ⇒50MHzクロックで扱うデータ
に渡すのは、普通にやることなんでしょうか?

またどの様にすればいいのでしょう?
ツールで生成するDual clock Fifoを使うのはナンセンスですか?
199774ワット発電中さん:2013/02/18(月) 20:51:44.65 ID:FT7S/gZT
>>198
リソースが余ってるならFIFOでいいんじゃない
200774ワット発電中さん:2013/02/18(月) 21:23:03.73 ID:R9jbJgdl
逆に聞くが、そのFIFOは何のためにあるんだ?
201774ワット発電中さん:2013/02/18(月) 21:24:50.29 ID:L8ghxAAC
>>198
普通にやるよ〜。
202774ワット発電中さん:2013/02/18(月) 21:46:46.79 ID:MWeKz+tC
FIFOなしでパラレルデータのクロック乗り換えするのって、
ちょっと思いつかないんだが、他に方法ってあるのかな
203774ワット発電中さん:2013/02/18(月) 22:14:32.50 ID:2BVJ+dR7
普通にやるけど、fifoなしの非同期伝送。
ただし、データ間がある程度あいてる場合に限るが。
パイプラインなんかはfifoかdpram使わないと無理。
204774ワット発電中さん:2013/02/18(月) 22:23:55.02 ID:6grpsRmD
インピーダンスミスマッチングだねぇ。
205774ワット発電中さん:2013/02/18(月) 22:41:29.26 ID:3rHsxpQo
結論としては、それが大正解
他に方法はありませんよってな具合だな
206774ワット発電中さん:2013/02/18(月) 22:41:50.12 ID:MWeKz+tC
>>203
データバス固定してストローブを"010"と送って2段受け+エッジ検出すればいいな
最速でも3サイクルに1回だけど
207774ワット発電中さん:2013/02/18(月) 22:42:07.65 ID:3rHsxpQo
>>203
どうやってやるの?
1ビット信号ならまだしも、多ビット信号をFIFO無しで扱えるもんか?
208774ワット発電中さん:2013/02/18(月) 22:59:11.44 ID:2BVJ+dR7
簡単にいうと、データのイネーブル信号を伝送元のクロックでラッチして
伝送先のクロックでエッジ検出。
規制中でケータイからなんでソース書くのは勘弁して…
209774ワット発電中さん:2013/02/18(月) 23:17:04.12 ID:R9jbJgdl
>>207
8ビットデータだったら32ビットなり64ビットなりに
並列にしてから渡せばいいだろり
210774ワット発電中さん:2013/02/18(月) 23:29:30.53 ID:MWeKz+tC
>>206
こんな感じか
reg [w-1:0] dat_a, dat_b;
reg stb_a; reg [2:0] stb_b;

always @(posedge clk_a) begin
 stb_a <= ???
 dat_a <= ???
end

always @(posedge clk_b) begin
 stb_b <= {stb_b[1:0], stb_a};
 dat_b <= (stb_b[1] && !stb_b[2])? dat_a : dat_b;
end
211774ワット発電中さん:2013/02/18(月) 23:30:46.54 ID:0mGOgeOu
当案件の質問者です。
皆様ありがとうございいます。
低深度のfifoを生成してやってて「ぜってぇ俺間違ってる!アホだ俺!」って思ってましたが、多くの方がされているようですね。

>>203さんの方法は、入力信号のクロックでトグルする信号を高い周波数でサンプリング・エッジ検出し、「エッジを検出した次のラッチは必ず新しいデータ」ということでしょうか。
つまり10MHz→50MHz伝送の場合、クロックは10MHzと50MHzで済みますが、
40MHz→50MHz伝送の場合、150MHzのクロックが必要となる感じでしょうか?
212774ワット発電中さん:2013/02/18(月) 23:36:39.59 ID:FT7S/gZT
FIFO以外にもいろいろ方法はある。
ttp://members3.jcom.home.ne.jp/zakii/digital/0_contents.htm
が、FPGAだったらFIFOでいいんじゃない。
213774ワット発電中さん:2013/02/18(月) 23:50:10.55 ID:MWeKz+tC
>>210>>212の「MUXシンクロナイザ」ページにある下から2番目の回路ね
>>203も多分同じのを言ってると思う
214774ワット発電中さん:2013/02/19(火) 00:02:46.69 ID:MWeKz+tC
クロック速度の関係を考えると「MUXシンクロナイザ」ページにある一番下の回路がいいな
あと、20MHz側の最高スループットは3サイクルに1回と言ったけど、2サイクルに1回が正しい
けどバス幅を2倍にワイド化変換すれば、20MHz毎サイクルのスループットも出せるな
215203:2013/02/19(火) 01:06:22.54 ID:Su4OXihg
信号ラッチして使えばサンプリング遅くても問題ない。
ラッチした信号は出力側に出てきたらクリア。

リアルタイム性にかけるし間隔あいてないと駄目だけど
入出力どちらのクロックが早くても使えるので便利。

あ、非同期なんでメタステ対策は必要ね。
216774ワット発電中さん:2013/02/19(火) 15:17:56.60 ID:hprTY6uF
いやぁ、楽しそうだな。こういう話題に早くついていけるようになりたいorz
217774ワット発電中さん:2013/02/19(火) 19:16:31.26 ID:tckKCfs+
メタステは、何段入れれば良いでしょうか?
218774ワット発電中さん:2013/02/19(火) 20:01:56.93 ID:tckKCfs+
CQのFPGA関係のセミナーが、ALTERAばっかりなんですが、
なんでXilinxでやってくれないんでしょうか?
219774ワット発電中さん:2013/02/19(火) 20:22:39.22 ID:CYQRkcqu
アルテラが提供してないからでしょ
220774ワット発電中さん:2013/02/19(火) 22:54:16.00 ID:uQwlNDHf
TerasicのDE2-115(Cyclone-IVボード)で、EPCS64がのってるはずのところにSpansionのEEPROMがのってました。
QuartusのprogrammerからはROM化できましたが、Eclipse for NiosのFlash Writerからは書けなくて、Terasicに問い合わせました。
Terasicからパッチファイルが送られて、これをフォルダにコピーすればできる、と連絡があり、その通りするとちゃんとEclipse for NiosからROM化できました。

AlteraFPGAのコンフィグROMってEPCSじゃなくても良いんですか?
SpansionのEEPROM、100円台(EPCS64は3,000円)で買えるんだけど、どういう位置づけで考えればいいんですか?
221774ワット発電中さん:2013/02/19(火) 22:58:31.11 ID:uQwlNDHf
補足:↑はシリアルEEPROM、S25FL064Pです。
222774ワット発電中さん:2013/02/19(火) 23:07:27.82 ID:FLejCA/9
SPI ROMでもいい
どういう挙動になるかはハードのモードピンでかわる
専用と比べるとJTAG直じゃなくて、一回専用のライターを書き込んで
間接書き込みで書き込む方法になる

Aの他にXも同じだよ
ただ専用の石の方がコンフィグが速いはず
223774ワット発電中さん:2013/02/19(火) 23:47:12.19 ID:uQwlNDHf
>>222
ありがとうございます。
Terasicからもらったパッチファイルは、明日書きますがテキストで数行で、
EPCSのセクタ数などが書いてあるだけでした。

SpansionのEEPROMはEPCSと動作がほぼ同じだから、QSYSの「EPCS Flash Controller」経由で読み書きできるのかな。
恥ずかしいことにEEPROM自体、使ったことないからよく分からん。
224774ワット発電中さん:2013/02/20(水) 01:33:43.44 ID:e2luJOvk
>>217
メタステーブル altera とか xilinxでググルと色々出てくるよ
テクノロジとクロックとMTBFで変わるけど、だいたい2,3段かな?
サーバーとかで使うスタセルだと5,6段叩いたりする
225774ワット発電中さん:2013/02/20(水) 02:08:47.71 ID:N6/BL2uC
通した段数分だけ、遅れが発生しますが、それはどのように考えれば良いのでしょうか?
非同期回路とのI/Fだと、その分スループットが落ちるのでしょうか。
226774ワット発電中さん:2013/02/20(水) 07:46:57.27 ID:KZxUY9QO
もちのろん
227774ワット発電中さん:2013/02/20(水) 07:53:46.76 ID:nn4KPeSM
スループットは落ちない。レーテンシーが落ちる。
228774ワット発電中さん:2013/02/20(水) 13:29:26.19 ID:tjBO+xg8
はてぶにfpga記事があってビックリ

セロクシカが金融に移行ってなんぞ??
229774ワット発電中さん:2013/02/20(水) 15:10:48.28 ID:tyUpr/3y
レイテンシは落ちるではなく増大するですよ
230774ワット発電中さん:2013/02/20(水) 17:48:03.64 ID:6XCHPEgm
>>228 高位合成を商売にしてたのをやめちゃってた、という文脈
231774ワット発電中さん:2013/02/20(水) 23:03:37.81 ID:o/9R9VwD
先輩方に質問ですが、LVDSの保護回路ってどうすればいいんですか?

FPGAから直接LVDSで外部機器と信号のやり取りすることを考えてます。
1対で100〜200Mbpsくらいです。
LVDSレシーバICやドライバICはコスト、大きさの制約から使わず、FPGAから直接やりたいです。
通常外部モジュールと信号をやり取りする部分は、
抵抗、フェライトビーズ、ダイオード、バリスタ、アレスタ等でサージ対策するものだと思うのですが、
LVDSでも同じ様にすればいいのでしょうか。

あんまりすごいの入れると信号が訛りそうだから、2〜3Ωの抵抗かフェライトビーズくらいしか現実的じゃないですか?
そもそも、LVDSとするにはそれもしちゃダメなのかな。
232774ワット発電中さん:2013/02/20(水) 23:13:58.09 ID:6FOpm0RB
>>231
保護専用のICがあるでしょ
233774ワット発電中さん:2013/02/20(水) 23:14:47.67 ID:uyjUvK3D
>>231
高速回線の保護は悩ましいね。
・データに影響を与えるノイズに対する対策
・DC的な天絡、地絡の対策、
・外部から電圧が印加されたらどうするか、の対策

>1対で100〜200Mbpsくらいです。
200Mbpsだと、1周期5ns、2.5ns+2.5nsなので、過渡期を2.5nsの20%と見て0.5nsで↑↓。
下手な容量が付くだけで、もう×だよね。

LVDSレシーバICやドライバICのデータシートに書いてないだろうか(未確認)
234774ワット発電中さん:2013/02/20(水) 23:32:00.81 ID:qpZ4ixpb
USBやHDMIで使える保護ICを探してみ
235774ワット発電中さん:2013/02/21(木) 00:28:41.84 ID:cuqIlf9/
皆様ありがとうございます。
LVDSに対応した保護専用チップ見当たらないっす。
LVDSドライバ/レシーバICは「±15kVまでのESD保護」とか謳ってて魅力的だけど、コストと大きさが厳しいっす。

昔何かの展示会でLVDS出力の産業機器があって、メーカーの人にどうしてるか聞いてみたけど、
「FPGAから出して何も保護してないけど一度も壊れたことない」って言ってました。
でも何も保護しないのは不安。
やっぱりダイオードの様なクランプ素子でどんだけ信号品質劣化するか実験しようかな。
236774ワット発電中さん:2013/02/21(木) 05:53:40.54 ID:tWyTj4cI
>>235
TVSでググッてみて。
トランジェントボルテージサプレッサ
それ用の素子が出てくると思う。
237774ワット発電中さん:2013/02/21(木) 09:21:21.60 ID:TC2xO+xa
FPGAでも2kVくらいはESD保護されてるから
普通に使う分には大丈夫じゃね?
238774ワット発電中さん:2013/02/21(木) 10:44:16.47 ID:Jx+sHjxc
239774ワット発電中さん:2013/02/21(木) 20:08:18.11 ID:vOw8iuVj
2kVじゃ普通に使うぶんにも物足りない気がするが
240774ワット発電中さん:2013/02/21(木) 22:04:32.48 ID:bA0wsPOY
Xilinxのタイミング制約のかけ方の例が少なすぎる

SPIとか 分周したCLKに対するデータ出力のTcoを制約するやり方が分からない。
241774ワット発電中さん:2013/02/21(木) 22:17:09.72 ID:3hpztkue
制約って、クロック周波数とIOくらいしか思いつかない・・・
他の制約ってみんな何指定してる??
242774ワット発電中さん:2013/02/21(木) 22:24:44.20 ID:q7CGiOLh
>>241
プリミティブの配置制約とか
243241:2013/02/21(木) 22:29:05.69 ID:3hpztkue
マニアックすぐるw
配置・配線は、み〜んなツールまかせっすw
244774ワット発電中さん:2013/02/21(木) 22:31:47.70 ID:q7CGiOLh
それで間に合うなら別に良いのでは
245774ワット発電中さん:2013/02/22(金) 20:25:30.71 ID:N5cMnF+o
FPGAとArduinoとRasberryPIの違いと、FPGAを勉強する理由
http://d.hatena.ne.jp/nowokay/touch/20130218#20130218fn1
246774ワット発電中さん:2013/02/22(金) 20:39:26.51 ID:N5cMnF+o
CPUはオワコン
http://d.hatena.ne.jp/nowokay/touch/20130219

FPGAでエクストリームなコンピューティングの会
http://d.hatena.ne.jp/natsutan/touch/20130220/1361319730
247774ワット発電中さん:2013/02/22(金) 20:45:31.81 ID:uHY6D/U5
LVDSの保護回路について質問したものです。
色々と保護デバイスがあるのですね。
何を調べてたんでしょう、私。恥ずかしい限りです。
ありがとうございました。
248774ワット発電中さん:2013/02/22(金) 21:01:24.69 ID:Wi17y+m1
ISE13.2のGUIからISimタイミングシミュレーションを起動させる方法が分からないのですが教えていただけませんか?
ファンクションシミュレーションは出来ているのですが、、、

SDFは作れました。
よろしくお願いします。
249774ワット発電中さん:2013/02/22(金) 21:40:14.00 ID:1d//hwhC
>>245 >>246
なんかすげー素人くさいなーと思ってしまった。まぁ、趣味で遊ぶなら否定しないけど。
Webだのクラウドだのスマホだのチャラい感じの世界の上辺だけ滑ってきた感が満載。
目的がはっきりしていなくて技術とかガジェットから入るとこんな感じだよねぇ。
250774ワット発電中さん:2013/02/22(金) 21:59:53.61 ID:hsxUggce
>>249
どちらかと言うとガジェット系の人じゃなくて、ハードがソフトでかけることに可能性を感じてるソフト屋さんて感じ。
251248:2013/02/22(金) 22:15:34.70 ID:Wi17y+m1
>>248

失礼しました。
BehaviorからPost-Routeに切り替えれば良かったんですね。
252774ワット発電中さん:2013/02/22(金) 22:46:13.74 ID:1d//hwhC
>>250
なんとなくFPGAを魔法のデバイスみたいな感じにとらえてる印象。
適材適所とか費用対効果とかって言葉ガン無視した感じなんで趣味ならーって書いたんだけど
本音は俺が昔FPGAとは何ぞやと思った時と同じ空気感なんでスゲーモヤモヤしてるだけw
253774ワット発電中さん:2013/02/22(金) 23:18:13.52 ID:N5cMnF+o
CPU、GPU、DSP、そしてFPGA
http://d.hatena.ne.jp/nowokay/20130221
254774ワット発電中さん:2013/02/22(金) 23:39:55.36 ID:1d//hwhC
>>253
まさにそれの事を言ってるんだけどね。I/Oがネックになるのは正しいよ。
ただ、それをFPGAだのDSPだの使ってどうすんのさって話。I/Oと言われている部分が
何かってのを本当に理解しているのか、それをそういうハードで処理させる事はどういう
事なのか、そもそも実装するのにどれだけコスト(金じゃねーぞ)がかかるかという話が
分かっていない気がする。なんか技術用語だけ知っているSIerな印象。

まぁ、これがネットワーク用のスゲー高速だかインテリジェントなスイッチだかロードバランサ
作りますとか、すげーディスクコントローラ開発して商品化しますって話を本気で考えているなら
応援するケドナ。俺的にはその前にもっとやる事あるだろと思ってしまうナァ
255774ワット発電中さん:2013/02/23(土) 15:25:41.01 ID:ldqhXQMj
http://d.hatena.ne.jp/kazunori_279/20130107/1357521548
アメリカだと金融で遊んでるんだな
羨ましい
256774ワット発電中さん:2013/02/24(日) 11:18:04.33 ID:f6k2o2Xi
SPIスレーブインターフェース舐めてたわ…。

FPGAにSPIスレーブ入れてMASTERと通信するのよ。
FPGA側は内部100MHz動作なので余裕だと思ってたんよ。
SCK25MHzぐらいはさくっと行くべさ、って思ってたんよ。

SPIって、最終ビットにおいてはSCK立ち上がりで受信データが
確定した後、そのSCK半周期後には返すデータを確定しなきゃ
いかんのな。25MHzの半周期って、50MHzじゃん、20nsecじゃん、
100MHz2発ないじゃん。行って来いの配線遅延が10nsecはあるし…。

舐めてたわ…。

というところで色々試行錯誤して今はSCK=12.5MHzで納得したんだが、
ISEが「SCKピンにCLOCK_DEDICATED_ROUTE=FALSEつけないと通さない」
って言うんだが、具体的にこれどういう状況で どうすると良くて そうなると
何がよくなるの? ものはスパータン3です。
257774ワット発電中さん:2013/02/24(日) 13:47:33.28 ID:JqmheVi3
>>256
それはクロック専用またはクロック汎用ピン以外にクロック割り振っていて
クロック用の配線リソースじゃなくて汎用の配線にするってことを認める制約を追加しろって意味

根本的にはSCKをクロック用のピンに入れれば良いし、無理ならその制約を追加する
タイミング的には不利になるが制約が満たせるなら問題ない
258774ワット発電中さん:2013/02/24(日) 15:59:33.08 ID:diqkrUuT
CPLDでSPI使おうとアルテラからサンプルをダウンロード、コンパイルしてみた
計画中のMAXII EPM240だと、SPI I2Sだけであふれた ワロタ
259774ワット発電中さん:2013/02/25(月) 11:15:02.06 ID:YWLTGpxE
>>256
昔、財の2018で27MHzのSPIやった事あるけど問題なかった。配置配線は手だけどな。
260774ワット発電中さん:2013/02/25(月) 11:16:51.27 ID:YWLTGpxE
間違えた、クロックが21.47727MHz
261774ワット発電中さん:2013/02/25(月) 12:01:32.36 ID:YPhG4ow2
半周期後には返すデーターを確定ってw
根本的に使い方間違ってないか?
262774ワット発電中さん:2013/02/25(月) 14:38:30.46 ID:/Q3vG5ty
>>259
スゴイですね。手配線ですか。
FPGAエディタを使ったのですか?
263774ワット発電中さん:2013/02/25(月) 14:53:19.32 ID:jyoAxdqf
PLD ならハンドアセンブルするよな
264256:2013/02/25(月) 15:41:25.15 ID:pSGa4Lxi
>>257
ありがと。
SCKにはGCLKピンを割り当てろってことでいいのかな。

>>261
1,2バイト目でアドレスもらって3バイト目でリードデータを返す仕様
だったんだが、3バイト目お休み、返すのは4バイト目からに変えた。
ちなみにライトは25MHzまで動いた。

>>260
21MHzで全回路が閉じてれば比較的シンプルなのかも。
別回路の100MHz同期回路と受け渡しする必要があるので。
…まてよ、SCKドメインと100MHzドメインの切り分けを変えて
やり取りはぜんぶHLのレベル信号でやれば周波数は
バイト単位(もしくはその半分)の変化に落とせるな…、
考えてみよう。
265774ワット発電中さん:2013/02/25(月) 16:24:18.68 ID:L47nlR0X
ライトはどうでも良いと思うけど、リードの方はその通りダミーサイクル
入れないとダメだと思うよ
266774ワット発電中さん:2013/02/25(月) 16:34:54.24 ID:psNsI3xt
>>262
xactのEDITで。
自動配線も出来たけど、最終的にシビアに追い込むのは手配線。
シフトレジスタなんか、クロックの配線方向で動かなかったりして面白い。
267260:2013/02/25(月) 16:43:05.74 ID:psNsI3xt
>>264
SPIなんだから、外部との通信に使うのが普通でしょ。
その時はTIの32015
268774ワット発電中さん:2013/02/25(月) 16:51:25.06 ID:psNsI3xt
途中で書いてしまった。

その時はTIのTMS32010に繋げてた。
>>265も書いてるけど、設計がまずいよ。
クロックがあれば、スレーブからはデーターが出力される事を考慮して
コマンドを設計するべき。俺ならダミーサイクルは勿体無いから使わないな。
269774ワット発電中さん:2013/02/25(月) 20:11:39.32 ID:ch5KVON1
FPGAに興味を持ちまして勉強しようと思うのですが、
いかんせんプログラムもやったことのない超初心者なので以下、教えて頂ければと思います
・超初心者でも分かりやすいFPGAの参考書
・OSはWin(7or8)とLinux(Ubuntu)どちらが良い?
(今使ってるPCはMacなのですがOS Xじゃ無理ですよね?WinかLinuxどちらかをBootCampで入れようと思ってます)
・学習用サンプルボード、開発環境

因みにデジタル回路もこれから勉強するところです
よろしくお願いします
270774ワット発電中さん:2013/02/25(月) 20:14:44.54 ID:9fM5KyTG
>>269
DE0 nano
271774ワット発電中さん:2013/02/25(月) 20:19:17.53 ID:OY6lvwi+
>>269
一次代理店がやってるベンダーのセミナー
全くわからないところからの入門コースもある
272774ワット発電中さん:2013/02/25(月) 20:23:48.34 ID:OY6lvwi+
>>264
GCLKでも良いけど、CCピンとかない?
S3はうろ覚えだが
273774ワット発電中さん:2013/02/25(月) 20:44:37.61 ID:ch5KVON1
>>270
レスありです
1万円近くするとは結構高いですね
買ってみます
274774ワット発電中さん:2013/02/25(月) 21:06:32.47 ID:f4GWrArF
>>269
本題とは関係ないとこだが、
> いかんせんプログラムもやったことのない
プログラムは知らないほうがいい。
ヘタに知っていると、考え方の違いに戸惑い、理解の邪魔になる。
275774ワット発電中さん:2013/02/25(月) 21:12:14.03 ID:MseiyXgf
>>273
まてまて、早まるなw
学生で時間が有り余っているとかで無い限り
デジタルのデの字も判らないようなら金払ってでも
誰かに教えてもらった方が良い。>>271に同意
何らかの目的のためにFPGAを勉強したいのならなおさらだ

あとそう言う書き方をしていると言うことはアナログ回路、エレクトロニクスの
教養はあるのか?
まぁそれならFPGAを独学でというのはありかもしれないが

>>271
今はそこまで親切なセミナーもあるのか・・・
参考までに何処がやっているセミナーか教えてくれると嬉しい
276774ワット発電中さん:2013/02/25(月) 21:45:27.00 ID:OY6lvwi+
>>275
俺は下地があったので受けてないが、東京エレクトロンがやってるXのセミナーで
入門ってやつ
Xが教材作っていて教師もトレーニングしてるから最低限の質はある

東京エレクトロン以外でも代理店ならやってるはず
277774ワット発電中さん:2013/02/25(月) 22:46:53.88 ID:ch5KVON1
>>271>>276
まだ視聴してないのですが休日じっくり↓視聴しようかと思ってます
http://www.altima.jp/seminar/online/index.html
セミナーとはこれでしょうか?独学じゃキツイかなと思い少しでも学べるようなところないかなと少し前にググって凄く良さげだったので一応保存してました
ただ九州住みなのでセミナー受けに行くのが困難で(´;ω;`)ブワッ
なんとか行ける方向に持っていくつもりではいますが...
このセミナーの中で取り敢えずで良いのですがどれ受けたほうが良いでしょうか?
http://ppg.teldevice.co.jp/m_training/training-menu.htm
>>274
なるほどそいうものなのですね了解しました
>>275
>あとそう言う書き方をしていると言うことはアナログ回路、エレクトロニクスの教養はあるのか?

すいませんありません(´;ω;`)ブワッ
あと社会人なので暇を見つけて只今、電気電子の本など読んだりして目下勉強中です
278774ワット発電中さん:2013/02/25(月) 22:50:34.03 ID:ch5KVON1
あっ入門編の言語セミナーだと福岡会場でもやってるのかあ
見落としてましたw
279774ワット発電中さん:2013/02/25(月) 23:57:14.74 ID:/XvV/B5s
いつぞや誰かがリンク貼ってくれた↓のページ。
http://members3.jcom.home.ne.jp/zakii/index.htm
かなり勉強になってます。作者は誰?
280774ワット発電中さん:2013/02/26(火) 00:23:22.12 ID:Zyxsigxg
>>277
FPGAをやりたいなんて奇特なヤツだな。
このスレの人たちが全力でサポートするからがんばれ。
281774ワット発電中さん:2013/02/26(火) 00:27:10.31 ID:VF2wdw1Q
なぜいきなりFPGAってことになったんだろうな。
282774ワット発電中さん:2013/02/26(火) 00:33:43.60 ID:AP4CX/EL
>>280
あざっすその時はよろしくお願いします
早く皆さんの会話について行けるよう頑張ります
283774ワット発電中さん:2013/02/26(火) 02:39:33.49 ID:51cXHzkx
安いやつということで、秋月のmachxo2-1200ze 評価ボード \2600でも
紹介と思ったら販売してなかった。売り切れ?
284774ワット発電中さん:2013/02/26(火) 02:48:42.34 ID:ikngbM8u
CPLDはかえって難しくね?
285774ワット発電中さん:2013/02/26(火) 12:14:12.87 ID:wXJ8DcEl
同期設計の基本を知るにはCPLDのほうがいいと思うよ。
286256:2013/02/26(火) 19:07:00.73 ID:F36T6MVj
SPIスレーブネタ最終報告

ダミーサイクル入れて1バイト分ずれているので、
返すデータのMISOを更新するタイミングを、SPIの規格からは
微妙に外れるけどマスターの取り込みと同時のSCK立ち上がりにして
前に半周期ずらしたら25MHzでもリードできるようになりました。
とりあえず満足。
287774ワット発電中さん:2013/02/27(水) 22:46:34.80 ID:U1TmCdSK
次は iic に挑戦だな。
288774ワット発電中さん:2013/02/28(木) 03:54:56.18 ID:hFoQ+KBs
SPIモードのシリアルフラッシュだってデータリードまでのダミーサイクル設けてるのにダミー無しで半クロックでデータ返すなんて気違いじみた仕様にすんなぁw
289774ワット発電中さん:2013/02/28(木) 14:23:22.54 ID:W1MCBdqC
>>288
まあまあ。長い文章、たまには点を打とうよ。読みにくい。
290774ワット発電中さん:2013/02/28(木) 16:23:20.68 ID:netagHFh
>>287
なんか遅そうだなw
291774ワット発電中さん:2013/02/28(木) 17:45:26.57 ID:heFzalZy
>>287
何故かApple//cを想像した俺。FPGAだし・・・1chip Apple][やっている人いるし・・・な・・・。
iicとか書くよりI2Cの方が馴染みあるよなーとも思う。
292774ワット発電中さん:2013/03/01(金) 20:36:56.84 ID:/Oiol2Mw
Al、14nはintelでやるみたいだな
Xiはどうするんだろ
293774ワット発電中さん:2013/03/01(金) 23:08:48.99 ID:zAigydon
追従してもらわんと困るw
294774ワット発電中さん:2013/03/02(土) 12:47:09.50 ID:KOEXdUgg
GFかSamsungじゃね
TSMCは14n遅いから
295774ワット発電中さん:2013/03/02(土) 12:56:38.11 ID:ItkmglzN
Intel最強だよ。
単なるプロセス以上にトライゲート、近しきい電圧、RF統合・・・。
他ファウンダリはこれらに迫れなければ終わる。
296774ワット発電中さん:2013/03/02(土) 13:30:24.34 ID:hzzRTL+F
先輩方、質問させてください。お願いします。

QSYS始めてみました。
NIOS-IIのリセットベクタについてなんですが、
通常、JTAGデバッグ時にはプログラムメモリ(内臓RAMや外付けSDRAM等)を指定、
ROM化時にはフラッシュ(EPCS等)を指定するんですよね。

JTAGデバッグとROM化を切り替える際、毎回、
リセットベクタ変更→QSYSをgenerate→FPGAをコンパイル
しないといけないのでしょうか。

また何故か、リセットベクタを変更すると、eclipseの「generate bsp」でエラーが出てしまい、bspのアップデートが出来ないため、
JTAGデバッグ用のeclipseプロジェクト、ROM化用のeclipseプロジェクトを二つつくり、NIOSのC言語で書いたコードを毎回コピーして使ってます。

私のやり方、間違ってますか?
297774ワット発電中さん:2013/03/03(日) 18:59:32.97 ID:bQ6sAi01
>>296
間違ってる
リセットベクタは変えなくていい
298774ワット発電中さん:2013/03/07(木) 01:19:09.03 ID:vVl7RQDY
マイクロブレーズのトレーニングに行きましたが、
チンプンカンプンでした。なんと面倒な、なんと難しい。
慣れれば出来ますかね?
ていうか、みなさん、あれをやってるんですよね?
299774ワット発電中さん:2013/03/07(木) 01:46:33.94 ID:ptCLElP1
必要ないなら使わなくてもいいんじゃ
300774ワット発電中さん:2013/03/07(木) 06:39:28.23 ID:a+KbTz9x
>>298
そんなトレーニングあるんだ?
参考までに詳しく教えてケロ
301774ワット発電中さん:2013/03/07(木) 11:13:08.07 ID:fxO4ogbl
>>299
必要だから行ったんじゃないのか?
302774ワット発電中さん:2013/03/07(木) 16:46:02.54 ID:ViKZR7Gi
>>299
金払って全然習得出来てないんじゃ、意味なし。
君は退職していいよ。
代わりに俺を雇ってくれ!
303774ワット発電中さん:2013/03/07(木) 16:46:42.98 ID:ViKZR7Gi
>>299 -> >>298
スマソ
304299:2013/03/07(木) 16:48:47.96 ID:ptCLElP1
俺かよw
305774ワット発電中さん:2013/03/07(木) 21:25:08.79 ID:vVl7RQDY
ちまたに、これだけFPGAの本が溢れているのに、MicroBlaze関係の入門書は
まず見かけないね。なんでだろう。難しいのか。
306774ワット発電中さん:2013/03/07(木) 21:33:23.45 ID:JCywxDBW
>>305
ついこの間まで有料だったから無料簡易版ができやっと使う機会ができた
307774ワット発電中さん:2013/03/07(木) 21:37:17.18 ID:ptCLElP1
MCSだっけ
簡単な制御をソフトでやるための奴
308774ワット発電中さん:2013/03/07(木) 22:20:32.05 ID:vVl7RQDY
>>306
>無料簡易版ができ
Micro BlazeのEDKとかの無料版?
309774ワット発電中さん:2013/03/08(金) 00:21:19.22 ID:mBsHv75l
明らかにスレ間違えてるから仕方ないから誘導してやる
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 18
http://uni.2ch.net/test/read.cgi/denki/1359245319/
310774ワット発電中さん:2013/03/08(金) 00:22:23.34 ID:mBsHv75l
誤爆スマン
311774ワット発電中さん:2013/03/08(金) 00:41:26.41 ID:VIKFbhtf
誘導されて来ました。

教えてください。

ISE14.1でucfファイルの記述についてですが、

NET CLK LOC="P10" | IOSTANDARD = LVCMOS33;
とorで繋いで書いても、PlanAheadというありがたいソフトに、
バラバラにされてしまいます。

バラバラだと修正のときに2カ所も修正しなければならず、煩雑です。
1行でorで繋いで書きたいのですが、そのようなことは出来ないでしょうか?
要は、PlanAheadで読むのはいいけど、勝手に変えるなよ、という指示がしたいのです。

また、PlanAheadでucfを記入すると、これまた、複数の行になって生成されます。
これを、以前のPACEのように or で繋いで出力させる方法は無いでしょうか?

もちろん、ucfを自分で編集する前提です。
一番最初に生成するときは、PlanAheadで入力して、
その後の追加削除は、自分でucfを直接さわる、みたいな。

その「一番最初にPlanAheadが生成したucf記述」がタコなのでイヤだ、という話です。

ucfの中身も順番を整えたり、コメントを付けたり、自分流に表現したいのに、
PlanAheadが余計なことをしてくれるのです。
312774ワット発電中さん:2013/03/08(金) 01:57:24.67 ID:/z6c5sat
UCFが確定した段階でReadOnlyに変えちゃうとか。
313774ワット発電中さん:2013/03/08(金) 02:00:44.47 ID:WdjcTwt4
PlanAheadには複数のucfが登録できて、そのうち一つに(target)ってのが付くはず。
勝手に書き換えるのはこの(target)だけじゃなかったっけ?
top.ucf(target)
top_pin.ucf
top_timing.ucf
みたいな構成にするとどうなる?しばらく触ってないから忘れてる…
314774ワット発電中さん:2013/03/08(金) 10:33:59.02 ID:89a3zOh5
>>305
あふれてるほどあるか?
しょーもないHow-to本ばかりだけど

MicroBlazeの解説が無いのはツールが有償だからだろうね
無償でもつかえるMCSはイマイチ使いづらいし
315774ワット発電中さん:2013/03/08(金) 10:56:45.90 ID:Dewpv1Ae
picoBlazeなんて、もっとないよ。
316774ワット発電中さん:2013/03/08(金) 10:58:57.29 ID:CkG25A8Q
正規版のマイクロブレーズって、そんなに使いやすいですか?
あっちのアプリ、こっちのアプリ、と渡り歩かないといけないし。
操作が面倒じゃないですか?
317774ワット発電中さん:2013/03/08(金) 11:07:01.45 ID:89a3zOh5
XPSはチョー使いづらいね
GUIがクソだし、バグも多い
俺はなるべくMHSを直接変更してる
318774ワット発電中さん:2013/03/08(金) 11:23:53.40 ID:4mZYEgBL
そこはZMRだな
319774ワット発電中さん:2013/03/08(金) 12:02:12.91 ID:hpRBdtjj
やはりこういう書き込み見てるとAの方が環境は良いんだなと思ってしまう。LatticeとかMicrosemiはどうなんだろ?
320774ワット発電中さん:2013/03/08(金) 12:09:58.96 ID:89a3zOh5
何度も書いて申し訳ないが、Xilinxのツールは全部クソだ
VivadoはSpartan-6で使えないから知らない
まともなのは生成されるビットストリームだけ
そのビットストリームも14.3では日本語環境ではちょっとした不具合があった
321774ワット発電中さん:2013/03/08(金) 13:33:31.20 ID:s7BEIgy6
> そのビットストリームも14.3では日本語環境ではちょっとした不具合があった
kwsk
322774ワット発電中さん:2013/03/08(金) 13:41:01.86 ID:VIKFbhtf
>何度も書いて申し訳ないが、Xilinxのツールは全部クソだ
なんか、皆さんそう言いますよね。
思い切ってAに転職しようかしらん。
年間100個も使わないお前の会社なんか相手にしてねーよ、ってことかな→X

少なくても、Niosの講習会やセミナーはCQ出版でもやってるし、本も充実してる。
要は、良く出来てるってことかなぁ。
なんか、イラついてきた。
323774ワット発電中さん:2013/03/08(金) 14:46:15.84 ID:89a3zOh5
>>321
ttp://japan.xilinx.com/support/answers/52700.htm
あくまでちょっとした不具合だよ
生成された物は問題無く使えるがエラーで×が表示される
14.4では直っていた

>>322
転職なのか?
年間100も使わないならXilinxの方が安く手に入れやすいと思うけど

デバイスは全く悪くないと思うよ
ツールがクソなだけ
Xilinxは玄人が玄人向けに作ってる感じで、Unixの世界に似てる
Alteraはその辺丁寧だと思う
324774ワット発電中さん:2013/03/08(金) 14:49:42.88 ID:uS/GvtE/
Xのツールはコマンドラインで使えばいい
GUIで使うもんじゃない
325774ワット発電中さん:2013/03/08(金) 14:52:47.44 ID:knWl33Js
遊びだけど久々に CPLD 使った回路設計してるんで調べてみたら
アルテラがずいぶん庶民的になってないか。Linux 用ツールも割としっかりしてるみたいだし。
ザイリンクスは書き込みツールがよくないとか。
しばらくはアルテラ使うか。
326774ワット発電中さん:2013/03/08(金) 18:39:00.09 ID:WdjcTwt4
現状ARM内蔵FPGAの評価ボードとなると実質Zynqしか無いのでX使うしかない。
SoC FPGAが使いたいけど、まだ簡単に入手できないし、ソフト開発環境が有償だし…

けどXのバグの多さは参るね
バグというか、実はテストしてないんでしょ?>X
誰でも使うはずの機能に不具合持たせたままリリースって…

ツールはAの方が良いけど、少量のデバイス供給はXの方が良いんだよな〜
Aは大口顧客以外の扱いがぞんざいな感じだ。

Microsemiは合成はSynplify、シミュレーションはModelSim、デバイスの種類もそこそこ
って割り切ってるのもあって、Xよりずっと素直だと思う。
327774ワット発電中さん:2013/03/08(金) 18:59:23.01 ID:VIKFbhtf
>バグというか、実はテストしてないんでしょ?>X
「これはISE1x.xで修正されます」って書いてあるけど、
直っていない事ありません?
販売台数を上げることが第一の、どこかの自動車メーカーと同じで、
次から次へとデバイス出し過ぎだと思う > X

Spartan3で十分なので、ISEをいつまでも使い続けることになるけど、
ちゃんとサポートしてくれるかな。
328774ワット発電中さん:2013/03/08(金) 19:35:25.90 ID:n69+npcO
>>326
丁度調べてたところだったのでタイムリー。
SmartFusionシリーズの開発環境って最初の一年以降は開発環境有料であってる?
Digikey辺りみると1年ライセンスが10万近いから買うとなると個人にはキツイなぁ・・・。
ボードもう一枚買ったらフリーライセンスもう一年とかならんかなw
329774ワット発電中さん:2013/03/08(金) 22:04:15.70 ID:ksfR77dQ
>>316
微妙としか言えないな
330774ワット発電中さん:2013/03/09(土) 00:10:15.08 ID:6Y4ELihh
「技術者なら英語読めなきゃ」なんて言われる。
確かに英語版のドキュメントが、一番正確な情報だとは思う。それは認めるよ。
だけど、情報量として、日本語版に勝てるハズがないと思う。
何もわからない人間が、最初に情報を知るには、多少のミスがあろうとも、
日本語の取説の方がいいに決まってる。アルテラの充実度を見習って、
MicroBlazeの日本語の純正取説pdfを出して欲しいよ。

キーワードでググると、MicroBlazeについてのページが ちらほら引っかかる。
早速見に行くと「新しく○○がリリースされたので、やってみた」みたいな、
知ってる人が、知ってる人向けに書かれたページがほとんど。
331774ワット発電中さん:2013/03/09(土) 00:34:15.70 ID:87Vzfhvx
日本語の方が情報量がある?単にお前が理解できないだけだろ。情報量は向こうの製品なんだから英語の方が多いに決まってる。何をどう理由をつけても英語の資料が一番なのは変わらないよ。英語勉強しな。
332774ワット発電中さん:2013/03/09(土) 00:49:39.30 ID:jzQLADZK
日本語ドキュメントは取っ掛かりにはいいけれど、訳が怪しいとか、情報が古いんじゃないか
とか感じたら原典にあたる。
専門用語だけだからキーワード拾ってくだけでも読めるしな。
333774ワット発電中さん:2013/03/09(土) 00:49:59.99 ID:QCePuMky
>>328
勘違い。
1年たったので更新してそのまま使ってる。
一年以降は有料のソースはどこ?
334774ワット発電中さん:2013/03/09(土) 01:09:13.32 ID:6Y4ELihh
>>332
>日本語ドキュメントは取っ掛かりにはいいけれど、
ですよね。最初は日本語のほうがいいと思うです。
もちろん、分かってきたら英文のを見ますけど。
335774ワット発電中さん:2013/03/09(土) 01:21:20.10 ID:jzQLADZK
>>334
まあ、日本語の資料で読むことは多くないかな。
TI のデータシートは日本語版から読むけれど、他社の石は英語版だけしか読まないな。
336774ワット発電中さん:2013/03/09(土) 01:38:15.50 ID:I9O1um79
>>333
マジですか。ソースとかは無くて一年間って期間の制限付いてるからその後は有償なのかと勝手に思ってました。情報サンクス!
337774ワット発電中さん:2013/03/09(土) 02:50:20.19 ID:cYEs0JmX
>>330
>知ってる人が、知ってる人向けに書かれたページがほとんど。
ブログはだいたいそんなもんだ。
基本的に「俺はスゴイだろ」と言いたいだけだから、自分の目線でしか書けないものなんよ。

ISEの簡単な使い方 なんて、いくつかあるけど、本当に「簡単なこと」しか書いてない。
この場合は、詳しく書くのが面倒なんだろうね。
338774ワット発電中さん:2013/03/09(土) 02:51:21.41 ID:DN3cFOCm
チュートリアルで良くね
339774ワット発電中さん:2013/03/09(土) 04:57:45.43 ID:87Vzfhvx
>>338
だよね。メーカーがちゃんとドキュメント用意しているのにそれを読まないで確度も集積度も低いblogとか読んで迷走とか時間の無駄以外の何物でも無い。ググればなんでも情報が手にはいると思い込んでる現代病な気がするな。
340774ワット発電中さん:2013/03/09(土) 07:39:55.31 ID:q7LnvS48
営業技術を呼びつける。
341774ワット発電中さん:2013/03/09(土) 08:18:52.06 ID:3RepGMBl
英語分からん。
日本語でおk、っていつも思うw
342774ワット発電中さん:2013/03/09(土) 08:36:07.68 ID:xg4rmNb1
20nm でも一世代先へ
http://japan.xilinx.com/about/generation-ahead-20nm/index.htm

もう次のが出るのか
343774ワット発電中さん:2013/03/09(土) 08:50:50.94 ID:3RepGMBl
20nmってことはIntel以外か。
間に合うのかよw
344774ワット発電中さん:2013/03/09(土) 10:54:23.36 ID:cYEs0JmX
>>339
>だよね。メーカーがちゃんとドキュメント用意しているのに
用意してるのは読むよね、普通。

でも、それが無いのはどうするよ? 英語の例えば600ページを読むのかい?
345774ワット発電中さん:2013/03/09(土) 10:58:50.22 ID:lKESTCRw
>>344
こういう関係のドキュメントが英語んて日本のメーカーでも普通だし
1000ページだろうと必要なら読むし
346774ワット発電中さん:2013/03/09(土) 11:11:33.99 ID:JdRlkTle
技術系のドキュメントは図が多い、文章はそれほど多くない
1000ページぐらいなら楽勝w
347774ワット発電中さん:2013/03/09(土) 12:59:56.39 ID:6Y4ELihh
>>345
>1000ページだろうと必要なら読むし
俺だって読むよ。英語版は読まないとは言っていない。
日本語版を出すべきじゃないのか、と言うことさ。
展示会で小豆色のベスト着てボーッとしてる暇があったら、
和訳したらどうよ、って感じ。
Aはキッチリ日本語訳してるのに、Xにできない訳はないだろう。
348774ワット発電中さん:2013/03/09(土) 13:04:49.12 ID:lKESTCRw
そんな奴の訳したドキュメントなんて信用出来ねえからいらねえけどなw
349774ワット発電中さん:2013/03/09(土) 13:16:08.19 ID:tVvJcYPE
Aのドキュメントの日本語訳がきっちりしてるって笑うところか?
機械翻訳に毛が生えたぐらいだろ
350774ワット発電中さん:2013/03/09(土) 13:52:09.22 ID:6Y4ELihh
いやいや、Aは日本語化に向けて努力しているということだよ。
Xは小豆色のチョッキを着るのに忙しいみたい。
351774ワット発電中さん:2013/03/09(土) 15:10:36.32 ID:tVvJcYPE
Xに比べてAがそんなに褒めるほど良いか?
xも日本語用意してるし、どちらも複雑なドキュメントは英語のみじゃないか?
352774ワット発電中さん:2013/03/09(土) 17:09:02.77 ID:yrKh8zxV
この本は絶対に会社・個人で定期購読しろ
http://www.kumikomi.net/fpga/
353774ワット発電中さん:2013/03/09(土) 17:18:47.92 ID:Kw7PIjNv
Fatal Error や Segmentation Fault で落ちるときには、
直前にやっていた処理の詳細をできる限りlogに吐いて欲しいな。
354774ワット発電中さん:2013/03/09(土) 18:30:10.00 ID:xg4rmNb1
>>351
アルティマとか代理店が分かりやすく絵付きで
日本語資料作ってるから 純正資料なくてもいい
355774ワット発電中さん:2013/03/09(土) 21:04:57.45 ID:Pw4lnuR5
電子産業っていまや偏差値が低いゆとりが就く業界になっているからな
IFやトラ技なんかも絵でわかりやすくしないと駄目な読者が多いからな
英語のドキュメントなんて無理だろ。絵付きで平易な日本語のドキュメント
にしないと理解不能ってレベルの奴が多いんじゃないか
356774ワット発電中さん:2013/03/09(土) 21:08:29.04 ID:3RepGMBl
難しいドキュメントに頭を悩ませる時間が非効率だとは考えないんだろうか?
357774ワット発電中さん:2013/03/09(土) 21:09:06.84 ID:3RepGMBl
日本語資料に関してはXのほうが力入ってる。
358774ワット発電中さん:2013/03/09(土) 21:50:39.06 ID:Pw4lnuR5
>>356
頭悪いからそうなんだろ
頭悪いの多いから日本の電子産業が凋落したってことじゃないか
あと10年位すると凋落しすぎて外資は日本語のドキュメントなんて用意してくれなく
なるんじゃないか。英語読めで終わり
359774ワット発電中さん:2013/03/09(土) 22:01:31.83 ID:U0kjuN+S
>>356
代理店のビジネスチャンスだよねそれ。
360774ワット発電中さん:2013/03/09(土) 22:03:46.93 ID:yDV5b9XR
というか海外は早く日本語が最強の言語だということを知ったほうがいいと思うぞ。
361774ワット発電中さん:2013/03/09(土) 22:17:22.80 ID:n8OJ/87X
知識不足で読めないのを難しいと表現する事自体がおかしい。そういう奴は日本語でも理解できないだろ。これがゆとりって奴なの?この世界に足突っ込んでおいて日本語最強とか言ってる奴は大人しく土方やってればいいと思う。もしくは自分でチップから何から全部作る事だな。
362774ワット発電中さん:2013/03/09(土) 22:24:58.26 ID:lKESTCRw
技術用の英語って、専門用語以外はわりと判りやすい言葉で書いてるからね。
文学作品読むよりずっと簡単だよね。
363774ワット発電中さん:2013/03/09(土) 22:28:24.16 ID:OVCeEszH
言語の問題はともかくとして、
もっと効率化する余地がないのかなとは思う
364774ワット発電中さん:2013/03/09(土) 22:48:32.41 ID:QCePuMky
>>337

>基本的に「俺はスゴイだろ」と言いたいだけだから、自分の目線でしか書けないものなんよ。
これあんたの勘違い。
自分目線で便所の壁でしか言えないナルシストのセリフ。

やってみたら躓いた事とか忘備録替わりに書いている人多いと思う。
メーカのドキュメントで判りにくいところを実践的に書いてくれたりする人がいるおかげで何度助かった事か。

xilinxは無料のセミナーとか行くと日本語資料とか貰えるんだよね。
なぜかネットには上がっていないけど、日本語資料がないわけではない。
365774ワット発電中さん:2013/03/09(土) 22:53:13.34 ID:wnYyeCqa
>>364
Xのページで日本語データシートダウンロード出来ね?
英語に対応する資料がすべてあるわけではないがそれはAも同じだし

セミナー資料のことならネットで公開はしないでしょ
366774ワット発電中さん:2013/03/09(土) 23:32:40.19 ID:S9RBGW1X
Xをクソだとかけなしているやつって、結局Aも使えてないよ。
けなすことで偉くなった気分になりたいだけ。
367774ワット発電中さん:2013/03/10(日) 00:51:59.47 ID:eNAaf21J
両方使ってるけど、資料は同レベルだと思う

個人的にはAのハンドブック形式でひとかたまりになっている奴より、
Xの項目ごとにデータシートになってる方がわかりやすいと思うが、
好みの範囲じゃないかと(Aもセクションごとにダウンロードできるし)

ツールもvivadoはA並に使いやすくなった
ISEに慣れている顧客には不評とか技術営業から聞いたが、
もう戻りたくはない
368774ワット発電中さん:2013/03/10(日) 02:37:06.15 ID:p+/f6zFn
なんか、突然Xの擁護が始まったみたいだね。

>xilinxは無料のセミナーとか行くと日本語資料とか貰えるんだよね。
文字ばっかりで図が少ない、プロジェクタ資料ね。
369774ワット発電中さん:2013/03/10(日) 02:46:12.54 ID:ey24OVht
擁護だっら集積度でした方がいいんじゃない
Xの方がプロセス一世代先行してるし、ARM搭載も先にサンプル出せた
370774ワット発電中さん:2013/03/10(日) 02:48:11.55 ID:p+/f6zFn
プロセスでは、Xなの? 27nmだっけ
371774ワット発電中さん:2013/03/10(日) 08:58:38.13 ID:6/ApSSyT
>>369
先行するから価格がばれてAに安い価格でだされて購買に採用されない
372常識人:2013/03/10(日) 13:10:43.65 ID:DUatGWLm
正に、XILINXはくそです。この世から消し去りましょう。
373774ワット発電中さん:2013/03/10(日) 13:43:56.91 ID:3GXihlYb
マヨ
374774ワット発電中さん:2013/03/10(日) 14:35:26.73 ID:2HJndfsT
>>372
でも、ロゴはXの方が好きだなあ。
375774ワット発電中さん:2013/03/10(日) 14:57:19.52 ID:2VhOe8EH
AもXもそこそこ対等に競争してくれるのがユーザにとっては一番。
Intel対AMDみたいに一方が強すぎるとユーザに不利益になる。
376774ワット発電中さん:2013/03/10(日) 15:00:19.28 ID:3vUelZkx
個人的にはLatticeにもっと頑張って欲しい
377774ワット発電中さん:2013/03/10(日) 15:08:11.84 ID:JLUH+RHZ
q
378テスト:2013/03/10(日) 15:09:49.19 ID:JLUH+RHZ
テスト
379W 7:2013/03/10(日) 15:11:43.84 ID:JLUH+RHZ
てすと
380774ワット発電中さん:2013/03/10(日) 15:18:07.15 ID:SyC/wUD2
Sp6 Vi6 がでた頃はXが優勢だったけど
すぐにCy5 St5 がでてAが優位にたって
結局今はどっちが勝ってるの?
381774ワット発電中さん:2013/03/10(日) 15:34:54.96 ID:2HJndfsT
性能は、Xのほうが上に決まってるけどね。
382774ワット発電中さん:2013/03/10(日) 15:37:43.40 ID:f2DUoZDp
なんで決まってるの?というかFPGAの性能って何を基準に比べる物なの?
383774ワット発電中さん:2013/03/10(日) 16:21:37.77 ID:jxj2fecN
>>382
FPGAの性能はFPGAユーザーの能力でほぼ決まると言っていい
384774ワット発電中さん:2013/03/10(日) 16:22:51.95 ID:eNAaf21J
一個当たりの容量(FF数)ならSSIがあるXが有利だな
385774ワット発電中さん:2013/03/10(日) 16:45:49.93 ID:6/ApSSyT
>>376
latticeはCPLDじゃちょっと足りないなて時に使うイメージ
386774ワット発電中さん:2013/03/10(日) 16:49:00.92 ID:6/ApSSyT
>>382
動作速度とか
ちょっと前はDSP使うならXという感触Aはなんちゃって感があったDSPの規模とか
387774ワット発電中さん:2013/03/10(日) 18:16:01.95 ID:UIMO2dLq
どんぐりの背比べ
388774ワット発電中さん:2013/03/10(日) 18:57:07.11 ID:xOxoXCIZ
教えてください。
今、XILINX web pack
Project Navigator 14.4 (P.49d)
を使っています。
最初xc3s50で、VHDLで回路を組んだのですが、シミュレーションも終わったので
xc9572 で再合成しました。
合成自体は、成功して、Programming Fileの生成も成功するのですが、
MAP Reportだけが、失敗します。
この状態の不都合は、回路が、どれだけのゲート数や、マクロセルを
消費したのか確認できなくて困っています。
389774ワット発電中さん:2013/03/10(日) 19:37:32.51 ID:N2PD7w2f
>>388
質問が「Design Overview の Module Level Utilization が表示されねーぞゴラァ!!」という意図であれば
Implement Design -> Map -> Process Propaties -> "-detail:Generate Detailed MAP Report"に
Checkが入ってないんじゃないかね。

これ、defaultでONになってないのが不思議だよね。
390388:2013/03/10(日) 20:21:39.94 ID:xOxoXCIZ
>>389
デバイスを、xc9572-pc44に設定して
Design Summary → Summary → Map Reportが Out of Dateになっていて
前回、FPGAで合成していたときのレポートが残っている状態になっています。
391388:2013/03/10(日) 20:30:50.64 ID:xOxoXCIZ
>>388です。

 結局、VHDLファイルだけを残して、その他のファイルを全部削除し
 改めて、xc9500でプロジェクトを作成する事で解決しました。

 以前は、プロパティーでデバイスを変更しても、問題なく
 合成できたのに、今のバージョンは何か問題があるようですね。

 ありがとうございました。
392774ワット発電中さん:2013/03/10(日) 20:41:52.95 ID:jLXuy8qY
Xのツールはバグの宝庫。
393774ワット発電中さん:2013/03/10(日) 22:08:13.41 ID:oMHN0LK8
>>392
Aも日本語ディレクトリ環境の下にあるとバグるで
394774ワット発電中さん:2013/03/10(日) 22:13:36.33 ID:jLXuy8qY
>>393
パスに日本語含むとおかしくなるのは外資系ツール全般でよくあるねw
395774ワット発電中さん:2013/03/10(日) 22:27:23.93 ID:aor+7+yd
日本語パス使うとかどんだけPCリテラシー無いんだよ
396774ワット発電中さん:2013/03/10(日) 23:16:25.76 ID:zORBmn5t
今時、普通に使うけど?
397774ワット発電中さん:2013/03/10(日) 23:31:02.67 ID:9VOiV40j
開発経験浅い人ほど2バイト文字とかスペースの入ったフォルダ使うよね。

まだまだ対応あまくて、メインの所は大丈夫でも
ある機能だけ対応してなかったりする不安定な状況なのに。
398774ワット発電中さん:2013/03/10(日) 23:37:34.20 ID:zORBmn5t
対応してないソフトだけを2バイト文字とかスペースの入ったいないフォルダーに
入れればいいだけだろ。

そういう区別ができない奴をPCリテラシーがないって言うんだと思うが。
399774ワット発電中さん:2013/03/10(日) 23:49:17.33 ID:N2PD7w2f
RTLソースツリー自体に日本語ディレクトリ名やスペースが含まれていると、
余計な不具合に遭遇することがあるよって事でしょ。

↓実際にこんなのを目にした事があるわw
RTL/共通/*.vhd
RTL/モジュール A/*.v
400774ワット発電中さん:2013/03/10(日) 23:55:02.50 ID:AR2tX833
ソフトによってフォルダ分けるとか、いちいちそんな面倒なこと
やってられっか。

そういうこと言い出す奴ほどPC(ry
401774ワット発電中さん:2013/03/11(月) 00:08:25.66 ID:HuH9ZMos
そんなに面倒かねぇ…
402774ワット発電中さん:2013/03/11(月) 00:17:10.98 ID:Fff9oIYc
>>398
何と言うか、どこから説明して良いのか悩むくらい分かってない…
403774ワット発電中さん:2013/03/11(月) 00:29:00.95 ID:HuH9ZMos
説明できないならいちいちでて来るなよ…
404774ワット発電中さん:2013/03/11(月) 00:30:29.44 ID:qtmi8Vkc
Microsoft社がどういうつもりでファイル名、ディレクトリ名にスペースを許したのか
良く分かりませんが、あまり良い方法ではないと思います。
スペースはこれまでコマンドラインのトークン区切りとして用いてきたわけですから、
ファイル名内の意味上の区切りはスペースではなくアンダースコア("_")を
用いるべきだと思います。
スペースを用いて何のメリットがあるのか理解しかねます。
このような良く分からない形式に積極的に対応していく予定はありません。
ディレクトリ、データファイルが存在するディレクトリ、データファイル名には
スペースを含まないようにしてください。
この方がデータを他のアプリケーションでロードする際にも、問題が少ないと思います。

こうですか?
405774ワット発電中さん:2013/03/11(月) 00:34:04.49 ID:LHZ+v7zo
「Program Files」
406774ワット発電中さん:2013/03/11(月) 00:51:08.98 ID:HuH9ZMos
Unix は昔からファイル名やディレクトリ名にスペース使えたし、そもそも
スペースどころか、タブ (0x09) とかベル (0x07) とかも使えたはず。

まあ、>>405 の Program Files とか、Documents and Settings や
My Documents とかのように、標準的なフォルダーにスペース含む
とかはやりすぎかもな。
407774ワット発電中さん:2013/03/11(月) 00:51:17.41 ID:8+2Mt9DX
俺はそういうトラブルにかからないように常にC:\workディレクトリの下で物を作るようにしてる
408774ワット発電中さん:2013/03/11(月) 01:14:04.55 ID:Fff9oIYc
>>403
まず、開発ツール側のインストールフォルダに2バイト文字が入っているとする。
ある機能だけが使用できない時にその機能の実行ファイルだけを別フォルダに移すのか?
メインのGUIから呼び出せなくなるしバージョン毎に対応変わってるかもしれないのに。
そんな無駄な検証するくらいなら最初から2バイト文字の含まないフォルダにインストールするだろ普通。

次にプロジェクトフォルダに2バイト文字が入っていてある機能だけが使用できない時、
その機能を使う時だけ別フォルダに移動するの?フォルダ名変更するよね、普通。
参照先を全て変更するとかプロジェクトが肥大化すると相当面倒だよ。

あ、もしかしてフォルダ名変更するだけで済むと思ってるのかな?
全てのソフトが相対フォルダ参照してくれるならどんなに楽か…
409774ワット発電中さん:2013/03/11(月) 01:23:59.70 ID:HuH9ZMos
>>408
普通に、開発ツールインストールする時と、そのツールが使うプロジェクトファイルを
2バイト文字 (+スペースとか) を含まないところに作ればいいだけだろ?

ひょっとして…
> 対応してないソフト
と言うのを、ツール内部のプログラムだと思ったのか?

俺は単に、例えば Office とかの対応してるソフトと、メリケンツールとかの対応して
ないソフトで分ければいいだけと言ってるだけだよ。

あと、Windows なら Subst コマンド、Linux だとシンボリックリンクで幸せになれる
(こともあるよ)。
410774ワット発電中さん:2013/03/11(月) 01:41:21.53 ID:Fff9oIYc
>>409
>> 対応してないソフト
>と言うのを、ツール内部のプログラムだと思ったのか?

開発ツールってのは対応してるように見えて
機能ごとにダメなときが多々あるんだよ。
それを一々検証するとか無駄としか思えない。
411774ワット発電中さん:2013/03/11(月) 01:51:50.64 ID:HuH9ZMos
>>410
> それを一々検証するとか無駄としか思えない。

いや、だから、誰もそんなことしようと思っていないんだけど…
412774ワット発電中さん:2013/03/11(月) 07:39:59.97 ID:Pcz6ipB8
今時、Unicodeに対応していないアプリケーションもどうかと思うがな
413774ワット発電中さん:2013/03/11(月) 08:59:49.71 ID:F3Lsp8vF
“ユーザー”ってディレクトリなのに
実体は、“Users” の下にあるってややこしいぞ。
414774ワット発電中さん:2013/03/11(月) 12:47:46.14 ID:Y2K1dxaP
>>413
それどうやるんだろ?
フォルダー名とディレクトリー名を別に指定できるのかな?
415774ワット発電中さん:2013/03/11(月) 21:15:34.22 ID:vKFTzG9h
>>414
WindowsXPしか、使ってない人?
「マイドキュメント」の実体くらい知っているでしょ?
416774ワット発電中さん:2013/03/11(月) 22:48:54.72 ID:GnjYIqfj
FPGAとかに限らず、専門分野での日本語訳版マニュアルがクソなのは派遣法の弊害も一因だと思うが…

>>412
MS以外ではソフトウェア開発環境側でさえ中々対応が進まなかった現実もあるからな…
417774ワット発電中さん:2013/03/12(火) 04:10:50.33 ID:9VPUdmNR
>>415
98ですが何か?
418774ワット発電中さん:2013/03/12(火) 08:43:52.83 ID:feCnknCj
>>417
ギャハハハ ワロタw
419774ワット発電中さん:2013/03/12(火) 12:23:39.05 ID:uZ+5/00C
>>417
PC9801なら尊敬するわ
420774ワット発電中さん:2013/03/12(火) 12:30:10.92 ID:H3Z8FUwh
いや、自分で任意に設定可能なのかって話なんだけど、おまいら幼稚
421774ワット発電中さん:2013/03/12(火) 12:50:15.01 ID:bsLKg2Pw
できるよ
422774ワット発電中さん:2013/03/12(火) 16:52:49.39 ID:arZSF9bp
「c:\Users」が「c:\ユーザー」って表示されるように、
「c:\work」が「c:\仕事」って表示されるようにできるのか?って話でしょ。
cdやパス設定では「c:\work」が使えて、エクスプローラーでは「c:\仕事」って
表示されるような使い方ができるのか?ってことだよね。
できるんだ?どうやるの?>>421
423774ワット発電中さん:2013/03/12(火) 16:57:54.43 ID:Vn1C1sZK
424774ワット発電中さん:2013/03/12(火) 20:25:29.96 ID:eZLOtgGR
>>420
おいおい、わかって無いのかよ。
元ネタの>>413は Windows7/8の話だぜ?
だから>>415が「WindowsXPしか、使ってない人?」って聞いているんだろうがw
425417:2013/03/12(火) 20:33:47.78 ID:tPEXNFqO
>>419
尊敬してくれ。なんの徳にもならんがw
俺が最初に買ったXACTはPC98用で、今でも偶につかう。
426774ワット発電中さん:2013/03/12(火) 23:20:19.87 ID:snYHyr1C
PC98は目の前にあるけど10年くらい電源入れてない。
対応モニタもまだ置いてるんだけどね。
さすがに電池無くなって時間狂ってるはず。
427774ワット発電中さん:2013/03/12(火) 23:29:38.87 ID:ZrBw65wF
「表示」っていうディレクトリを作ると、シフトJISで「表」のコードの
2バイト目に\と同じ0x5Cがあって、バグるプログラムがあったのを思い
出した。
428774ワット発電中さん:2013/03/13(水) 03:58:44.98 ID:sDq6CdNf
>>426
2代目ぐらいのPC-98はROMの内容が消えて使えなくなったw
429417:2013/03/13(水) 07:28:34.93 ID:vuQiEKsx
>>426
殆どはエミュレータ上で済むけど、問題はプリンタポート用のドングルが必要な事。
BITストリームを生成する所だけはドングルが無いと生成されたデータが壊れるので、実機が必要になるのが残念。
430417:2013/03/13(水) 08:10:15.97 ID:vuQiEKsx
>>428
電源電圧を下げて読み出すと読める事が多い。
431774ワット発電中さん:2013/03/13(水) 08:25:24.22 ID:UfA2Muqo
ワンチップPC98にチャレンジ!
432774ワット発電中さん:2013/03/13(水) 08:46:21.97 ID:x4sJRTsF
>>423
しらんかった。thnks
433774ワット発電中さん:2013/03/13(水) 11:49:04.24 ID:AoOTxPFl
>>428
ROM ってUV-EP-ROM?うちに25〜26年前に書き込まれたやつがゴロゴロ
あるけど、一つも消えてないよ。これってどのくらい記憶保持できるんだろう。
434774ワット発電中さん:2013/03/13(水) 12:57:09.78 ID:vXNOCXzb
大抵は10年かそこらだろうけど、自分のまわりで実際に消えたことはないな。
デバッグやりすぎで死んだデバイスも見たことない。
435774ワット発電中さん:2013/03/13(水) 13:10:18.92 ID:AoOTxPFl
今までUV-EP-ROM の記憶保持年数をググりまくったんだけど、これといった
有力な情報は無かったんだよ。
古いデバイスほど集積度が低いので、ゲート電荷が抜けにくいんじゃないかと
勝手に想像している。
最近のコンフィグ用のフラッシュは20年くらいは補償しているね。
436417:2013/03/13(水) 13:24:27.56 ID:kKLtjoMg
>>435
保証されてるのは確か10年とかだったと思う。
ちゃんとした手順で書き込んで、保存が良ければ相当持つだろうけど
所詮は確率の問題。
437774ワット発電中さん:2013/03/13(水) 13:38:22.74 ID:AoOTxPFl
う〜ん、やはりその程度の物なのか。バックアップ取っておいてよかった。
そういえば、やはり26年前の5インチフロッピーもまだ正常に読めるな。
重要なデータは50年を補償しているソニーのMOに保存しているよ。
438774ワット発電中さん:2013/03/13(水) 13:49:10.68 ID:AoOTxPFl
あ、>>417 さん、電源電圧を下げると読めるってのは経験談ですか。
それとも何か理由があるんですか。
439774ワット発電中さん:2013/03/13(水) 14:00:27.81 ID:I2YPqrvH
440774ワット発電中さん:2013/03/13(水) 14:13:51.23 ID:AoOTxPFl
Wiki はすでに見ています(^_^)。データシートも色々DATA RETENTION で
ググってみたけど規定なし(T_T) まぁ過去デバイスだしそんなものかと。
RTC の2000年問題なんて1992年製のパソコンでも対応されていなかったし。
大らかな時代だったなぁ。
441774ワット発電中さん:2013/03/13(水) 14:18:57.86 ID:Y8i2X3qT
EP-ROMの回路構成とかもう忘れたが、VDDが高いほど読み出し条件は厳しいはず
大容量のROMで登場した高速書き込みアルゴリズムでは、
(注入する電子の量を増やすって目的もあるだろうが)電源電圧を6Vや6.5Vに上げてたし
442417:2013/03/13(水) 16:25:11.74 ID:c1kJAqrH
>>437
ちなみにフロッピーも保証は10年位。時間と共に磁化が弱くなる上、表面だって風化する。

>>438
注入した電荷が少なくなった分、電源電圧を下げてコンパレーターのスレッショルドを下げれば読み出せる。
当時、ROMライタは自作が当たり前の物だったので、色々実験出来た。
443774ワット発電中さん:2013/03/13(水) 17:56:37.45 ID:AoOTxPFl
ありがとうございます。すごく納得できました。
EP-ROM には定電圧源はないんですね。
>>441 さんの意見とも整合が取れます。
444774ワット発電中さん:2013/03/13(水) 20:55:30.77 ID:XdBa9ld3
もうFPGA飽きたお・・・
445774ワット発電中さん:2013/03/13(水) 21:29:04.22 ID:EyjSBSmk
教えてください。
展示会などでFPGAの通信を実演してるのを見ると、
同軸ケーブルに、すごく高級そうな同軸を使っています。(固そう)
普通の3D-2Vとかの同軸ではダメなのでしょうか?
特性インピーダンスは、どちらも同じ50Ωなのですが。
446774ワット発電中さん:2013/03/13(水) 22:27:46.05 ID:ZFST4L3i
多分固いケーブルはセミリジットケーブルかな?
同じインピーダンスでも低損失で減衰量が小さいです。
ttp://www.oyaide.com/i_home/tefloncoaxal/semiligetcoaxal.htm

展示会ではそんな所で躓かずに動かせるよう
可能な限り低損失で確実に伝送できるケーブルを選んでいるだけと思います。
が、3D-2Vで使えるかは使用周波数と伝送距離に依存するかと。
受信側で減衰してスレッショルドに達しなかったら通信できませんので。
447774ワット発電中さん:2013/03/13(水) 22:33:10.02 ID:aQWq9IJp
展示会とかではレートGbpsとか高速を売りにするから、
ケーブル損失等による劣化を嫌って良いケーブル使うんじゃないかな。
f特が大きいとアイが綺麗に開かないから。
客にはそれなりのもので見せないとね。HUBER+SUHNERとか使って。
ケーブルイコライザICとかのデモではうんこケーブルを使っている方が受けは良いんじゃない?
448774ワット発電中さん:2013/03/13(水) 22:50:53.96 ID:EyjSBSmk
>>446,447
ありがとうございます。
確かに、そんなところで躓くのはイヤですね。展示会では格好悪いし。

でも、そうすると実使用するときは、
どんなケーブルを使えば良いのか、ユーザーは選定に困りますよね。
3D2Vとか1.5D, はたまた0.8D-2Vのほうが、実際に近いと思うので、
展示の意味もあるのかな、と思うんです。
「ウチのGTXは、○○国製の "なんちゃって"同軸でも、ホラ3.125Gbps行けますよ"」
とか言ってくれれば、やってみようかなという気になるです。

実際に作って通信してうまくいかなかったら 客先に、
「お客様の仕様に3D-2Vとのことで使ったんですが、動きませんね。
でも展示会で動くのを見てるので、FPGAも、HDLも、僕も悪くないんですよ」
とでも言うしかないですかね。
449774ワット発電中さん:2013/03/13(水) 22:54:09.57 ID:G/MuxBu+
>>448
客の要求仕様で動かす手段がないなら仕事を受けないのが良いのでは?
450774ワット発電中さん:2013/03/13(水) 23:46:16.63 ID:98MFcs82
ケーブルの周波数特性見て判断すれば良くね
明らかに無理なケーブル使えって案件だったら、受けないか、客に聞け
3D2VってG通せるような特性だっけ?
451417:2013/03/14(木) 00:44:00.71 ID:iZIZdNEL
そもそも、波形位はチェックする頭と機材を揃えてから仕事を請けるべき。
452774ワット発電中さん:2013/03/14(木) 01:59:59.02 ID:gS6BATSN
みんなFPGAで何作ってるの?面白そうとは思うけどじゃあ評価ボード買って何をやるかと考えてみたら何も思いつかなかった。マイコンボードやPC, DSP, GPUで出来る事を除外すると何が残るんだろうか?
453774ワット発電中さん:2013/03/14(木) 03:51:13.58 ID:H6LvSvd2
>>451
愛バートがあるから大丈夫
454774ワット発電中さん:2013/03/14(木) 08:50:16.02 ID:ZI3gVSTG
>>448
> 「ウチのGTXは、○○国製の "なんちゃって"同軸でも、ホラ3.125Gbps行けますよ"」
GTXの中身はCMLだと理解してるけど、CMLって距離延ばせられたっけ?

3.125Gbpsなら、3G-SDIの物理層を使えば100m位は平気で伝送出来る。
455774ワット発電中さん:2013/03/14(木) 12:58:46.23 ID:X24Jmo0R
>>453
>>448みたいなこと言ってるやつが使えるとは思えないんだが
456774ワット発電中さん:2013/03/14(木) 22:40:00.99 ID:pB8NzGoF
XILINXの最近のは
クロックイベントだけではBUFG挿入してくれないのか
457774ワット発電中さん:2013/03/15(金) 02:15:17.39 ID:cn1tbCM4
>>433
あまり大声でいえないけど、信頼性評価で弾かれたゴミUV-EPROMなら2年で消えた。
良いこのみんなは真似するなよ。
それと、窓がただの紙シールの貼られてたものも、8年くらいで消えた。
458417:2013/03/15(金) 06:55:44.04 ID:BFG0/LQh
>>457
使い方が悪いと思われ
459433:2013/03/15(金) 07:00:01.55 ID:B/G2EaJu
>>457
気になるなぁ。信頼性評価って、どういう手順なんですか。
昔焼いたUV-EP-ROM は、窓にテプラ貼っただけだったし、
今生き残っているのもただの紙シール。
よほど動作環境が悪いか、メーカーの品質が悪いかじゃないですか。
日立とかテキサスの〜512k ビットの100〜200ns. 品での話。
460774ワット発電中さん:2013/03/15(金) 09:00:03.05 ID:cn1tbCM4
>>459
おい、線引いてバイアスかけるだけが設計ではないといっておく。
461774ワット発電中さん:2013/03/15(金) 10:16:39.45 ID:B/G2EaJu
いや、確かにデバッグはもとより、温度、湿度、電圧、EMI、振動
なんかの試験も仕事のうちだけど、納入仕様書を見ても、これらが
記憶保持年数にどう影響を与えるかなんて見たこと無いんだよ。
462774ワット発電中さん:2013/03/15(金) 17:36:18.36 ID:cn1tbCM4
んー詳しくは書けないんだけど、消去書き込みを激しく繰り返すと間違いなく
どこかの素子が1つくらい、アーパーになってしまう。
試験結果はどの部品屋も詳細データは持っているけど、エンドユーザーに公開
される情報なんて、ごく一握り。
めちゃくちゃ信頼関係のある販売店があれば、頼むと出してくれる事もあるが・・・
はっきりいって、見てもあんまり意味が無い。
(加工前の測定データそのまんまグラフとか出てきたりするのだが、俺の鈍感な頭では
到底理解できない)
463774ワット発電中さん:2013/03/15(金) 17:59:59.74 ID:B/G2EaJu
なぁんだ。デバッグに使ったデバイスのことね。
昔は修理で返ってきた製品のROM を最新Ver に書き換えて出荷
した事もあったけど、せいぜい数回。デバッグに使ったROM なんて
製品には使わないしね。
464774ワット発電中さん:2013/03/15(金) 23:56:04.39 ID:oILZSYcF
ってか、UV-EPROMを製品に乗せて何度も読み書きなんてありえないでしょ
465774ワット発電中さん:2013/03/16(土) 13:12:46.42 ID:8/KONDF5
読み読みはするよ
466774ワット発電中さん:2013/03/16(土) 18:18:31.64 ID:hTO3EjPj
>164
業務用の動画エンコーダ/デコーダは割とFPGAが強い領域です。
数が出ないとASICは元がとれないし、PCによる腕力ソリューションは消費電力で不利、
そして計算能力だけではなく、比較的マイナーなI/F(SDIとか)を変換する機能が必要、と。
あとは金融とか通信系(無線基地局)とか...
467774ワット発電中さん:2013/03/16(土) 22:57:50.97 ID:+U98rfBu
業務用光ルータとかも使ってるな
FPGAベンダーのソリューション例でも良く取り上げられる
468774ワット発電中さん:2013/03/16(土) 22:58:25.24 ID:EzrPj217
大学の研究室で実験機器の開発にFPGAを使うことになり
勉強を始めるところです
学びやすさで選ぶ場合、どのメーカーのモノを選ぶのが良いでしょうか?
469774ワット発電中さん:2013/03/16(土) 23:03:50.10 ID:+U98rfBu
>>468
ALTERAかXILINXのどちらかで良いんじゃない
探せばだいたいの情報が出てくる
470774ワット発電中さん:2013/03/16(土) 23:48:14.77 ID:EzrPj217
>>469
ありがとうございます

その二つなら習得にかかる時間は同じようなものでしょうか?
471774ワット発電中さん:2013/03/16(土) 23:55:53.71 ID:hOtJkDc8
同じだよ。
今も価格や性能、使い易さで熾烈な競争を繰り広げてる。

基本は書籍を読んで勉強したらいいよ。
472774ワット発電中さん:2013/03/17(日) 11:54:15.53 ID:5xqFVqTC
FPGAの勉強ってそもそも何だよ?
ツールの使い方?
473774ワット発電中さん:2013/03/17(日) 12:59:28.53 ID:o1+wcNkY
残念なことだけど、
今では、半分近く「ツールの使い方」になってる。
474774ワット発電中さん:2013/03/17(日) 14:41:12.08 ID:j5MYCcCd
どの書き方で回路が減るかは実験するしかないからな...

昔より合成ツールが賢いから、
wire w_result;
localparam lp_(略)
assign w_result[x:0]
= (i_sel[3:0] == 'h0) ? lp_0
: (i_sel[3:0] == 'h1) ? lp_1
: (i_sel[3:0] == 'h2) ? lp_2
: (i_sel[3:0] == 'h3) ? lp_3
: (i_sel[3:0] == 'h4) ? lp_4
(略)
: (i_sel[3:0] == 'he) ? lp_e
: lp_f;
なんて書き方をしても周波数が著しく下がったりはしないし(昔は記述どおりにすごい深いツリーを生成していた)、
極端な最適化が必要な用途を除けば適当に書けちゃうから...
475774ワット発電中さん:2013/03/17(日) 17:32:42.60 ID:WdWyI7Vk
LUTの入力数を気にしながら記述する事もあったりする。
4入力LUTと6入力LUTでパイプラインの段数変えたり。
476774ワット発電中さん:2013/03/17(日) 17:35:37.56 ID:oHv9l1Ai
>>475
熱いねぇ〜。
477774ワット発電中さん:2013/03/17(日) 17:47:03.91 ID:T0OfSi7K
みんな>>352は購読するの?
478774ワット発電中さん:2013/03/17(日) 17:49:46.76 ID:oHv9l1Ai
いんや・・・。
世の中のプロセッサの仕組みや進化を追ってたら、
どういう回路が良いかは自ずと分かる。
FPGAならではのリソース特性なんかはメーカーの資料で都度習得。
それだけだ。
479774ワット発電中さん:2013/03/17(日) 20:41:57.43 ID:j5MYCcCd
目次が出てからかなー。
トラギ並のクオリティだったら買っていいと思う。
480774ワット発電中さん:2013/03/17(日) 21:13:16.97 ID:q5x+d5M5
>>479
デジタルデザインテクノロジ並だったら
481774ワット発電中さん:2013/03/18(月) 23:56:09.27 ID:z94jwWew
>>480
それって褒めてるの?
482774ワット発電中さん:2013/03/22(金) 00:17:33.60 ID:cpu85ueM
>>477
2400円ってずいぶん高いな。何年か後にはなくなるな
483774ワット発電中さん:2013/03/22(金) 10:16:03.27 ID:luglRLhL
季刊とか隔月ならまあそのぐらいの値段でもいいかも。
484774ワット発電中さん:2013/03/25(月) 21:02:50.88 ID:DFgCYqqD
出版頻度は値段と関係ない。
内容が無いのに高けりゃ売れないだけ。
485774ワット発電中さん:2013/03/25(月) 21:49:16.37 ID:zmOgZtQ+
FPGAマガジン創刊号にはどのFPGAが付録に付くんだ?
オマケなしってことないよな
486774ワット発電中さん:2013/03/25(月) 22:11:29.99 ID:BJRNlf0K
付録
創刊号 基板
2号 FPGA
3号 電源IC
487774ワット発電中さん:2013/03/25(月) 22:29:39.54 ID:M9rVrYru
週刊FPGAマガジン
488774ワット発電中さん:2013/03/25(月) 22:56:39.67 ID:uFD9Jfzb
全部組み立てたら、自走充電式の歌って踊れるナニカになry
489774ワット発電中さん:2013/03/25(月) 23:00:05.17 ID:OKYclC1o
スポンサーになってくれる所がないと付録とか無理だよなぁ。
まずは雑誌の知名度上げないと。
490774ワット発電中さん:2013/03/25(月) 23:07:48.24 ID:oYah78O3
>>487
これってFPGAで何作る記事なのかな。
SDRとか期待するんだが。
491774ワット発電中さん:2013/03/25(月) 23:13:49.05 ID:j89yl1wE
LEDとか点滅させたら面白いんじゃね?
492774ワット発電中さん:2013/03/25(月) 23:20:15.20 ID:vBqFcTuT
SDRって何?
493774ワット発電中さん:2013/03/25(月) 23:33:11.61 ID:4hGQkbFL
創刊号は290円とかじゃないのか!
494774ワット発電中さん:2013/03/25(月) 23:40:55.36 ID:j89yl1wE
>>492
Software Defined Radio だと思ふ。
マジレスするとちょっと外付け部品が多くて雑誌付録には難しいんじゃないかと。
495774ワット発電中さん:2013/03/26(火) 08:07:59.16 ID:RmrB4RiF
>>494
基板だけでマルツなり共立などで別部品売る形にするんでは
496774ワット発電中さん:2013/03/26(火) 16:08:27.51 ID:v1AZeyld
そうかな?AMラジオならRFアンプと簡単なADが付いてれば
できそうだが。(アンテナは自前で用意するとして)
497774ワット発電中さん:2013/03/26(火) 19:16:52.87 ID:0PuXhzfC
Software Defined RadioはソフトベースだからFPGAなんて使わないで
AM程度ならトラアンプ、A/D、USB、そしてPCでOKだろ。あとソフトは自分で作れでいいだろ。
FPGA radioのFMステレオラジオはすでにオマケFPGAを使った記事で取り上げて基板・キー部品(AD)配布をやっていた。
498774ワット発電中さん:2013/03/26(火) 19:52:00.13 ID:HsBODNdu
今時、1000円のUSB地デジチューナー買ってくれば済む。
499774ワット発電中さん:2013/03/26(火) 21:46:12.85 ID:KAI8TNvX
>>498
FPGAとなんの関係が?
500774ワット発電中さん:2013/03/26(火) 22:05:07.41 ID:ur3yuZHa
>>499
FPGAでやる無意味さに気付く事が出来るかも
501774ワット発電中さん:2013/03/26(火) 22:09:24.12 ID:ozwijqy0
FMだと性能出そうとすると、結構敷居が高いだろ。
それこそDDTの記事のFMチューナーみたいに。
何か聞こえる程度では作ろうという意欲が湧かない。
502774ワット発電中さん:2013/03/27(水) 16:45:51.48 ID:SeFwTJDN
>>501
単にインバーターを直列にした遅延信号と元信号のXOR取るだけじゃん。
503774ワット発電中さん:2013/03/27(水) 19:20:02.99 ID:ZekcmFh1
>>502
俺、>>501じゃないがFMで大変なのはステレオ復調
遅延検波で簡単に出来るのか
504774ワット発電中さん:2013/03/27(水) 19:58:27.15 ID:M3sFcuIh
ステレオ復調って検波が終わって復調した信号からステレオに分離させるから、
遅延検波とは別の話じゃね。

ttp://www.accuphase.co.jp/cat/t-1000.pdf
一旦アナログを介しているが、遅延検波とDSPによるステレオ分離をやってるチューナ。

ttp://www.accuphase.co.jp/cat/t-1100.pdf
後継機だとソフト処理部分が増えてる。
505774ワット発電中さん:2013/03/27(水) 20:58:10.48 ID:ZekcmFh1
>>504
>>501はトータルでのFMステレオ再生の性能のこと言っているのに
>>503>>501にFM復調のみについてレスなんだよ
ある意味会話が成り立ってない
あとFPGAでFM復調なら遅延検波じゃなくcordicだとろうと思うが
506774ワット発電中さん:2013/03/27(水) 21:02:10.92 ID:ZekcmFh1
>>503は -> >>502
507774ワット発電中さん:2013/03/27(水) 21:14:34.21 ID:SeFwTJDN
>>505
>>501はトータルでのFMステレオ再生の性能のこと言っているのに

後だしすんなよ。何処にステレオなんて書いてある?
何れにせよFPGAなんて出る幕無いけどな。
508774ワット発電中さん:2013/03/27(水) 21:51:47.33 ID:ZekcmFh1
>>507
>>497
>FMステレオラジオ

>>501
>DDTの記事のFMチューナー(ステレオチューナーの記事)
からFMステレオことだろうってなるだろ

>何れにせよFPGAなんて出る幕無いけどな
趣味なら別だがAM、FM放送受信にFPGAはないだろうな
509774ワット発電中さん:2013/03/27(水) 21:56:12.02 ID:gfQsWY9Q
元がFPGAマガジンの付録の話なんだから手段が目的でいいんだよ。
510774ワット発電中さん:2013/03/28(木) 00:50:46.32 ID:NgkrpOaB
AccuphaseのFMチューナーってハイエンドの利益率が高いとはいえ、
いまどきFMチューナーをデジタルで作って開発費ペイするのか少し気になる
基板写真見てると他と共用のDSP基板を集積度が低いマザーボードに乗せてるような感じなので
デジタル部分はほかと共用してるのか?
511774ワット発電中さん:2013/03/31(日) 19:04:26.44 ID:LzX+EWVw
xilinksのCPLDに書き込みたいのですが
ダウンロードケーブル9000円は高いです
1000円くらいのないですか?
512774ワット発電中さん:2013/03/31(日) 20:18:09.90 ID:sqeIgHaN
513774ワット発電中さん:2013/03/31(日) 20:29:25.08 ID:LzX+EWVw
ありがとございます!
514774ワット発電中さん:2013/03/31(日) 20:39:36.12 ID:sqeIgHaN
まぁ、本当に\1000で済ませたいならこういう方法も
無いことはないんだけど、書き込み方法が特殊だからなぁ。
ttp://tulip-house.ddo.jp/digital/PROG_CPLD/index.html

やっぱiMpactから書き込みたいやん?
515774ワット発電中さん:2013/03/31(日) 21:12:32.11 ID:ahmhxlZQ
Digilentのそれは、最近のXilinxの評価ボードなんかにも載ってて、なんか準標準的な感じがする。
516774ワット発電中さん:2013/04/07(日) 11:50:40.56 ID:PD1kjaDW
インパクトの選択肢にも出てくるしね。
517774ワット発電中さん:2013/04/08(月) 22:33:56.29 ID:JNtUTnxv
目的も無く勉強用にとDE0-Nano買ってQuartus II立ち上げてみたけどとりあえず
何をしたらいいか皆目見当もつかずワラタ とりあえず何か本買ってくるか・・・
518774ワット発電中さん:2013/04/08(月) 22:53:08.02 ID:M+SAZx/j
>>517

Nート iってよし oぅじょう sえ  

ttp://blog.goo.ne.jp/sim00/e/236d685cc29873571051270075449cac
519774ワット発電中さん:2013/04/09(火) 00:11:35.23 ID:Lp3hKo8w
>>518
ニートじゃないけど怖いところにつながるんじゃないかとガクブルしながら踏んでみたけどオマイさんの優しさに一晩中泣いた。サンクス
520774ワット発電中さん:2013/04/09(火) 00:34:12.15 ID:DakSiayQ
>>517,518,519
自作自演乙
521774ワット発電中さん:2013/04/09(火) 07:59:18.12 ID:v9U6TjsW
>>520
おまえという寂しさに、全俺が泣いたよ
522774ワット発電中さん:2013/04/10(水) 22:34:47.30 ID:Ebko+3gO
FPGAマガジンってデザインウェーブマガジン→ディジタルデザインテクノロジの次の
3形態目だよね?トレンドに合わせて誌名変えてるだけだよなぁ、多分。
デザインウェーブマガジン時代は隔月から月刊に。ディジタルデザインテクノロジで
季刊に・・・今回はどの位のインターバルで出るんだろ。なんにせよ、しぶとくガンバレ
523774ワット発電中さん:2013/04/11(木) 01:19:43.51 ID:LH94j0ur
>>522
FPGAじゃそんなにもたないだろう
CQ、FPGAの本は売れないのかかなり高い値段だし
http://shop.cqpub.co.jp/hanbai/books/48/48191.html
http://shop.cqpub.co.jp/hanbai/books/48/48201.html
524774ワット発電中さん:2013/04/11(木) 01:21:03.91 ID:gTikp3Sv
想定してる読者層がぶれ過ぎ
525774ワット発電中さん:2013/04/11(木) 02:06:12.06 ID:ipNxR5eK
>>522
後、4回の進化を残している…
526774ワット発電中さん:2013/04/11(木) 09:28:34.94 ID:kdjNjRLm
専門誌はどうしても高くなっちゃいますね
527774ワット発電中さん:2013/04/11(木) 19:56:20.06 ID:4y9Cr16f
>>525
何だろう、この絶望感・・・w
528774ワット発電中さん:2013/04/11(木) 21:32:26.87 ID:PUyVGprQ
>>523
FPGAってもっとニーズありそうな気がするんだけど
なんでこんなに流行らないのか不思議。
529774ワット発電中さん:2013/04/11(木) 21:41:03.65 ID:YCDNtPYo
>>528
例えばどんなニーズ?とか考えてみるとわかる。
あとは難易度の問題もある気がする。1から全部作れる人は少ないのでは。
530774ワット発電中さん:2013/04/11(木) 22:15:47.68 ID:gTikp3Sv
使ってる人はこんな入門書読まないだろうしなあ
531774ワット発電中さん:2013/04/12(金) 00:02:21.40 ID:/LNiW/tD
AV関連
532774ワット発電中さん:2013/04/12(金) 05:54:01.67 ID:cZS8oPAv
早い、旨い、安いがない。
533774ワット発電中さん:2013/04/12(金) 08:18:06.92 ID:e7QiZCoJ
>>528
流行らない? アマの世界で?

ASICの代替えとして、ガンガン使われているよ。
ルネサス・東芝・富士通のSoCが振るわないのはこの為。
534774ワット発電中さん:2013/04/12(金) 09:18:08.55 ID:eIjaACsO
「代替え」とか書いてる時点でもうね
535774ワット発電中さん:2013/04/12(金) 10:15:10.30 ID:ypMFMzrZ
だいがえ の変換で 代替え って出てくるよ。
代替 は だいたい だけどね。
536774ワット発電中さん:2013/04/12(金) 11:05:23.13 ID:uJ/09/Xy
ここからは第二回こんな所にもFPGAが使われていた選手権です。SoCの売り上げに影響する位ガンガン使われてるんだしいっぱい事例出てくるよね?
537774ワット発電中さん:2013/04/12(金) 12:36:22.00 ID:77nxg+Hg
ジャンクとして入手可能なPCIカードやパソコン周辺機器で
どのようなものにどの品種が載ってて、再利用可能性について
情報があればありがたいかも。
538774ワット発電中さん:2013/04/12(金) 14:10:34.01 ID:3RV9Mglc
>>537
FPGAとかならはほぼ再利用可能
BGAをどう再利用するかはおておく
コンフィグROMとかは再利用駄目
オンボードのマイコン等からのコンフィグで載ってない場合も多い

ジャンクのカードだと最新の開発環境で見捨てられている古い奴が多い。
今はまだSpartan-XLとか4000とかispMachの古い奴とか。
539774ワット発電中さん:2013/04/12(金) 17:53:15.21 ID:PVRQ2VeA
>>535 代弁アリガト
540774ワット発電中さん:2013/04/12(金) 17:56:58.31 ID:PVRQ2VeA
>>537
ブロジェクターやコピー複合機のジャンク。
業務用のデカい奴ね
541774ワット発電中さん:2013/04/12(金) 18:11:00.39 ID:iuyFLulq
JTAG引き出されてるの?
542774ワット発電中さん:2013/04/12(金) 18:13:03.72 ID:B5fClUob
>>537
PT3
XILINXスパルタン
地デジキャプで使われている
543774ワット発電中さん:2013/04/12(金) 21:59:42.78 ID:TSkL9s8F
>>542
昔のラジオ少年は真空管ラジオの自作だったが、
時代の進歩で最近のTVおじさんは趣味FPGAで地デジのOFDM復調器やデコーダーを作り、TSをPCに取り込んで
自作TVしている奴いそうだよな。高速ADつけたのにFPGAのFMラジオじゃやる気おこらんだろうし
544774ワット発電中さん:2013/04/13(土) 01:49:32.39 ID:6iBSDaK4
>>535
変換辞書に載ってるというのは、「それが正しい」からじゃなくて
「そう間違えるバカが多い」という事を示しているだけなので
>だいがえ の変換で 代替え って出てくるよ。
というのは、この文脈では意味不明
545774ワット発電中さん:2013/04/13(土) 02:00:24.19 ID:5dBPZuiA
新明解国語辞典に載ってるけどな
546774ワット発電中さん:2013/04/13(土) 02:04:28.18 ID:1uN4vVGP
また国語のセンセーか
547774ワット発電中さん:2013/04/13(土) 02:48:59.01 ID:mi3wLBVd
どうしても許せないのは、確信犯 の使い方。
信じて疑わない、それが正しいと思って行うが、それが一般的な犯罪に相当するのが、
確信犯 なんだけど。
548774ワット発電中さん:2013/04/13(土) 02:52:44.73 ID:tKui8GiS
代替えって言い方は一般的じゃね?
自分はだいたいのほう使ってるけど。
549774ワット発電中さん:2013/04/13(土) 02:57:51.72 ID:mi3wLBVd
「だいがえ」なら、「代替え」でOKなんじゃない? だいたいえ とは読めない。
逆に、代替を「だいがえ」とは 送りがながないので読めない。
550774ワット発電中さん:2013/04/13(土) 06:04:21.39 ID:wF4jqcgN
MITOUJTAGってどうですか?
551774ワット発電中さん:2013/04/13(土) 06:05:51.90 ID:kAVD9t10
代替やなぁ これだけ手帳のヒト消えたね
552774ワット発電中さん:2013/04/13(土) 12:25:56.14 ID:bjYoGL4f
だいがえも有りだと思うけど
553774ワット発電中さん:2013/04/13(土) 14:17:03.00 ID:v2BP52Vz
時代と共に言葉の使われ方は変わるもの
554774ワット発電中さん:2013/04/13(土) 14:50:29.40 ID:GPUuCfDc
言葉の使われ方は時代と共に変わるもの
555774ワット発電中さん:2013/04/13(土) 20:16:09.54 ID:1Y1Eb+c3
>>538
>BGAをどう再利用するかはおておく
これがかなり高い障害になっている気が・・・
BGAのパッドが何処に出ているかを調べるのは
かなり骨が折れる
自分の手元にSpartan-6が載ったジャンク基板が
あるが上記の問題で棚上げ中
556774ワット発電中さん:2013/04/13(土) 20:19:03.96 ID:F1yAbVTI
>>552
うちの会社ではだいたい、だいがえの両方が使われているな。
だいたいっておよそや一般的って意味合いもあるから、代替を会話でよく使うところでは
明確性を高めるのにだいがえを使うのかもしれないが

だいぶ前に若い奴からぼっち、キョロ充、ソロ充なんて言葉を教えてもらい、
30過ぎのおっさんがわけーのは言葉をクリエートするのがうまいなって思もた。
若い奴の間違った言葉の中には今までなかった概念・感じが埋め込まれているんじゃって
思う時があるんだよな。でも、おっさんはそれらを理解できないから正しくないと
上から目線で罵倒するんだろうな。
557774ワット発電中さん:2013/04/13(土) 20:22:42.53 ID:1uN4vVGP
>>555
バウンダリスキャンで追えば良いんじゃね
それこそ>>550のつーるとか
558774ワット発電中さん:2013/04/13(土) 22:12:39.10 ID:eEOZ+I/o
そもそもJTAGが何処に出ているのかと言う問題が
559774ワット発電中さん:2013/04/13(土) 22:58:08.87 ID:yp+7O2qJ
4つしかないんだからBGAのビアから引き出せばよい。
560774ワット発電中さん:2013/04/13(土) 23:00:39.29 ID:1uN4vVGP
貫通基板ならビア露出してるけどビルドアップ基板だと露出してないんじゃね
561774ワット発電中さん:2013/04/13(土) 23:06:37.67 ID:yp+7O2qJ
ビルドアップ基板をまだ扱ったことないんだけど結構見かけるもん?
562774ワット発電中さん:2013/04/13(土) 23:12:08.80 ID:1uN4vVGP
>>561
結構見かける気がするが、非貫通=ビルドアップ基板だと認識してたが、
もしかして違うのか?
BGAのビアが裏面に出てない奴をイメージしてた
563774ワット発電中さん:2013/04/13(土) 23:28:23.54 ID:yp+7O2qJ
>非貫通=ビルドアップ基板
この意味で言ってるよ。

仕事して10年経つけど案件としては一度もない。
回路・基板設計ではなく、FPGA論理だけとかファームウェアだけとかで
基板が持ち込まれるけど見たことなくて。
564774ワット発電中さん:2013/04/13(土) 23:30:40.81 ID:1uN4vVGP
開発ボードとかでない?
Xの純正ボードとか
565774ワット発電中さん:2013/04/13(土) 23:39:59.07 ID:yp+7O2qJ
あれビルドアップなのか、じゃあ気づいてないだけだw
X純正は基板の反りが気になってしかたない。
566774ワット発電中さん:2013/04/15(月) 12:17:36.37 ID:XkNBMmYB
537です。
FPGAの搭載基板の事例を出してくれている人がいるようなのでわたしも。
メーカーだと、カノープスが出してた映像系のボードに割と使えるものが
載ってました。acex1kとか(90sp2まで使える)、xc95144xlが載ってる
あと、メーカ不明謎ボード系だとflex6000が一時期よく出てました。
virtexがのったボードも入手できましたがBGAなので豪華ですが使えませんでした。
ほかに事例がありましたらよろしくお願いします。
567774ワット発電中さん:2013/04/15(月) 18:12:39.30 ID:aamr1K4R
>>566
今のREGZAには搭載されているはず
568774ワット発電中さん:2013/04/15(月) 21:45:20.86 ID:37M8G7Xi
BGAは強敵
569774ワット発電中さん:2013/04/15(月) 22:15:49.60 ID:O5S7+Lmk
使えもしないボード漁るよりローコスト評価ボード買えば良くね?
570774ワット発電中さん:2013/04/16(火) 13:29:19.65 ID:+55Zi2rl
おしえてください。

XilinxのISEは、Win7 Home premium で、動きますか?
571774ワット発電中さん:2013/04/16(火) 13:36:36.31 ID:r67rVTar
Win版が動かない場合は、VMWareでLinuxの仮想環境作ってLinux版使えばOK
572774ワット発電中さん:2013/04/16(火) 18:18:16.90 ID:cESb+vAE
最初に教えて下さいと書くヤツは例外なく頭悪い
573774ワット発電中さん:2013/04/16(火) 21:10:44.01 ID:CawRISfW
自己紹介お疲れさん
574774ワット発電中さん:2013/04/16(火) 21:14:51.87 ID:Pb7OV8g/
XC9572XL のPLCC インダストリアル品売っているところ無いですか?
バイクのレギュレーターにコマーシャル品を使っているんだけど、最近
動作がおかしくなってきたみたい。制御電圧異常でエンストしちゃう(T_T)
575574:2013/04/16(火) 21:26:15.76 ID:Pb7OV8g/
あ、回路図見てみたらTA=70℃で電圧制御を停止する回路があった。
これが原因かもしれないけど、いままではなんともなかったからなぁ。
576774ワット発電中さん:2013/04/16(火) 21:31:02.96 ID:8j0roTEM
>>574
ザイリンクスから辿って通販業者を見つければ?
577774ワット発電中さん:2013/04/16(火) 21:41:58.30 ID:CowEnNcQ
バイクにPLDなんか使ってんの?
自作かな?DC-DCつかったら?
578774ワット発電中さん:2013/04/16(火) 21:43:26.08 ID:/TLSdtjw
該当の書込みまでしてくれる業者なんてあるのかね?
579774ワット発電中さん:2013/04/16(火) 21:44:44.11 ID:/TLSdtjw
>>577
自作なら
>TA=70℃で電圧制御を停止する回路があった。
なんて間抜けな事は無いだろ
580774ワット発電中さん:2013/04/16(火) 21:58:40.85 ID:Pb7OV8g/
何が間抜けだよ。まっとうな保護回路でしょ。これで4 年以上使って
来たんだし。ちなみにこんなの↓

http://up3.viploader.net/pic/src/viploader1250816.jpg
581774ワット発電中さん:2013/04/16(火) 22:13:14.74 ID:/TLSdtjw
>>580
TAってなんだよ。説明がなってないのが、まず間抜け。
その上、たかがレギュレーターもまともに作れないのに、信頼性の低い基板をバイクに積んじゃうのも間抜け。
582774ワット発電中さん:2013/04/16(火) 22:43:45.63 ID:2tluR+s3
4 年以上使っているから凄いって感じなのかな
故障が大事故につながる可能性のある車系の電装に
4年程度で駄目になるような信頼性の物使うっておそロシアだよな
583774ワット発電中さん:2013/04/16(火) 23:56:30.43 ID:cdICWWKs
>>581
たぶん周辺温度じゃないの

車載用に紙フェノール使う奴始めて見たわ
584774ワット発電中さん:2013/04/17(水) 00:09:55.13 ID:+Drx5jeg
一発で壊れるほどじゃないほどの過電圧が発生していて、
そのストレスが蓄積して破壊に至ったんじゃないの

何でインダストリアル品使えば解決すると考えてんの?
585774ワット発電中さん:2013/04/17(水) 00:21:29.49 ID:P1fn2yWD
TA=70℃で電圧制御を停止する回路があった。
は良いけど。これが働いたらバイクがどうなり、そして、それにどう
システム的に対処するかなんて考えてないだろな。
普通ならシステムストップで良いんだろうが、でも車等の場合はエライ事
になりそうだな。エンストして後ろの車に轢かれたじゃな
586774ワット発電中さん:2013/04/17(水) 00:22:06.85 ID:gPzW4kzE
>>581
Taで判らないお前って一体…w

>>583
> 車載用に紙フェノール使う奴始めて見たわ
写真見てないけど、それは不味いわ。
紙フェノールは吸湿すると膨張する。
それはハンダにストレスが加わって接触不良の元。やめとけ > ID:Pb7OV8g/

>>574
> XC9572XL のPLCC インダストリアル品売っているところ無いですか?

XC9572XL-10PC44I
ttp://avnetexpress.avnet.com/store/em/EMController/_/A-467149/An-0?action=part&catalogId=500201&langId=-1&storeId=500201&CMP=KNC-OemsTrade_VSE
587774ワット発電中さん:2013/04/17(水) 00:27:38.64 ID:+Drx5jeg
もう画像が消されてるw
なんかユニバーサル基板で作ったような回路だった記憶があるが、
バイクってあんなので良いの?

レギュレータだから吹っ飛んでも走れるんだろうけど
588774ワット発電中さん:2013/04/17(水) 00:33:04.85 ID:+Drx5jeg
つか、レギュレータにPLD使う必要あるのか?
整流と電圧安定化の機能だからPLD要らなくね?
589774ワット発電中さん:2013/04/17(水) 04:07:55.60 ID:4r2VYl7U
>>586
>Taで判らないお前って一体…w

周囲温度は、Ta  TAではない。
590774ワット発電中さん:2013/04/17(水) 05:12:39.18 ID:ZyEj3FCz
Ta, Tc, Tj
591774ワット発電中さん:2013/04/17(水) 05:28:08.76 ID:Dk69j7T/
インダストリアル品ってコマーシャル品と物は同じで試験基準が違うだけでしょ?
592774ワット発電中さん:2013/04/17(水) 17:16:04.65 ID:C4qTzi8w
>>589
アホか?
正しくは「A」は英大文字の下付文字だ。
英小文字ではないw
593774ワット発電中さん:2013/04/17(水) 18:03:04.95 ID:XW7XYraG
何れにせよ「インダストリアル品」で無いことが問題な訳じゃ無い。
そんな当たり前の事が理解出来ないのに、ゴミ基板をバイクに乗せて使ってる事が大問題。
594774ワット発電中さん:2013/04/17(水) 18:15:59.19 ID:4+1xM1Af
本当にレギュレータなの?
適当にググったけどただの整流回路と数石の安定化回路でPLDが出てくるような回路は見当たらないんだが
インジェクタの制御とかならわかるんだが
595774ワット発電中さん:2013/04/18(木) 01:20:31.93 ID:i1dDAhg3
>>589
データシート・メーカーによって、
TA, Ta, T(下付A)と、まちまちなんだけどねぇ・・・

間抜け連呼してたID:/TLSdtjwが間抜けだったってことで。
596774ワット発電中さん:2013/04/18(木) 01:31:02.96 ID:8npCd7m9
TA上がったら不安定になるバイクを、公道で走らせてるのかw
597774ワット発電中さん:2013/04/18(木) 02:00:50.18 ID:n/inVX0K
>>574は消えたのか?
聞くだけ聞いてドロンってどうなんだ
598774ワット発電中さん:2013/04/18(木) 02:28:47.49 ID:y4ZwgbOL
ドロンって・・・
20年ぶりぐらいに聞いたw
599574:2013/04/18(木) 06:10:40.84 ID:7o5251fJ
>>586 さんありがとうございます。やっぱり海外からの取り寄せくらいしか
無さそうですね。高くなりそう。
ちなみにただのレギュレーターではなく、回転数検出、サーボ制御など、
いろいろやっています。87%の使用率。

変なのに絡まれたので、これ以上何を言っても無駄だし、馬鹿ガキに
入れ知恵するのも好きではないので以後スルーさせてもらいます。
あしからず。
600774ワット発電中さん:2013/04/18(木) 07:14:33.56 ID:ys9CcxkO
>>597
消えてないよ。>>595とか>>586とか、そうとしか思えんw
設計や製作技術がショボイのに、部品のせいにしてるからレベルの低さが分かる。
後ろ走ってる車が気の毒だなw
601774ワット発電中さん:2013/04/18(木) 07:40:25.93 ID:dG3/oVhu
片面紙エポのユニバーサル基板を車載とか
そりゃ「大丈夫?」と言われて当然だよなぁ
自力でトラブルシュートも出来ていないみたいだし
なおさら不安だ。機能試験されているのかも疑わしいし
2輪はISO 26262が適用されないとはいえ人様の
迷惑にならないように配慮する義務くらいあるよな

身のある回答をして欲しかったらその辺を説明する
義務があるかと
602774ワット発電中さん:2013/04/18(木) 08:14:11.23 ID:n/inVX0K
>>599
>ちなみにただのレギュレーターではなく、回転数検出、サーボ制御など、
>いろいろやっています。87%の使用率。
それって普通ECUって言わね
それを最初に書くべきだったんじゃないのか?

>入れ知恵
自分は教えて君やっておいて、人には情報出さないってずいぶん自己中心的だな
603774ワット発電中さん:2013/04/18(木) 09:34:57.82 ID:Vddj9MJ2
入れ知恵っていうほど有意義な情報が書けるとも思えないけどw
まあ、消えるんだったらいいんじゃないか
604774ワット発電中さん:2013/04/18(木) 12:50:31.11 ID:S8acy5nL
バイクや車のオタクは変なの多いからなぁ
605774ワット発電中さん:2013/04/18(木) 13:45:21.24 ID:n/inVX0K
>>601
バイクとかのDIY改造だと良く見かけるぞw>紙基板
紙エポならまだマシで紙フェノとか使っているのもいる
車の室内灯とかストップランプをLED化するとか

適当に検索したら、それっぽいのがあった
http://homepage3.nifty.com/tam_network/across/diy/led_taillight.html
606774ワット発電中さん:2013/04/18(木) 16:42:35.11 ID:WF8lqz4K
>>605
そのページの一番下の回路図って、違ってないかな。
出力をPNPでGNDに落としてる。
607774ワット発電中さん:2013/04/18(木) 17:08:30.60 ID:n/inVX0K
>>606
帰還抵抗を切り替えて電圧を変化させてるみたいなんだが、
ここに入れても意味がない気がする
エミッタフォロワとして動きそうだが…
608774ワット発電中さん:2013/04/18(木) 18:11:48.04 ID:E/gauQwP
その回路図だとレギュレータに過負荷を掛けて暗くしておいて、ブレーキ
を踏んだら過負荷を解除して明るくするのかと思ってた。
609774ワット発電中さん:2013/04/18(木) 19:34:26.78 ID:WF8lqz4K
そうそう、それでもって V-contみたいな端子を遊ばせてる
610774ワット発電中さん:2013/04/18(木) 20:26:31.84 ID:0aiDPfMM
>>608
その通り、非ブレーキ時(通常で)BRK用REGがフルパワー、PNPがONてどうなんだって気がするが
BRK時はLEDのKのほうにNPNつけて制御や>>609の言うREGのV-contで制御のほうが良い気がする
611774ワット発電中さん:2013/04/18(木) 21:25:43.86 ID:i1dDAhg3
基板写真見てないから分からなかったんだが、レギュレータって、普通にそっちのことだったのか。
流体レギュレータとか燃調マップとか、そういうECUっぽいのシロモノかと思ったよママン・・・
612774ワット発電中さん:2013/04/18(木) 22:43:03.59 ID:kxYPOaOz
この板で「レギュレータ」と言えば電圧変換回路のことを示すだろjk
613774ワット発電中さん:2013/04/18(木) 22:48:34.81 ID:n/inVX0K
>>608
>>610
そういう回路なのか!
全く思いつかなかったというか、何でそんな実装にしてんだ
常に過負荷というか保護回路頼みの回路ってことだよね
614774ワット発電中さん:2013/04/18(木) 23:39:59.05 ID:YaRi5Kgk
世の中にはシャントレギュレータという物もあるが・・・
こういうときに使う物じゃないよな
615774ワット発電中さん:2013/04/18(木) 23:41:51.04 ID:E/gauQwP
>>613
回路図がそうなってるんだけど、説明では電圧を変えてるような事になって
るから、単に回路図が間違ってるのかも知れない。
616774ワット発電中さん:2013/04/19(金) 00:20:16.19 ID:srcX1Ljv
お前ら、プログラマブル論理素子の話をしろよ。
617774ワット発電中さん:2013/04/19(金) 00:25:45.50 ID:zPeqA3UX
ネタくれ
618774ワット発電中さん:2013/04/19(金) 00:27:24.52 ID:dYs3FQEa
brk時はpos、brkの両方のLEDが点灯し、
pos時はposのLEDのみが点灯って制御したいだけじゃないのか
それを実現するとあーなるのか
PNP止めてbrkレギュとposレギュの入力間にダイオード入れるだけでいいんじゃないのか
619774ワット発電中さん:2013/04/19(金) 01:50:49.86 ID:b9Y2F35N
いつまでスレチの話題引っ張るつもりなの?(´・ω・`)
レベルが低過ぎてもうね(´・ω・`)
620774ワット発電中さん:2013/04/19(金) 03:25:05.91 ID:KaP8bH45
最底辺の坊やに言われちゃったな
621774ワット発電中さん:2013/04/19(金) 07:02:02.01 ID:zPeqA3UX
>>619
ARMスレでずっとスレチの妄言を書き続けていた奴にいわれても…
622774ワット発電中さん:2013/04/19(金) 08:36:53.18 ID:wFnPXcVx
>>621 御意
623774ワット発電中さん:2013/04/19(金) 12:48:27.23 ID:InTwxQPl
ワラタ
624774ワット発電中さん:2013/04/19(金) 20:30:45.64 ID:sHXAOrZi
>>621
自分がする分には良いいが、他人がすると文句言う奴いるよね
(´・ω・`)を文に入れるところからして最底辺の坊やって感じだな
625774ワット発電中さん:2013/04/19(金) 21:34:18.93 ID:PazubGRV
今日本屋で
FPGAボードで学ぶ組込システム開発入門 XILINX編 3800円
が売ってた めずらしくXILINXの解説本や
626774ワット発電中さん:2013/04/19(金) 21:52:02.50 ID:Ybxc1hQj
NEXYS3 ポチッた。

明日届く予定。
プロセッサコアとパイプラインの構築してみて
処理についての考察してみるわ。

ただ、自作アーキだと、コンパイラをどうするかが課題。
FPGAでZ80とか6809とか実装してる人って、どうやって
ソフトウエアの構築やってる?

なにかOSは流用してくる形で補ってるのかな?
だれかなにか知らない?

補足

一応、micro blezeとかのベンダー純正マクロを使えば
開発キットがくっついてくるのは知ってる。
ベンダー純正マクロをベースに回路組んだほうが無難なのかね?
627774ワット発電中さん:2013/04/19(金) 21:52:42.37 ID:Ybxc1hQj
MicroBlazeね
628774ワット発電中さん:2013/04/19(金) 22:51:16.35 ID:zPeqA3UX
>>626
やったことがないなら純正から始めた方がいいんじゃないか
古いアーキテクチャの場合は、当時のソフトを集めてくるか、gccで構築か?
629774ワット発電中さん:2013/04/19(金) 22:58:53.83 ID:Ybxc1hQj
>>626

>やったことがないなら純正から始めた方がいいんじゃないか

なんかそんな感じだね。

ちなみに当方、某LSIベンダーに勤務。
入社後3年間は液晶パネル用ソースドライバの設計。
それ以降はASIC用テクノロジの開発関連やってます。

けど、なんか、組み込み系の知識もないと、まずい状況になってきていて、
今回プロセッサ+αのαってなんだろうね?ってのを考察してみようと思っています。

本音言うと、0.35umクラスのやっすいテクノロジでいいから
久しぶりにフルカスタムでプロセッサ作りたい・・・。 orz

まぁ、まずムリだろうな。 と思ったので、今回NEXYS3を買ったというわけです。
今後ともよろしくお願いいたします。
630774ワット発電中さん:2013/04/19(金) 23:09:33.53 ID:Ybxc1hQj
あ、アンカーミス。

>>628
631774ワット発電中さん:2013/04/19(金) 23:44:26.15 ID:l9Bk9DHw
初めまして。流れを切ってしまってすみません。

興味本位でC言語ベース設計での高位合成を行ってみたいんですが、
評価版とかオープンソースでまともに動きそうなツールはありますか?

sisterというオープンソースのものは見つけたんですが、あまり情報が
ありませんでした。
632774ワット発電中さん:2013/04/20(土) 04:32:45.98 ID:V1ylkOqY
>>631
なんでHDLスレじゃなくFPGAスレ?
FPGA・CPLDに絡めて質問してね
633774ワット発電中さん:2013/04/20(土) 09:42:07.91 ID:ly9TQQA9
>>632,631

C言語ベースの高位合成だけだったらHDLスレだろうけど
その先のFPGAへのインプリも含めてってことなのかな?

そういうのって、オープンソースであるものなの?
なんか自分的には、まだまだHDL系が幅利かせてるように思うけどね。
634774ワット発電中さん:2013/04/20(土) 15:33:19.50 ID:XForPocq
XならVIVADO HLSってのがあるけど、無料版では使えないみたい
30日の評価ライセンスで試すとか
635774ワット発電中さん:2013/04/20(土) 16:58:57.43 ID:fsPtIJJr
>>632
633さんの仰る通りの用途ですが、確かにHDLスレのほうが適切かもしれないですね。
次は気を付けます。

>>633
自分もほとんど情報が見つけられなかったので、もし知っている方がいればと思いまして・・

>>634
ありがとうございます!調べてみます。
636774ワット発電中さん:2013/04/20(土) 17:13:31.68 ID:fsPtIJJr
>>634
vivadoの評価版でsystemC入力の高位合成できそうです。
ありがとうございました。
637774ワット発電中さん:2013/04/22(月) 07:54:01.52 ID:FVwxS5lM
国産のFPGAが無いのは何故ですか?
638774ワット発電中さん:2013/04/22(月) 08:09:25.85 ID:2SqABHEe
日本じゃLSI設計を一般企業の人間が出来るワケ無いと笑い飛ばした結果だ。
639774ワット発電中さん:2013/04/22(月) 08:32:54.18 ID:wsbUDWi3
IPフレックス(小声
640774ワット発電中さん:2013/04/22(月) 08:46:30.57 ID:9sV/RbKl
>>637
東芝の大分工場で作ってたよ
641774ワット発電中さん:2013/04/22(月) 10:27:43.43 ID:+iJSFUBQ
PLDもFPGAも、作ったけど結局メーカが売り方を知らなかったんで
敢え無く製造中止になってる。
642774ワット発電中さん:2013/04/22(月) 18:23:07.77 ID:8gNxy0Vy
>>641
アナログ回路もFPGAみたいにできたらいいのに
643774ワット発電中さん:2013/04/22(月) 19:16:43.57 ID:knAEijLg
PSOC
644774ワット発電中さん:2013/04/22(月) 19:41:47.11 ID:6ih0M3nt
日本オワットル
645774ワット発電中さん:2013/04/22(月) 20:42:19.25 ID:7Ux0PFAf
ドカタ(ゆとり)、しがみつき産業の国が繁栄するって信じているのはゆとりだけ
でも、いまの日本の電子産業はゆとりだらけらしいからな
ゆとりは、しがみつきは今でも昭和時代している
646774ワット発電中さん:2013/04/22(月) 23:42:41.69 ID:CTthVLUV
Vivadoって、なんと発音するのでしょうか?
ビバドゥ ?
ビバド ?
647774ワット発電中さん:2013/04/22(月) 23:43:58.09 ID:X0K7G91/
ビバドだよー。
648774ワット発電中さん:2013/04/23(火) 00:14:44.64 ID:ER8tzvLs
頭の中でこの歌が流れとりますw
ttps://www.youtube.com/watch?v=2oYIIJjAf1c
649774ワット発電中さん:2013/04/23(火) 22:49:26.47 ID:iEo5KyRw
>>633
昔、Handel-Cの研究バージョンというのがあったんだけどね。

>>637
あったんだけど、やっぱり半導体製品としては異質だからね。
米国にしても、Intelだのモトローラ(フリースケール)だの・・といった
大御所の製品ではないでしょ?
まあ、FPGAの合成ツールのエンジン部分は実は日本製だって話もあるけども。
650774ワット発電中さん:2013/04/23(火) 23:18:30.86 ID:IF5bB6qn
>>648
耳から離れなくなった
651774ワット発電中さん:2013/04/23(火) 23:22:55.02 ID:lOjosj6J
FPGAを介してハードを制御するシステムがあるんだが、
一応CPUは国産の高性能な奴を付けてるけど、周辺機能は一切使わず。
FPGA上に作った制御レジスタをメモリーマップドI/Oの形で叩く感じ。

いっそFPGA上にARMコア構築しちゃった方が安くね?
と思ったのは俺だけだろうか…。
652774ワット発電中さん:2013/04/23(火) 23:25:22.66 ID:IF5bB6qn
>>651
つZYNQ
FPGA部分が単体よりも小さいけど
653774ワット発電中さん:2013/04/24(水) 08:52:11.45 ID:eG2gECFw
しかも安いのでも1万円越え。
その値段なら別個に買っても安いし上、クロックは1.5倍。

想像どおりの結果でワロタw
654774ワット発電中さん:2013/04/24(水) 08:56:27.14 ID:tQ34gYcb
製品は見た目が大切
信頼の国産品
655774ワット発電中さん:2013/04/24(水) 09:43:19.68 ID:CF3np6kw
見た目で信頼性が決まれば苦労は無いw
でも素晴らしいデザインは、出来上がりも美しいけどね。
656774ワット発電中さん:2013/04/24(水) 21:18:42.83 ID:8umoRT2C
>>651
みな同じことを考え、歴史は繰り返すw
657774ワット発電中さん:2013/04/24(水) 22:46:10.02 ID:7O+uJ+TD
FPGAマガジン買った人いる?
658774ワット発電中さん:2013/04/24(水) 22:53:20.89 ID:7O+uJ+TD
あっ発売日は明日だったね失礼w
659774ワット発電中さん:2013/04/25(木) 12:00:29.76 ID:M9Zd0Me2
一応、Amazonで予約した。
660774ワット発電中さん:2013/04/25(木) 21:47:18.78 ID:IDXrdhBE
買った。
表紙をめくると・・・ESP企画 orz
DDTよりも薄い、広告も・・・。
季刊誌とはいえ来年の刊行はあるのだろうか?
661774ワット発電中さん:2013/04/26(金) 00:01:59.67 ID:lpKE6QoQ
「薄い本」って、あっち系の本を表現する代名詞じゃね?
662774ワット発電中さん:2013/04/26(金) 00:09:10.30 ID:xiUqeYj3
>>660
ESPって付録基板でやらかしたところか
663774ワット発電中さん:2013/04/26(金) 07:04:40.27 ID:DRvpjvmy
>>661
ALTERAxXILINXか
XILINXxALTERA
664774ワット発電中さん:2013/04/26(金) 07:17:32.66 ID:Jj8CDn4h
・・・それはどういうプレイになるのだ?
定番はやっぱり擬人化なのか?
665774ワット発電中さん:2013/04/26(金) 10:39:48.02 ID:Q0V8/1mz
>>660
俺も表紙開いて萎えた
内容はそこそこだと思う
666774ワット発電中さん:2013/04/26(金) 19:18:19.69 ID:xxnGLtt5
amazonでFPGAマガジン売り切れ。
結構早かったなぁ。
667774ワット発電中さん:2013/04/26(金) 21:21:05.32 ID:X3LKqJ7H
>>664
↓これを思い出したw


俺は初めて彼女とMMXした。
「もうそろそろiiyama? 君のI/ODATAを確かめたいんだ。XT」
MITSUMIあうと、彼女のMECはALBATRONとしていた。
緊張した俺は焦り彼女のソケット478をAOpenしようとした。
「ちょ、ちょっとRADEON!何をSis」もう俺は既にエレコム。
Sound Blasterのホックを外すとはちきれそうなPioneerがBarracuda
でもBIOSTARは意外と玄人志向だった。
「恥ずかしいわ。あまりミネベア・・・」
SCSIをTORICAるとKEIANをSOLTEKした痕がアルファデータ。
あそこはSUPERMICROだった。OMEGAドライバも少し鼻についた。
しかし、彼女のCREATIVEを刺激しつつ俺のセレロンを
彼女のSerial-ATAからASUSに這わせると、「FireWire〜ン」
「もう、ATI」「COREGA欲しいんだろ?」「早くリテール」
俺は激しくTSUKUMO。「インテルはいってるっ!IEEE!1394!」
「あぁ・・・もうDell!」
668774ワット発電中さん:2013/04/26(金) 22:53:50.01 ID:DkQV2sSb
>>666
たまたま気づいて買った俺はラッキーだったんだな。まだ届いてないけど。
PDF 版でもいいんじゃないの?
669774ワット発電中さん:2013/04/26(金) 23:12:36.32 ID:eFddx0yi
初めまして
当方FPGAを初めて1週間の初心者です。
開発環境はProject Navigater
言語はVerilogです。
アドバイスお願いします。

現在8ビットのバイナリカウンタを製作中で、LEDで出力をみようと考えています。
とりあえず1ビットだけのプログラムを書いて動かしてみようと思ったのですが、エラーがでます

ソースコード
http://www.dotup.org/uploda/www.dotup.org4158102.txt

エラー内容
ERROR:HDLCompilers:247 - cntup.v line 42 Reference to scalar wire 'LED_0' is not a legal reg or variable lvalue

ERROR:HDLCompilers:42 - cntup.v line 42 Illegal left hand side of procedural assign

ERROR:HDLCompilers:247 - cntup.v line 46 Reference to scalar wire 'LED_0' is not a legal reg or variable lvalue

ERROR:HDLCompilers:42 - cntup.v line 46 Illegal left hand side of procedural assign


このエラーはどうやらwireで宣言したものを<=を使って代入すると出るエラーということが調べてわかりました。
しかし、コード中にある通りassignで宣言しているので問題ないと思うのですが、何が悪いのでしょうか?
よろしければ助言をお願いします。
670774ワット発電中さん:2013/04/26(金) 23:35:24.42 ID:OU65cNh/
alwaysのなかでassignしちゃダメ
671774ワット発電中さん:2013/04/27(土) 00:48:09.31 ID:XDWUT7hX
>>669
以下のような書き方をすると、
「おっ、alwaysの中で assign してまったがや」とわかりやすいですよ。
・beginは、行の最後に書く
・end else begin と1行に書くと、区切りとして分かり易い。
・if()は、処理が1行でも、横着せずに begin end で囲む癖を付ける
・間違いの部分を // でコメントアウトしてみました。

module cntup (CLK,LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7);
  input  CLK;
  output LED_0, LED_1, LED_2, LED_3, LED_4, LED_5, LED_6, LED_7;

  parameter F6M000_cnt = 32'h002dc454;

// wire     LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7;
  reg     LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7;

  reg [31:0] sec_cnt;
  reg     sec1_flag;
  reg     toggle_flag;

  always @ (posedge CLK) begin
    if(sec_cnt == F6M000_cnt) begin
      sec_cnt <= 32'h00000000;
      sec1_flag <= 1'b1;
    end else begin
      sec_cnt <= sec_cnt +1;
      sec1_flag <= 1'b0;
    end

    if(sec1_flag == 1'b1) begin
      toggle_flag <= ~toggle_flag;
    end
  end

  always @ (toggle_flag) begin
    if(toggle_flag == 1'b1) begin
//     assign LED_0 = toggle_flag;
      LED_0 <= toggle_flag;
    end else begin
//     assign LED_0 = ~toggle_flag;
      LED_0 <= ~toggle_flag;
    end
  end

endmodule
672774ワット発電中さん:2013/04/27(土) 01:26:23.76 ID:aA1Z3UXx
>>669

初心者だったら一度こういうの一読したらいいんじゃないかな。

ttp://shop.cqpub.co.jp/book_guide/detail/38961/

これはオヌヌメ。
オレの勤務先の研修でも、ほぼこれと同じようなテキスト使ってる。
大体1ヶ月もあれば、RTLをきちんと書けるようになるよ。
673774ワット発電中さん:2013/04/27(土) 01:58:51.22 ID:kkyB1f/8
>>666
まじかよorz

FPGAマガジンって書店には売ってないのかな?
674774ワット発電中さん:2013/04/27(土) 02:07:06.41 ID:aA1Z3UXx
675774ワット発電中さん:2013/04/27(土) 03:25:41.78 ID:fNMlN+xJ
FPGAマガジン 3冊買いました。
676774ワット発電中さん:2013/04/27(土) 07:08:14.06 ID:xDArek74
>>673
ジュンク堂にはあったで
677774ワット発電中さん:2013/04/27(土) 09:19:53.95 ID:uMsQeMW8
>>676
近所のジュンク堂のほうが先週半ばになくなってしまった
678774ワット発電中さん:2013/04/27(土) 11:19:53.24 ID:fNMlN+xJ
5冊買いました。
679774ワット発電中さん:2013/04/27(土) 18:19:57.71 ID:aA1Z3UXx
複数冊買う人って転売するの?
儲けは何割ぐらい想定?
680出品状況:2013/04/28(日) 00:38:24.40 ID:RYQqtdTZ
681774ワット発電中さん:2013/04/28(日) 00:56:41.63 ID:0Otbaxxe
これ業者なら再販契約違反じゃないの
682774ワット発電中さん:2013/04/29(月) 00:59:32.06 ID:qiyWAQVH
俺は4冊
683774ワット発電中さん:2013/04/29(月) 12:32:28.47 ID:dQleGh8p
CQのWebShopでフライング注文できたよ
684774ワット発電中さん:2013/04/29(月) 13:01:07.58 ID:LVvPDU6E
ずるいなぁ、売ります買いますで、やられちゃう
685774ワット発電中さん:2013/04/29(月) 22:44:41.53 ID:3r7+TSCC
近所の書店では平積みになってた。
686774ワット発電中さん:2013/04/30(火) 00:41:26.34 ID:j/6ggAIR
ああ、本屋に行ったのにすっかり忘れてて探しもしなかったわ
687774ワット発電中さん:2013/04/30(火) 01:04:58.86 ID:5WyMTVHx
alwaysの中にalwaysを書くことはできないのですか?
688774ワット発電中さん:2013/04/30(火) 01:49:00.28 ID:c/ev+o48
次号以降をどんな内容でつないでいくのか、楽しみだ。
689774ワット発電中さん:2013/04/30(火) 04:00:27.93 ID:g5T3le4C
>>687
何のためにそれが必要なんだ?
690774ワット発電中さん:2013/04/30(火) 10:24:22.36 ID:EPi46Znl
>>688
予告あるで

USB3,0 x FPGA
691774ワット発電中さん:2013/04/30(火) 10:43:51.49 ID:oxggUEeZ
5号くらいまで予告出てたでしょ
692774ワット発電中さん:2013/04/30(火) 11:32:46.89 ID:1JdZ5AOt
付録は?
693774ワット発電中さん:2013/04/30(火) 12:22:48.38 ID:rhpPtFMn
cqのfpga関連の本、fpgaマガジンも高いけど、de0関連の本が、
最初のやつと同様に5千円とかしてワロタ。さすがに高すぎる気がする。
694774ワット発電中さん:2013/04/30(火) 14:23:43.23 ID:wsIdEJAy
無駄に紙質が厚くて、重くて。
695774ワット発電中さん:2013/04/30(火) 20:41:27.87 ID:DlPq6AJI
CQもそろそろ終わりかな
696774ワット発電中さん:2013/04/30(火) 20:44:14.65 ID:pxxNUH1V
>>693
それでも安い値段。FPGA関連って売れないから高くなる。
俺的には出るだけまだマシって感じ
ソフト主流時代にプロ向けハード物本なんてたいして売れない
マイコン系スレとFPGA・HDLスレ比較してもFPGAしている奴が少ないって
分るだろ。
697774ワット発電中さん:2013/04/30(火) 20:45:45.67 ID:i88rlkX7
プロというか、仕事でやってるやつはベンダとか代理店のセミナに行ってね?
698774ワット発電中さん:2013/04/30(火) 20:58:38.29 ID:pxxNUH1V
>>697
エンジニアならソフト系もだが英語の本(情報)で頑張れって時代
でも日本の主流はドカタだから中学英語でも理解できないって奴多い
699774ワット発電中さん:2013/04/30(火) 21:53:43.43 ID:PrJ4Jb+r
中学英語も判らないで悪かったな(´・ω・`)
つーか中学英語が判っていればデータシートや
ユーザーズマニュアルを理解できるようになるの?
700774ワット発電中さん:2013/04/30(火) 22:27:48.89 ID:EPi46Znl
>>697
派遣にはそんなお金ないわ
701774ワット発電中さん:2013/04/30(火) 22:30:38.11 ID:pxxNUH1V
>>699
お前、ドカタなのか?
ドカタは、中学卒ならデータシートやユーザーズマニュアルを
理解できるって信じている。だよね。どう?
702699:2013/04/30(火) 23:56:02.09 ID:PrJ4Jb+r
>>701
土建屋じゃないけど(仕事では)エンジニアでもないよ
一応高卒だが英語力は中学英語も全く理解できていない・・・
今のところ仕事で障害はないが、趣味ではたびたび英語資料で
苦労している
703774ワット発電中さん:2013/05/01(水) 00:15:43.73 ID:knxKoZHb
>>700
自己負担じゃなくて会社持ちでしょ?
704774ワット発電中さん:2013/05/01(水) 00:18:09.54 ID:EEdV80GS
このスレで土建屋とか言ってる時点で日本語もねぇ・・・
705774ワット発電中さん:2013/05/01(水) 00:45:34.92 ID:9Ohvsqj9
翻訳ソフトだけあればいい
706774ワット発電中さん:2013/05/01(水) 01:16:04.20 ID:Spo1Jtsm
ふぅ、きょうび土方(底辺)がこれだもの...現状を憂うと同時に上層にいる我々(私)がなんとかせねばと奮起する毎日(´・ω・`)
まあまだ学生(院)の身ですがそうも言ってられない(´・ω・`)
はぁ、ゴールデンウィークも返上して研究室に寝泊まりの日々...
707774ワット発電中さん:2013/05/01(水) 02:40:44.70 ID:7JXh8btg
要は、
>研究室に寝泊まりの日々...
これが言いたいだけでしょ?
708774ワット発電中さん:2013/05/01(水) 02:43:53.93 ID:knxKoZHb
>>707
スルーしる
709774ワット発電中さん:2013/05/01(水) 03:19:56.90 ID:Xjgdz6xA
みなさんのFPGAやっててよかったという経験談教えてください
710774ワット発電中さん:2013/05/01(水) 03:59:51.60 ID:O0bsXW8W
富士通は車載マイコンやめました。
711774ワット発電中さん:2013/05/01(水) 06:41:34.03 ID:1FATEP6M
>>709
4度目のモテ期が来ました。
712774ワット発電中さん:2013/05/01(水) 07:11:14.61 ID:Mh7Mxfmr
>>703
自己研鑽するのは自分でやれで補助などでない。教育とはそういうもの
713774ワット発電中さん:2013/05/01(水) 10:35:51.80 ID:J79tzUPC
>>712
その結果が>>700じゃどうしようもなくね
714774ワット発電中さん:2013/05/01(水) 13:15:15.75 ID:k3GzRV/f
Xilinxのセミナー = 有償 (〜5万)
TEDのセミナー = 無料
新幹線×2 = 3万(自費)
宿泊 = 1万(自費)

毎年行ってるよ。もちろん自費。だから力が入るんだ。
715774ワット発電中さん:2013/05/01(水) 14:16:24.58 ID:k3GzRV/f
最近興味があるのは、Microblaze MCS。
規模は小さいし、外バスはできないけど、
自分にはちょうどいい感じ。
716774ワット発電中さん:2013/05/01(水) 18:41:04.57 ID:R6688KPy
Xilinxのセミナーなんて毎年行っても代わり映えしなくね?
717774ワット発電中さん:2013/05/01(水) 19:37:52.58 ID:wMn9TawM
>>703
会社持ちってどっちの会社のこと? 派遣先?派遣元?
俺の会社では派遣で来ている人に俺の会社持ちで有料研修なんて受けさせないけど、
派遣の人に研修費出す派遣先あるの?
718774ワット発電中さん:2013/05/01(水) 20:48:38.55 ID:c4NVj1yP
日立でたよ。
719774ワット発電中さん:2013/05/01(水) 21:23:25.13 ID:Mh7Mxfmr
>>714
名刺がないのでセミナーとか展覧会とか参加するのは躊躇する。
720774ワット発電中さん:2013/05/01(水) 21:26:39.35 ID:Mh7Mxfmr
>>715
IOバスに変換する自作モジュールつければレジスタやらメモリに普通にアクセス出来る
721774ワット発電中さん:2013/05/01(水) 21:32:01.24 ID:ObVTt79c
>>719
名刺なんて適当な屋号考えて自分で刷ればいいんや。
俺はフリーだった時、飲み屋で知り合ったデザイン事務所のお姉さんにちょっといいやつデザインしてもらった。
722774ワット発電中さん:2013/05/01(水) 22:03:57.38 ID:knxKoZHb
>>716
Xのセミナーでシリーズになっていてステップアップするのを指しているのかな?

でもそれだと毎年行くなんてスパンだと長過ぎで意味がなくて、
せいぜい一年で終わらせないと役に立たないし、
上位のコースは7万(2日)だから条件に合わない

〜5万って入門コースみたいなやつが多い気がするんだが
具体的に何を受講したのか
723774ワット発電中さん:2013/05/01(水) 22:15:30.45 ID:knxKoZHb
>>720
それだったらMCSじゃないので良くね?
724774ワット発電中さん:2013/05/01(水) 22:43:26.73 ID:kLy4toFC
>>723
MCSじゃないのは有料ですので
無料のMCSでレジスタ パチパチするのはデバッグで重宝する
725774ワット発電中さん:2013/05/01(水) 22:45:22.33 ID:+AzYictE
>>706
ここはお前みたいな高学歴の勝ち組に用はないんだよ
つうことで失せな坊や
726774ワット発電中さん:2013/05/01(水) 22:46:46.37 ID:kLy4toFC
>>721
肩書きがない名刺を警察に見せて
フリーのエンジニアですって言うんやな
727774ワット発電中さん:2013/05/01(水) 22:52:56.65 ID:ObVTt79c
>>726
肩書きも適当につければいいんや。
CEO でも ハイパーメディアクリエイターでも好きにしろ。
728774ワット発電中さん:2013/05/01(水) 23:29:11.81 ID:TsxrGozN
名刺に肩書きなんて要らないよ。
自分の名前+αの会社名にしておけば良い。
729774ワット発電中さん:2013/05/02(木) 01:01:13.29 ID:GolNLgS9
そろそろ英数3文字も尽きてきたような
MCS≠mcs
730774ワット発電中さん:2013/05/02(木) 02:10:53.62 ID:/diW21rj
関係無くて申し訳ないけど、300×120×5mm程度のアルミ板1個を板金屋に外注する場合、
黒アルマイトにすると、表面処理無しに比べてどのくらい高くなるっけ?
5,000円位高くなるんだっけ?
731774ワット発電中さん:2013/05/02(木) 03:49:31.64 ID:a/FLzIvT
>>730
なぜここでそんなことを聞く
732774ワット発電中さん:2013/05/02(木) 04:14:09.24 ID:L4eZq7UH
アルミ板1個 って、おかしくない? しかも全角数字だし。
アルミ板1枚ではない?
733774ワット発電中さん:2013/05/02(木) 07:06:24.96 ID:Su9JLZG5
数字だけ半角だと、見た目のバランス悪い。全角文字は全角文字で設計されてるから
通ぶってるド素人の文章は体裁がみっともない。
734774ワット発電中さん:2013/05/02(木) 07:30:41.36 ID:+BauHhL2
文字表記と、数値表記は別だと何度言えば・・・
きっと、リテラルに全角代入してソースコード書いてるんだろうなw
735774ワット発電中さん:2013/05/02(木) 09:23:00.73 ID:S3Yt1uyt
おっと、Mind の悪口はそこまでだ!
736774ワット発電中さん:2013/05/02(木) 10:40:53.09 ID:SdBLi+5f
人間が読む文章とコンパイラが解釈するソースの区別もつかないなんて馬鹿すぎw
737774ワット発電中さん:2013/05/02(木) 11:10:50.61 ID:L4eZq7UH
人間が読む文章でも、全角英数字は間抜けに見える。

if(reset==1’b0) begin
  result <= `HEN;
end

if(reset==1'b0) begin
  result <= `yoikannji;
end
738774ワット発電中さん:2013/05/02(木) 11:59:31.14 ID:I6sqfCE1
どっちにしろスレチ
739774ワット発電中さん:2013/05/02(木) 13:43:52.80 ID:PdJNJ3eC
スレチですねぇ(´・ω・`)
740774ワット発電中さん:2013/05/02(木) 13:54:09.20 ID:eXpHjpdr
>>724
mcsじゃない奴でもWebPackで使えるようになっているみたいよ。

http://japan.xilinx.com/tools/mb_mcs.htm

と思ったら、Zynq限定か…?
>ISE WebPACK の場合 - MicroBlaze および MicroBlaze MCS は、3 つの最小規模 Zynq デバイスにデバイス ロックされています。
この書き方だとMCSも無料じゃないと読めるんだが
741774ワット発電中さん:2013/05/02(木) 15:09:35.48 ID:GolNLgS9
言えてるね。
MicroBlaze および MicroBlaze MCSが同じ扱いの物に感じる。

でも、何回か読むと、
ISE WebPACKの場合は、
MicroBlaze および MicroBlaze MCS どちらも使えるけど、
最小規模のZynqデバイス(3種類)に限定されています。
とも読めてしまう。
742774ワット発電中さん:2013/05/02(木) 21:56:04.49 ID:Do5O05Dd
FPGAマイコンって良く使っているのか?
そうなら、ソフト屋並みにとは言わないがそれなりにプログラムできるのか
まぁ、ある程度のプログラミング能力は今や常識って感じがあるからな
743774ワット発電中さん:2013/05/02(木) 22:49:05.34 ID:MN6C1V/T
>>739
すいません
744774ワット発電中さん:2013/05/02(木) 23:39:55.68 ID:oZSRl0OW
>>740
ZynqだったらARM内蔵されてるから
そっち使うw
745774ワット発電中さん:2013/05/02(木) 23:49:50.88 ID:oZSRl0OW
>>744
補足 : Microblazeを呼び出すEDK(XPS)がZynq以外だと有料だから
結果として、IPとしては無料でもSpartan6とかで使えないのではないかな
746774ワット発電中さん:2013/05/03(金) 00:26:06.12 ID:A8LuisFB
SDKは無料でEDKは有料
mcsはSDKだけで開発できるけど、元々のmicroblazeはEDKが必要って認識
ややこしいな
747774ワット発電中さん:2013/05/03(金) 00:39:39.38 ID:j3beFpsU
結局FPGAマガジンどやった?
買った方がいいのんか?
748774ワット発電中さん:2013/05/03(金) 01:24:46.86 ID:UdUc3k48
749774ワット発電中さん:2013/05/03(金) 02:35:04.37 ID:TbKkcLgJ
>>748
5000円以上か
でも、FPGAやっているやっている奴って高給取りが多く
5000円超でも安いってほいほい買うだろうからな

>>747
FPGA特化雑誌だけあって非常に良い。素人を読者排除したからだろうが。
あれなら毎号買って良い
750774ワット発電中さん:2013/05/03(金) 02:45:19.16 ID:u3Rszw0v
研究用として会社の金で買うので値段関係ない・・・か?
確かに高いね。素人が買わない->売れないので高くなるという値付けなんだろうけど
対象は明らかに素人向けという矛盾というか素敵な悪循環が生じているなぁ。

本当に素人に売りたいなら電子ブロックレベルの気軽さで使える仕組みを作らないと
駄目だろうね。Arduinoとかembedとかみたいな物を見習うべきだと思う。
まぁ、あくまでも素人に売りたいと考えているなら・・・だけどね。
751774ワット発電中さん:2013/05/03(金) 03:02:18.38 ID:A8LuisFB
>>748
PCIeは良さそうだが、入門書みたいなのは微妙
752774ワット発電中さん:2013/05/03(金) 03:16:25.91 ID:U9FEG+CB
>>748
5/1発売kの書籍販売ページに、いきなり「お詫びと訂正」が載ってるって、
変な感じ。CQ品質。
753774ワット発電中さん:2013/05/03(金) 09:01:05.49 ID:n/HF7YOA
>>749

>でも、FPGAやっているやっている奴って高給取りが多く
>5000円超でも安いってほいほい買うだろうからな

発行部数が少ないだろうから、どうしても単価が高くなるのでは?

ちなみにFPGAやってる奴って高給取りが多いのかね?
オレは某LSIベンダー(いまうさわさのFから始まる会社だが)
入社13年のバリバリ中堅社員で手取り23マンだよw
(ちなみに、いまは強制的に残業0時間、むちゃくちゃ安い)

だから、今回のCQ出版の>>748のカキコにあったもん
ほとんど買ったけど、夏ボを見込んだ上で買ったw

まじめなはなし、高給取りのFPGAプログラマってどこにいるんだろ?w
754774ワット発電中さん:2013/05/03(金) 09:28:02.17 ID:crXSSO+M
自営すればいい。FPGAの割合は減るけど手取りは10倍以上になる。
ナスは無いけどな。
755774ワット発電中さん:2013/05/03(金) 09:37:52.69 ID:4q8TzFP3
外注頼むと、ソフト屋は80くらいなのに
ハード屋は100越え。一時期の150とかはなくなったけど。
オレの何倍もらってんだよ!
756774ワット発電中さん:2013/05/03(金) 09:53:56.71 ID:XhrNHXkf
土方自慢乙
757774ワット発電中さん:2013/05/03(金) 11:24:54.95 ID:pTgRQAtz
>>755
>ハード屋は100越え。一時期の150とかはなくなったけど。
ハード屋は部品代とかその先の外注費が入ってるから、もうかんない。
丸儲けなのはソフト屋。PCが1台あれば、あとはDVDディスク、参考書、紙くらい。
それなのに300万とか平気で請求してくる。ったく。
基板設計屋も同じで、成果物が電子データの外注は、とても高く請求してくる。
腹が立つくらい。
758774ワット発電中さん:2013/05/03(金) 11:30:09.49 ID:crXSSO+M
>>757
全部自分でやれば丸儲けだな
759774ワット発電中さん:2013/05/03(金) 11:32:30.74 ID:3s9zb5bG
最近は仕事(設計)以外に、趣味として株をやってるが、最近30代になって仕事と趣味が逆になってきた。
株の資産が1.5億を超えてからほぼ毎晩女呼んでる。
彼女には株のことも女呼んでることも黙ってる。

生活費と株資産を分離するという自分のルールも崩れ気味。
本来、株資産が減っても生活費を株口座に移すべきではない。
逆に、株資産が上がっても、株資産を生活費に回して贅沢するべきではない。
不動産が欲しければローンを組めばいいし、会社が潰れるかリストラされれば失業保険で暮らすべき。
だが俺は自分の性欲には勝てなかった・・・。
今後が心配だ。
760774ワット発電中さん:2013/05/03(金) 11:40:09.78 ID:Qrsv72nC
連休明けに株が暴落する予想があるから買い煽り必死だな
連休中は株の買い煽りコピペが増えると予想
761774ワット発電中さん:2013/05/03(金) 11:42:20.73 ID:3s9zb5bG
あ、アルミ板に黒アルマイトの件、変なこと書いてごめんね。
助数詞は「個」「枚」「台」「EA」「pics」「(なし)」とか会社によって習慣が違うよね。
前に同じような条件で外注した際の金額見たら大体分かった。+1,000円行かない程度ね。
仕事が趣味化して、FPGA危機の試験治具を黒アルマイトにしたくなってね。
あまりにもアホなことして信用失うと身動きが取りづらくなるから聞いてみた。
762774ワット発電中さん:2013/05/03(金) 12:13:50.55 ID:eIxhLscJ
>>753
高給取りってFPGAを趣味でやっている奴
今の電子産業ってドカタだぜ。そんな奴を相手に本出してもあんまり意味なし
あと、13年も仕事でやっている奴が買うような本じゃないだろ
763774ワット発電中さん:2013/05/03(金) 12:24:29.94 ID:n/HF7YOA
>>753です。

まぁ、実際会社の主務はASICのテクノロジ開発だからね。
FPGAが本業ってワケではないのだけど。
(テクノロジって伝わるかな?物理設計をCADに食わせる部分だと思ってくれていい)

最近、リコン系は色々と調べてて、今回の購入したCQ出版の書籍は
「いまどきのベンダーはどういう顧客の取り込み方をしてるのかなぁ。」と。
たぶんこれらの本は学生向けだよね。けど、そういう青田を買い叩くところから
上手くできてるってのは、すごいことなんだよ。

Fの場合はFRマイコンでは、そういうのできてるけど、他は未だそういう文化が無いんだよね。
764774ワット発電中さん:2013/05/03(金) 17:30:13.97 ID:CsBsoqCe
>>753
F2SLか
765774ワット発電中さん:2013/05/03(金) 18:10:18.55 ID:UdUc3k48
>>763
SHとかH8とか解説本でるけど
FRは全然見かけなかったな
766753:2013/05/03(金) 18:30:24.24 ID:n/HF7YOA
>F2SLか


最近のFRマイコンは雑誌Interfaceでおまけでくっつけてたりする。
767753:2013/05/03(金) 18:31:56.58 ID:n/HF7YOA
すまん。上のは誤爆

>F2SLか

Yes高洲クリニック!
決してフリー○ケールではありません。(きっぱり)


ちなみに、
最近のFRマイコンは雑誌Interfaceでおまけでくっつけてたりする。
Armコアになってるよ。
768774ワット発電中さん:2013/05/03(金) 21:42:43.35 ID:4q8TzFP3
>>761
いつも、“○○一式”って発注してるやつを
2セット欲しかったから“○○二式”って
伝票書いたら却下された。
何でやねん!
769774ワット発電中さん:2013/05/03(金) 23:34:27.15 ID:3s9zb5bG
761だけど“○○一式”は所謂「品名」なんでしょうね。
だから、本来そこに更に数量を書かないといけないということでしょう。
770774ワット発電中さん:2013/05/04(土) 07:39:57.76 ID:IDofzH09
今学生だけど、FPGAやASICで食っていきたい
771774ワット発電中さん:2013/05/04(土) 11:41:47.74 ID:Q20uUpea
今から20年後とかじゃ、珍しくも無くなって、
労働賃金の安い所にアウトソーシング。多分食えないよ。
772774ワット発電中さん:2013/05/04(土) 11:53:55.94 ID:L54AfzTc
今でも、食えないでしょ。
773774ワット発電中さん:2013/05/04(土) 12:11:24.33 ID:NQTQmxzn
>>771
外部仕様書だけ書くために
英語で作成できるようになってないとダメだな

納品する納期が間に合わないのは無駄に内部ブロックの細部まで詳しく書けってていう仕様書だったりするけど
774753:2013/05/04(土) 13:02:58.20 ID:ndKZf70Y
事業部に居たころは、納品仕様書を自分で英語で作ってたな。

いまの共通部門に移ってからは、日本語で仕様書やマニュアルを書いたら
翻訳専門のスーパー派遣さん(TOEICほぼ満点で、結構美人)の人が翻訳してくれる。
その人と仲良くなりたいんだけど、ツンツンしてんだよね。。。orz

いずれにしても英語は学生のうちにやっとくべき。
TOEICで点が高いと、色々選択肢も増えてくるのでお得だよ。

けど、FPGAやASICやりたい。ってところだけは、ひっかかるな。
いまでさえも、国内のLSIベンダーはリストラの嵐。
オレも今回のリストラ劇で最悪クビになって、
街のお弁当屋さんで原価計算でもするアルバイトで転職しなきゃいけないかもと思ってた。

むしろ、どこか勢いのある新興企業のセット品部門で、
チップの中身の設計をしているところがいいかもよ。

国内のLSIベンダーの多くは、ASIC系については
RTLはセット品メーカーが作成して、LSIベンダーはレイアウトだけというような
分業になってる場合が多い。

そこだけ注意ね。
775774ワット発電中さん:2013/05/04(土) 13:06:02.94 ID:MY5jeyw5
デジタルはソフトウェアである。
776774ワット発電中さん:2013/05/04(土) 13:12:40.52 ID:ndKZf70Y
ああ、RTLベースで書く人はそう見えるかも。

ASIC系でもテクノロジに関わる人には、
結構デバイス(当然物理も)として見えてくる。

結局、デジタル系は分業化が進んだってことなんだよ。
777774ワット発電中さん:2013/05/04(土) 17:44:30.05 ID:NQTQmxzn
>>774
スパンションにうつらないのですか
778774ワット発電中さん:2013/05/04(土) 18:20:37.99 ID:buRduDk1
>>774
美人さんいいなぁw
でもツンツンしてるのはヤだなw

まぁ、英語とか読むのも書くのもヤだな。
FPGA関連で英語版のドキュメントしかなく、やむを得ず読んでるけどイライラしてしょーがない。
日本語が世界の共通語になればいいのに、といつも思ってるw
779774ワット発電中さん:2013/05/04(土) 19:15:24.75 ID:6CkZVKjg
個人的にだが、英語のドキュメントも嫌々だが
難解な日本語ドキュメントっても、心底嫌なもんだぞ
780774:2013/05/04(土) 19:28:23.01 ID:ndKZf70Y
>>777

自分はFR担当ではないのでスパンション行きではなさそうです。
まだまだナニがあるかわかりません。
781774ワット発電中さん:2013/05/04(土) 20:59:23.11 ID:U0LMcwxL
>>780
富士通は自社向けのLSI設計やLSI設計の外部からの請負はするが製造はしないって方向なのか
それともファブレスになるが、利益の出るLSI販売は維持するって感じになるのか

でも、日本の半導体は10年後どうなってるんだろな
新興半導体企業が台頭しているのかな。可能性は低いかな
782774ワット発電中さん:2013/05/04(土) 21:45:58.77 ID:ndKZf70Y
>>781

>>780です。

ええっと。その辺りの話は、一応知ってることもあるんですが、
基本社員から言える話ではなく、不治痛の公式WEBのプレスリリースを
ご覧下さい。というのが、一番正しい対応だと思います。

なので、googleで「富士通」と入力→富士通公式WEB→プレスリリースをご覧下さい。

以上よろしくお願いいたします。
783774ワット発電中さん:2013/05/04(土) 21:56:28.46 ID:NQTQmxzn
>>780
某P社セミコンの線もごにょごにょありそうだし
F系列の設計会社が自社マイコン使わず
フリースケールばっかり使ってるからな消費電力大きいのに
784774ワット発電中さん:2013/05/04(土) 22:01:04.59 ID:ndKZf70Y
ちなみにFのマイコンは、結構海外の自動車メーカーから引き合いがあるそうです。
785774ワット発電中さん:2013/05/04(土) 23:04:16.87 ID:niQHfthy
ルネサスの当て馬としてだったら泣く
786774ワット発電中さん:2013/05/05(日) 07:18:52.07 ID:VI/4hybG
結局、不治痛は鳴かず飛ばずだったな。
787774ワット発電中さん:2013/05/05(日) 07:38:10.04 ID:9F2YJ6ZL
XILINXの代理店になれたのに
PALTEKになるんだろうか
会社全体がこれまたA社を優遇してるし
788774ワット発電中さん:2013/05/05(日) 11:08:00.74 ID:tHZEI9ds
>>787
意味がわからん
789774ワット発電中さん:2013/05/05(日) 13:10:31.73 ID:2r2MO+ld
勝ち馬に乗るならAlteraじゃないのかな
790774ワット発電中さん:2013/05/05(日) 13:36:28.17 ID:aFg9SIDP
またしばらくFPGAもイノベーションがなさそうだね。
791774ワット発電中さん:2013/05/05(日) 15:47:00.95 ID:yVfa8NYv
イノベーションを待ってるだけじゃ何も変わりませんよ?
自分が起こすんだという気概を持たなきゃ(´・ω・`)
792774ワット発電中さん:2013/05/05(日) 16:51:39.95 ID:9jyGhLnq
>>789
Aって勝ち馬か?
793774ワット発電中さん:2013/05/05(日) 16:58:18.76 ID:9jyGhLnq
>>790
最近だとHLSとかじゃないの
RTLに比べれば書きやすくなってるけど、そのかわり最適化もそれなりって感じだが

実装だとXのSSIは革新的だがコストが…
794774ワット発電中さん:2013/05/05(日) 17:12:37.42 ID:9F2YJ6ZL
>>790
UMLでモデル化するだけで作れたりとか
795774ワット発電中さん:2013/05/05(日) 18:49:59.76 ID:tI2VrZDW
UMLみたいなイイカゲンな仕様で組み上がるはずが無いけどな。
だからって厳密にするとUMLじゃなくなるしな。
796774ワット発電中さん:2013/05/05(日) 20:16:08.82 ID:9jyGhLnq
bluespecが売り文句だけ聞くと良さげに見えるが、使っている人居る?
SystemCからの合成ほど無理がないと思う
797774ワット発電中さん:2013/05/05(日) 22:57:30.79 ID:QEARL9y9
UML自体は厳密じゃん。何言ってんの。
798774ワット発電中さん:2013/05/06(月) 00:30:18.15 ID:P97vWxa0
verilog CSPは如何ですか?
799774ワット発電中さん:2013/05/06(月) 02:19:59.39 ID:/zkNpVv4
>796
興味はあるけどうちの会社はツール買ってくれないだろうなーと諦めてる。
NSLとかBluespecとか、非同期記述を制限した言語(ひでぇ)の方が後でいじるときにらくだったりはするんだろうけど。
800774ワット発電中さん:2013/05/06(月) 07:20:37.16 ID:FSB+YT+L
どうせ同期でしか作らないだろうという割り切りは良いんじゃね
逆にツールで同期から非同期に変換して高速動作するFPGAとか出てたけど
あれって商用化までいったのかな
インテルのFabで製造とか言っていた気がするが
801774ワット発電中さん:2013/05/07(火) 08:42:45.88 ID:ukT0eNj9
>>791
> イノベーションを待ってる
意味不明
802774ワット発電中さん:2013/05/07(火) 08:56:23.09 ID:ha9g2TAK
スルーしる
803774ワット発電中さん:2013/05/08(水) 02:58:45.01 ID:IkYKnSlH
>>801
貴方みたいな人は土方人生を満喫してなさいな
804774ワット発電中さん:2013/05/08(水) 07:05:22.95 ID:XAtszkJv
Quartusの13を入れてみた
高速化してるらしいが、あまり実感できない
古臭いGUIが少し新しくなっていたぐらい
805774ワット発電中さん:2013/05/08(水) 08:17:12.59 ID:2AA8LfKV
ちんこいデザインしかコンパイルしないなら
大差ないかもね
806774ワット発電中さん:2013/05/08(水) 08:59:56.12 ID:fuoPCqKH
>>805
わかるぐらい高速化した?
807774ワット発電中さん:2013/05/08(水) 09:19:30.19 ID:1ywfj7CW
Web Editionでもマルチプロセッササポートになったのか
808774ワット発電中さん:2013/05/08(水) 19:13:38.64 ID:n7Ia57UE
近所の普通の本屋にFPGAマガジン5冊入荷。
増刷したかもね。
809774ワット発電中さん:2013/05/08(水) 20:48:49.61 ID:qCto/LPj
FPGAマガジン購入。いまざっと読んだけど、そこそこhotな話題が書いてあるじゃん。
オレ的にはこういう雑誌待ってたんだよ。おまいらも買ったら、感想聞かせてくれ。
810774ワット発電中さん:2013/05/08(水) 22:29:59.03 ID:XGBzxd7k
これからFPGA勉強しようと思うのですが、ド素人でもFPGAマガジンは買った方がいいですか?
811774ワット発電中さん:2013/05/08(水) 23:11:54.55 ID:c5r5S9Os
>>810
とりあえず買える内に買っておくのがいいんじゃね?
812774ワット発電中さん:2013/05/09(木) 01:26:14.07 ID:afHRnGfu
>>811
了解です
813774ワット発電中さん:2013/05/09(木) 02:34:12.79 ID:j0g1ghNG
みてのとうりド素人対象外だから、買ってもちんぷんかんぷんだぞ?
http://www.kumikomi.net/fpga/contents/0001.php
買うならPDF版のほうが嵩張らないよ。どうせ古本屋で買取ってくれないんだし。
814774ワット発電中さん:2013/05/09(木) 04:58:35.77 ID:xnVOCBiD
ドカタマガジン
815774ワット発電中さん:2013/05/09(木) 09:38:50.72 ID:7uFxfoCc
>>813
少し真面目に日本語勉強した方がいいね。
ホント最近こういう馬鹿多いよ。
816774ワット発電中さん:2013/05/09(木) 09:55:28.40 ID:2Ejor9i2
>>813
なんかタイトルだけみてると誰を対象にしてるのかよくわからなくなってくる
すでに実務で使っていれば知ってるような内容だし、初心者がすぐに使うような内容でもないし
817774ワット発電中さん:2013/05/09(木) 10:53:35.92 ID:PZCafSce
初心者ですが、買ったほうがいいでしょうか?
818774ワット発電中さん:2013/05/09(木) 12:58:51.70 ID:2Ejor9i2
>>817
特集に興味があれば買えばいいんじゃね
常に買うのは微妙
819774ワット発電中さん:2013/05/09(木) 20:01:55.24 ID:MX5usQZC
>>817
>>816の書いているように、初心者向きじゃない。
FPGA・HDLの経験がそれなりにあり、特集に関することに興味がある・仕事でやるかもって奴なら
買って良いだろう。
これ、経験者が幅広い知識を得るための雑誌みたいな感じかな
初心者向けなら初めてのHDLとかFPGAでLEDチカチカ記事を載せるんだろうが
820774ワット発電中さん:2013/05/09(木) 22:11:20.72 ID:Kb1zo32T
今日一日の投資で1600万も資産アップしてしまった。
やばいFPGAがどうでもよくなってきた。

上司から市販無線モジュールの評価頼まれてるから、ARM内蔵FPGAの基板買って制御したいな。
もう出てるかな。
821774ワット発電中さん:2013/05/09(木) 22:23:19.22 ID:KKLva3Tj
>>820
Xは前に出てる
Aは注文はできるみたいだけど納期は不明
822774ワット発電中さん:2013/05/09(木) 23:20:12.27 ID:Kb1zo32T
>>821
ありがと。がっつり取り組むことになるけど、自ら茨の道を行く気が起きないかも。
N225は反落したけど、日柄的に来週前半までは持つと見てる。その後調整。
823774ワット発電中さん:2013/05/10(金) 00:18:03.02 ID:5NMae3Ae
>>820>>822
何の投資やってるの?225先物?株?
824774ワット発電中さん:2013/05/10(金) 00:45:11.18 ID:SPKDEkaV
スレチ
825774ワット発電中さん:2013/05/10(金) 00:47:14.98 ID:ewt4iL/K
株です。株については759に変なの書き込んで反感買った者です。当件、自重します。
826774ワット発電中さん:2013/05/10(金) 00:55:57.93 ID:5NMae3Ae
株で1.5億もの資産築くとか羨ましい
俺もやってみようかねぇ
スレチは承知で聞きますが投資スタイルは短期?中期?長期?
827774ワット発電中さん:2013/05/10(金) 02:34:43.23 ID:RIGvd358
関連板に行けよ。スレチってわかってるんなら書くんじゃねぇ。
828774ワット発電中さん:2013/05/10(金) 15:28:25.17 ID:MFasAXIG
質問しつれいします。

現在、大学の研究室でFPGAをやっています。
先生から新しいボードを買っていいと言われたのですが、何かおすすめのボードありますか?
先月からFPGAを始めた初心者で、まだシフトレジスターあたりまでしかやってません。
現在使っているボードはヒューマンデータのEDX-005です。
Xilinxのボードでお願いします
829774ワット発電中さん:2013/05/10(金) 16:14:16.01 ID:RBQKo0+/
830774ワット発電中さん:2013/05/10(金) 18:57:00.37 ID:oKsSRwz6
>>829
勿体ない

>>828
最終的に何したいのか、画像系なのか制御系なのか、それでお勧めは変わるよ。
それと最中に壊すこともあるから、予算内で周辺ボード含め2枚買える値段のものがいい。
831774ワット発電中さん:2013/05/10(金) 18:59:46.68 ID:xKtWIGko
通信系もあるぜよ
832774ワット発電中さん:2013/05/10(金) 19:07:39.37 ID:SPKDEkaV
当分ヒューマンデータのボード続投で良くね
833774ワット発電中さん:2013/05/10(金) 19:18:45.45 ID:Ec8+sk9R
>>832
俺にもその状況で新しく買う理由が思いつかない。そのボードで不満が出てきてから買うべきじゃないの?趣味で自腹ならコレクションするのも良いと思うけどさ。予算使いたいだけなら周辺関連でも本でも買えば?
834774ワット発電中さん:2013/05/10(金) 19:30:37.82 ID:SPKDEkaV
予算が余ってるならザイリンクス純正でも買えば
周辺は一通り揃ってるし、最悪FMCで拡張もできる
変な専用ツールとかもなくてサポートもある
835774ワット発電中さん:2013/05/10(金) 19:38:55.38 ID:SPKDEkaV
あ、純正ってのはPCIe型の10〜30万ぐらいのやつな
>>829のトランシーバ評価キットは用途が特殊
836774ワット発電中さん:2013/05/10(金) 20:04:59.68 ID:jBbdJBhz
好きなの買えるなら、ZedBoardが面白いかもしれないね
満足に使えないと思うけど
837>>828:2013/05/10(金) 21:25:57.59 ID:Yv3E88Zi
>>830
最終的にはこういうギター用のマルチエフェクターを作ろうと考えています。
https://www.youtube.com/watch?v=4lDWGZ7NtW8

そこで、最初からついている7セグLEDはスイッチの類は邪魔なので、I/Oピンだけのやつを買おうと思います。
838774ワット発電中さん:2013/05/10(金) 22:34:09.26 ID:o5kn9PTR
そういう方面はサイプレスのPSOCを学んだ方が面白いんではないか?
いや、知らんけど
839774ワット発電中さん:2013/05/10(金) 23:29:10.71 ID:SPKDEkaV
>>837
I/Oだけの奴ってヒューマンデータの奴か?
ベースボードを作れば製品にも組み込めるから良いとは思うが
まず教育ボードで論理が作れること確認してからで良くね
840774ワット発電中さん:2013/05/11(土) 04:10:56.04 ID:ZzHem+AI
XilinxやDigilent, AVNETなどの豪華ボードだと、LCDとかの周辺が邪魔に感じることは無いですか?
ヒューマンの素っ裸のものに自分で足していくのが好きなんだけど。
ヒューマンのボードの欠点は、エクスペンシブなことだと思う。
841774ワット発電中さん:2013/05/11(土) 10:16:02.53 ID:9cOSir3P
さいぷぅ〜
842774ワット発電中さん:2013/05/11(土) 12:54:19.89 ID:qQioGbIC
>>840
開発ボードなんだから一式ついてたほうが楽じゃね?
製品化したりするんだったら基板は別におこすし
843774ワット発電中さん:2013/05/11(土) 16:39:53.59 ID:1bLTPw9Q
質問内容からするにいきなりFPGAで組む段階では無さそう。
最近のDSP命令使えるCPU載ったマイコンボードで始めるのがいいんじゃない?
844774ワット発電中さん:2013/05/11(土) 18:09:11.31 ID:tWhm1kYL
>>842
FPGA で LCD 制御って、実際あまりやらないと思う。
845774ワット発電中さん:2013/05/11(土) 18:34:02.64 ID:qQioGbIC
>>844
あれ、LCDって16x2のキャラクタLCDのことじゃないのか?
あれ内部ステートとか吐かせるのに便利で結構使うんだが

グラフィックディスプレイならDVI出力でもつけてくれた方が良いな
846774ワット発電中さん:2013/05/11(土) 19:25:26.77 ID:/Y/w4KBd
ここの住人ってどういう層で構成されてるんだろ
847830:2013/05/11(土) 21:23:18.09 ID:0NZGqmBC
>>837
信号処理系なのね。なら、これでどう?
ttp://japan.xilinx.com/products/boards-and-kits/HW-SD1800A-DSP-SB-UNI-G.htm

でも、入手できるなら「オーディオ × 2 (入力/出力、マイクロフォン/ヘッド フォン)」付きの
ttp://japan.xilinx.com/products/boards-and-kits/HW-V4-ML402-UNI-G.htm
がいい。
848774ワット発電中さん:2013/05/11(土) 23:14:26.35 ID:qQioGbIC
>>847
今更、S3とV4は微妙では?
849774ワット発電中さん:2013/05/12(日) 03:58:25.32 ID:6TTiwxSN
>>846
99.9%土方だと思われます(´・ω・`)
850774ワット発電中さん:2013/05/12(日) 04:13:05.88 ID:QnBcbhyt
MicroBraze使わずに、VerilogだけでキャラクタLCDのモジュール作ったら、
死ぬほど面倒だった。だって、待ちばっかりなんだもん。
5ms待つのにカウンターがいくつ使ったことか。でそんなカウンタはLCD初期化で使っただけで
ほかに転用できない。
851774ワット発電中さん:2013/05/12(日) 04:45:00.01 ID:YuCJIv0y!
>>849
じゃあ俺は0.1%の方だな
無職だけど・・・
852774ワット発電中さん:2013/05/12(日) 05:14:00.23 ID:P9t4wLk1
>>851
そいつはいつもの坊やだから触れたらアカン
853774ワット発電中さん:2013/05/12(日) 05:24:03.21 ID:k/O+ORyX
>>850
低速クロックで回すとかだめ?
ソフトコア使った方が楽だと思うが
854774ワット発電中さん:2013/05/12(日) 06:26:51.20 ID:vtvqc+DY
>>848
古いと情報も多いから、学生にはちょうどいい
855774ワット発電中さん:2013/05/12(日) 06:32:14.78 ID:k/O+ORyX
>>854
ツールのサポートが切れないか?
856774ワット発電中さん:2013/05/12(日) 10:14:06.12 ID:vtvqc+DY
>>855 どのツール?
857774ワット発電中さん:2013/05/13(月) 08:32:45.64 ID:SHY7B2vv
>>850
> 5ms待つのにカウンターがいくつ使ったことか。
クロック系の設計センスが無い! の一言
858774ワット発電中さん:2013/05/13(月) 11:09:27.09 ID:WGimcaGu
HDLでLCD制御は確かにメンドイな
でもLCD制御だけのためにPicoBlaze入れてアセンブラ書くのもまた面倒だな
MicroBlazeが入ってるようなシステムなら最初っからそっちにやらせるし
859774ワット発電中さん:2013/05/13(月) 11:54:47.73 ID:gnDQeSgk
文字LCDの初期化や制御を、HDLで書くときは、
やはりカウンタを1本と、スイッチ文の嵐でしょうか?
860774ワット発電中さん:2013/05/13(月) 12:04:17.60 ID:epJn7Eoq
I/OだけあればいいならDE0-Nanoがコスパ&使い勝手で最強じゃね?
Alteraなら無料でJTAGロジアナとNiosIIが使えるし。
UI制御とかはCPUでプログラム走らせたほうが弄り易いからなぁ。
(UIの動作のチューニングで毎回ハードのフルコンパイルはやってられない)
Xilinxに拘ってる理由は?
861774ワット発電中さん:2013/05/13(月) 12:08:11.93 ID:epJn7Eoq
>>859
自分がやった時は最終的にしょぼいオリジナルCPUみたいになったな。
ウェイト命令とIO叩く命令とジャンプ命令しかないけど。
862774ワット発電中さん:2013/05/13(月) 12:08:48.23 ID:wUS/b/Rn
>>859
つステートマシン
863774ワット発電中さん:2013/05/13(月) 19:09:09.17 ID:vLa5smiF
スイッチ(PUSH)を押すたびに7セグLED(seg)で0〜9までカウントされるプログラムを作っているのですが、
Check Syntaxは通るのに、Assign Package Pinsでスイッチだけがオブジェクトリストに表示されないため、ピンの指定ができません。

以下ソースコード
http://www.dotup.org/uploda/www.dotup.org4193481.txt

case(PUSH)にすると、スイッチのピンが指定できますが、seg_flagにするとassign package pinsで指定できません。
出てこないのはソースコード内で使われてないからだと思いますが、always文で使ってるので、出てくるはずだと思うのですが……

また、以下のWARNINGが出ています。
WARNING:Xst:905 - detes.v line 12: The signals <seg_flag> are missing in the sensitivity list of always block.
Module <detes> is correct for synthesis.
WARNING:Xst:647 - Input <PUSH> is never used.

WARNING:Xst:646 - Signal <seg_flag> is assigned but never used.
864>>863:2013/05/13(月) 19:20:34.96 ID:vLa5smiF
追記です。

always(posedge PUSH_SW)
とすることで、オブジェクトリストに表示されました。しかし、次は以下のようなエラーが表示され、まったく見当がつきません

ERROR:MapLib:93 - Illegal LOC on IPAD symbol "PUSH_SW" or BUFGP symbol

"PUSH_SW_BUFGP" (output signal=PUSH_SW_BUFGP), IPAD-IBUFG should only be

LOCed to GCLKIOB site.


※PUSHをPUSH_SWに変更しました。
865774ワット発電中さん:2013/05/13(月) 19:58:05.35 ID:ZzhsTnn/
>>863
課題?

【Verilog】 記述言語で論理設計Project12 【VHDL】
ttp://uni.2ch.net/test/read.cgi/denki/1351913871/

しかもこっちスレ向けの質問だと思うけどさ。

>>863の記述だと、カウンタを動かすクロック記述が無いと判断されるから駄目
>>864のposedgeをくっつけると、PUSH_SWがクロックと認識されるのでカウンタが動くと解釈できる様になるけど
PUSH_SWはクロックとして扱わなけりゃいけないからLOCed to GCLKIOB site.って事。
866774ワット発電中さん:2013/05/13(月) 20:25:13.50 ID:2AoMhB6P
>>864
重要な情報、どこ社の何デバイスがターゲット?
Xilinxってのは分るが....

>>865
ほんとHDLってソフトだよなって実感するソースだよね
ソフトはクロック意識しなくて良いしね
デジタル回路を全く知らない人がソフト開発感覚でHDLしましたって感じ。
いまの学校ってデジタル回路の授業受けるまえにHDLはじめるのかな
867774ワット発電中さん:2013/05/14(火) 08:44:43.37 ID:HUfTbz3d
学校ならそんなことはしない。
C級出版社がいつまでたっても「HDLで入門!」ってやってるせいだ。
868774ワット発電中さん:2013/05/14(火) 18:07:14.96 ID:nNetqd9X
すごい責任転嫁w
869774ワット発電中さん:2013/05/14(火) 20:41:50.80 ID:tCpHdoAz
HDL書くのは慣れたら問題ない。
それ以上に回路設計の大まかな方針とか、拡張性、可搬性とか、もっと大事なことがある。
社内では、「書けるだけ」の人間と「設計できる」人間との間に大きな壁がある。
870774ワット発電中さん:2013/05/14(火) 21:44:51.35 ID://bhllCU
>>869
まぁまぁ、そこは言わないようにしないと。

PMやSEと、プログラマの差は、PMやSEは知ってるけどプログラマはそれを知らないし、
派遣か何かでそういうノウハウ与えないように使役するのが、仕事なんだからさ。
871774ワット発電中さん:2013/05/15(水) 01:14:24.35 ID:ioPlNlBt
ある日、PMやSEは、プログラマから生じた。
872774ワット発電中さん:2013/05/15(水) 01:37:38.99 ID:e21NqmBn
PMやらSEやらそんなのを上位として見てるの?
根本的な次元違いの先を目指さなきゃ人生損よ?
873>>863:2013/05/15(水) 01:45:52.74 ID:wQ3geNo7
>>865
スレチ失礼しました。

AVRしかやったことなかったので、クロックを記述しないといけないということを知りませんでした
ありがとうございます!
874774ワット発電中さん:2013/05/15(水) 08:29:03.93 ID:3aq2+veI
>>873
AVRだって、クロックはどこかで意識しないといけないだろ。
お前がそれをしてないだけ。
なんとかうーのはしなくていいかもね。
875774ワット発電中さん:2013/05/15(水) 08:37:51.41 ID:wWo9n2tm
そのクロックと一緒にされてもなあ
876774ワット発電中さん:2013/05/15(水) 08:41:21.64 ID:3aq2+veI
>>875
たしかにw
877774ワット発電中さん:2013/05/15(水) 10:23:44.09 ID:L7TIs4x2
おれもそう思う
878774ワット発電中さん:2013/05/15(水) 10:42:28.01 ID:6s0U9fTB
イイ格好しようとして恥かくパターンだな
879774ワット発電中さん:2013/05/15(水) 10:48:02.73 ID:gDfPdwVE
>>874
>>876
??
880774ワット発電中さん:2013/05/15(水) 20:24:00.25 ID:1ghv9l0C
>>869-870
ソフトにおいて、SEはエンジニアだけどプログラマはエンジニアではなくドカタ・奴隷
現代奴隷を使役するのに必要だからと少しはドカタ経験をさせるが、それは非常に短い期間
ソフト同様ハードでも同じようなことになっているよね
昔の社蓄の底辺はいま現代奴隷で良いや(底辺は奴隷がふさわしい境遇と認識になった)だから
881774ワット発電中さん:2013/05/15(水) 20:26:16.90 ID:Doae9Igy
それをこのスレで言って何か意味があるの?
882774ワット発電中さん:2013/05/15(水) 20:34:53.02 ID:HBO5zF4e
いつものキチガイだからスルーしる
883774ワット発電中さん:2013/05/15(水) 21:32:18.27 ID:S0I/XJce
>>880
「ソフトにおいて」と断っているところを申し訳ないけど、「匠」「職人」と言われる人達はその土方側に分類されるんだよね。
そしてシステムの性能を最終的に決めてしまうのは、その「匠」「職人」の人達。
884774ワット発電中さん:2013/05/15(水) 23:47:48.96 ID:rxPxs49M
実務が伴ってないSEから注文を受けると、体力を浪費してしまう。
派遣でもなんでもいいから、とりあえず、一度現場を体験しろといいたい。
勉強する気がないのなら、この業界から去ってほしい。迷惑。
スレチで悪いな。
885774ワット発電中さん:2013/05/16(木) 00:23:17.46 ID:BWzRWz7+
まさに土方
886774ワット発電中さん:2013/05/16(木) 01:13:48.29 ID:36jQMK2D
外国じゃプログラマはエンジニアなのに、日本ではソフトだけじゃなくハードでももっぱらコード
を書く奴は底辺種の土方だからな。大学出て人身売買される職種になるってのもな。
887774ワット発電中さん:2013/05/16(木) 02:11:18.59 ID:L0T+ifmH
日本のプログラマーはそれだけ低レベルが多いってことですよ
だから土方と揶揄されます
まあぶっちゃけ日本にエンジニアと呼べる人材は皆無ですょ
「想像し創造しなさい」これ私のオリジナル座右の銘です
現状を打破したい方はご参考までにどぞ
888774ワット発電中さん:2013/05/16(木) 02:16:06.67 ID:2U+IbNA5
安っぽい
889774ワット発電中さん:2013/05/16(木) 02:17:45.47 ID:/dbl5TFu
お前にゃ無理だ。諦めろ。
890774ワット発電中さん:2013/05/16(木) 04:42:36.50 ID:YXYhSPyX
日本では優秀なプログラマは幹部になってコードを書かなくなる。外国は幹部になれない。
891774ワット発電中さん:2013/05/16(木) 08:52:14.79 ID:Zob/0JSR
オープンソースで尖った人、居ないしね
892774ワット発電中さん:2013/05/16(木) 09:25:57.80 ID:4D8Lak0T
>>887
>「想像し創造しなさい」これ私のオリジナル座右の銘です

薄っぺらいし、検索したら似たなうなこといってるインチキくさい自己啓発ばかり出てくる
893774ワット発電中さん:2013/05/16(木) 19:43:37.81 ID:PxwCdMbW
厨二病の坊やなんだしそんなもんだろ
894774ワット発電中さん:2013/05/25(土) 12:10:10.47 ID:NWl5MFif
なんか突然過疎ったw
895774ワット発電中さん:2013/05/25(土) 18:04:57.03 ID:i1Sx7dyK
天上の存在が天下の者達を畏怖させる
896774ワット発電中さん:2013/05/25(土) 22:56:14.14 ID:H3MtNvrk
ネタがないからね
897774ワット発電中さん:2013/05/27(月) 14:31:42.79 ID:277R4WVU
そういや、論理合成のエンジン部分は実は日本製だってね。
898774ワット発電中さん:2013/05/27(月) 15:06:32.21 ID:2FPoGtFb
でっていう
899774ワット発電中さん:2013/05/27(月) 20:23:35.20 ID:A04RfvpB
>>897
嘘だろ
900774ワット発電中さん:2013/05/28(火) 00:13:00.81 ID:XOzUiX4I
インド製に決まってんだろ
901774ワット発電中さん:2013/05/29(水) 00:07:57.14 ID:IQJhk2Ma
FPGAシンジケートってなんだ?
902774ワット発電中さん:2013/05/29(水) 00:12:34.05 ID:7RsR/NXH
>>897
どこが作っているの? 本当に知りたい。p社は外には出していないってきくし。
903774ワット発電中さん:2013/05/29(水) 00:14:39.26 ID:Ey08F8OZ
都市伝説
904774ワット発電中さん:2013/05/29(水) 01:04:46.83 ID:py79cTbh
多分これがネタ元。
ttp://kamome.2ch.net/test/read.cgi/denki/1284649590/438

それ以上のレスはなく、真偽のほどは不明。
905774ワット発電中さん:2013/05/29(水) 14:48:43.23 ID:KFS29Bfw
FPGAの事全くわからないのでアホな質問かもしれないけど・・・
Freescale ColdFire V1 Core for Altera Cyclone IIIって無料で使えるとか
聞いたんだけどCyclone IVとかVとかでも動くものなのかな?
906774ワット発電中さん:2013/05/29(水) 19:39:42.40 ID:mv6MBtmh
>>905
ColdFireを FPGAとな!!
ちょっと感動した。
907905:2013/05/29(水) 19:42:22.38 ID:/wTuEA0e
>>906
テメエは初心者をそこまで馬鹿にして楽しいのか?あぁ?
ぶち殺すぞワレ
908774ワット発電中さん:2013/05/29(水) 19:51:30.59 ID:mv6MBtmh
>>907
ちゃうちゃうw

ColdFireって 68000の後継チップ。
その 68kは一時 UNIXワークステーション席巻したチップ。

入ってもおかしくない時代だと頭で分かってても、突き付けられると「ちょっと感動」な訳。
909774ワット発電中さん:2013/05/29(水) 20:01:24.05 ID:Rwxkis3M
>>907 ようキチガイ
>>906の反応は普通。
それに対して殺すぞってマジでお前はキチガイ
910909:2013/05/29(水) 20:05:51.16 ID:/wTuEA0e
テメエもぶち殺してやろうかあぁ?
911774ワット発電中さん:2013/05/29(水) 20:29:41.86 ID:BiocNWJK
>>910
気が短いやっちゃなw
ググッれば出てくるけど、無料で使えるのはC3だけだってよ。
912774ワット発電中さん:2013/05/29(水) 20:32:57.51 ID:LFs8QcxE
怖いインターネットだな。
913774ワット発電中さん:2013/05/29(水) 20:55:02.12 ID:Rwxkis3M
>>911
そんなこと書くと
>テメエは初心者をそこまで馬鹿にして楽しいのか?あぁ?
>ぶち殺すぞワレ
ってなるよ
914774ワット発電中さん:2013/05/29(水) 21:13:50.03 ID:zw4OyVVS
さすがに別人の騙りじゃね?
915774ワット発電中さん:2013/05/29(水) 21:37:22.56 ID:OBWt/QAL
久しぶりに伸びていると思ったらただのキチガイか
916774ワット発電中さん:2013/05/29(水) 21:43:15.36 ID:cYDTmZQs
>>905>>907、ID違うだろ
917905:2013/05/30(木) 01:00:42.77 ID:+62URvhb
おう、家に帰ってとりあえず2chブラウザ開いたら予想外の展開になっていてワラタw

>>911
あらー、やっぱそうなのか。じゃあ手持ちのDE0-nanoでは動かないのねorz
情報サンクス

>>908
Coldfireと言ってもV1だからねぇ。V2以上だと68000互換、V1はカットダウン版で6800相当とか?
別にColdfireが必要なのではなくて無料と言われたから興味を示したレベルだけどね。

まぁなんだ。OpenCoreとか見たら68k cloneは何種類かあるみたいよ。
918905:2013/05/30(木) 09:38:36.38 ID:9RfCirYY
>>909
>>911
>>913
>>915

おまえらは間違いなくかぁさん振り込め詐欺被害の予備軍だ
すぐに反応するのがアホすぎて笑える
919774ワット発電中さん:2013/05/30(木) 13:55:39.38 ID:Xqmynnbs
>>918
お前もな。なに905に成りすましてるんだよ。
920774ワット発電中さん:2013/05/30(木) 19:43:19.29 ID:9RfCirYY
すぐにわかるなりすましさえわからないから馬鹿にしてやってるのにアホなん?
921774ワット発電中さん:2013/05/30(木) 21:14:04.81 ID:RYaz4Bb4
FPGAの話しろよ
922774ワット発電中さん:2013/05/30(木) 22:06:34.74 ID:wkpXQ+Vs
FPGA女子オープン
923774ワット発電中さん:2013/05/30(木) 23:06:17.21 ID:RTgTmskC
そんな女子いやだw
924774ワット発電中さん:2013/05/30(木) 23:27:59.16 ID:5RN/WNJ8
Field Programming Golfers Association
925774ワット発電中さん:2013/05/31(金) 01:09:04.05 ID:TOrrKPVE
闘うプログラマ的な
926774ワット発電中さん:2013/05/31(金) 01:16:10.87 ID:L48DFvo2
CPLD入門としてLatticeの評価ボードLCMXO2-7000HEってどうなんでしょう?
8bitだけどCPU入るみたいだし、使ってる人が居たら使用感聞かせてほしい。
とFPGA・CPLD入門志望者がつぶやいてみるテスト
927774ワット発電中さん:2013/05/31(金) 01:24:17.02 ID:z7IiUHbe
それ、もはやFPGAだから。
これに慣れてしまうと従来型CPLDの容量の少なさに絶望する。
928774ワット発電中さん:2013/05/31(金) 02:41:52.92 ID:7QS6XNXT
32pin程度のちょっとだけ論理回路がほしい場面も多いのに、
最近、手頃なものが店頭から消えてしまって寂しい。
929774ワット発電中さん:2013/05/31(金) 07:45:41.49 ID:j1VBRqM2
>>927
確かにALTERAのMAXシリーズにないソフトエラーマクロついていたし
930774ワット発電中さん:2013/05/31(金) 22:33:40.20 ID:z7IiUHbe
>>926
あ、回答忘れてた。

その評価基板は周辺に何も付いてない。
やりたい事なくてとりあえず買うだけなら、すぐ興味が失せると思う。

やりたい事があったり周辺回路を自分で組む気があるなら
安いし容量でかいしお勧め。
931926:2013/06/01(土) 00:51:33.47 ID:BhK82KsP
>>927 >>929 >>930
なるほど、FPGAとCPLDの違いって今はあんまり無いのかな?揮発性かどうか?

やりたいこととしては、DCモータのサーボ制御とかステッピングモータの加減速制御、
センサ入力(シリアル)のフィルタ処理など、リアルタイム処理が求められるものです。
DSP使えって言われそうだけど、ハードウェアで並列処理やりたかったんでちょうどいいかなと。
他の人はどういう用途で使ってるんだろう?
932774ワット発電中さん:2013/06/01(土) 02:41:40.32 ID:oJ+UtWiS
>>931
LCMXO2-7000HEってどうって聞く位のレベルの実力じゃ、そんなことは無理だろ
933774ワット発電中さん:2013/06/01(土) 07:20:24.16 ID:6AZRTOZX
>>931
ワンタイムが使いやすくていいよ
934774ワット発電中さん:2013/06/01(土) 07:44:14.71 ID:jdhITvQj
>>931
リアルタイムでのフィルタリングったって、
サンプリングが100MHzなのか1kHzなのかで
話は違ってくるんだぜ。
1MHzとかは微妙なとこだが。
935774ワット発電中さん:2013/06/01(土) 09:07:20.62 ID:7aUZzNSs
>931
最近は商売上 不揮発メモリ内蔵下位機種 FPGA を CPLD だと主張して
売っている、と思ってください。

FPGA に AD コンバーターを外付して FM ラジオを作ってる方もいますが
そのレベルをお望みですか?
http://homepage3.nifty.com/RadioGaGa/FM/index.html
936774ワット発電中さん:2013/06/01(土) 09:43:26.91 ID:6AZRTOZX
razikoで日本全国のFMが聴けるし
もうちょっと実用性があってモチベーションの上がる題材ってないかな?
937926:2013/06/01(土) 10:23:36.09 ID:BhK82KsP
>>932
質問が抽象的すぎました。趣味でやってるロボット用に>>931で書いたものを
マイコンで個別に作ってきたど、1つのマイコンにまとめるのが大変だったので、
ハードにやらせてしまおうと思い、質問しました。
>>933
試行錯誤したいんでワンタイムはちょっと無理かな・・・
>>934
センサのフィルタリング周波数はせいぜい数kHzなんでいいんですが、
モータ制御のほうでそれぞれ2個のモータを直線補間動作させたいんで
そっちのほうがリアルタイム性要求されそうです。
>>935
ありがとう。FPGAはLUT、CPLDはマクロセルの集まりと覚えてしまってた。
既存の機能をひとまとめにしたいだけなので、その作例ほどのレベルには行けないけど、
DDC方式のFMチューナー、面白そうですね。

そんなに高いわけでもないので、ひとまず入手していじってみます。
938774ワット発電中さん:2013/06/03(月) 01:38:51.50 ID:vAEvZsRg
仕事の関係で代理店さんからSmartFusion2の評価ボード貰ったけど
まったく触れてない。家に持って帰るのが許されたら遊べるんだけど
仕事で貰ったものだから自宅には持って帰れないorz
939774ワット発電中さん:2013/06/03(月) 02:11:23.09 ID:EHPOD4eT
>>938
あれってUSB-JTAGは内蔵してるの?
940774ワット発電中さん:2013/06/10(月) 17:58:01.45 ID:xvm+iyoi
FPGAボードを使わずに、FPGA単体を買って基盤を設計したいのですが、
なかなかいい情報がありません。

よければ参考になるサイトや参考書がありましたら教えてほしいです。
941774ワット発電中さん:2013/06/10(月) 20:39:52.04 ID:AyJVv2Nq
>>940
メーカーの用意しているFPGAのデータシートと、評価ボードの回路図。
参考にするならまずこの2つ。

というか、何を参考にしたいのか分からないので、
上記以外に挙げようがないよ。
これだけあればFPGA周りは設計できるし。
942774ワット発電中さん:2013/06/11(火) 03:39:42.56 ID:4ikXth0K
XilinxのCore genのIPに、CANモジュールはありますか?
943774ワット発電中さん:2013/06/11(火) 07:22:39.68 ID:VypTZ31P
>>940
ボード作ってるとこ回路図やらパターンやら公開してないか?
あれぐらい何も秘密にするものでもないから開示してると思うけど。
あと、もひとつよくわからないなら参考に一枚買ってみるとかすればいい。そしたら間違いなく回路図ついてくるし。
めちゃ参考になるんじゃないの?
仕事でシビアなコスト要求があるのでもなければ安いボード買うことを頭から排除するのがよくわからん。
仕事であっても少量生産(数台とかの特注)とかならできあいボード使うのは悪くないと思われ。
CQ出版とかおまけ基板使った解説本とかもあったと思うんだが、廃版か?
944774ワット発電中さん:2013/06/11(火) 07:27:59.71 ID:VypTZ31P
>>940
書いててきづいた
FPGAが何かも知らないので
その周辺と何をどーつないでいいかわからない?
それならなおさらとりあえず一枚ボード買うのがオヌヌメだと思う
945774ワット発電中さん:2013/06/11(火) 08:55:11.81 ID:eqeDksUj
>>940
回路図がもっとも良い情報。
Aや Xが評価ボードの回路図をタップリ公開しているから、それを落として見ればいい。
946774ワット発電中さん:2013/06/12(水) 01:02:56.93 ID:r24FLQcL
何と言うか、補足情報とか知りたい経緯なんかがないとアドバイスしにくいねぇ
947774ワット発電中さん:2013/06/12(水) 02:11:12.75 ID:07Mhw3na
いい情報=そのものずばりでコピーするだけ
だったりして
948774ワット発電中さん:2013/06/12(水) 02:44:05.83 ID:KK1owtvY
評価ボードってレイアウトも公開してね
それをこぴーすれば
949774ワット発電中さん:2013/06/12(水) 03:32:24.55 ID:QOGrLj0N
初めてFPGAをさわるのですが、教えてください。

CQ出版社から、PlanAheadでMicroBlazeのIPを使うという本が出たので、
それに従ってMCSの勉強をしています。PlanAheadを初めて使ったのだけれど、
GUIがなかなか良い感じで「Xilinxってステキ(はぁと)」と思いました。

ところが、今までGUIで気持ちよくやっていたのに、
CoreGenの後で、突然Tclの操作が必要になりました。
cd C:Xilinx/.../.../.../.../さらにsource source_1/.../.../.../...tcl
のように、長いパスを打たせます。「え゛っ」って感じです。
しかも階層が深くてイライラしてきて、思わすPCを窓から投げたくなりました。

・みなさんも、この部分では本のようにTclを打っているのでしょうか?
・この長いバスを、どこかでcopy(CTRL+C)したいのですが、Win7ではどのようにすればcopyできるのでしょう?
・僕が使っているのはWebPackという無料版ですが、有料版だとGUIでクリック1発とかで
できるでしょうか?
・ALTERAでも、このように途中でTcl操作が必須なのでしょうか?
950774ワット発電中さん:2013/06/12(水) 08:33:34.94 ID:lCgjp9hv
なるほどMicrosoft C Sharp の勉強をしてるんだな

ヒント
ファイル名補完
951774ワット発電中さん:2013/06/13(木) 17:39:05.87 ID:8QmxivE0
あーもう〜 (><)英語わからない 〜

xilinxのiMPACTをダウンロードする場所さがしつづけて丸一日!

親切な人、おたすけを〜

ここにないんですの・・・
http://japan.xilinx.com/support/download/index.htm
952774ワット発電中さん:2013/06/13(木) 18:02:40.28 ID:OO06SEwa
>>951
ラボツールって奴に入ってる
単体は知らない
953774ワット発電中さん:2013/06/13(木) 21:49:56.57 ID:eXZcnbBD
>>951
ISEのインストーラーのメニューにiMPACTだけ入れるメニューがあったと思う。
つまり、ISEのインストーラーをダウンロードすればOK
954774ワット発電中さん:2013/06/14(金) 13:01:06.49 ID:n2ovpgNT
(; ;)  うわー ありがとうー!!

ググレカスって言われると思って恐かったけど
いい人達だった ありがとうございますー!!!
955774ワット発電中さん:2013/06/14(金) 22:54:20.69 ID:TdfzcrOh
FPGA屋さんはやさしい人が多いよ。
956774ワット発電中さん:2013/06/14(金) 23:57:02.49 ID:rl4zPRU4
嘘教えてもらってありがとうって・・・
957774ワット発電中さん:2013/06/15(土) 00:48:38.68 ID:je2tuNGs
>>956
臭いからほか行けよ。
958774ワット発電中さん:2013/06/15(土) 01:09:07.92 ID:L9TLXp0o
952さん953さん  ラボツールに入ってました! ありがとうございました !!!



読み出したいボードのJTAGが16ピンで  (横にRISCWatchと印刷されてました)

HJ−LINK/USBというJTAGアダプターで無理につないでみたんですけど

iIMPACTでバウンダリースキャンしてもは不明なデバイスしか見つからず読み出せませんでした

RISCWatch用のJTAGはiIMPACTでは使えないんでしょうか?

CPLD はXilinxのXC95144XLなんですけど・・・
959774ワット発電中さん:2013/06/15(土) 02:21:23.68 ID:rvdk3gOU
>>958
直接関係ないけど、
・iIMPACTではなくて、iMpact
・iIMPACTと是核で書かずに、半角で書こうよ。
960774ワット発電中さん:2013/06/15(土) 03:36:03.12 ID:YLLO7yQ/
>>958
XilinxにHJ−LINK/USBというJTAGアダプターで使えないと激しくクレーム。
そして、HJ−LINK/USBというJTAGアダプターを出している会社にもXilinxのiIMPACT
でXC95144XLにJTAG出来ないと激しくクレームする。そうするとやり方や使えるようにしてくれる。頑張れ
961774ワット発電中さん:2013/06/15(土) 09:23:11.84 ID:FXKRX5Ks
>>959
>>958はおまえと喧嘩したいってさ。チキンじゃなきゃ受けて立てよ。逃げるなよwww
962774ワット発電中さん:2013/06/15(土) 11:22:21.03 ID:0Erb9ags
>>961
うざいうせろ
963774ワット発電中さん:2013/06/15(土) 16:39:51.14 ID:B3jK2ZZa
>>958
HJ-LINK/USBと一緒に使うということだと、
↓これは既にご覧になってますかね。
ttp://www.apnet.co.jp/support/an/an161.pdf
cblsrvを起動してから、iMPACTを起動しないといけないわけですが、その点は大丈夫ですか?
964774ワット発電中さん:2013/06/17(月) 12:48:25.15 ID:ToicZfSw
みなさん すぐにレスくださってありがとうございます 感謝です!

iIMPACTではなくて、iMpact でした ^^; ご指摘ありがとうございます

cblsrvを起動して、その窓(DOSみたいな画面)を開いたままにしてiMpactを起動しております

cblsrvの窓には以下のメッセージが出ていました


Hit CTRL+C exit program.
Be sure to disconnect cable from Impact(Output/Cable disconnect),
otherwise Impact will crash!


高校では英語赤点だったのですが


今ケーブルを抜くとiMpactが壊れますよ
という意味かなと思いまして・・

iMpactが終了してからcblsrvの窓をコントール+Cで閉じてそれからケーブルを抜いてます


RISCWATCHのPDFを見つけたのですが、LANにつないで使用するみたいに英語で書かれてあるようで
何で英語ってこんなに難しいんでしょう
965JTAG勉強中 ◆SIDHSY2Dos :2013/06/17(月) 13:11:21.24 ID:ToicZfSw
すいません 一時的に トリップつけさせてください


見つけたRISCWATCHのページです
https://www-01.ibm.com/chips/techlib/techlib.nsf/products/RISCWatch_Debugger

PowerPC 400Seriesだったので
User's Guide208ページのヘッダコネクタの説明を見て
バラケーブルでつないでみたんです

で、iMpactでバウダリスキャンしてみたのですが
不明のデバイスしか見つからないです

iMpactがだめならこっちかとRISCWatch 8 for Windowsもインストールしてみました
起動してもすぐに落ちてしまいます
LANいるみたいですし
iMpactのほうが使いやすそうです
966774ワット発電中さん:2013/06/17(月) 19:58:49.02 ID:5vJpmWp/
次スレそろそろ建てた方がいいかも。
950越えたのに過疎ってるから直ぐにでも落ちそう。
967774ワット発電中さん:2013/06/18(火) 06:46:30.65 ID:DTNtO862
最近xilinxのISE web PACK 14.5をダウンロードして

FPGAの勉強をしています。

今まで問題なくコンパイル(?)できていたものが

Implement Designで以下のエラーがでます。

ERROR:ConstraintSystem:59 - Constraint <NET "CLK_IN" LOC = "P88" |> [a.ucf(2)]:
NET "CLK_IN" not found. Please verify that:
1. The specified design element actually exists in the original design.
2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <IOSTANDARD = "LVTTL";> [a.ucf(2)]: NET
"CLK_IN" not found. Please verify that:
1. The specified design element actually exists in the original design.
2. The specified object is spelled correctly in the constraint source file.
ERROR:NgdBuild:605 - logical root block 'a' with type 'a' is unexpanded. Symbol
'a' is not supported in target 'spartan3e'.

どうしたらいいでしょうか。

わかる方お願いします。
968774ワット発電中さん:2013/06/18(火) 07:47:27.85 ID:7HT0sZmu
そのメッセージのような確認をしてみれば良いと思う。
969774ワット発電中さん:2013/06/18(火) 15:01:22.36 ID:2z/VvIUr
>>967
もう何年も ISE 触ってない俺が適当にアドヴァイス。
「"CLK_IN"がねーぜ」って言われてるスペルミスチェックするとか
デバイス指定間違えてないかチェックするとか。
970774ワット発電中さん:2013/06/18(火) 17:07:50.70 ID:bn4PKJG2
>>967
Xilinxに意味不明な言葉で色々表示されるから内容が理解できない、日本語のISE出せって激しく文句いえ
日本語で表示されないと何がエラーなのか分らないよな
971774ワット発電中さん:2013/06/18(火) 18:19:44.21 ID:c+3yLGyV
ピン出てないのはwarningにしてほしい。
デバッグ中にピン出したり削ったりするたび
ucf 書き換えは面倒い。
972774ワット発電中さん:2013/06/18(火) 18:20:14.71 ID:U5u0siAF
>>967
とらあえずソース見ないと何も言えない
973774ワット発電中さん:2013/06/18(火) 19:24:01.83 ID:hC7Yuvi1
>>970
そんなこと言ってると 日 本 語 対 応 しちまうぞ
>>967 2行目
ネット "CLK_IN" ノット ファウンド. プリーズ ベリファイ ザット:
974967です:2013/06/18(火) 20:10:04.90 ID:oTrp46af
みなさんありがとうございました。

原因が不明なのでプロジェクト作成するフォルダを変更して作り直したら

コンパイル(?)できました。

ご迷惑をおかけしました。
975774ワット発電中さん:2013/06/18(火) 20:42:56.64 ID:cJEzsM2p
まぁ、FPGAはそんなのばっかだ。
976774ワット発電中さん:2013/06/18(火) 23:12:27.33 ID:82vNh+H7
>>974
まさかと思うが日本語フォルダの下で作成してたとかw
977774ワット発電中さん:2013/06/19(水) 06:38:53.62 ID:k7lv+VZv
次スレのようなもの

 【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 19
 http://uni.2ch.net/test/read.cgi/denki/1371591260/
978JTAG勉強中 ◆SIDHSY2Dos :2013/06/19(水) 17:33:06.28 ID:xre2B3g/
新参なのに差し出がましいようですが
新スレたてておきました
979JTAG勉強中 ◆SIDHSY2Dos :2013/06/19(水) 17:34:47.40 ID:xre2B3g/
と思ったら・・ もうたっていました  大失敗 (; ;)
980774ワット発電中さん:2013/06/19(水) 17:36:27.30 ID:Ylpi+OmA
死ね 要らんことをしやがって
981JTAG勉強中 ◆SIDHSY2Dos :2013/06/19(水) 17:36:47.48 ID:xre2B3g/
ごめんなさい
982774ワット発電中さん:2013/06/19(水) 19:19:04.41 ID:w3KgJOms
まあまあ
983774ワット発電中さん:2013/06/19(水) 20:12:46.28 ID:pnuVkqEb
死ね
984774ワット発電中さん:2013/06/19(水) 21:36:40.52 ID:i+jjGsit
お前がな
985774ワット発電中さん:2013/06/19(水) 22:30:17.78 ID:UEkebUwK
わかった、ここは俺が死のう
986774ワット発電中さん:2013/06/19(水) 22:33:49.60 ID:8ESsQV/L
>>985の死はムダにはしないぞ・・・!
987774ワット発電中さん
今更だけどCycloneIIIの環境が欲しいんだけど安いボードないかなぁ。少し調べた所中華製?のOpenEP3C16ってのが安そうだけど・・・IVとかだと安いのあるけど時代的になのかIIIは安い奴みつからん・・・