【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 18
1 :
B電池 :
2013/01/27(日) 09:08:39.38 ID:XviBFewv
3 :
774ワット発電中さん :2013/01/27(日) 12:29:51.84 ID:utarI5rF
質問させてください 今から会社がFPGAを始めることになって、XilinxかALTERAか選択しようとしています。 XilinxのFPGAにできて、ALTERAのFPGAに出来ないことって、ありますか? あるいはその逆でもいいです。 Xilinxには、DRAMコントローラーが内蔵されているのは調べました。 XilinxのFPGAは、全ピンLVDS対応しているが、ALTERAは特定ピンのみ。 XIlinxは、Verilog, VHDL混在のシミュレーションができる ここまでは調べられました
>>5 大手では価格競争させて安く提示してきた方を使う。
どちらでも実現可能なのでただ速度がいる場合はXILINX使う事が多い
>>5 視点を間違えてるよ。
FPGAの機能/性能ではなく、ツールの使い勝手で選ぶべきだよ。
中身の開発時間に関わってくるから。
俺は使い慣れたA派だけどw
Xのツールは、使いにくいのか? かれこれ5年くらい使ってるけど
ISEは微妙に癖がある気がする vivadoはかなり使いやすくなった
xactを使ってる俺にしてみれば…
>>8 馴れの問題。
でも、これからXはツールが2系統になるから大変?
一言にツールの使い勝手と言っても、いろんな視点があるな。 ・GUIの操作性 ・合成/PAR/SIMエンジンの性能 ・ログ/レポートの見やすさ ・コマンドライン操作の対応 ・全体の統一性 ・安定性 Xilinx(ISE)で不満なのは統一性がないことだな。 たとえばISEでdefineオプションを追加して、シミュレーターの起動でまた同じdefineが必要だったり。 Vivadoに期待してるけど7シリーズ以降しか対応してないんだっけ。
Xilinxって、西濃はいいかもしれないけど、営業戦略的にある寺に負けていそうな 気がする。
確かに日本だと最近Aの方が目立つよねぇ。 前の方でも書かれていたけど同じレンジ比較して同じようなもの 作ったらXの方が良かったりするの?
>>12 ISEはDATA I/OからSynario買って
表は繕ったけど裏でDOSアプリ
動かしました感が残ってるよね
ISEの、エラーメッセージが、もっとわかりやすい英語だったらいいのに。 アルテラのそれは、解りやすいメッセージで、好感が持てる。
Aは中小企業だと足元見られてイヤンな感じ。 代理店の問題かもしれんが、2社しかないので選択肢がないんだよな。 小ロットの場合はウチの場合どうしてもXを選んでしまう。 ツールの使い勝手はAのGUIが初心者には分かりやすい。 細かいところまで突っ込んで設定するならどっちも大した差は無いと思う。
昔A系の代理店は中小でなくても相手からとれるならぼったくってた
以前PALTEK経由でAlteraのを使ってたけど突然ザイリンクスに鞍替えされて びっくりしたな。
ISEには"cleanup project files"というmake cleanもどきがあるが Aはある?
アルテラは波形シミュレーションが楽だったなー。 自分はスケマチックとVerilogとAHDLを混在で使うので、波形シミュレーションがないと ダメージ大きい。 今回久しぶりにFPGAやって往生した。 Ver9で出来るのところは個別にシミュレーションをやって、Ver12ではシグナルタップ のデバッグで乗り切った。V12でしかサポートしていないメガファンクションがあったので 困ったよ。 AHDLが入ると、モデルシムがかからない。なんかいい方法ないかなー。
>>21 XilinxでもPlanAheadはcleanupコマンドがないな。
まあ作業用サブディレクトリができるからまるごと消せばいいだけだが。
>>22 AHDL部分だけ合成してゲートレベルにするとかできないの?
アルテラは使ったことないけど。
>23 アルテラのメガファンクションはAHDLで書かれているらしいが、ライブラリを提供して いるのでモデルシムが出来る。 ファンクションレベルのシミュレーションは必要ないので、なにか方法はあると思うのだ けどね。 要するにライブラリ化できればいいのだけど。
>>24 Xilinxだと合成後(レイアウト後でもできるけど)のデータをVerilogフォーマットに吐く機能がある。
俺がやったのは、VHDLのモジュールを一部含むデザインをVerilogシミュレータでSIMするとき。
まずVHDLのモジュールだけ別に合成してVerilogゲートを作る。
それでVHDLのモジュールをその作ったゲートと差し替えてプリミティブライブラリ(LUTやFF等)と一緒にシミュレータに食わせる。
荒業だね。 IPの作り方って公開されてないよね。何でだろ。
>>25 デバイスの全体のネットリストをHDLで吐き出させるのね。
Aでもその機能あるし、やってみたこともある。
(古いプロジェクトがAHDLでシミュレーション出来ないから)
遅延情報含めると時間かかるから機能レベルでシミュレーション
させたんだけど、デルタ遅延に悩まされた…
>>27 デバイス全体をネットリストにすると、該当モジュールだけ切り出すのが面倒だから、
最初から該当モジュールだけ合成すればいい。
遅延SIMも不要だからレイアウト後じゃなくて合成後のネットリストの方がいい。
デルタ遅延の問題は、きちんと発生箇所を把握して遅延を手動で挿入するべき。
どこで発生するか分かってないようだと、そもそもRTLシミュレーションの方も危ない。
>28 そんなことが出来るのか? 初めて知った。コンパイルはフルコンパイル 意外は使ったことないものな。もっと使い方を勉強しないといかん。 ネットリストって*.Voのことか? *.voをオープンしてCreate/update だろうか?とおもってみたが、どうもHDLに変換できそうなコマンドがみつからない。
DE0評価ボードを使ってFPGAで遊んでいるのですが 標準のPLLを使わず、NCO等を使ってPLLを作ってみようと思うのですが なにか良い資料は無いでしょうか?
>>29 いや、モジュールを限定してコンパイルとかの機能は無いと思うが、
単に該当モジュールのみで仮のデザインを作ってコンパイルするだけ。
必要なのは合成までだから、制約ファイルもいらない。
アルテラの経験ないから、ネットリストの吐き出し方の手順は知らんが、
>>27 の人によると機能自体はあるようだ。
XilinxもIDE環境がPlanAheadに移行してからはそのコマンドは消えてるから、
コマンドライン実行の合成コマンドのオプションとか見てみたらどうかな。
>>30 > NCO等を使ってPLLを作ってみよう
なんか、根本的に誤解している気がする。
NCOって数値的に演算して正弦波データを作るものであって、発振器の類ではないよ。、
>>29 > ネットリストって*.Voのことか?
一般的にネットリストと言えばEDIFのことだと思っていたが、時代が変わったのかしらん???
>>32 NCOってなんじゃら思ったらDDSのことか。
アナログのPLLとDDSを組み合わせるのは良くあるけど、そういう話ではなさそうだな。
ンコって何かと思った
>>29 Altera知らんけどググったら*.voそのものがVerilog記述のネットリストのようだな。
Verilogは拡張子に規定は無いから*.voがVerilogだとは気が付かなかった。
>>33 一般に言えばそうだけど、VerilogシミュレーターでゲートレベルSIMするときとかは
Verilog形式のネットリスト(ゲートモジュールのインスタンスとwire接続)を使うんだよ。
Verilogとか絶滅してほしい。
VHDLとか絶滅してほしい。
SYSTEM-Cとか絶滅してほしい
時代はスケマ
時代はステマ
QSysとかXPS使ってる人、使い勝手どう? HDLのモジュール繋ぎ合わせるのに便利そうだから気になってるんだけど。
43 :
774ワット発電中さん :2013/02/01(金) 23:51:46.64 ID:4Fh8g5x7
時代はトランジスタ直書き
時代は、チップスコープ
時代はロジックテンプレートと字消し板
時代は74シリーズ
TTLはすげぇよなぁ。
池田敏雄って、誰ですか?
偉人
時代は2SC1815
時代は、27nm
まわる まーわるーよ 時代はまわる
>>50 え?2SC945でも2SC372でもなくて?
54 :
774ワット発電中さん :2013/02/03(日) 00:04:49.96 ID:Z6T8jtP3
>>53 2SC458(橙色)を忘れてもらっては困るな。
55 :
774ワット発電中さん :2013/02/03(日) 00:11:09.78 ID:rI/ocwDt
>QSysとかXPS使ってる人、使い勝手どう? QSysなかなか良いよ。 でも自作モジュールをQSYSに組み込む時に、エラー修正後もなかなかエラーメッセージが消えなかったりで、今春出るVer13に期待。 自分の使い方が悪いのかもだけど。
56 :
774ワット発電中さん :2013/02/03(日) 00:19:28.30 ID:rI/ocwDt
Qsysの基本的な使い方が分かっていないまま、社内研究でいじってます。 Avalon-mmバスを、Qsysの外側のモジュールに出す場合、↓のモジュールを定義してQsysに組み込んでるけど、正しい方法ですか? input clk; input rst_n; input [3:0] address; input write; input [31:0] writedata; output _clk; output _rst_n; output [3:0] _address; output _write; output [31:0] _writedata; assgin _clk = clk; assign _rst_n = rst_n; assgin _address = address; assgin _write = write; assgin _writedata = writedata;
57 :
774ワット発電中さん :2013/02/03(日) 02:58:59.07 ID:EsufQkge
Altera のQuartusIIの使い方で教えてください。 New Project Wizardを実行したあと、デバイスを選択しますが、 毎回、EP4CE115F29C8Lというような名前を選ぶのが面倒です。 どこかにデフォルトとして設定することはできないのでしょうか? よろしくお願いいたします。
ウイザードの最初に 「前回プロジェクトの設定情報だけコピー」 って機能があるだろ。
59 :
42 :2013/02/03(日) 09:20:54.27 ID:3IPAY5So
60 :
774ワット発電中さん :2013/02/03(日) 09:29:54.62 ID:EsufQkge
>>58 お返事ありがとうございます。
この設定情報をコピーというのを毎回、自動的に読み込ませる方法は
ないでしょうか?
学校の授業で使わせるので、できるだけ自動化させたいのです。
よろしくお願いいたします。
61 :
57 :2013/02/03(日) 09:34:47.57 ID:EsufQkge
>>58 追加です。
C:\altera\12.1\quartus\bin\assignment_defaults.qdf に
set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name DEVICE EP4CE115F29C8L
という行を追加してみたのですが、特に効果がなかったのです。
62 :
57 :2013/02/03(日) 09:53:24.53 ID:EsufQkge
>>58 XilinxのISEだと、前回選んだデバイスが自動的に選ばれるようになっているので
便利なんですけど。
似たような名前がたくさん並んでいるので、間違えたものを選ばれてしまいます。
直接型名を選択するんじゃなくて、 機能やパッケージで絞り込みできるよね? それでもたしかに毎回はめんどうだと思うけど、 実際の業務ではデバイス選定に際して重要な項目ばかりだから、 良い機会だと思って、それらの項目を教えつつ、 選択させて学ばせるって捉えてみてはどう?
64 :
57 :2013/02/03(日) 11:39:24.62 ID:EsufQkge
>>63 ご返答ありがとうございます。
たしかにデバイス選定は重要であると認識していますが、
学生たちは初学者ですし、時間が限られている学生実験なので、
回路設計の中味の方に集中させたいのです。
一人の教員で、複数の実験を並列に実験させているので、
できるだけトラブルは減らしたいのです。
なら、あらかじめプロジェクト作って qarファイルに圧縮して渡したら?
単に自分の能力が低いだけだろ。学生はだし。もしくは自分が学生。
オプティマイズにある、カメレオン + アナライザ4M 買おうと思ったら無くなっとる・・・orz
65と同じだけど、初期設定の終わったプロジェクトを、フォルダ丸ごとZIPとかにするに一票。 ピン配置とか間違えるとやっかいなところは、答えのテキストに埋めておいてコピペさせるとか。 学生の人数はわからないけど、10人以下のクラスでも、プロジェクト用意して、答えも用意しておいても、 トラブルは起きるよ。何十人相手に教員一人ではトラブル対策だけで忙殺されると思われ。 本来の回路設計の実験を進めたいなら、トラブル対策要員を一人つけた方が良いかと。 院生のバイトとかいるでしょ?
69 :
57 :2013/02/03(日) 14:28:44.10 ID:EsufQkge
みなさん、いろいろとアドバイスありがとうございます。 ピン配置などの設定は、実験テキストに書いておけば、大丈夫なのですが、 デバイス名はすごく似ているので間違えやすいんですよね。 Xilinx のISEでは、前回の値が選ばれるのに Quartusでは毎回入力しなければならないというのが困ったもんです。 assignment_defaults.qdf に書き込めば、デフォルトになると思ったのですが 読み込んでいる気配がありません。どうしてダメなんだろう??? 悲しいことに、トラブル要員をつけることはできません。
>Xilinx のISEでは、前回の値が選ばれるのに これを由としているが、本当にそうかな? 前と同じデバイスが選択されている、って保証されている?事実上そう動作してるだけかもしれないよ? 前の値が正しいことはどうやって確認するのかな?前の学生がデバイスの設定を変えてしまっていたら? デバイスは必ず確認した方が良いと思うけどな。 でなければ設定の終わったテンプレートを用意しておくか。それでもデバイスの確認は必須だと思う。
デバイス名もピン配置も全てqsfファイルに記載されてるので ピン配置を実験テキストに載せてコピペと何が違うのだろう… メールとか共有フォルダとか使えないん? zipやqarで渡すなり「この内容をqsfファイルにコピペして」で終わるやん。
73 :
57 :2013/02/03(日) 17:11:35.80 ID:EsufQkge
>>72 DOSバッチコマンドって、どういう意味ですか?
Quartusを立ち上げる直前に、実行フォルダに
設定済のプロジェクトファイルをコピーさせるってことですか?
>>70 もちろんXilinxのときもデバイスが正しいかをチェックさせています。
デバイスの選択画面のデザインが、ISEとQuartusでは違うんです。
ISEでは、一つの画面でチェックできるのに、Quartusでは
デバイス名をスクロールさせなければできないようになっています。
わずかな違いですが、実習のときのトラブル発生につながりやすいのです。
そんなに馬鹿な学生なら諦めろ。世の中にはFPGA以外の仕事だって沢山あるのだから そちらに導くベキ
75 :
774ワット発電中さん :2013/02/03(日) 17:31:57.14 ID:rI/ocwDt
もうすぐA社とX社から一般発売するSoC FPGA(ARM入りFPGA)は楽しみですね。 Linux入れて遊びたいです。 会社的には「コストを考えるとFPGAは使わずCPUでやり繰りすれば良い」 という考えが強いのですが、CPUを使うとどうしてもアリキタリの物しか作れないので、 FPGAは手放したくないと考えてました。 FPGAはコストや拡張性、ネットワークアプリケーション等のフリーの既存資産を活用するのが困難ですが、 SoC FPGAなら両者のいいとこ取り出来そうで期待してます。 価格帯はどの辺に設定してくるでしょうね。
76 :
57 :2013/02/03(日) 17:57:29.60 ID:EsufQkge
>> 74 そんなに簡単に諦めていたら、人を育てることなんてできませんね。 FPGAを将来の専門にしなくても、別の仕事に就いたとしても、 ああ、ハードウェア設計とはどんなものかというのを 経験したことがあるかないかで、学生の将来は変わると思っています。 将来、専門にしないから、経験しなくていいというわけではないのです。 短い時間だけれども、一度でも実験で経験していれば、 それが将来、役立つときがくるかもしれません。 教育とはそういうものだと思っています。 幅広くいろいろなことを経験させて、自分の適性にあったことを 一生の仕事として選べるようにすることも教育には大切です。 assignment_defaults.qdf の変更は、何故、有効にならないのだろう?
正しいデバイス名すら選べない奴が現場で使い物になるとは思えない そんな奴に仕事を任せたらポカミスで炎上必至
>>76 人を育てるって事は、教える側のスキルもそこそこ必要だが、お前さんには無理そうって事だよ。言わせんな、恥ずかしいw
出来る奴はかってに自分で吸収するし、その方が知ったか教師に間違いを教わるよりも効率的だと思うぞ。
各自自習のお時間にでもしたらどうだ?
80 :
774ワット発電中さん :2013/02/03(日) 22:55:56.07 ID:3Xx4BK4L
客観的に見てどちらに教わりたいかと言ったら
>>76 だな
こんなとこで自演とかw 学生見てないのwww
>>79 世の中の人間には、成長の次期、速度という「個人差」があるんだ。
>>79 のように、学生時代に花咲く人もいれば、その後社会に出てから伸びる人もいる。
学生の時にできなくても、その後に「面白さ」を見つけて、黙っていてもドンドン勉強する人もいるんだよ。
逆にこういうパターンの人のほうが
>>79 よりも大きな成果を上げやすいのよ。
>>82 それが通用するのはせいぜい高校生までだろう
今時の中学や高校はFPGAやMCUを教えるのか?
>>83 ちゃうちゃう。
FPGAやMCUは、その結果としての形であって、
学ぶべきは、モノの見方考え方。
自分で調べるのが、知識を身につける1番の方法なんだけど、
それを「進んで調べるか」「言われて調べるか」で大きく異なるよね。
また、調べること、わかったときの快感を感じることで、
黙っていてもやり出すよ。だれでも、そういう部分を持っているんだ。
ただ、巡り会う時期が早いか遅いかってだけの違いでね。
それを少しでも早くするために、最初のとっかかりだけ、いろいろい経験させること、
これが教育の最大の目的なの。
学ぶことの楽しさがわかれば、自分で調べて、自分で勉強するようになるよ。
>>79 だけでなくて、世の中の人み〜んながね。
>>79 は、あっという間に追い抜かれるよ、きっと。
>>84 の訂正
>>79 は、
>>83 の間違い。 すみません、
>>79 あと、子供の頃に、親がいろいろと体験させるのは、大半が親のエゴだと思う。
本人は「そうすれば親が喜ぶ」ことを知っているので、やっているだけ。
高校を出るまでは、学校の勉強と自習で良いと思う。本来、その間にすべきことは、
・人間としての体力をつけること。(ひ弱で病気がちでは、エッチもできない)
・友達とケンカをすることで「相手の痛み」「相手を思いやること」を知ること。
(女の子を喜ばせることを知ることでもある)
・読み書きが「普通に」できるようになること。
これが私の持論
ここでグダグダ質問してるのが「進んで調べる」に該当するのかw 教育がなってないなwww
>>84 ほんとその通りだと思うわ。
20代後半になって、やっとそのことに気付いた。
>>75 > 会社的には「コストを考えるとFPGAは使わずCPUでやり繰りすれば良い」
それは極端すぎるげど、適材適所かな。
今、Cortex-A8は1000円切った。A9もすぐだろう。
FPGAだと中々その値段にはならないと思う。
FPGAがいいのは、CPU/DSPがコスト的に見合わない高速処理分野。
いいとこ取りなんて、どっかに無駄が出るだけだと思う。
実際、AlteraにはAtomを組み合わせたFPGAもあるわけだか、一体誰が何に使ったのやら。
お金のコストだけ考えるならCPU最強 でも消費電力(電力のコスト)やら拡張性(手直しのコスト)を考えると FPGAにもメリットがあるね
>>75 CPU使うとアリキタリの物しか作れないとか考えてる時点でFPGAでもアリキタリ
の物しか作れないと思う。何か目的があってCPUではどうしてもダメという時に
初めて選択肢として登場するものじゃないかな。
個人的な偏見ではとりあえずLinuxを入れてみてとか言う人って起動するまでで
満足してそこから何か作ったりしない印象。趣味なら別にそれでもいいけど
業務でそれはないわ。目的と手段はきちんと明確に分けて考えるべき。
>>89 拡張性の点はわかるけど、消費電力はマイコンの方が少ないんじゃ無いの?
処理あたりの必要電力で考えると、CPUはけっこう大飯食らいだよ
>>93 それって、x86系を念頭に置いてない?
今はCortex-A9の話しをしてるんだけど。
動いていないFPGAの消費電力は極めて小さいのでは? CPUは仕事をしていなくてもクロックが生きている限り一定量の 電気を使う
たとえば、100MB/secのバイトストリームデータを とりこぼしなく処理したい場合を考えてみよう。 CPUなら少なくとも1GHz以上の動作クロックが必要なのに対し FPGAならデータクロックと同じ100MHzで余裕で動く回路が実現できる。 当然、電力的に有利となるわけだ。
CPUも最近だとクロックゲーティングは当然やってて、コア毎に電源を切る技術もあるから、 うまく設計すればかなりリーズナブルな消費電力になる可能性がある。 それと効率性を話題にするなら、DSPやGPGPUも外せない。(まとめてプロセッサでいいけど) FPGAとCPUのどちらが電力が有利だとは一概には言えない。どういう場合にどちらが有利になるかを考えないと。
98 :
774ワット発電中さん :2013/02/04(月) 23:29:10.08 ID:CQhqaho2
あ
99 :
774ワット発電中さん :2013/02/04(月) 23:30:10.57 ID:CQhqaho2
恥を承知で聞くけど、消費電力を抑えるには、 カウンタはカウントしない時はカウントアップしない様に記述するのがいいの?
>>99 いいんじゃね
状態が一切変化しないなら、電気食うのはクロック分配だけだよね、漏れ電流は知らん
元の話は同じ事をCPUでやらせる事とFPGAでやらせる事の比較ではなくてCPUのみとCPUとFPGAがワンチップの物の比較という話だと思うけど?
102 :
774ワット発電中さん :2013/02/05(火) 00:31:45.13 ID:pyKAcMg8
以下@とAでは、@のほうが省電力大きくなる気がするんだけど・・・。 リセットについて省略してます。 パワープレイ何とかってツールで計算してみればいいのかな。 @常にカウントアップしてて、トリガパルスが来たら0になる。 always@(posedge clk)begin if(trg_pulse) cnt <= 8'h0; else cnt <= cnt + 8'h1; Aトリガパルスが来たらフラグが立って、カウントアップを開始する reg flag; always@(posedge clk)begin if(trg_pulse) flag <= 1'b1; else flag <= flag; always@(posedge clk)begin if(flag) cnt <= cnt + 8'h1; else cnt <= 8'h0; こちらまだFPGA自分でやるようになって4か月の新参者で、 ようやっとMPEG4やTCP/IPプロトコルスタックを実装できるようになった者です。
103 :
774ワット発電中さん :2013/02/05(火) 00:33:29.53 ID:pyKAcMg8
以下@とAでは、@のほうが省電力大きくなる気がするんだけど・・・。 リセットについて省略してます。 パワープレイ何とかってツールで計算してみればいいのかな。 @常にカウントアップしてて、トリガパルスが来たら0になる。 always@(posedge clk)begin if(trg_pulse) cnt <= 8'h0; else cnt <= cnt + 8'h1; Aトリガパルスが来たらフラグが立って、カウントアップを開始する reg flag; always@(posedge clk)begin if(trg_pulse) flag <= 1'b1; else flag <= flag; always@(posedge clk)begin if(flag) cnt <= cnt + 8'h1; else cnt <= 8'h0; こちらまだFPGA自分でやるようになって4か月の新参者で、 ようやっとMPEG4やTCP/IPプロトコルスタックを実装できるようになった者です。。
104 :
774ワット発電中さん :2013/02/05(火) 00:34:04.13 ID:pyKAcMg8
2回書いたごめん。
MPEG4、TCP/IPをFPGAへ実装って凄いじゃん! FPGA始めて4ヶ月でこれらを実装できるって天才か! しかし、下2行と上の行とのギャップは・・・・・
106 :
774ワット発電中さん :2013/02/05(火) 00:50:44.26 ID:n4cqIZzz
>>103 その二つ、そもそもの機能が違う気がするのだが・・・?
>>105 既存のIP追加しただけでしょ・・・双方1から実装してたらマジで天才
108 :
774ワット発電中さん :2013/02/05(火) 00:59:32.74 ID:pyKAcMg8
>MPEG4、TCP/IPをFPGAへ実装って凄いじゃん! 全然すごくないです。 事前にVisualStudioで計算方法を確認してたから、上の行のレベルの小さなモジュールを沢山繋げて上からぶら下げたら動きました。 ファンクションシミュレーションとFmaxの確認をしながら進めました。 可変長符号を高速に扱うのに少し工夫した程度です。 @は常にカウントアップしてて、トリガパルスが来たら0に戻ってカウントアップします。
>>103 trg_pulseが来る前に加算器がトグルしてる分@の方がダイナミック電力は増える。
しかし余計な回路を追加した分Aの方がスタティック電流は増える。
どちらが多いかはまあ微妙なところだな。
ところで、trg_pulseが来るのが初期化処理の時だったりすると、Aにする効果は薄い。
8ビットの加算器がフリーランする程度だから、面倒だし@でいいんじゃねえの。
どうしても気になるなら電力シミュレーションしてみればいいよ。
まあけど一般論で言えば、Aのようにするのは正しい方向性だな。 もっと言えば、回路がディセーブルの時はクロックを止めたほうが電力減らせる。
111 :
774ワット発電中さん :2013/02/05(火) 01:33:11.84 ID:pyKAcMg8
>>109 ,110の3Q1GT/7aさん
なるほど、その様に考えるのですか。
周りにFPGAをやる人が皆無なので、手ほどきありがたいっす。
112 :
774ワット発電中さん :2013/02/05(火) 01:39:49.97 ID:pyKAcMg8
>ところで、trg_pulseが来るのが初期化処理の時だったりすると、Aにする効果は薄い。 このあたり、特になるほどです。
前スレ埋まってないのな・・・といいつつここに書く FPGAに関してお前らのお薦めの入門書ってある?FPGAに関してだけじゃなくて 電子・電気の本とかでもFPGAでハァハァする為に必修の物があれば是非教えてクダサイ。
114 :
774ワット発電中さん :2013/02/05(火) 13:37:27.62 ID:kTO9d7PV
>>113 STARCというところが出している本がいいよ。
値段も安いし、分かり易いし、入門者向けかな。
116 :
774ワット発電中さん :2013/02/05(火) 15:59:33.25 ID:l/b957yF
FPGAの理論的なアプローチはともかく、ツールの使い方が難しい。 アルテラツールの使い方に詳しい本ないかなー。
>>115 その2冊目の本、高校生の時に僕も買った。わかりやすかった。
スパルタン6(75LX)が載った詳細不明のジャンク基板を 入手したんだがこのスパルタン6の再利用は難しいですよね・・・? 見た感じ量産品っぽいので、ストリームの暗号化がされていそう cfg_aes_onlyが切られていなければ・・・っと思っても「JTAG何処?」 状態なのでeFUSEの内容を取得するのも一苦労しそうだ cfg_aes_onlyが切られていたら完全にゴミだ DRAMも載っているっぽいし使えればウマーなんだがな
122 :
774ワット発電中さん :2013/02/08(金) 00:49:17.83 ID:im3FND5w
>120 回路なんて理論的にまとまっているからサッと読んで簡単に理解できるが、 ツールのマスターは大変だよな。癖があるし、ドンドン変わっていくし、 まとまりがないし。UIはレベル低い人が作ってるし。 ツールの使い方をキチンと書いた本が欲しいよな。
123 :
774ワット発電中さん :2013/02/08(金) 01:05:48.19 ID:kXwmlFoo
本にしてもすぐ変わるからな・・・ あれ何なんだろうな、もっと体系立てて作れないんだろうかね
ベンダーのセミナー受ければ良くね 一通り網羅した資料もくれるし
いつも思うんだけど、 「簡単なISEの使い方」という見出しのwebページ記事を見ると、 「簡単なISE」の使い方なのか 簡単な「ISEの使い方」なのかと、考えてしまう。 いずれにしろ、ISEの使いにくさは、天下一品だと思うね。
考えるまでもなく後者だと思うが。日本語習いたての外人なら間違えるかもしれない書き方ではあるけど一般的な言い回し的に前者はしないでしょ。
いや、どちらにも受け取れるから、どう読んだらいいのか迷う。 お利口さんは、 ISEの簡単な説明 と、書くけどね。
・ISEの使い方をざっくり説明します ・ISEの使い方をわかりやすく説明します のどっちかわかんね、てことだろ
え?
こういう奴らがFPGA使うとか、世も末
132 :
774ワット発電中さん :2013/02/09(土) 00:29:14.85 ID:SEVcg9ok
簡単に教えますって意味にも取れるよな 俺は言いたいことわかるけど
133 :
774ワット発電中さん :2013/02/09(土) 00:30:27.76 ID:SEVcg9ok
あ、違った 軽く教えますって意味にも取れるって言いたかった
134 :
774ワット発電中さん :2013/02/09(土) 00:31:46.18 ID:SEVcg9ok
いや、一緒か・・・ もういいわ
こういう意味の区別をはっきりさせるために、
日本語には句読点というものが存在する。
>>125 の後者の場合は
簡単な、ISEの使い方
とすることで意味を明確にする。
しかし、慣例的に句読点がなくても分かることがほとんど。
確かに「こっちの意味だろう」とわかる場合が多いけど、 どちらにも受け取れそうなときは「もしかしてあっちの意味かもしれない」と、 迷うことがよくある。
読み手が迷うのは、書き手が問題。 「わかるだろ、普通」と言う人は、 相手の立場に立って物事を考えられない人だね。
日本語って文脈や慣用的に意味を読み取るものでしょ。その力が無いのを書き手の せいにするのはどうなんだろ?また、見出しとかはあえてキャッチーな書き方や ミスリードを誘う書き方をするもんだけど、それを文法がーとかってツッコミは 野暮なだけだと思う。つかいい加減スレチ。FPGAの話しようぜ。
日本語だから許されるというわけじゃないぞ。 日本語でも英語でも、注意して文脈を読まないと分からないような悪文があるのは同じ。 おまえらも仕事で仕様書とかマニュアルを書くことがあるなら、 読解ミスを極力避けて、読み手に無用な負担をかけないようにしてるだろ。 こういう話題もたまにはいいんじゃないの。
英語もかなり文脈から判断しないといけない文書、多いよな。 FPGA関連の資料も全部日本語で提供してほしい。(切実)
見出しの付け方 普通の奴は 簡単なISEの使い方 ドカタは ISEの簡単な使い方 って書くってことか。 ドカタが多いと落ちぶれるんだろな この二つの見出しでどっちの方がたくさんの人から読んでもらえると思う? 見出しは正確さより、たくさんの人に読んで貰うようにするてのが目的だろ ドカタ思考だと良い製品だけど売れないんですってなるだろな
正確な表現に気をつけるのは、むしろ大学教授だけどなw
そのドカタで成り立っているのが今の日本ですけどね
ドカタじゃない
>>141 がどんな素晴らしいものを作ってるのか興味あるな。
>>145 アンタのレベルに比べればこのスレの皆さんドカタですよ。
マニュアル書いたり特定の相手へのプレゼンとかしか文章書く機会ないから、 アトラクティブな表現より明確な表現を使うことが多い。 まあ確かにドカタ思考だと言えるかもしれんが、それでいいと思う。
簡単なISEの使い方 ISEの簡単な使い方 実際、お前らはどっちを見出しにする? 俺は前者
>>148 俺は前者。だけど、スレチだからいい加減にしろ。
今回の話題見ているとまだ正常な人が多いみたいで安心したわ。 でも見出し用の文章と仕様書の中の文章の違いが分からない奴もいるんだな。 ちょっと前のSoftware Designでエンジニアの文章が下手みたいな特集組まれて いたのを見て正直ビックリしたけど(本は読んでないので詳細は知らんが) 今回の見てて妙に納得してしまった。俺も暫く海外に住んでいたらなんか日本語が 不自由な人になってしまって焦ったクチなんで人の事はあまり言えないけどサ。
>>15 >本は読んでないので詳細は知らんが
そんな状態でよく言うね。
>俺も暫く海外に住んでいたら
わかった。これが言いたいんだね。
>>141 >見出しは正確さより、たくさんの人に読んで貰うようにするてのが目的だろ
おいおい、週刊誌じゃないんだぞ。
152 :
10人に一人はカルトか外国人 :2013/02/10(日) 08:21:49.32 ID:vi6xEsAK
★マインドコントロールの手法★ ・沢山の人が偏った意見を一貫して支持する 偏った意見でも、集団の中でその意見が信じられていれば、自分の考え方は間違っているのか、等と思わせる手法 ・不利な質問をさせなくしたり、不利な質問には答えない 誰にも質問や反論をさせないことにより、誰もが皆、疑いなど無いんだと信じ込ませる手法 ↑マスコミや、在日カルトのネット工作員がやっていること TVなどが、偏った思想や考え方に染まった人間をよく使ったり、左翼を装った人間にキチガイなフリをさせるのは、視聴者に、自分と違う考え方をする人間が世の中には大勢いるんだなと思わせる効果がある。 .....
でもよ、層化の池田犬作は聖人だよ
HDL書くのマンドクセ('A`)
HDLという文字をしばらく見たくない なんで数値が38なんだよおおお
157 :
774ワット発電中さん :2013/02/12(火) 23:10:05.37 ID:FlagrfLK
>154 性塵の間違いだろ?既に逝人かも知れんがwww
>>154 なら、中国のPM2.5の対処、北朝鮮を大人しくしてくれ。
ここ最近の流れ何なんだろ。こんなにキモイスレだったっけ?
>>159 察してやれよ。
年度末で納期近し、みんなプッツンする頃なんだから。
電子産業がキモイって感じだからな 松、富士半導体リストラ、統合、パイもリストラ 年度末までリストラするところ多いだろ。現実逃避したくなるよ
162 :
774ワット発電中さん :2013/02/14(木) 00:19:52.78 ID:ZvUnW/02
どうもFPGAの仕事が無くなりそうだ。 どっかAlteraのFPGA使ったシステムで仕事できるとこない?
誰でも何処でも出来る様になってきたから仕方が無いね。システムで提案出来る能力が無いと仕事も無くなると思うよ
CPLDまでは使ったプロジェクトやった事あるけどFPGAってまだないんだよなぁ。 というか結局のところ必要性も無いんだけどね。なのでFPGAを使った仕事って 想像がつかないので何かやってみたいなぁ。
CPLDで4bitCPUとか作ってみたいが、レジスタ幾つ割り当てられるかな?
>>165 おま俺・・・昔買った CPUの創りかた を参考に勉強をしようと思ったんだけどFPGAは大袈裟だし・・・CPLDでーとか思ったんだけどナカナカいい製品が無いなとか違う方向で止まってる。
DE0でもBasys2でも買ってしまえばどうにかなるよ。 1万円ちょいで買えるし。ちょっと円安になってきたけど。
>>167 そうなんよね。結局学習用に使えるCPLDで小規模で安い製品って無いのでそういう物が無難なのかも。Xilinxでペリフェラルあまり無くて安いのもあったけどね。
周辺なにもなくていいなら秋月のMachXO2とか安いのあるんだけどね。
>>168 うんにゃ?
MAX Vなら100円台だけど?
>>170 チップだけ買えばな。それは教育用なのかい?
チップに教育用とか、あったっけ?
昔の2064とか2018は教育用として秀逸だったな。 手配線で全て配置配線した事もある。
>>172 ごめん、眠い時に適当書いたらなんかそんな言葉になっちゃった。正確には俺が欲しいのは学習用にすぐ使える評価ボードみたいな奴。チップだけ出されても基板起こせない・・・
175 :
774ワット発電中さん :2013/02/15(金) 23:04:13.98 ID:jQrCSl6S
学習用にはDE0が無難でしょ。 保護回路が少ないインタフェースもあるけど、壊れたって話は聞いたことない。 PLL、RAM、乗算器が入ってないと何の勉強にもならない。 PLDはコスト的にFPGAが敬遠されるアプリケーション向けの、超実用的プロ用デバイスだもん。
超実用的、と言うと「超」の字の意味からして、実用からかけ離れた、 実用的でない、って意味になる。 チョー実用的、だったらすごく実用的という意味だったのに残念なことだ。
普通に考えるなら、一般的に実用的であると認定されるレベルを超えた能力を持ってると解釈するとこだけど ずいぶんひねくれた考えの持ち主だね
チョー能力
チョーさん
チョー特急
181 :
774ワット発電中さん :2013/02/16(土) 17:11:55.60 ID:P3/DGbyv
チョーチョーチョーいい感じ
超人は人間ではありませぬ。
>>181 駄目駄目駄目だったのか
183 :
774ワット発電中さん :2013/02/17(日) 00:15:02.09 ID:2EEGy8qF
『超』 超(ちょう)は、「〜を超える」を意味する接辞(接頭辞と接尾辞)である。中国語では動詞・形容詞としても働く。日本語でも形容詞とする説もあるがそうすると一般的な用例とそぐわなくなる。 漢語では本来は動詞で、「超える」「超えて行く」「飛び超える」を意味する。たとえば慣用句ののようにである。 現在の熟語に当てはめると、たとえば「超人」は「超㆑人」と返り点を打ち「人を超える」と訓読みできる。このような補足構造「動詞+目的語」の熟語を「接頭辞+語根」と再解釈することにより、接頭辞「超」が現れた。 その他の構造の熟語としては、 入超 :「入(輸入)が(輸出を)超える」の主述構造 超越 :「超える」と「越える」の並列構造 などがある。これらは接頭辞「超」としては解釈できない。
184 :
774ワット発電中さん :2013/02/17(日) 00:16:29.98 ID:2EEGy8qF
日本の流行語「超(チョー)」 1980年代前半に主に男子小学生の間で、続いて1980年代後半から少女を中心にスラングとして単純な強意を表す用法が流行した。 一説には、新幹線を称して「超特急(特急を超越した列車)」との表現が、「とても速い列車」と認識されたことが、強意の用法の使用の増加の原因とされる。 元来の用法では、「超」のかかる品詞は超音波や超高層ビルなど名詞(形容詞性名詞、つまりいわゆる形容動詞を含む)に限られていたのに対して、チョーは名詞を初めとして形容詞や動詞にも用いられる。 超の使い方だが、1990年代初期には既に東京圏などを中心として大学生などの若者に多用されていた。 超がチョーになったのは、1990年代中盤から後半にかけてである。 1990年代後半では、「超の多用」がもう古いという認識も出ていた[誰によって?]が、結局は生き残った感がある。 なお、一般的には1980年代以降に流行した表現とされているが、1950年代後半から1960年代にかけても若者の間で多用されていた。 例えば、1968年の映画作品「温泉あんま芸者」では、「超すごい台風!」という表現が使用されている。このように、 過去存在していた出来事が世間から忘れられることによって新しい出来事であるかのように認識される現象を「ヒストリー・ポケット」と言い、 他には第二次世界大戦の終戦後に数年間使用された「クール・ビズ」などがある。 補足としては、接頭語であるとともに、副詞としても使われる。例:チョーかわいい、きもい、うざい、など。 上級向け: チョーやだ、チョー終わってる、など。 同義語として「激、げろ、超(ちょ)、デラ、めっちゃ」がある。 用例 [編集] 「中部大学4年のマイちゃんって知ってる?チョーかゎぃぃんだよ〜〜!」 「チョーベリーバッド」(後に略語としてチョベリバと変化した) 「バルトークのピアノソナタ弾いたことある?あれってチョームズいんだぜ〜!?」 「チョーイイネ!サイコー!」 - テレビ特撮ドラマ『仮面ライダーウィザード』で必殺技を繰り出す際にアイテム(ウィザードライバー)から発せれる音声。
185 :
774ワット発電中さん :2013/02/17(日) 00:20:45.20 ID:2EEGy8qF
『超(ちょう、チョー)』 超(ちょう、チョー)とは、日本語における接頭辞・接尾辞の一種である。 超の用法を大きく分けると以下のようになる。 1.ある数量や基準を超えている、または逸脱していることを表す。 例:100万円超、超音速、超心理学 2.程度が甚だしいことを表す。 例:超高層ビル、超巨星、超キモい 特に2の用法に関しては、現代においては若者言葉としてよく使われている。流行り廃りの激しい若者言葉の中にあって、「超」は汎用性の高さもあってか比較的長く使われ続けている表現である。 また作品のタイトルなどで、(「程度が甚だしい」よりも更に漠然とした解釈で)「なんだか凄そう」な感じを表現するために用いられることもある(例:超魔界村)。これに似た用法は日本語における「スーパー」「ウルトラ」等の語にも見られる。 本来いずれの用法においても名詞と複合するのが原則であったが、若者言葉として広まると「超やべー」のように名詞以外のものと複合するパターンもごく普通に見られるようになった。
チョー文チョーうざいです。
超とかどうでもいいからFPGAを語れよ。
語れないからチョーチョー言ってるんだろ、察してやれよ。 て言うか、まずお前が語れよ。
日立チョーLSIって会社には世話になった。
190 :
774ワット発電中さん :2013/02/17(日) 15:24:24.65 ID:FvjtTWlB
チョリーッス!
チョーFPGA,チョーFPGA,チョーFPGA
オプティマイズにある、カメレオンUSBキットと ロジアナキット(4M) 無くなってる・・・orz いつ入荷すんの?
>>192 ページをよく読め
Spartan-2がもうディスコンだから入らないよ
ちなみに多分最後の1ケを買ったのは俺
入荷未定って書いてある
いまどきもっと高性能のがあるんだからそんなゴミを何時までも後生大事に使わなくても…
>>185 ニダーをあげる♪
♪ ∧,, ∧ ♪
♪ ∧∧∧,, ∧ ・ω・)
イラナイ ∧∧/台\・ω・) ) ニダーはいらない♪
アル/ 支\^∀^) )っ__フ ♪ ∧,, ∧
∧,, ∧ `ハ´) )っ__フ(_/ 彡 .∧,, ∧ )
<*`Д´> )っ__フ(_/彡 ∧,, ∧ ) )
(っ )っ__フ(_/彡 .∧,, ∧ ) ) Οノ
( __フ(_/彡 ∧,, ∧ ) ) Οノ ヽ_)
(_/彡 < > ) Οノ 'ヽ_)
( ) Οノ 'ヽ_)
(ゝ. Οノ 'ヽ_) ♪
♪ ミ ヽ_
送還しましょ♪
そうしましょ♪
>>175 > PLL、RAM、乗算器が入ってないと
乗算器がなくても、いまどきはツールがなんとかしてくれるんじゃねーの?
なんとかしてくれなくても、それはそれで勉強になるとは思うが。
> 超実用的プロ用デバイスだもん。
どっから出てきた?
198 :
774ワット発電中さん :2013/02/18(月) 20:49:08.09 ID:0mGOgeOu
初心者ですが、うんざりかもしれませんが、基本的な質問をさせてください。 センサからの信号が20MHzのクロックにのってやってきます。 これを50MHzの信号処理モジュールで処理したいと考えております。 FPGA内で20MHzクロックで扱うデータ⇒50MHzクロックで扱うデータ に渡すのは、普通にやることなんでしょうか? またどの様にすればいいのでしょう? ツールで生成するDual clock Fifoを使うのはナンセンスですか?
>>198 リソースが余ってるならFIFOでいいんじゃない
逆に聞くが、そのFIFOは何のためにあるんだ?
FIFOなしでパラレルデータのクロック乗り換えするのって、 ちょっと思いつかないんだが、他に方法ってあるのかな
普通にやるけど、fifoなしの非同期伝送。 ただし、データ間がある程度あいてる場合に限るが。 パイプラインなんかはfifoかdpram使わないと無理。
インピーダンスミスマッチングだねぇ。
205 :
774ワット発電中さん :2013/02/18(月) 22:41:29.26 ID:3rHsxpQo
結論としては、それが大正解 他に方法はありませんよってな具合だな
>>203 データバス固定してストローブを"010"と送って2段受け+エッジ検出すればいいな
最速でも3サイクルに1回だけど
207 :
774ワット発電中さん :2013/02/18(月) 22:42:07.65 ID:3rHsxpQo
>>203 どうやってやるの?
1ビット信号ならまだしも、多ビット信号をFIFO無しで扱えるもんか?
簡単にいうと、データのイネーブル信号を伝送元のクロックでラッチして 伝送先のクロックでエッジ検出。 規制中でケータイからなんでソース書くのは勘弁して…
>>207 8ビットデータだったら32ビットなり64ビットなりに
並列にしてから渡せばいいだろり
>>206 こんな感じか
reg [w-1:0] dat_a, dat_b;
reg stb_a; reg [2:0] stb_b;
always @(posedge clk_a) begin
stb_a <= ???
dat_a <= ???
end
always @(posedge clk_b) begin
stb_b <= {stb_b[1:0], stb_a};
dat_b <= (stb_b[1] && !stb_b[2])? dat_a : dat_b;
end
211 :
774ワット発電中さん :2013/02/18(月) 23:30:46.54 ID:0mGOgeOu
当案件の質問者です。
皆様ありがとうございいます。
低深度のfifoを生成してやってて「ぜってぇ俺間違ってる!アホだ俺!」って思ってましたが、多くの方がされているようですね。
>>203 さんの方法は、入力信号のクロックでトグルする信号を高い周波数でサンプリング・エッジ検出し、「エッジを検出した次のラッチは必ず新しいデータ」ということでしょうか。
つまり10MHz→50MHz伝送の場合、クロックは10MHzと50MHzで済みますが、
40MHz→50MHz伝送の場合、150MHzのクロックが必要となる感じでしょうか?
クロック速度の関係を考えると「MUXシンクロナイザ」ページにある一番下の回路がいいな あと、20MHz側の最高スループットは3サイクルに1回と言ったけど、2サイクルに1回が正しい けどバス幅を2倍にワイド化変換すれば、20MHz毎サイクルのスループットも出せるな
215 :
203 :2013/02/19(火) 01:06:22.54 ID:Su4OXihg
信号ラッチして使えばサンプリング遅くても問題ない。 ラッチした信号は出力側に出てきたらクリア。 リアルタイム性にかけるし間隔あいてないと駄目だけど 入出力どちらのクロックが早くても使えるので便利。 あ、非同期なんでメタステ対策は必要ね。
いやぁ、楽しそうだな。こういう話題に早くついていけるようになりたいorz
217 :
774ワット発電中さん :2013/02/19(火) 19:16:31.26 ID:tckKCfs+
メタステは、何段入れれば良いでしょうか?
CQのFPGA関係のセミナーが、ALTERAばっかりなんですが、 なんでXilinxでやってくれないんでしょうか?
アルテラが提供してないからでしょ
220 :
774ワット発電中さん :2013/02/19(火) 22:54:16.00 ID:uQwlNDHf
TerasicのDE2-115(Cyclone-IVボード)で、EPCS64がのってるはずのところにSpansionのEEPROMがのってました。 QuartusのprogrammerからはROM化できましたが、Eclipse for NiosのFlash Writerからは書けなくて、Terasicに問い合わせました。 Terasicからパッチファイルが送られて、これをフォルダにコピーすればできる、と連絡があり、その通りするとちゃんとEclipse for NiosからROM化できました。 AlteraFPGAのコンフィグROMってEPCSじゃなくても良いんですか? SpansionのEEPROM、100円台(EPCS64は3,000円)で買えるんだけど、どういう位置づけで考えればいいんですか?
221 :
774ワット発電中さん :2013/02/19(火) 22:58:31.11 ID:uQwlNDHf
補足:↑はシリアルEEPROM、S25FL064Pです。
SPI ROMでもいい どういう挙動になるかはハードのモードピンでかわる 専用と比べるとJTAG直じゃなくて、一回専用のライターを書き込んで 間接書き込みで書き込む方法になる Aの他にXも同じだよ ただ専用の石の方がコンフィグが速いはず
223 :
774ワット発電中さん :2013/02/19(火) 23:47:12.19 ID:uQwlNDHf
>>222 ありがとうございます。
Terasicからもらったパッチファイルは、明日書きますがテキストで数行で、
EPCSのセクタ数などが書いてあるだけでした。
SpansionのEEPROMはEPCSと動作がほぼ同じだから、QSYSの「EPCS Flash Controller」経由で読み書きできるのかな。
恥ずかしいことにEEPROM自体、使ったことないからよく分からん。
>>217 メタステーブル altera とか xilinxでググルと色々出てくるよ
テクノロジとクロックとMTBFで変わるけど、だいたい2,3段かな?
サーバーとかで使うスタセルだと5,6段叩いたりする
通した段数分だけ、遅れが発生しますが、それはどのように考えれば良いのでしょうか? 非同期回路とのI/Fだと、その分スループットが落ちるのでしょうか。
もちのろん
スループットは落ちない。レーテンシーが落ちる。
はてぶにfpga記事があってビックリ セロクシカが金融に移行ってなんぞ??
レイテンシは落ちるではなく増大するですよ
>>228 高位合成を商売にしてたのをやめちゃってた、という文脈
231 :
774ワット発電中さん :2013/02/20(水) 23:03:37.81 ID:o/9R9VwD
先輩方に質問ですが、LVDSの保護回路ってどうすればいいんですか? FPGAから直接LVDSで外部機器と信号のやり取りすることを考えてます。 1対で100〜200Mbpsくらいです。 LVDSレシーバICやドライバICはコスト、大きさの制約から使わず、FPGAから直接やりたいです。 通常外部モジュールと信号をやり取りする部分は、 抵抗、フェライトビーズ、ダイオード、バリスタ、アレスタ等でサージ対策するものだと思うのですが、 LVDSでも同じ様にすればいいのでしょうか。 あんまりすごいの入れると信号が訛りそうだから、2〜3Ωの抵抗かフェライトビーズくらいしか現実的じゃないですか? そもそも、LVDSとするにはそれもしちゃダメなのかな。
>>231 高速回線の保護は悩ましいね。
・データに影響を与えるノイズに対する対策
・DC的な天絡、地絡の対策、
・外部から電圧が印加されたらどうするか、の対策
>1対で100〜200Mbpsくらいです。
200Mbpsだと、1周期5ns、2.5ns+2.5nsなので、過渡期を2.5nsの20%と見て0.5nsで↑↓。
下手な容量が付くだけで、もう×だよね。
LVDSレシーバICやドライバICのデータシートに書いてないだろうか(未確認)
USBやHDMIで使える保護ICを探してみ
235 :
774ワット発電中さん :2013/02/21(木) 00:28:41.84 ID:cuqIlf9/
皆様ありがとうございます。 LVDSに対応した保護専用チップ見当たらないっす。 LVDSドライバ/レシーバICは「±15kVまでのESD保護」とか謳ってて魅力的だけど、コストと大きさが厳しいっす。 昔何かの展示会でLVDS出力の産業機器があって、メーカーの人にどうしてるか聞いてみたけど、 「FPGAから出して何も保護してないけど一度も壊れたことない」って言ってました。 でも何も保護しないのは不安。 やっぱりダイオードの様なクランプ素子でどんだけ信号品質劣化するか実験しようかな。
>>235 TVSでググッてみて。
トランジェントボルテージサプレッサ
それ用の素子が出てくると思う。
FPGAでも2kVくらいはESD保護されてるから 普通に使う分には大丈夫じゃね?
239 :
774ワット発電中さん :2013/02/21(木) 20:08:18.11 ID:vOw8iuVj
2kVじゃ普通に使うぶんにも物足りない気がするが
Xilinxのタイミング制約のかけ方の例が少なすぎる SPIとか 分周したCLKに対するデータ出力のTcoを制約するやり方が分からない。
制約って、クロック周波数とIOくらいしか思いつかない・・・ 他の制約ってみんな何指定してる??
243 :
241 :2013/02/21(木) 22:29:05.69 ID:3hpztkue
マニアックすぐるw 配置・配線は、み〜んなツールまかせっすw
それで間に合うなら別に良いのでは
247 :
774ワット発電中さん :2013/02/22(金) 20:45:31.81 ID:uHY6D/U5
LVDSの保護回路について質問したものです。 色々と保護デバイスがあるのですね。 何を調べてたんでしょう、私。恥ずかしい限りです。 ありがとうございました。
ISE13.2のGUIからISimタイミングシミュレーションを起動させる方法が分からないのですが教えていただけませんか? ファンクションシミュレーションは出来ているのですが、、、 SDFは作れました。 よろしくお願いします。
>>245 >>246 なんかすげー素人くさいなーと思ってしまった。まぁ、趣味で遊ぶなら否定しないけど。
Webだのクラウドだのスマホだのチャラい感じの世界の上辺だけ滑ってきた感が満載。
目的がはっきりしていなくて技術とかガジェットから入るとこんな感じだよねぇ。
>>249 どちらかと言うとガジェット系の人じゃなくて、ハードがソフトでかけることに可能性を感じてるソフト屋さんて感じ。
251 :
248 :2013/02/22(金) 22:15:34.70 ID:Wi17y+m1
>>248 失礼しました。
BehaviorからPost-Routeに切り替えれば良かったんですね。
>>250 なんとなくFPGAを魔法のデバイスみたいな感じにとらえてる印象。
適材適所とか費用対効果とかって言葉ガン無視した感じなんで趣味ならーって書いたんだけど
本音は俺が昔FPGAとは何ぞやと思った時と同じ空気感なんでスゲーモヤモヤしてるだけw
>>253 まさにそれの事を言ってるんだけどね。I/Oがネックになるのは正しいよ。
ただ、それをFPGAだのDSPだの使ってどうすんのさって話。I/Oと言われている部分が
何かってのを本当に理解しているのか、それをそういうハードで処理させる事はどういう
事なのか、そもそも実装するのにどれだけコスト(金じゃねーぞ)がかかるかという話が
分かっていない気がする。なんか技術用語だけ知っているSIerな印象。
まぁ、これがネットワーク用のスゲー高速だかインテリジェントなスイッチだかロードバランサ
作りますとか、すげーディスクコントローラ開発して商品化しますって話を本気で考えているなら
応援するケドナ。俺的にはその前にもっとやる事あるだろと思ってしまうナァ
255 :
774ワット発電中さん :2013/02/23(土) 15:25:41.01 ID:ldqhXQMj
SPIスレーブインターフェース舐めてたわ…。 FPGAにSPIスレーブ入れてMASTERと通信するのよ。 FPGA側は内部100MHz動作なので余裕だと思ってたんよ。 SCK25MHzぐらいはさくっと行くべさ、って思ってたんよ。 SPIって、最終ビットにおいてはSCK立ち上がりで受信データが 確定した後、そのSCK半周期後には返すデータを確定しなきゃ いかんのな。25MHzの半周期って、50MHzじゃん、20nsecじゃん、 100MHz2発ないじゃん。行って来いの配線遅延が10nsecはあるし…。 舐めてたわ…。 というところで色々試行錯誤して今はSCK=12.5MHzで納得したんだが、 ISEが「SCKピンにCLOCK_DEDICATED_ROUTE=FALSEつけないと通さない」 って言うんだが、具体的にこれどういう状況で どうすると良くて そうなると 何がよくなるの? ものはスパータン3です。
>>256 それはクロック専用またはクロック汎用ピン以外にクロック割り振っていて
クロック用の配線リソースじゃなくて汎用の配線にするってことを認める制約を追加しろって意味
根本的にはSCKをクロック用のピンに入れれば良いし、無理ならその制約を追加する
タイミング的には不利になるが制約が満たせるなら問題ない
CPLDでSPI使おうとアルテラからサンプルをダウンロード、コンパイルしてみた 計画中のMAXII EPM240だと、SPI I2Sだけであふれた ワロタ
>>256 昔、財の2018で27MHzのSPIやった事あるけど問題なかった。配置配線は手だけどな。
間違えた、クロックが21.47727MHz
半周期後には返すデーターを確定ってw 根本的に使い方間違ってないか?
262 :
774ワット発電中さん :2013/02/25(月) 14:38:30.46 ID:/Q3vG5ty
>>259 スゴイですね。手配線ですか。
FPGAエディタを使ったのですか?
PLD ならハンドアセンブルするよな
264 :
256 :2013/02/25(月) 15:41:25.15 ID:pSGa4Lxi
>>257 ありがと。
SCKにはGCLKピンを割り当てろってことでいいのかな。
>>261 1,2バイト目でアドレスもらって3バイト目でリードデータを返す仕様
だったんだが、3バイト目お休み、返すのは4バイト目からに変えた。
ちなみにライトは25MHzまで動いた。
>>260 21MHzで全回路が閉じてれば比較的シンプルなのかも。
別回路の100MHz同期回路と受け渡しする必要があるので。
…まてよ、SCKドメインと100MHzドメインの切り分けを変えて
やり取りはぜんぶHLのレベル信号でやれば周波数は
バイト単位(もしくはその半分)の変化に落とせるな…、
考えてみよう。
ライトはどうでも良いと思うけど、リードの方はその通りダミーサイクル 入れないとダメだと思うよ
>>262 xactのEDITで。
自動配線も出来たけど、最終的にシビアに追い込むのは手配線。
シフトレジスタなんか、クロックの配線方向で動かなかったりして面白い。
267 :
260 :2013/02/25(月) 16:43:05.74 ID:psNsI3xt
>>264 SPIなんだから、外部との通信に使うのが普通でしょ。
その時はTIの32015
途中で書いてしまった。
その時はTIのTMS32010に繋げてた。
>>265 も書いてるけど、設計がまずいよ。
クロックがあれば、スレーブからはデーターが出力される事を考慮して
コマンドを設計するべき。俺ならダミーサイクルは勿体無いから使わないな。
FPGAに興味を持ちまして勉強しようと思うのですが、 いかんせんプログラムもやったことのない超初心者なので以下、教えて頂ければと思います ・超初心者でも分かりやすいFPGAの参考書 ・OSはWin(7or8)とLinux(Ubuntu)どちらが良い? (今使ってるPCはMacなのですがOS Xじゃ無理ですよね?WinかLinuxどちらかをBootCampで入れようと思ってます) ・学習用サンプルボード、開発環境 因みにデジタル回路もこれから勉強するところです よろしくお願いします
>>269 一次代理店がやってるベンダーのセミナー
全くわからないところからの入門コースもある
>>264 GCLKでも良いけど、CCピンとかない?
S3はうろ覚えだが
>>270 レスありです
1万円近くするとは結構高いですね
買ってみます
>>269 本題とは関係ないとこだが、
> いかんせんプログラムもやったことのない
プログラムは知らないほうがいい。
ヘタに知っていると、考え方の違いに戸惑い、理解の邪魔になる。
>>273 まてまて、早まるなw
学生で時間が有り余っているとかで無い限り
デジタルのデの字も判らないようなら金払ってでも
誰かに教えてもらった方が良い。
>>271 に同意
何らかの目的のためにFPGAを勉強したいのならなおさらだ
あとそう言う書き方をしていると言うことはアナログ回路、エレクトロニクスの
教養はあるのか?
まぁそれならFPGAを独学でというのはありかもしれないが
>>271 今はそこまで親切なセミナーもあるのか・・・
参考までに何処がやっているセミナーか教えてくれると嬉しい
>>275 俺は下地があったので受けてないが、東京エレクトロンがやってるXのセミナーで
入門ってやつ
Xが教材作っていて教師もトレーニングしてるから最低限の質はある
東京エレクトロン以外でも代理店ならやってるはず
あっ入門編の言語セミナーだと福岡会場でもやってるのかあ 見落としてましたw
279 :
774ワット発電中さん :2013/02/25(月) 23:57:14.74 ID:/XvV/B5s
>>277 FPGAをやりたいなんて奇特なヤツだな。
このスレの人たちが全力でサポートするからがんばれ。
なぜいきなりFPGAってことになったんだろうな。
>>280 あざっすその時はよろしくお願いします
早く皆さんの会話について行けるよう頑張ります
安いやつということで、秋月のmachxo2-1200ze 評価ボード \2600でも 紹介と思ったら販売してなかった。売り切れ?
CPLDはかえって難しくね?
同期設計の基本を知るにはCPLDのほうがいいと思うよ。
286 :
256 :2013/02/26(火) 19:07:00.73 ID:F36T6MVj
SPIスレーブネタ最終報告 ダミーサイクル入れて1バイト分ずれているので、 返すデータのMISOを更新するタイミングを、SPIの規格からは 微妙に外れるけどマスターの取り込みと同時のSCK立ち上がりにして 前に半周期ずらしたら25MHzでもリードできるようになりました。 とりあえず満足。
次は iic に挑戦だな。
SPIモードのシリアルフラッシュだってデータリードまでのダミーサイクル設けてるのにダミー無しで半クロックでデータ返すなんて気違いじみた仕様にすんなぁw
289 :
774ワット発電中さん :2013/02/28(木) 14:23:22.54 ID:W1MCBdqC
>>288 まあまあ。長い文章、たまには点を打とうよ。読みにくい。
>>287 何故かApple//cを想像した俺。FPGAだし・・・1chip Apple][やっている人いるし・・・な・・・。
iicとか書くよりI2Cの方が馴染みあるよなーとも思う。
Al、14nはintelでやるみたいだな Xiはどうするんだろ
追従してもらわんと困るw
GFかSamsungじゃね TSMCは14n遅いから
Intel最強だよ。 単なるプロセス以上にトライゲート、近しきい電圧、RF統合・・・。 他ファウンダリはこれらに迫れなければ終わる。
296 :
774ワット発電中さん :2013/03/02(土) 13:30:24.34 ID:hzzRTL+F
先輩方、質問させてください。お願いします。 QSYS始めてみました。 NIOS-IIのリセットベクタについてなんですが、 通常、JTAGデバッグ時にはプログラムメモリ(内臓RAMや外付けSDRAM等)を指定、 ROM化時にはフラッシュ(EPCS等)を指定するんですよね。 JTAGデバッグとROM化を切り替える際、毎回、 リセットベクタ変更→QSYSをgenerate→FPGAをコンパイル しないといけないのでしょうか。 また何故か、リセットベクタを変更すると、eclipseの「generate bsp」でエラーが出てしまい、bspのアップデートが出来ないため、 JTAGデバッグ用のeclipseプロジェクト、ROM化用のeclipseプロジェクトを二つつくり、NIOSのC言語で書いたコードを毎回コピーして使ってます。 私のやり方、間違ってますか?
>>296 間違ってる
リセットベクタは変えなくていい
マイクロブレーズのトレーニングに行きましたが、 チンプンカンプンでした。なんと面倒な、なんと難しい。 慣れれば出来ますかね? ていうか、みなさん、あれをやってるんですよね?
必要ないなら使わなくてもいいんじゃ
>>298 そんなトレーニングあるんだ?
参考までに詳しく教えてケロ
>>299 金払って全然習得出来てないんじゃ、意味なし。
君は退職していいよ。
代わりに俺を雇ってくれ!
304 :
299 :2013/03/07(木) 16:48:47.96 ID:ptCLElP1
俺かよw
ちまたに、これだけFPGAの本が溢れているのに、MicroBlaze関係の入門書は まず見かけないね。なんでだろう。難しいのか。
>>305 ついこの間まで有料だったから無料簡易版ができやっと使う機会ができた
MCSだっけ 簡単な制御をソフトでやるための奴
>>306 >無料簡易版ができ
Micro BlazeのEDKとかの無料版?
309 :
774ワット発電中さん :2013/03/08(金) 00:21:19.22 ID:mBsHv75l
310 :
774ワット発電中さん :2013/03/08(金) 00:22:23.34 ID:mBsHv75l
誤爆スマン
誘導されて来ました。 教えてください。 ISE14.1でucfファイルの記述についてですが、 NET CLK LOC="P10" | IOSTANDARD = LVCMOS33; とorで繋いで書いても、PlanAheadというありがたいソフトに、 バラバラにされてしまいます。 バラバラだと修正のときに2カ所も修正しなければならず、煩雑です。 1行でorで繋いで書きたいのですが、そのようなことは出来ないでしょうか? 要は、PlanAheadで読むのはいいけど、勝手に変えるなよ、という指示がしたいのです。 また、PlanAheadでucfを記入すると、これまた、複数の行になって生成されます。 これを、以前のPACEのように or で繋いで出力させる方法は無いでしょうか? もちろん、ucfを自分で編集する前提です。 一番最初に生成するときは、PlanAheadで入力して、 その後の追加削除は、自分でucfを直接さわる、みたいな。 その「一番最初にPlanAheadが生成したucf記述」がタコなのでイヤだ、という話です。 ucfの中身も順番を整えたり、コメントを付けたり、自分流に表現したいのに、 PlanAheadが余計なことをしてくれるのです。
UCFが確定した段階でReadOnlyに変えちゃうとか。
PlanAheadには複数のucfが登録できて、そのうち一つに(target)ってのが付くはず。 勝手に書き換えるのはこの(target)だけじゃなかったっけ? top.ucf(target) top_pin.ucf top_timing.ucf みたいな構成にするとどうなる?しばらく触ってないから忘れてる…
>>305 あふれてるほどあるか?
しょーもないHow-to本ばかりだけど
MicroBlazeの解説が無いのはツールが有償だからだろうね
無償でもつかえるMCSはイマイチ使いづらいし
315 :
774ワット発電中さん :2013/03/08(金) 10:56:45.90 ID:Dewpv1Ae
picoBlazeなんて、もっとないよ。
正規版のマイクロブレーズって、そんなに使いやすいですか? あっちのアプリ、こっちのアプリ、と渡り歩かないといけないし。 操作が面倒じゃないですか?
XPSはチョー使いづらいね GUIがクソだし、バグも多い 俺はなるべくMHSを直接変更してる
そこはZMRだな
やはりこういう書き込み見てるとAの方が環境は良いんだなと思ってしまう。LatticeとかMicrosemiはどうなんだろ?
何度も書いて申し訳ないが、Xilinxのツールは全部クソだ VivadoはSpartan-6で使えないから知らない まともなのは生成されるビットストリームだけ そのビットストリームも14.3では日本語環境ではちょっとした不具合があった
> そのビットストリームも14.3では日本語環境ではちょっとした不具合があった kwsk
>何度も書いて申し訳ないが、Xilinxのツールは全部クソだ なんか、皆さんそう言いますよね。 思い切ってAに転職しようかしらん。 年間100個も使わないお前の会社なんか相手にしてねーよ、ってことかな→X 少なくても、Niosの講習会やセミナーはCQ出版でもやってるし、本も充実してる。 要は、良く出来てるってことかなぁ。 なんか、イラついてきた。
Xのツールはコマンドラインで使えばいい GUIで使うもんじゃない
遊びだけど久々に CPLD 使った回路設計してるんで調べてみたら アルテラがずいぶん庶民的になってないか。Linux 用ツールも割としっかりしてるみたいだし。 ザイリンクスは書き込みツールがよくないとか。 しばらくはアルテラ使うか。
現状ARM内蔵FPGAの評価ボードとなると実質Zynqしか無いのでX使うしかない。 SoC FPGAが使いたいけど、まだ簡単に入手できないし、ソフト開発環境が有償だし… けどXのバグの多さは参るね バグというか、実はテストしてないんでしょ?>X 誰でも使うはずの機能に不具合持たせたままリリースって… ツールはAの方が良いけど、少量のデバイス供給はXの方が良いんだよな〜 Aは大口顧客以外の扱いがぞんざいな感じだ。 Microsemiは合成はSynplify、シミュレーションはModelSim、デバイスの種類もそこそこ って割り切ってるのもあって、Xよりずっと素直だと思う。
>バグというか、実はテストしてないんでしょ?>X 「これはISE1x.xで修正されます」って書いてあるけど、 直っていない事ありません? 販売台数を上げることが第一の、どこかの自動車メーカーと同じで、 次から次へとデバイス出し過ぎだと思う > X Spartan3で十分なので、ISEをいつまでも使い続けることになるけど、 ちゃんとサポートしてくれるかな。
>>326 丁度調べてたところだったのでタイムリー。
SmartFusionシリーズの開発環境って最初の一年以降は開発環境有料であってる?
Digikey辺りみると1年ライセンスが10万近いから買うとなると個人にはキツイなぁ・・・。
ボードもう一枚買ったらフリーライセンスもう一年とかならんかなw
「技術者なら英語読めなきゃ」なんて言われる。 確かに英語版のドキュメントが、一番正確な情報だとは思う。それは認めるよ。 だけど、情報量として、日本語版に勝てるハズがないと思う。 何もわからない人間が、最初に情報を知るには、多少のミスがあろうとも、 日本語の取説の方がいいに決まってる。アルテラの充実度を見習って、 MicroBlazeの日本語の純正取説pdfを出して欲しいよ。 キーワードでググると、MicroBlazeについてのページが ちらほら引っかかる。 早速見に行くと「新しく○○がリリースされたので、やってみた」みたいな、 知ってる人が、知ってる人向けに書かれたページがほとんど。
日本語の方が情報量がある?単にお前が理解できないだけだろ。情報量は向こうの製品なんだから英語の方が多いに決まってる。何をどう理由をつけても英語の資料が一番なのは変わらないよ。英語勉強しな。
日本語ドキュメントは取っ掛かりにはいいけれど、訳が怪しいとか、情報が古いんじゃないか とか感じたら原典にあたる。 専門用語だけだからキーワード拾ってくだけでも読めるしな。
>>328 勘違い。
1年たったので更新してそのまま使ってる。
一年以降は有料のソースはどこ?
>>332 >日本語ドキュメントは取っ掛かりにはいいけれど、
ですよね。最初は日本語のほうがいいと思うです。
もちろん、分かってきたら英文のを見ますけど。
>>334 まあ、日本語の資料で読むことは多くないかな。
TI のデータシートは日本語版から読むけれど、他社の石は英語版だけしか読まないな。
>>333 マジですか。ソースとかは無くて一年間って期間の制限付いてるからその後は有償なのかと勝手に思ってました。情報サンクス!
>>330 >知ってる人が、知ってる人向けに書かれたページがほとんど。
ブログはだいたいそんなもんだ。
基本的に「俺はスゴイだろ」と言いたいだけだから、自分の目線でしか書けないものなんよ。
ISEの簡単な使い方 なんて、いくつかあるけど、本当に「簡単なこと」しか書いてない。
この場合は、詳しく書くのが面倒なんだろうね。
チュートリアルで良くね
>>338 だよね。メーカーがちゃんとドキュメント用意しているのにそれを読まないで確度も集積度も低いblogとか読んで迷走とか時間の無駄以外の何物でも無い。ググればなんでも情報が手にはいると思い込んでる現代病な気がするな。
営業技術を呼びつける。
英語分からん。 日本語でおk、っていつも思うw
20nmってことはIntel以外か。 間に合うのかよw
>>339 >だよね。メーカーがちゃんとドキュメント用意しているのに
用意してるのは読むよね、普通。
でも、それが無いのはどうするよ? 英語の例えば600ページを読むのかい?
>>344 こういう関係のドキュメントが英語んて日本のメーカーでも普通だし
1000ページだろうと必要なら読むし
技術系のドキュメントは図が多い、文章はそれほど多くない 1000ページぐらいなら楽勝w
>>345 >1000ページだろうと必要なら読むし
俺だって読むよ。英語版は読まないとは言っていない。
日本語版を出すべきじゃないのか、と言うことさ。
展示会で小豆色のベスト着てボーッとしてる暇があったら、
和訳したらどうよ、って感じ。
Aはキッチリ日本語訳してるのに、Xにできない訳はないだろう。
そんな奴の訳したドキュメントなんて信用出来ねえからいらねえけどなw
Aのドキュメントの日本語訳がきっちりしてるって笑うところか? 機械翻訳に毛が生えたぐらいだろ
350 :
774ワット発電中さん :2013/03/09(土) 13:52:09.22 ID:6Y4ELihh
いやいや、Aは日本語化に向けて努力しているということだよ。 Xは小豆色のチョッキを着るのに忙しいみたい。
Xに比べてAがそんなに褒めるほど良いか? xも日本語用意してるし、どちらも複雑なドキュメントは英語のみじゃないか?
Fatal Error や Segmentation Fault で落ちるときには、 直前にやっていた処理の詳細をできる限りlogに吐いて欲しいな。
>>351 アルティマとか代理店が分かりやすく絵付きで
日本語資料作ってるから 純正資料なくてもいい
電子産業っていまや偏差値が低いゆとりが就く業界になっているからな IFやトラ技なんかも絵でわかりやすくしないと駄目な読者が多いからな 英語のドキュメントなんて無理だろ。絵付きで平易な日本語のドキュメント にしないと理解不能ってレベルの奴が多いんじゃないか
難しいドキュメントに頭を悩ませる時間が非効率だとは考えないんだろうか?
日本語資料に関してはXのほうが力入ってる。
>>356 頭悪いからそうなんだろ
頭悪いの多いから日本の電子産業が凋落したってことじゃないか
あと10年位すると凋落しすぎて外資は日本語のドキュメントなんて用意してくれなく
なるんじゃないか。英語読めで終わり
というか海外は早く日本語が最強の言語だということを知ったほうがいいと思うぞ。
知識不足で読めないのを難しいと表現する事自体がおかしい。そういう奴は日本語でも理解できないだろ。これがゆとりって奴なの?この世界に足突っ込んでおいて日本語最強とか言ってる奴は大人しく土方やってればいいと思う。もしくは自分でチップから何から全部作る事だな。
技術用の英語って、専門用語以外はわりと判りやすい言葉で書いてるからね。 文学作品読むよりずっと簡単だよね。
言語の問題はともかくとして、 もっと効率化する余地がないのかなとは思う
>>337 >基本的に「俺はスゴイだろ」と言いたいだけだから、自分の目線でしか書けないものなんよ。
これあんたの勘違い。
自分目線で便所の壁でしか言えないナルシストのセリフ。
やってみたら躓いた事とか忘備録替わりに書いている人多いと思う。
メーカのドキュメントで判りにくいところを実践的に書いてくれたりする人がいるおかげで何度助かった事か。
xilinxは無料のセミナーとか行くと日本語資料とか貰えるんだよね。
なぜかネットには上がっていないけど、日本語資料がないわけではない。
>>364 Xのページで日本語データシートダウンロード出来ね?
英語に対応する資料がすべてあるわけではないがそれはAも同じだし
セミナー資料のことならネットで公開はしないでしょ
Xをクソだとかけなしているやつって、結局Aも使えてないよ。 けなすことで偉くなった気分になりたいだけ。
両方使ってるけど、資料は同レベルだと思う 個人的にはAのハンドブック形式でひとかたまりになっている奴より、 Xの項目ごとにデータシートになってる方がわかりやすいと思うが、 好みの範囲じゃないかと(Aもセクションごとにダウンロードできるし) ツールもvivadoはA並に使いやすくなった ISEに慣れている顧客には不評とか技術営業から聞いたが、 もう戻りたくはない
なんか、突然Xの擁護が始まったみたいだね。 >xilinxは無料のセミナーとか行くと日本語資料とか貰えるんだよね。 文字ばっかりで図が少ない、プロジェクタ資料ね。
擁護だっら集積度でした方がいいんじゃない Xの方がプロセス一世代先行してるし、ARM搭載も先にサンプル出せた
プロセスでは、Xなの? 27nmだっけ
>>369 先行するから価格がばれてAに安い価格でだされて購買に採用されない
372 :
常識人 :2013/03/10(日) 13:10:43.65 ID:DUatGWLm
正に、XILINXはくそです。この世から消し去りましょう。
マヨ
374 :
774ワット発電中さん :2013/03/10(日) 14:35:26.73 ID:2HJndfsT
AもXもそこそこ対等に競争してくれるのがユーザにとっては一番。 Intel対AMDみたいに一方が強すぎるとユーザに不利益になる。
個人的にはLatticeにもっと頑張って欲しい
377 :
774ワット発電中さん :2013/03/10(日) 15:08:11.84 ID:JLUH+RHZ
q
378 :
テスト :2013/03/10(日) 15:09:49.19 ID:JLUH+RHZ
テスト
379 :
W 7 :2013/03/10(日) 15:11:43.84 ID:JLUH+RHZ
てすと
Sp6 Vi6 がでた頃はXが優勢だったけど すぐにCy5 St5 がでてAが優位にたって 結局今はどっちが勝ってるの?
性能は、Xのほうが上に決まってるけどね。
なんで決まってるの?というかFPGAの性能って何を基準に比べる物なの?
>>382 FPGAの性能はFPGAユーザーの能力でほぼ決まると言っていい
一個当たりの容量(FF数)ならSSIがあるXが有利だな
>>376 latticeはCPLDじゃちょっと足りないなて時に使うイメージ
>>382 動作速度とか
ちょっと前はDSP使うならXという感触Aはなんちゃって感があったDSPの規模とか
どんぐりの背比べ
教えてください。 今、XILINX web pack Project Navigator 14.4 (P.49d) を使っています。 最初xc3s50で、VHDLで回路を組んだのですが、シミュレーションも終わったので xc9572 で再合成しました。 合成自体は、成功して、Programming Fileの生成も成功するのですが、 MAP Reportだけが、失敗します。 この状態の不都合は、回路が、どれだけのゲート数や、マクロセルを 消費したのか確認できなくて困っています。
>>388 質問が「Design Overview の Module Level Utilization が表示されねーぞゴラァ!!」という意図であれば
Implement Design -> Map -> Process Propaties -> "-detail:Generate Detailed MAP Report"に
Checkが入ってないんじゃないかね。
これ、defaultでONになってないのが不思議だよね。
390 :
388 :2013/03/10(日) 20:21:39.94 ID:xOxoXCIZ
>>389 デバイスを、xc9572-pc44に設定して
Design Summary → Summary → Map Reportが Out of Dateになっていて
前回、FPGAで合成していたときのレポートが残っている状態になっています。
391 :
388 :2013/03/10(日) 20:30:50.64 ID:xOxoXCIZ
>>388 です。
結局、VHDLファイルだけを残して、その他のファイルを全部削除し
改めて、xc9500でプロジェクトを作成する事で解決しました。
以前は、プロパティーでデバイスを変更しても、問題なく
合成できたのに、今のバージョンは何か問題があるようですね。
ありがとうございました。
Xのツールはバグの宝庫。
>>392 Aも日本語ディレクトリ環境の下にあるとバグるで
>>393 パスに日本語含むとおかしくなるのは外資系ツール全般でよくあるねw
日本語パス使うとかどんだけPCリテラシー無いんだよ
今時、普通に使うけど?
開発経験浅い人ほど2バイト文字とかスペースの入ったフォルダ使うよね。 まだまだ対応あまくて、メインの所は大丈夫でも ある機能だけ対応してなかったりする不安定な状況なのに。
対応してないソフトだけを2バイト文字とかスペースの入ったいないフォルダーに 入れればいいだけだろ。 そういう区別ができない奴をPCリテラシーがないって言うんだと思うが。
RTLソースツリー自体に日本語ディレクトリ名やスペースが含まれていると、 余計な不具合に遭遇することがあるよって事でしょ。 ↓実際にこんなのを目にした事があるわw RTL/共通/*.vhd RTL/モジュール A/*.v
ソフトによってフォルダ分けるとか、いちいちそんな面倒なこと やってられっか。 そういうこと言い出す奴ほどPC(ry
そんなに面倒かねぇ…
>>398 何と言うか、どこから説明して良いのか悩むくらい分かってない…
説明できないならいちいちでて来るなよ…
Microsoft社がどういうつもりでファイル名、ディレクトリ名にスペースを許したのか 良く分かりませんが、あまり良い方法ではないと思います。 スペースはこれまでコマンドラインのトークン区切りとして用いてきたわけですから、 ファイル名内の意味上の区切りはスペースではなくアンダースコア("_")を 用いるべきだと思います。 スペースを用いて何のメリットがあるのか理解しかねます。 このような良く分からない形式に積極的に対応していく予定はありません。 ディレクトリ、データファイルが存在するディレクトリ、データファイル名には スペースを含まないようにしてください。 この方がデータを他のアプリケーションでロードする際にも、問題が少ないと思います。 こうですか?
「Program Files」
Unix は昔からファイル名やディレクトリ名にスペース使えたし、そもそも
スペースどころか、タブ (0x09) とかベル (0x07) とかも使えたはず。
まあ、
>>405 の Program Files とか、Documents and Settings や
My Documents とかのように、標準的なフォルダーにスペース含む
とかはやりすぎかもな。
407 :
774ワット発電中さん :2013/03/11(月) 00:51:17.41 ID:8+2Mt9DX
俺はそういうトラブルにかからないように常にC:\workディレクトリの下で物を作るようにしてる
>>403 まず、開発ツール側のインストールフォルダに2バイト文字が入っているとする。
ある機能だけが使用できない時にその機能の実行ファイルだけを別フォルダに移すのか?
メインのGUIから呼び出せなくなるしバージョン毎に対応変わってるかもしれないのに。
そんな無駄な検証するくらいなら最初から2バイト文字の含まないフォルダにインストールするだろ普通。
次にプロジェクトフォルダに2バイト文字が入っていてある機能だけが使用できない時、
その機能を使う時だけ別フォルダに移動するの?フォルダ名変更するよね、普通。
参照先を全て変更するとかプロジェクトが肥大化すると相当面倒だよ。
あ、もしかしてフォルダ名変更するだけで済むと思ってるのかな?
全てのソフトが相対フォルダ参照してくれるならどんなに楽か…
>>408 普通に、開発ツールインストールする時と、そのツールが使うプロジェクトファイルを
2バイト文字 (+スペースとか) を含まないところに作ればいいだけだろ?
ひょっとして…
> 対応してないソフト
と言うのを、ツール内部のプログラムだと思ったのか?
俺は単に、例えば Office とかの対応してるソフトと、メリケンツールとかの対応して
ないソフトで分ければいいだけと言ってるだけだよ。
あと、Windows なら Subst コマンド、Linux だとシンボリックリンクで幸せになれる
(こともあるよ)。
>>409 >> 対応してないソフト
>と言うのを、ツール内部のプログラムだと思ったのか?
開発ツールってのは対応してるように見えて
機能ごとにダメなときが多々あるんだよ。
それを一々検証するとか無駄としか思えない。
>>410 > それを一々検証するとか無駄としか思えない。
いや、だから、誰もそんなことしようと思っていないんだけど…
今時、Unicodeに対応していないアプリケーションもどうかと思うがな
“ユーザー”ってディレクトリなのに 実体は、“Users” の下にあるってややこしいぞ。
>>413 それどうやるんだろ?
フォルダー名とディレクトリー名を別に指定できるのかな?
>>414 WindowsXPしか、使ってない人?
「マイドキュメント」の実体くらい知っているでしょ?
FPGAとかに限らず、専門分野での日本語訳版マニュアルがクソなのは派遣法の弊害も一因だと思うが…
>>412 MS以外ではソフトウェア開発環境側でさえ中々対応が進まなかった現実もあるからな…
いや、自分で任意に設定可能なのかって話なんだけど、おまいら幼稚
できるよ
「c:\Users」が「c:\ユーザー」って表示されるように、
「c:\work」が「c:\仕事」って表示されるようにできるのか?って話でしょ。
cdやパス設定では「c:\work」が使えて、エクスプローラーでは「c:\仕事」って
表示されるような使い方ができるのか?ってことだよね。
できるんだ?どうやるの?
>>421
>>420 おいおい、わかって無いのかよ。
元ネタの
>>413 は Windows7/8の話だぜ?
だから
>>415 が「WindowsXPしか、使ってない人?」って聞いているんだろうがw
425 :
417 :2013/03/12(火) 20:33:47.78 ID:tPEXNFqO
>>419 尊敬してくれ。なんの徳にもならんがw
俺が最初に買ったXACTはPC98用で、今でも偶につかう。
PC98は目の前にあるけど10年くらい電源入れてない。 対応モニタもまだ置いてるんだけどね。 さすがに電池無くなって時間狂ってるはず。
「表示」っていうディレクトリを作ると、シフトJISで「表」のコードの 2バイト目に\と同じ0x5Cがあって、バグるプログラムがあったのを思い 出した。
>>426 2代目ぐらいのPC-98はROMの内容が消えて使えなくなったw
429 :
417 :2013/03/13(水) 07:28:34.93 ID:vuQiEKsx
>>426 殆どはエミュレータ上で済むけど、問題はプリンタポート用のドングルが必要な事。
BITストリームを生成する所だけはドングルが無いと生成されたデータが壊れるので、実機が必要になるのが残念。
430 :
417 :2013/03/13(水) 08:10:15.97 ID:vuQiEKsx
>>428 電源電圧を下げて読み出すと読める事が多い。
ワンチップPC98にチャレンジ!
>>428 ROM ってUV-EP-ROM?うちに25〜26年前に書き込まれたやつがゴロゴロ
あるけど、一つも消えてないよ。これってどのくらい記憶保持できるんだろう。
大抵は10年かそこらだろうけど、自分のまわりで実際に消えたことはないな。 デバッグやりすぎで死んだデバイスも見たことない。
今までUV-EP-ROM の記憶保持年数をググりまくったんだけど、これといった 有力な情報は無かったんだよ。 古いデバイスほど集積度が低いので、ゲート電荷が抜けにくいんじゃないかと 勝手に想像している。 最近のコンフィグ用のフラッシュは20年くらいは補償しているね。
436 :
417 :2013/03/13(水) 13:24:27.56 ID:kKLtjoMg
>>435 保証されてるのは確か10年とかだったと思う。
ちゃんとした手順で書き込んで、保存が良ければ相当持つだろうけど
所詮は確率の問題。
う〜ん、やはりその程度の物なのか。バックアップ取っておいてよかった。 そういえば、やはり26年前の5インチフロッピーもまだ正常に読めるな。 重要なデータは50年を補償しているソニーのMOに保存しているよ。
あ、
>>417 さん、電源電圧を下げると読めるってのは経験談ですか。
それとも何か理由があるんですか。
Wiki はすでに見ています(^_^)。データシートも色々DATA RETENTION で ググってみたけど規定なし(T_T) まぁ過去デバイスだしそんなものかと。 RTC の2000年問題なんて1992年製のパソコンでも対応されていなかったし。 大らかな時代だったなぁ。
EP-ROMの回路構成とかもう忘れたが、VDDが高いほど読み出し条件は厳しいはず 大容量のROMで登場した高速書き込みアルゴリズムでは、 (注入する電子の量を増やすって目的もあるだろうが)電源電圧を6Vや6.5Vに上げてたし
442 :
417 :2013/03/13(水) 16:25:11.74 ID:c1kJAqrH
>>437 ちなみにフロッピーも保証は10年位。時間と共に磁化が弱くなる上、表面だって風化する。
>>438 注入した電荷が少なくなった分、電源電圧を下げてコンパレーターのスレッショルドを下げれば読み出せる。
当時、ROMライタは自作が当たり前の物だったので、色々実験出来た。
ありがとうございます。すごく納得できました。
EP-ROM には定電圧源はないんですね。
>>441 さんの意見とも整合が取れます。
もうFPGA飽きたお・・・
教えてください。 展示会などでFPGAの通信を実演してるのを見ると、 同軸ケーブルに、すごく高級そうな同軸を使っています。(固そう) 普通の3D-2Vとかの同軸ではダメなのでしょうか? 特性インピーダンスは、どちらも同じ50Ωなのですが。
展示会とかではレートGbpsとか高速を売りにするから、 ケーブル損失等による劣化を嫌って良いケーブル使うんじゃないかな。 f特が大きいとアイが綺麗に開かないから。 客にはそれなりのもので見せないとね。HUBER+SUHNERとか使って。 ケーブルイコライザICとかのデモではうんこケーブルを使っている方が受けは良いんじゃない?
>>446 ,447
ありがとうございます。
確かに、そんなところで躓くのはイヤですね。展示会では格好悪いし。
でも、そうすると実使用するときは、
どんなケーブルを使えば良いのか、ユーザーは選定に困りますよね。
3D2Vとか1.5D, はたまた0.8D-2Vのほうが、実際に近いと思うので、
展示の意味もあるのかな、と思うんです。
「ウチのGTXは、○○国製の "なんちゃって"同軸でも、ホラ3.125Gbps行けますよ"」
とか言ってくれれば、やってみようかなという気になるです。
実際に作って通信してうまくいかなかったら 客先に、
「お客様の仕様に3D-2Vとのことで使ったんですが、動きませんね。
でも展示会で動くのを見てるので、FPGAも、HDLも、僕も悪くないんですよ」
とでも言うしかないですかね。
>>448 客の要求仕様で動かす手段がないなら仕事を受けないのが良いのでは?
ケーブルの周波数特性見て判断すれば良くね 明らかに無理なケーブル使えって案件だったら、受けないか、客に聞け 3D2VってG通せるような特性だっけ?
451 :
417 :2013/03/14(木) 00:44:00.71 ID:iZIZdNEL
そもそも、波形位はチェックする頭と機材を揃えてから仕事を請けるべき。
みんなFPGAで何作ってるの?面白そうとは思うけどじゃあ評価ボード買って何をやるかと考えてみたら何も思いつかなかった。マイコンボードやPC, DSP, GPUで出来る事を除外すると何が残るんだろうか?
>>448 > 「ウチのGTXは、○○国製の "なんちゃって"同軸でも、ホラ3.125Gbps行けますよ"」
GTXの中身はCMLだと理解してるけど、CMLって距離延ばせられたっけ?
3.125Gbpsなら、3G-SDIの物理層を使えば100m位は平気で伝送出来る。
XILINXの最近のは クロックイベントだけではBUFG挿入してくれないのか
>>433 あまり大声でいえないけど、信頼性評価で弾かれたゴミUV-EPROMなら2年で消えた。
良いこのみんなは真似するなよ。
それと、窓がただの紙シールの貼られてたものも、8年くらいで消えた。
458 :
417 :2013/03/15(金) 06:55:44.04 ID:BFG0/LQh
459 :
433 :2013/03/15(金) 07:00:01.55 ID:B/G2EaJu
>>457 気になるなぁ。信頼性評価って、どういう手順なんですか。
昔焼いたUV-EP-ROM は、窓にテプラ貼っただけだったし、
今生き残っているのもただの紙シール。
よほど動作環境が悪いか、メーカーの品質が悪いかじゃないですか。
日立とかテキサスの〜512k ビットの100〜200ns. 品での話。
>>459 おい、線引いてバイアスかけるだけが設計ではないといっておく。
いや、確かにデバッグはもとより、温度、湿度、電圧、EMI、振動 なんかの試験も仕事のうちだけど、納入仕様書を見ても、これらが 記憶保持年数にどう影響を与えるかなんて見たこと無いんだよ。
んー詳しくは書けないんだけど、消去書き込みを激しく繰り返すと間違いなく どこかの素子が1つくらい、アーパーになってしまう。 試験結果はどの部品屋も詳細データは持っているけど、エンドユーザーに公開 される情報なんて、ごく一握り。 めちゃくちゃ信頼関係のある販売店があれば、頼むと出してくれる事もあるが・・・ はっきりいって、見てもあんまり意味が無い。 (加工前の測定データそのまんまグラフとか出てきたりするのだが、俺の鈍感な頭では 到底理解できない)
なぁんだ。デバッグに使ったデバイスのことね。 昔は修理で返ってきた製品のROM を最新Ver に書き換えて出荷 した事もあったけど、せいぜい数回。デバッグに使ったROM なんて 製品には使わないしね。
464 :
774ワット発電中さん :2013/03/15(金) 23:56:04.39 ID:oILZSYcF
ってか、UV-EPROMを製品に乗せて何度も読み書きなんてありえないでしょ
読み読みはするよ
>164 業務用の動画エンコーダ/デコーダは割とFPGAが強い領域です。 数が出ないとASICは元がとれないし、PCによる腕力ソリューションは消費電力で不利、 そして計算能力だけではなく、比較的マイナーなI/F(SDIとか)を変換する機能が必要、と。 あとは金融とか通信系(無線基地局)とか...
業務用光ルータとかも使ってるな FPGAベンダーのソリューション例でも良く取り上げられる
大学の研究室で実験機器の開発にFPGAを使うことになり 勉強を始めるところです 学びやすさで選ぶ場合、どのメーカーのモノを選ぶのが良いでしょうか?
>>468 ALTERAかXILINXのどちらかで良いんじゃない
探せばだいたいの情報が出てくる
>>469 ありがとうございます
その二つなら習得にかかる時間は同じようなものでしょうか?
同じだよ。 今も価格や性能、使い易さで熾烈な競争を繰り広げてる。 基本は書籍を読んで勉強したらいいよ。
FPGAの勉強ってそもそも何だよ? ツールの使い方?
473 :
774ワット発電中さん :2013/03/17(日) 12:59:28.53 ID:o1+wcNkY
残念なことだけど、 今では、半分近く「ツールの使い方」になってる。
どの書き方で回路が減るかは実験するしかないからな... 昔より合成ツールが賢いから、 wire w_result; localparam lp_(略) assign w_result[x:0] = (i_sel[3:0] == 'h0) ? lp_0 : (i_sel[3:0] == 'h1) ? lp_1 : (i_sel[3:0] == 'h2) ? lp_2 : (i_sel[3:0] == 'h3) ? lp_3 : (i_sel[3:0] == 'h4) ? lp_4 (略) : (i_sel[3:0] == 'he) ? lp_e : lp_f; なんて書き方をしても周波数が著しく下がったりはしないし(昔は記述どおりにすごい深いツリーを生成していた)、 極端な最適化が必要な用途を除けば適当に書けちゃうから...
LUTの入力数を気にしながら記述する事もあったりする。 4入力LUTと6入力LUTでパイプラインの段数変えたり。
いんや・・・。 世の中のプロセッサの仕組みや進化を追ってたら、 どういう回路が良いかは自ずと分かる。 FPGAならではのリソース特性なんかはメーカーの資料で都度習得。 それだけだ。
目次が出てからかなー。 トラギ並のクオリティだったら買っていいと思う。
480 :
774ワット発電中さん :2013/03/17(日) 21:13:16.97 ID:q5x+d5M5
>>477 2400円ってずいぶん高いな。何年か後にはなくなるな
季刊とか隔月ならまあそのぐらいの値段でもいいかも。
出版頻度は値段と関係ない。 内容が無いのに高けりゃ売れないだけ。
FPGAマガジン創刊号にはどのFPGAが付録に付くんだ? オマケなしってことないよな
付録 創刊号 基板 2号 FPGA 3号 電源IC
週刊FPGAマガジン
全部組み立てたら、自走充電式の歌って踊れるナニカになry
スポンサーになってくれる所がないと付録とか無理だよなぁ。 まずは雑誌の知名度上げないと。
>>487 これってFPGAで何作る記事なのかな。
SDRとか期待するんだが。
LEDとか点滅させたら面白いんじゃね?
SDRって何?
創刊号は290円とかじゃないのか!
>>492 Software Defined Radio だと思ふ。
マジレスするとちょっと外付け部品が多くて雑誌付録には難しいんじゃないかと。
>>494 基板だけでマルツなり共立などで別部品売る形にするんでは
そうかな?AMラジオならRFアンプと簡単なADが付いてれば できそうだが。(アンテナは自前で用意するとして)
Software Defined RadioはソフトベースだからFPGAなんて使わないで AM程度ならトラアンプ、A/D、USB、そしてPCでOKだろ。あとソフトは自分で作れでいいだろ。 FPGA radioのFMステレオラジオはすでにオマケFPGAを使った記事で取り上げて基板・キー部品(AD)配布をやっていた。
今時、1000円のUSB地デジチューナー買ってくれば済む。
>>499 FPGAでやる無意味さに気付く事が出来るかも
FMだと性能出そうとすると、結構敷居が高いだろ。 それこそDDTの記事のFMチューナーみたいに。 何か聞こえる程度では作ろうという意欲が湧かない。
>>501 単にインバーターを直列にした遅延信号と元信号のXOR取るだけじゃん。
>>504 >>501 はトータルでのFMステレオ再生の性能のこと言っているのに
>>503 は
>>501 にFM復調のみについてレスなんだよ
ある意味会話が成り立ってない
あとFPGAでFM復調なら遅延検波じゃなくcordicだとろうと思うが
>>505 >>501 はトータルでのFMステレオ再生の性能のこと言っているのに
後だしすんなよ。何処にステレオなんて書いてある?
何れにせよFPGAなんて出る幕無いけどな。
>>507 >>497 の
>FMステレオラジオ
や
>>501 の
>DDTの記事のFMチューナー(ステレオチューナーの記事)
からFMステレオことだろうってなるだろ
>何れにせよFPGAなんて出る幕無いけどな
趣味なら別だがAM、FM放送受信にFPGAはないだろうな
元がFPGAマガジンの付録の話なんだから手段が目的でいいんだよ。
AccuphaseのFMチューナーってハイエンドの利益率が高いとはいえ、 いまどきFMチューナーをデジタルで作って開発費ペイするのか少し気になる 基板写真見てると他と共用のDSP基板を集積度が低いマザーボードに乗せてるような感じなので デジタル部分はほかと共用してるのか?
xilinksのCPLDに書き込みたいのですが ダウンロードケーブル9000円は高いです 1000円くらいのないですか?
ありがとございます!
Digilentのそれは、最近のXilinxの評価ボードなんかにも載ってて、なんか準標準的な感じがする。
516 :
774ワット発電中さん :2013/04/07(日) 11:50:40.56 ID:PD1kjaDW
インパクトの選択肢にも出てくるしね。
目的も無く勉強用にとDE0-Nano買ってQuartus II立ち上げてみたけどとりあえず 何をしたらいいか皆目見当もつかずワラタ とりあえず何か本買ってくるか・・・
>>518 ニートじゃないけど怖いところにつながるんじゃないかとガクブルしながら踏んでみたけどオマイさんの優しさに一晩中泣いた。サンクス
FPGAマガジンってデザインウェーブマガジン→ディジタルデザインテクノロジの次の 3形態目だよね?トレンドに合わせて誌名変えてるだけだよなぁ、多分。 デザインウェーブマガジン時代は隔月から月刊に。ディジタルデザインテクノロジで 季刊に・・・今回はどの位のインターバルで出るんだろ。なんにせよ、しぶとくガンバレ
想定してる読者層がぶれ過ぎ
専門誌はどうしても高くなっちゃいますね
>>523 FPGAってもっとニーズありそうな気がするんだけど
なんでこんなに流行らないのか不思議。
>>528 例えばどんなニーズ?とか考えてみるとわかる。
あとは難易度の問題もある気がする。1から全部作れる人は少ないのでは。
使ってる人はこんな入門書読まないだろうしなあ
AV関連
早い、旨い、安いがない。
>>528 流行らない? アマの世界で?
ASICの代替えとして、ガンガン使われているよ。
ルネサス・東芝・富士通のSoCが振るわないのはこの為。
「代替え」とか書いてる時点でもうね
535 :
774ワット発電中さん :2013/04/12(金) 10:15:10.30 ID:ypMFMzrZ
だいがえ の変換で 代替え って出てくるよ。 代替 は だいたい だけどね。
ここからは第二回こんな所にもFPGAが使われていた選手権です。SoCの売り上げに影響する位ガンガン使われてるんだしいっぱい事例出てくるよね?
ジャンクとして入手可能なPCIカードやパソコン周辺機器で どのようなものにどの品種が載ってて、再利用可能性について 情報があればありがたいかも。
>>537 FPGAとかならはほぼ再利用可能
BGAをどう再利用するかはおておく
コンフィグROMとかは再利用駄目
オンボードのマイコン等からのコンフィグで載ってない場合も多い
ジャンクのカードだと最新の開発環境で見捨てられている古い奴が多い。
今はまだSpartan-XLとか4000とかispMachの古い奴とか。
>>537 ブロジェクターやコピー複合機のジャンク。
業務用のデカい奴ね
JTAG引き出されてるの?
>>537 PT3
XILINXスパルタン
地デジキャプで使われている
>>542 昔のラジオ少年は真空管ラジオの自作だったが、
時代の進歩で最近のTVおじさんは趣味FPGAで地デジのOFDM復調器やデコーダーを作り、TSをPCに取り込んで
自作TVしている奴いそうだよな。高速ADつけたのにFPGAのFMラジオじゃやる気おこらんだろうし
>>535 変換辞書に載ってるというのは、「それが正しい」からじゃなくて
「そう間違えるバカが多い」という事を示しているだけなので
>だいがえ の変換で 代替え って出てくるよ。
というのは、この文脈では意味不明
新明解国語辞典に載ってるけどな
また国語のセンセーか
どうしても許せないのは、確信犯 の使い方。 信じて疑わない、それが正しいと思って行うが、それが一般的な犯罪に相当するのが、 確信犯 なんだけど。
代替えって言い方は一般的じゃね? 自分はだいたいのほう使ってるけど。
「だいがえ」なら、「代替え」でOKなんじゃない? だいたいえ とは読めない。 逆に、代替を「だいがえ」とは 送りがながないので読めない。
MITOUJTAGってどうですか?
代替やなぁ これだけ手帳のヒト消えたね
552 :
774ワット発電中さん :2013/04/13(土) 12:25:56.14 ID:bjYoGL4f
だいがえも有りだと思うけど
時代と共に言葉の使われ方は変わるもの
言葉の使われ方は時代と共に変わるもの
>>538 >BGAをどう再利用するかはおておく
これがかなり高い障害になっている気が・・・
BGAのパッドが何処に出ているかを調べるのは
かなり骨が折れる
自分の手元にSpartan-6が載ったジャンク基板が
あるが上記の問題で棚上げ中
>>552 うちの会社ではだいたい、だいがえの両方が使われているな。
だいたいっておよそや一般的って意味合いもあるから、代替を会話でよく使うところでは
明確性を高めるのにだいがえを使うのかもしれないが
だいぶ前に若い奴からぼっち、キョロ充、ソロ充なんて言葉を教えてもらい、
30過ぎのおっさんがわけーのは言葉をクリエートするのがうまいなって思もた。
若い奴の間違った言葉の中には今までなかった概念・感じが埋め込まれているんじゃって
思う時があるんだよな。でも、おっさんはそれらを理解できないから正しくないと
上から目線で罵倒するんだろうな。
そもそもJTAGが何処に出ているのかと言う問題が
4つしかないんだからBGAのビアから引き出せばよい。
貫通基板ならビア露出してるけどビルドアップ基板だと露出してないんじゃね
ビルドアップ基板をまだ扱ったことないんだけど結構見かけるもん?
>>561 結構見かける気がするが、非貫通=ビルドアップ基板だと認識してたが、
もしかして違うのか?
BGAのビアが裏面に出てない奴をイメージしてた
>非貫通=ビルドアップ基板 この意味で言ってるよ。 仕事して10年経つけど案件としては一度もない。 回路・基板設計ではなく、FPGA論理だけとかファームウェアだけとかで 基板が持ち込まれるけど見たことなくて。
開発ボードとかでない? Xの純正ボードとか
あれビルドアップなのか、じゃあ気づいてないだけだw X純正は基板の反りが気になってしかたない。
537です。 FPGAの搭載基板の事例を出してくれている人がいるようなのでわたしも。 メーカーだと、カノープスが出してた映像系のボードに割と使えるものが 載ってました。acex1kとか(90sp2まで使える)、xc95144xlが載ってる あと、メーカ不明謎ボード系だとflex6000が一時期よく出てました。 virtexがのったボードも入手できましたがBGAなので豪華ですが使えませんでした。 ほかに事例がありましたらよろしくお願いします。
BGAは強敵
使えもしないボード漁るよりローコスト評価ボード買えば良くね?
570 :
774ワット発電中さん :2013/04/16(火) 13:29:19.65 ID:+55Zi2rl
おしえてください。 XilinxのISEは、Win7 Home premium で、動きますか?
Win版が動かない場合は、VMWareでLinuxの仮想環境作ってLinux版使えばOK
最初に教えて下さいと書くヤツは例外なく頭悪い
自己紹介お疲れさん
574 :
774ワット発電中さん :2013/04/16(火) 21:14:51.87 ID:Pb7OV8g/
XC9572XL のPLCC インダストリアル品売っているところ無いですか? バイクのレギュレーターにコマーシャル品を使っているんだけど、最近 動作がおかしくなってきたみたい。制御電圧異常でエンストしちゃう(T_T)
575 :
574 :2013/04/16(火) 21:26:15.76 ID:Pb7OV8g/
あ、回路図見てみたらTA=70℃で電圧制御を停止する回路があった。 これが原因かもしれないけど、いままではなんともなかったからなぁ。
>>574 ザイリンクスから辿って通販業者を見つければ?
バイクにPLDなんか使ってんの? 自作かな?DC-DCつかったら?
該当の書込みまでしてくれる業者なんてあるのかね?
>>577 自作なら
>TA=70℃で電圧制御を停止する回路があった。
なんて間抜けな事は無いだろ
580 :
774ワット発電中さん :2013/04/16(火) 21:58:40.85 ID:Pb7OV8g/
>>580 TAってなんだよ。説明がなってないのが、まず間抜け。
その上、たかがレギュレーターもまともに作れないのに、信頼性の低い基板をバイクに積んじゃうのも間抜け。
4 年以上使っているから凄いって感じなのかな 故障が大事故につながる可能性のある車系の電装に 4年程度で駄目になるような信頼性の物使うっておそロシアだよな
>>581 たぶん周辺温度じゃないの
車載用に紙フェノール使う奴始めて見たわ
一発で壊れるほどじゃないほどの過電圧が発生していて、 そのストレスが蓄積して破壊に至ったんじゃないの 何でインダストリアル品使えば解決すると考えてんの?
TA=70℃で電圧制御を停止する回路があった。 は良いけど。これが働いたらバイクがどうなり、そして、それにどう システム的に対処するかなんて考えてないだろな。 普通ならシステムストップで良いんだろうが、でも車等の場合はエライ事 になりそうだな。エンストして後ろの車に轢かれたじゃな
もう画像が消されてるw なんかユニバーサル基板で作ったような回路だった記憶があるが、 バイクってあんなので良いの? レギュレータだから吹っ飛んでも走れるんだろうけど
つか、レギュレータにPLD使う必要あるのか? 整流と電圧安定化の機能だからPLD要らなくね?
589 :
774ワット発電中さん :2013/04/17(水) 04:07:55.60 ID:4r2VYl7U
>>586 >Taで判らないお前って一体…w
周囲温度は、Ta TAではない。
Ta, Tc, Tj
インダストリアル品ってコマーシャル品と物は同じで試験基準が違うだけでしょ?
>>589 アホか?
正しくは「A」は英大文字の下付文字だ。
英小文字ではないw
何れにせよ「インダストリアル品」で無いことが問題な訳じゃ無い。 そんな当たり前の事が理解出来ないのに、ゴミ基板をバイクに乗せて使ってる事が大問題。
本当にレギュレータなの? 適当にググったけどただの整流回路と数石の安定化回路でPLDが出てくるような回路は見当たらないんだが インジェクタの制御とかならわかるんだが
>>589 データシート・メーカーによって、
TA, Ta, T(下付A)と、まちまちなんだけどねぇ・・・
間抜け連呼してたID:/TLSdtjwが間抜けだったってことで。
TA上がったら不安定になるバイクを、公道で走らせてるのかw
>>574 は消えたのか?
聞くだけ聞いてドロンってどうなんだ
ドロンって・・・ 20年ぶりぐらいに聞いたw
599 :
574 :2013/04/18(木) 06:10:40.84 ID:7o5251fJ
>>586 さんありがとうございます。やっぱり海外からの取り寄せくらいしか
無さそうですね。高くなりそう。
ちなみにただのレギュレーターではなく、回転数検出、サーボ制御など、
いろいろやっています。87%の使用率。
変なのに絡まれたので、これ以上何を言っても無駄だし、馬鹿ガキに
入れ知恵するのも好きではないので以後スルーさせてもらいます。
あしからず。
>>597 消えてないよ。
>>595 とか
>>586 とか、そうとしか思えんw
設計や製作技術がショボイのに、部品のせいにしてるからレベルの低さが分かる。
後ろ走ってる車が気の毒だなw
片面紙エポのユニバーサル基板を車載とか そりゃ「大丈夫?」と言われて当然だよなぁ 自力でトラブルシュートも出来ていないみたいだし なおさら不安だ。機能試験されているのかも疑わしいし 2輪はISO 26262が適用されないとはいえ人様の 迷惑にならないように配慮する義務くらいあるよな 身のある回答をして欲しかったらその辺を説明する 義務があるかと
>>599 >ちなみにただのレギュレーターではなく、回転数検出、サーボ制御など、
>いろいろやっています。87%の使用率。
それって普通ECUって言わね
それを最初に書くべきだったんじゃないのか?
>入れ知恵
自分は教えて君やっておいて、人には情報出さないってずいぶん自己中心的だな
入れ知恵っていうほど有意義な情報が書けるとも思えないけどw まあ、消えるんだったらいいんじゃないか
バイクや車のオタクは変なの多いからなぁ
>>605 そのページの一番下の回路図って、違ってないかな。
出力をPNPでGNDに落としてる。
>>606 帰還抵抗を切り替えて電圧を変化させてるみたいなんだが、
ここに入れても意味がない気がする
エミッタフォロワとして動きそうだが…
その回路図だとレギュレータに過負荷を掛けて暗くしておいて、ブレーキ を踏んだら過負荷を解除して明るくするのかと思ってた。
609 :
774ワット発電中さん :2013/04/18(木) 19:34:26.78 ID:WF8lqz4K
そうそう、それでもって V-contみたいな端子を遊ばせてる
>>608 その通り、非ブレーキ時(通常で)BRK用REGがフルパワー、PNPがONてどうなんだって気がするが
BRK時はLEDのKのほうにNPNつけて制御や
>>609 の言うREGのV-contで制御のほうが良い気がする
基板写真見てないから分からなかったんだが、レギュレータって、普通にそっちのことだったのか。 流体レギュレータとか燃調マップとか、そういうECUっぽいのシロモノかと思ったよママン・・・
この板で「レギュレータ」と言えば電圧変換回路のことを示すだろjk
>>608 >>610 そういう回路なのか!
全く思いつかなかったというか、何でそんな実装にしてんだ
常に過負荷というか保護回路頼みの回路ってことだよね
世の中にはシャントレギュレータという物もあるが・・・ こういうときに使う物じゃないよな
>>613 回路図がそうなってるんだけど、説明では電圧を変えてるような事になって
るから、単に回路図が間違ってるのかも知れない。
お前ら、プログラマブル論理素子の話をしろよ。
ネタくれ
brk時はpos、brkの両方のLEDが点灯し、 pos時はposのLEDのみが点灯って制御したいだけじゃないのか それを実現するとあーなるのか PNP止めてbrkレギュとposレギュの入力間にダイオード入れるだけでいいんじゃないのか
いつまでスレチの話題引っ張るつもりなの?(´・ω・`) レベルが低過ぎてもうね(´・ω・`)
最底辺の坊やに言われちゃったな
>>619 ARMスレでずっとスレチの妄言を書き続けていた奴にいわれても…
ワラタ
>>621 自分がする分には良いいが、他人がすると文句言う奴いるよね
(´・ω・`)を文に入れるところからして最底辺の坊やって感じだな
625 :
774ワット発電中さん :2013/04/19(金) 21:34:18.93 ID:PazubGRV
今日本屋で FPGAボードで学ぶ組込システム開発入門 XILINX編 3800円 が売ってた めずらしくXILINXの解説本や
NEXYS3 ポチッた。 明日届く予定。 プロセッサコアとパイプラインの構築してみて 処理についての考察してみるわ。 ただ、自作アーキだと、コンパイラをどうするかが課題。 FPGAでZ80とか6809とか実装してる人って、どうやって ソフトウエアの構築やってる? なにかOSは流用してくる形で補ってるのかな? だれかなにか知らない? 補足 一応、micro blezeとかのベンダー純正マクロを使えば 開発キットがくっついてくるのは知ってる。 ベンダー純正マクロをベースに回路組んだほうが無難なのかね?
MicroBlazeね
>>626 やったことがないなら純正から始めた方がいいんじゃないか
古いアーキテクチャの場合は、当時のソフトを集めてくるか、gccで構築か?
>>626 >やったことがないなら純正から始めた方がいいんじゃないか
なんかそんな感じだね。
ちなみに当方、某LSIベンダーに勤務。
入社後3年間は液晶パネル用ソースドライバの設計。
それ以降はASIC用テクノロジの開発関連やってます。
けど、なんか、組み込み系の知識もないと、まずい状況になってきていて、
今回プロセッサ+αのαってなんだろうね?ってのを考察してみようと思っています。
本音言うと、0.35umクラスのやっすいテクノロジでいいから
久しぶりにフルカスタムでプロセッサ作りたい・・・。 orz
まぁ、まずムリだろうな。 と思ったので、今回NEXYS3を買ったというわけです。
今後ともよろしくお願いいたします。
初めまして。流れを切ってしまってすみません。 興味本位でC言語ベース設計での高位合成を行ってみたいんですが、 評価版とかオープンソースでまともに動きそうなツールはありますか? sisterというオープンソースのものは見つけたんですが、あまり情報が ありませんでした。
>>631 なんでHDLスレじゃなくFPGAスレ?
FPGA・CPLDに絡めて質問してね
>>632 ,631
C言語ベースの高位合成だけだったらHDLスレだろうけど
その先のFPGAへのインプリも含めてってことなのかな?
そういうのって、オープンソースであるものなの?
なんか自分的には、まだまだHDL系が幅利かせてるように思うけどね。
XならVIVADO HLSってのがあるけど、無料版では使えないみたい 30日の評価ライセンスで試すとか
>>632 633さんの仰る通りの用途ですが、確かにHDLスレのほうが適切かもしれないですね。
次は気を付けます。
>>633 自分もほとんど情報が見つけられなかったので、もし知っている方がいればと思いまして・・
>>634 ありがとうございます!調べてみます。
>>634 vivadoの評価版でsystemC入力の高位合成できそうです。
ありがとうございました。
国産のFPGAが無いのは何故ですか?
日本じゃLSI設計を一般企業の人間が出来るワケ無いと笑い飛ばした結果だ。
IPフレックス(小声
641 :
774ワット発電中さん :2013/04/22(月) 10:27:43.43 ID:+iJSFUBQ
PLDもFPGAも、作ったけど結局メーカが売り方を知らなかったんで 敢え無く製造中止になってる。
>>641 アナログ回路もFPGAみたいにできたらいいのに
PSOC
日本オワットル
ドカタ(ゆとり)、しがみつき産業の国が繁栄するって信じているのはゆとりだけ でも、いまの日本の電子産業はゆとりだらけらしいからな ゆとりは、しがみつきは今でも昭和時代している
646 :
774ワット発電中さん :2013/04/22(月) 23:42:41.69 ID:CTthVLUV
Vivadoって、なんと発音するのでしょうか? ビバドゥ ? ビバド ?
ビバドだよー。
>>633 昔、Handel-Cの研究バージョンというのがあったんだけどね。
>>637 あったんだけど、やっぱり半導体製品としては異質だからね。
米国にしても、Intelだのモトローラ(フリースケール)だの・・といった
大御所の製品ではないでしょ?
まあ、FPGAの合成ツールのエンジン部分は実は日本製だって話もあるけども。
FPGAを介してハードを制御するシステムがあるんだが、 一応CPUは国産の高性能な奴を付けてるけど、周辺機能は一切使わず。 FPGA上に作った制御レジスタをメモリーマップドI/Oの形で叩く感じ。 いっそFPGA上にARMコア構築しちゃった方が安くね? と思ったのは俺だけだろうか…。
>>651 つZYNQ
FPGA部分が単体よりも小さいけど
しかも安いのでも1万円越え。 その値段なら別個に買っても安いし上、クロックは1.5倍。 想像どおりの結果でワロタw
製品は見た目が大切 信頼の国産品
見た目で信頼性が決まれば苦労は無いw でも素晴らしいデザインは、出来上がりも美しいけどね。
FPGAマガジン買った人いる?
あっ発売日は明日だったね失礼w
659 :
774ワット発電中さん :2013/04/25(木) 12:00:29.76 ID:M9Zd0Me2
一応、Amazonで予約した。
買った。 表紙をめくると・・・ESP企画 orz DDTよりも薄い、広告も・・・。 季刊誌とはいえ来年の刊行はあるのだろうか?
「薄い本」って、あっち系の本を表現する代名詞じゃね?
>>660 ESPって付録基板でやらかしたところか
>>661 ALTERAxXILINXか
XILINXxALTERA
・・・それはどういうプレイになるのだ? 定番はやっぱり擬人化なのか?
>>660 俺も表紙開いて萎えた
内容はそこそこだと思う
amazonでFPGAマガジン売り切れ。 結構早かったなぁ。
>>664 ↓これを思い出したw
俺は初めて彼女とMMXした。
「もうそろそろiiyama? 君のI/ODATAを確かめたいんだ。XT」
MITSUMIあうと、彼女のMECはALBATRONとしていた。
緊張した俺は焦り彼女のソケット478をAOpenしようとした。
「ちょ、ちょっとRADEON!何をSis」もう俺は既にエレコム。
Sound Blasterのホックを外すとはちきれそうなPioneerがBarracuda
でもBIOSTARは意外と玄人志向だった。
「恥ずかしいわ。あまりミネベア・・・」
SCSIをTORICAるとKEIANをSOLTEKした痕がアルファデータ。
あそこはSUPERMICROだった。OMEGAドライバも少し鼻についた。
しかし、彼女のCREATIVEを刺激しつつ俺のセレロンを
彼女のSerial-ATAからASUSに這わせると、「FireWire〜ン」
「もう、ATI」「COREGA欲しいんだろ?」「早くリテール」
俺は激しくTSUKUMO。「インテルはいってるっ!IEEE!1394!」
「あぁ・・・もうDell!」
>>666 たまたま気づいて買った俺はラッキーだったんだな。まだ届いてないけど。
PDF 版でもいいんじゃないの?
初めまして
当方FPGAを初めて1週間の初心者です。
開発環境はProject Navigater
言語はVerilogです。
アドバイスお願いします。
現在8ビットのバイナリカウンタを製作中で、LEDで出力をみようと考えています。
とりあえず1ビットだけのプログラムを書いて動かしてみようと思ったのですが、エラーがでます
ソースコード
http://www.dotup.org/uploda/www.dotup.org4158102.txt エラー内容
ERROR:HDLCompilers:247 - cntup.v line 42 Reference to scalar wire 'LED_0' is not a legal reg or variable lvalue
ERROR:HDLCompilers:42 - cntup.v line 42 Illegal left hand side of procedural assign
ERROR:HDLCompilers:247 - cntup.v line 46 Reference to scalar wire 'LED_0' is not a legal reg or variable lvalue
ERROR:HDLCompilers:42 - cntup.v line 46 Illegal left hand side of procedural assign
このエラーはどうやらwireで宣言したものを<=を使って代入すると出るエラーということが調べてわかりました。
しかし、コード中にある通りassignで宣言しているので問題ないと思うのですが、何が悪いのでしょうか?
よろしければ助言をお願いします。
670 :
774ワット発電中さん :2013/04/26(金) 23:35:24.42 ID:OU65cNh/
alwaysのなかでassignしちゃダメ
>>669 以下のような書き方をすると、
「おっ、alwaysの中で assign してまったがや」とわかりやすいですよ。
・beginは、行の最後に書く
・end else begin と1行に書くと、区切りとして分かり易い。
・if()は、処理が1行でも、横着せずに begin end で囲む癖を付ける
・間違いの部分を // でコメントアウトしてみました。
module cntup (CLK,LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7);
input CLK;
output LED_0, LED_1, LED_2, LED_3, LED_4, LED_5, LED_6, LED_7;
parameter F6M000_cnt = 32'h002dc454;
// wire LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7;
reg LED_0,LED_1,LED_2,LED_3,LED_4,LED_5,LED_6,LED_7;
reg [31:0] sec_cnt;
reg sec1_flag;
reg toggle_flag;
always @ (posedge CLK) begin
if(sec_cnt == F6M000_cnt) begin
sec_cnt <= 32'h00000000;
sec1_flag <= 1'b1;
end else begin
sec_cnt <= sec_cnt +1;
sec1_flag <= 1'b0;
end
if(sec1_flag == 1'b1) begin
toggle_flag <= ~toggle_flag;
end
end
always @ (toggle_flag) begin
if(toggle_flag == 1'b1) begin
// assign LED_0 = toggle_flag;
LED_0 <= toggle_flag;
end else begin
// assign LED_0 = ~toggle_flag;
LED_0 <= ~toggle_flag;
end
end
endmodule
>>666 まじかよorz
FPGAマガジンって書店には売ってないのかな?
675 :
774ワット発電中さん :2013/04/27(土) 03:25:41.78 ID:fNMlN+xJ
FPGAマガジン 3冊買いました。
>>676 近所のジュンク堂のほうが先週半ばになくなってしまった
678 :
774ワット発電中さん :2013/04/27(土) 11:19:53.24 ID:fNMlN+xJ
5冊買いました。
複数冊買う人って転売するの? 儲けは何割ぐらい想定?
680 :
出品状況 :2013/04/28(日) 00:38:24.40 ID:RYQqtdTZ
これ業者なら再販契約違反じゃないの
682 :
774ワット発電中さん :2013/04/29(月) 00:59:32.06 ID:qiyWAQVH
俺は4冊
CQのWebShopでフライング注文できたよ
ずるいなぁ、売ります買いますで、やられちゃう
近所の書店では平積みになってた。
ああ、本屋に行ったのにすっかり忘れてて探しもしなかったわ
alwaysの中にalwaysを書くことはできないのですか?
688 :
774ワット発電中さん :2013/04/30(火) 01:49:00.28 ID:c/ev+o48
次号以降をどんな内容でつないでいくのか、楽しみだ。
690 :
774ワット発電中さん :2013/04/30(火) 10:24:22.36 ID:EPi46Znl
>>688 予告あるで
USB3,0 x FPGA
5号くらいまで予告出てたでしょ
付録は?
cqのfpga関連の本、fpgaマガジンも高いけど、de0関連の本が、 最初のやつと同様に5千円とかしてワロタ。さすがに高すぎる気がする。
694 :
774ワット発電中さん :2013/04/30(火) 14:23:43.23 ID:wsIdEJAy
無駄に紙質が厚くて、重くて。
CQもそろそろ終わりかな
>>693 それでも安い値段。FPGA関連って売れないから高くなる。
俺的には出るだけまだマシって感じ
ソフト主流時代にプロ向けハード物本なんてたいして売れない
マイコン系スレとFPGA・HDLスレ比較してもFPGAしている奴が少ないって
分るだろ。
プロというか、仕事でやってるやつはベンダとか代理店のセミナに行ってね?
>>697 エンジニアならソフト系もだが英語の本(情報)で頑張れって時代
でも日本の主流はドカタだから中学英語でも理解できないって奴多い
中学英語も判らないで悪かったな(´・ω・`) つーか中学英語が判っていればデータシートや ユーザーズマニュアルを理解できるようになるの?
>>699 お前、ドカタなのか?
ドカタは、中学卒ならデータシートやユーザーズマニュアルを
理解できるって信じている。だよね。どう?
702 :
699 :2013/04/30(火) 23:56:02.09 ID:PrJ4Jb+r
>>701 土建屋じゃないけど(仕事では)エンジニアでもないよ
一応高卒だが英語力は中学英語も全く理解できていない・・・
今のところ仕事で障害はないが、趣味ではたびたび英語資料で
苦労している
このスレで土建屋とか言ってる時点で日本語もねぇ・・・
翻訳ソフトだけあればいい
ふぅ、きょうび土方(底辺)がこれだもの...現状を憂うと同時に上層にいる我々(私)がなんとかせねばと奮起する毎日(´・ω・`) まあまだ学生(院)の身ですがそうも言ってられない(´・ω・`) はぁ、ゴールデンウィークも返上して研究室に寝泊まりの日々...
707 :
774ワット発電中さん :2013/05/01(水) 02:40:44.70 ID:7JXh8btg
要は、 >研究室に寝泊まりの日々... これが言いたいだけでしょ?
みなさんのFPGAやっててよかったという経験談教えてください
富士通は車載マイコンやめました。
>>703 自己研鑽するのは自分でやれで補助などでない。教育とはそういうもの
Xilinxのセミナー = 有償 (〜5万) TEDのセミナー = 無料 新幹線×2 = 3万(自費) 宿泊 = 1万(自費) 毎年行ってるよ。もちろん自費。だから力が入るんだ。
715 :
774ワット発電中さん :2013/05/01(水) 14:16:24.58 ID:k3GzRV/f
最近興味があるのは、Microblaze MCS。 規模は小さいし、外バスはできないけど、 自分にはちょうどいい感じ。
Xilinxのセミナーなんて毎年行っても代わり映えしなくね?
>>703 会社持ちってどっちの会社のこと? 派遣先?派遣元?
俺の会社では派遣で来ている人に俺の会社持ちで有料研修なんて受けさせないけど、
派遣の人に研修費出す派遣先あるの?
日立でたよ。
>>714 名刺がないのでセミナーとか展覧会とか参加するのは躊躇する。
>>715 IOバスに変換する自作モジュールつければレジスタやらメモリに普通にアクセス出来る
>>719 名刺なんて適当な屋号考えて自分で刷ればいいんや。
俺はフリーだった時、飲み屋で知り合ったデザイン事務所のお姉さんにちょっといいやつデザインしてもらった。
>>716 Xのセミナーでシリーズになっていてステップアップするのを指しているのかな?
でもそれだと毎年行くなんてスパンだと長過ぎで意味がなくて、
せいぜい一年で終わらせないと役に立たないし、
上位のコースは7万(2日)だから条件に合わない
〜5万って入門コースみたいなやつが多い気がするんだが
具体的に何を受講したのか
>>720 それだったらMCSじゃないので良くね?
724 :
774ワット発電中さん :2013/05/01(水) 22:43:26.73 ID:kLy4toFC
>>723 MCSじゃないのは有料ですので
無料のMCSでレジスタ パチパチするのはデバッグで重宝する
>>706 ここはお前みたいな高学歴の勝ち組に用はないんだよ
つうことで失せな坊や
>>721 肩書きがない名刺を警察に見せて
フリーのエンジニアですって言うんやな
>>726 肩書きも適当につければいいんや。
CEO でも ハイパーメディアクリエイターでも好きにしろ。
名刺に肩書きなんて要らないよ。 自分の名前+αの会社名にしておけば良い。
そろそろ英数3文字も尽きてきたような MCS≠mcs
730 :
774ワット発電中さん :2013/05/02(木) 02:10:53.62 ID:/diW21rj
関係無くて申し訳ないけど、300×120×5mm程度のアルミ板1個を板金屋に外注する場合、 黒アルマイトにすると、表面処理無しに比べてどのくらい高くなるっけ? 5,000円位高くなるんだっけ?
732 :
774ワット発電中さん :2013/05/02(木) 04:14:09.24 ID:L4eZq7UH
アルミ板1個 って、おかしくない? しかも全角数字だし。 アルミ板1枚ではない?
数字だけ半角だと、見た目のバランス悪い。全角文字は全角文字で設計されてるから 通ぶってるド素人の文章は体裁がみっともない。
文字表記と、数値表記は別だと何度言えば・・・ きっと、リテラルに全角代入してソースコード書いてるんだろうなw
おっと、Mind の悪口はそこまでだ!
人間が読む文章とコンパイラが解釈するソースの区別もつかないなんて馬鹿すぎw
737 :
774ワット発電中さん :2013/05/02(木) 11:10:50.61 ID:L4eZq7UH
人間が読む文章でも、全角英数字は間抜けに見える。 if(reset==1’b0) begin result <= `HEN; end if(reset==1'b0) begin result <= `yoikannji; end
どっちにしろスレチ
スレチですねぇ(´・ω・`)
言えてるね。 MicroBlaze および MicroBlaze MCSが同じ扱いの物に感じる。 でも、何回か読むと、 ISE WebPACKの場合は、 MicroBlaze および MicroBlaze MCS どちらも使えるけど、 最小規模のZynqデバイス(3種類)に限定されています。 とも読めてしまう。
FPGAマイコンって良く使っているのか? そうなら、ソフト屋並みにとは言わないがそれなりにプログラムできるのか まぁ、ある程度のプログラミング能力は今や常識って感じがあるからな
>>740 ZynqだったらARM内蔵されてるから
そっち使うw
>>744 補足 : Microblazeを呼び出すEDK(XPS)がZynq以外だと有料だから
結果として、IPとしては無料でもSpartan6とかで使えないのではないかな
SDKは無料でEDKは有料 mcsはSDKだけで開発できるけど、元々のmicroblazeはEDKが必要って認識 ややこしいな
結局FPGAマガジンどやった? 買った方がいいのんか?
748 :
774ワット発電中さん :2013/05/03(金) 01:24:46.86 ID:UdUc3k48
>>748 5000円以上か
でも、FPGAやっているやっている奴って高給取りが多く
5000円超でも安いってほいほい買うだろうからな
>>747 FPGA特化雑誌だけあって非常に良い。素人を読者排除したからだろうが。
あれなら毎号買って良い
研究用として会社の金で買うので値段関係ない・・・か? 確かに高いね。素人が買わない->売れないので高くなるという値付けなんだろうけど 対象は明らかに素人向けという矛盾というか素敵な悪循環が生じているなぁ。 本当に素人に売りたいなら電子ブロックレベルの気軽さで使える仕組みを作らないと 駄目だろうね。Arduinoとかembedとかみたいな物を見習うべきだと思う。 まぁ、あくまでも素人に売りたいと考えているなら・・・だけどね。
>>748 PCIeは良さそうだが、入門書みたいなのは微妙
752 :
774ワット発電中さん :2013/05/03(金) 03:16:25.91 ID:U9FEG+CB
>>748 5/1発売kの書籍販売ページに、いきなり「お詫びと訂正」が載ってるって、
変な感じ。CQ品質。
>>749 >でも、FPGAやっているやっている奴って高給取りが多く
>5000円超でも安いってほいほい買うだろうからな
発行部数が少ないだろうから、どうしても単価が高くなるのでは?
ちなみにFPGAやってる奴って高給取りが多いのかね?
オレは某LSIベンダー(いまうさわさのFから始まる会社だが)
入社13年のバリバリ中堅社員で手取り23マンだよw
(ちなみに、いまは強制的に残業0時間、むちゃくちゃ安い)
だから、今回のCQ出版の
>>748 のカキコにあったもん
ほとんど買ったけど、夏ボを見込んだ上で買ったw
まじめなはなし、高給取りのFPGAプログラマってどこにいるんだろ?w
自営すればいい。FPGAの割合は減るけど手取りは10倍以上になる。 ナスは無いけどな。
外注頼むと、ソフト屋は80くらいなのに ハード屋は100越え。一時期の150とかはなくなったけど。 オレの何倍もらってんだよ!
土方自慢乙
757 :
774ワット発電中さん :2013/05/03(金) 11:24:54.95 ID:pTgRQAtz
>>755 >ハード屋は100越え。一時期の150とかはなくなったけど。
ハード屋は部品代とかその先の外注費が入ってるから、もうかんない。
丸儲けなのはソフト屋。PCが1台あれば、あとはDVDディスク、参考書、紙くらい。
それなのに300万とか平気で請求してくる。ったく。
基板設計屋も同じで、成果物が電子データの外注は、とても高く請求してくる。
腹が立つくらい。
759 :
774ワット発電中さん :2013/05/03(金) 11:32:30.74 ID:3s9zb5bG
最近は仕事(設計)以外に、趣味として株をやってるが、最近30代になって仕事と趣味が逆になってきた。 株の資産が1.5億を超えてからほぼ毎晩女呼んでる。 彼女には株のことも女呼んでることも黙ってる。 生活費と株資産を分離するという自分のルールも崩れ気味。 本来、株資産が減っても生活費を株口座に移すべきではない。 逆に、株資産が上がっても、株資産を生活費に回して贅沢するべきではない。 不動産が欲しければローンを組めばいいし、会社が潰れるかリストラされれば失業保険で暮らすべき。 だが俺は自分の性欲には勝てなかった・・・。 今後が心配だ。
連休明けに株が暴落する予想があるから買い煽り必死だな 連休中は株の買い煽りコピペが増えると予想
761 :
774ワット発電中さん :2013/05/03(金) 11:42:20.73 ID:3s9zb5bG
あ、アルミ板に黒アルマイトの件、変なこと書いてごめんね。 助数詞は「個」「枚」「台」「EA」「pics」「(なし)」とか会社によって習慣が違うよね。 前に同じような条件で外注した際の金額見たら大体分かった。+1,000円行かない程度ね。 仕事が趣味化して、FPGA危機の試験治具を黒アルマイトにしたくなってね。 あまりにもアホなことして信用失うと身動きが取りづらくなるから聞いてみた。
>>753 高給取りってFPGAを趣味でやっている奴
今の電子産業ってドカタだぜ。そんな奴を相手に本出してもあんまり意味なし
あと、13年も仕事でやっている奴が買うような本じゃないだろ
>>753 です。
まぁ、実際会社の主務はASICのテクノロジ開発だからね。
FPGAが本業ってワケではないのだけど。
(テクノロジって伝わるかな?物理設計をCADに食わせる部分だと思ってくれていい)
最近、リコン系は色々と調べてて、今回の購入したCQ出版の書籍は
「いまどきのベンダーはどういう顧客の取り込み方をしてるのかなぁ。」と。
たぶんこれらの本は学生向けだよね。けど、そういう青田を買い叩くところから
上手くできてるってのは、すごいことなんだよ。
Fの場合はFRマイコンでは、そういうのできてるけど、他は未だそういう文化が無いんだよね。
>>763 SHとかH8とか解説本でるけど
FRは全然見かけなかったな
766 :
753 :2013/05/03(金) 18:30:24.24 ID:n/HF7YOA
>F2SLか 最近のFRマイコンは雑誌Interfaceでおまけでくっつけてたりする。
767 :
753 :2013/05/03(金) 18:31:56.58 ID:n/HF7YOA
すまん。上のは誤爆 >F2SLか Yes高洲クリニック! 決してフリー○ケールではありません。(きっぱり) ちなみに、 最近のFRマイコンは雑誌Interfaceでおまけでくっつけてたりする。 Armコアになってるよ。
>>761 いつも、“○○一式”って発注してるやつを
2セット欲しかったから“○○二式”って
伝票書いたら却下された。
何でやねん!
769 :
774ワット発電中さん :2013/05/03(金) 23:34:27.15 ID:3s9zb5bG
761だけど“○○一式”は所謂「品名」なんでしょうね。 だから、本来そこに更に数量を書かないといけないということでしょう。
今学生だけど、FPGAやASICで食っていきたい
今から20年後とかじゃ、珍しくも無くなって、 労働賃金の安い所にアウトソーシング。多分食えないよ。
今でも、食えないでしょ。
>>771 外部仕様書だけ書くために
英語で作成できるようになってないとダメだな
納品する納期が間に合わないのは無駄に内部ブロックの細部まで詳しく書けってていう仕様書だったりするけど
774 :
753 :2013/05/04(土) 13:02:58.20 ID:ndKZf70Y
事業部に居たころは、納品仕様書を自分で英語で作ってたな。 いまの共通部門に移ってからは、日本語で仕様書やマニュアルを書いたら 翻訳専門のスーパー派遣さん(TOEICほぼ満点で、結構美人)の人が翻訳してくれる。 その人と仲良くなりたいんだけど、ツンツンしてんだよね。。。orz いずれにしても英語は学生のうちにやっとくべき。 TOEICで点が高いと、色々選択肢も増えてくるのでお得だよ。 けど、FPGAやASICやりたい。ってところだけは、ひっかかるな。 いまでさえも、国内のLSIベンダーはリストラの嵐。 オレも今回のリストラ劇で最悪クビになって、 街のお弁当屋さんで原価計算でもするアルバイトで転職しなきゃいけないかもと思ってた。 むしろ、どこか勢いのある新興企業のセット品部門で、 チップの中身の設計をしているところがいいかもよ。 国内のLSIベンダーの多くは、ASIC系については RTLはセット品メーカーが作成して、LSIベンダーはレイアウトだけというような 分業になってる場合が多い。 そこだけ注意ね。
デジタルはソフトウェアである。
ああ、RTLベースで書く人はそう見えるかも。 ASIC系でもテクノロジに関わる人には、 結構デバイス(当然物理も)として見えてくる。 結局、デジタル系は分業化が進んだってことなんだよ。
>>774 美人さんいいなぁw
でもツンツンしてるのはヤだなw
まぁ、英語とか読むのも書くのもヤだな。
FPGA関連で英語版のドキュメントしかなく、やむを得ず読んでるけどイライラしてしょーがない。
日本語が世界の共通語になればいいのに、といつも思ってるw
個人的にだが、英語のドキュメントも嫌々だが 難解な日本語ドキュメントっても、心底嫌なもんだぞ
780 :
774 :2013/05/04(土) 19:28:23.01 ID:ndKZf70Y
>>777 自分はFR担当ではないのでスパンション行きではなさそうです。
まだまだナニがあるかわかりません。
>>780 富士通は自社向けのLSI設計やLSI設計の外部からの請負はするが製造はしないって方向なのか
それともファブレスになるが、利益の出るLSI販売は維持するって感じになるのか
でも、日本の半導体は10年後どうなってるんだろな
新興半導体企業が台頭しているのかな。可能性は低いかな
>>781 >>780 です。
ええっと。その辺りの話は、一応知ってることもあるんですが、
基本社員から言える話ではなく、不治痛の公式WEBのプレスリリースを
ご覧下さい。というのが、一番正しい対応だと思います。
なので、googleで「富士通」と入力→富士通公式WEB→プレスリリースをご覧下さい。
以上よろしくお願いいたします。
>>780 某P社セミコンの線もごにょごにょありそうだし
F系列の設計会社が自社マイコン使わず
フリースケールばっかり使ってるからな消費電力大きいのに
ちなみにFのマイコンは、結構海外の自動車メーカーから引き合いがあるそうです。
ルネサスの当て馬としてだったら泣く
結局、不治痛は鳴かず飛ばずだったな。
XILINXの代理店になれたのに PALTEKになるんだろうか 会社全体がこれまたA社を優遇してるし
788 :
774ワット発電中さん :2013/05/05(日) 11:08:00.74 ID:tHZEI9ds
勝ち馬に乗るならAlteraじゃないのかな
またしばらくFPGAもイノベーションがなさそうだね。
イノベーションを待ってるだけじゃ何も変わりませんよ? 自分が起こすんだという気概を持たなきゃ(´・ω・`)
>>790 最近だとHLSとかじゃないの
RTLに比べれば書きやすくなってるけど、そのかわり最適化もそれなりって感じだが
実装だとXのSSIは革新的だがコストが…
>>790 UMLでモデル化するだけで作れたりとか
UMLみたいなイイカゲンな仕様で組み上がるはずが無いけどな。 だからって厳密にするとUMLじゃなくなるしな。
bluespecが売り文句だけ聞くと良さげに見えるが、使っている人居る? SystemCからの合成ほど無理がないと思う
UML自体は厳密じゃん。何言ってんの。
verilog CSPは如何ですか?
>796 興味はあるけどうちの会社はツール買ってくれないだろうなーと諦めてる。 NSLとかBluespecとか、非同期記述を制限した言語(ひでぇ)の方が後でいじるときにらくだったりはするんだろうけど。
どうせ同期でしか作らないだろうという割り切りは良いんじゃね 逆にツールで同期から非同期に変換して高速動作するFPGAとか出てたけど あれって商用化までいったのかな インテルのFabで製造とか言っていた気がするが
>>791 > イノベーションを待ってる
意味不明
スルーしる
>>801 貴方みたいな人は土方人生を満喫してなさいな
Quartusの13を入れてみた 高速化してるらしいが、あまり実感できない 古臭いGUIが少し新しくなっていたぐらい
ちんこいデザインしかコンパイルしないなら 大差ないかもね
Web Editionでもマルチプロセッササポートになったのか
近所の普通の本屋にFPGAマガジン5冊入荷。 増刷したかもね。
FPGAマガジン購入。いまざっと読んだけど、そこそこhotな話題が書いてあるじゃん。 オレ的にはこういう雑誌待ってたんだよ。おまいらも買ったら、感想聞かせてくれ。
これからFPGA勉強しようと思うのですが、ド素人でもFPGAマガジンは買った方がいいですか?
>>810 とりあえず買える内に買っておくのがいいんじゃね?
ドカタマガジン
>>813 少し真面目に日本語勉強した方がいいね。
ホント最近こういう馬鹿多いよ。
>>813 なんかタイトルだけみてると誰を対象にしてるのかよくわからなくなってくる
すでに実務で使っていれば知ってるような内容だし、初心者がすぐに使うような内容でもないし
817 :
774ワット発電中さん :2013/05/09(木) 10:53:35.92 ID:PZCafSce
初心者ですが、買ったほうがいいでしょうか?
>>817 特集に興味があれば買えばいいんじゃね
常に買うのは微妙
>>817 >>816 の書いているように、初心者向きじゃない。
FPGA・HDLの経験がそれなりにあり、特集に関することに興味がある・仕事でやるかもって奴なら
買って良いだろう。
これ、経験者が幅広い知識を得るための雑誌みたいな感じかな
初心者向けなら初めてのHDLとかFPGAでLEDチカチカ記事を載せるんだろうが
820 :
774ワット発電中さん :2013/05/09(木) 22:11:20.72 ID:Kb1zo32T
今日一日の投資で1600万も資産アップしてしまった。 やばいFPGAがどうでもよくなってきた。 上司から市販無線モジュールの評価頼まれてるから、ARM内蔵FPGAの基板買って制御したいな。 もう出てるかな。
>>820 Xは前に出てる
Aは注文はできるみたいだけど納期は不明
822 :
774ワット発電中さん :2013/05/09(木) 23:20:12.27 ID:Kb1zo32T
>>821 ありがと。がっつり取り組むことになるけど、自ら茨の道を行く気が起きないかも。
N225は反落したけど、日柄的に来週前半までは持つと見てる。その後調整。
スレチ
825 :
774ワット発電中さん :2013/05/10(金) 00:47:14.98 ID:ewt4iL/K
株です。株については759に変なの書き込んで反感買った者です。当件、自重します。
株で1.5億もの資産築くとか羨ましい 俺もやってみようかねぇ スレチは承知で聞きますが投資スタイルは短期?中期?長期?
関連板に行けよ。スレチってわかってるんなら書くんじゃねぇ。
質問しつれいします。 現在、大学の研究室でFPGAをやっています。 先生から新しいボードを買っていいと言われたのですが、何かおすすめのボードありますか? 先月からFPGAを始めた初心者で、まだシフトレジスターあたりまでしかやってません。 現在使っているボードはヒューマンデータのEDX-005です。 Xilinxのボードでお願いします
>>829 勿体ない
>>828 最終的に何したいのか、画像系なのか制御系なのか、それでお勧めは変わるよ。
それと最中に壊すこともあるから、予算内で周辺ボード含め2枚買える値段のものがいい。
通信系もあるぜよ
当分ヒューマンデータのボード続投で良くね
>>832 俺にもその状況で新しく買う理由が思いつかない。そのボードで不満が出てきてから買うべきじゃないの?趣味で自腹ならコレクションするのも良いと思うけどさ。予算使いたいだけなら周辺関連でも本でも買えば?
予算が余ってるならザイリンクス純正でも買えば 周辺は一通り揃ってるし、最悪FMCで拡張もできる 変な専用ツールとかもなくてサポートもある
あ、純正ってのはPCIe型の10〜30万ぐらいのやつな
>>829 のトランシーバ評価キットは用途が特殊
好きなの買えるなら、ZedBoardが面白いかもしれないね 満足に使えないと思うけど
837 :
>>828 :2013/05/10(金) 21:25:57.59 ID:Yv3E88Zi
そういう方面はサイプレスのPSOCを学んだ方が面白いんではないか? いや、知らんけど
>>837 I/Oだけの奴ってヒューマンデータの奴か?
ベースボードを作れば製品にも組み込めるから良いとは思うが
まず教育ボードで論理が作れること確認してからで良くね
XilinxやDigilent, AVNETなどの豪華ボードだと、LCDとかの周辺が邪魔に感じることは無いですか? ヒューマンの素っ裸のものに自分で足していくのが好きなんだけど。 ヒューマンのボードの欠点は、エクスペンシブなことだと思う。
さいぷぅ〜
>>840 開発ボードなんだから一式ついてたほうが楽じゃね?
製品化したりするんだったら基板は別におこすし
質問内容からするにいきなりFPGAで組む段階では無さそう。 最近のDSP命令使えるCPU載ったマイコンボードで始めるのがいいんじゃない?
>>842 FPGA で LCD 制御って、実際あまりやらないと思う。
>>844 あれ、LCDって16x2のキャラクタLCDのことじゃないのか?
あれ内部ステートとか吐かせるのに便利で結構使うんだが
グラフィックディスプレイならDVI出力でもつけてくれた方が良いな
ここの住人ってどういう層で構成されてるんだろ
847 :
830 :2013/05/11(土) 21:23:18.09 ID:0NZGqmBC
>>846 99.9%土方だと思われます(´・ω・`)
MicroBraze使わずに、VerilogだけでキャラクタLCDのモジュール作ったら、 死ぬほど面倒だった。だって、待ちばっかりなんだもん。 5ms待つのにカウンターがいくつ使ったことか。でそんなカウンタはLCD初期化で使っただけで ほかに転用できない。
>>849 じゃあ俺は0.1%の方だな
無職だけど・・・
>>851 そいつはいつもの坊やだから触れたらアカン
>>850 低速クロックで回すとかだめ?
ソフトコア使った方が楽だと思うが
>>848 古いと情報も多いから、学生にはちょうどいい
>>850 > 5ms待つのにカウンターがいくつ使ったことか。
クロック系の設計センスが無い! の一言
HDLでLCD制御は確かにメンドイな でもLCD制御だけのためにPicoBlaze入れてアセンブラ書くのもまた面倒だな MicroBlazeが入ってるようなシステムなら最初っからそっちにやらせるし
859 :
774ワット発電中さん :2013/05/13(月) 11:54:47.73 ID:gnDQeSgk
文字LCDの初期化や制御を、HDLで書くときは、 やはりカウンタを1本と、スイッチ文の嵐でしょうか?
I/OだけあればいいならDE0-Nanoがコスパ&使い勝手で最強じゃね? Alteraなら無料でJTAGロジアナとNiosIIが使えるし。 UI制御とかはCPUでプログラム走らせたほうが弄り易いからなぁ。 (UIの動作のチューニングで毎回ハードのフルコンパイルはやってられない) Xilinxに拘ってる理由は?
>>859 自分がやった時は最終的にしょぼいオリジナルCPUみたいになったな。
ウェイト命令とIO叩く命令とジャンプ命令しかないけど。
スイッチ(PUSH)を押すたびに7セグLED(seg)で0〜9までカウントされるプログラムを作っているのですが、
Check Syntaxは通るのに、Assign Package Pinsでスイッチだけがオブジェクトリストに表示されないため、ピンの指定ができません。
以下ソースコード
http://www.dotup.org/uploda/www.dotup.org4193481.txt case(PUSH)にすると、スイッチのピンが指定できますが、seg_flagにするとassign package pinsで指定できません。
出てこないのはソースコード内で使われてないからだと思いますが、always文で使ってるので、出てくるはずだと思うのですが……
また、以下のWARNINGが出ています。
WARNING:Xst:905 - detes.v line 12: The signals <seg_flag> are missing in the sensitivity list of always block.
Module <detes> is correct for synthesis.
WARNING:Xst:647 - Input <PUSH> is never used.
WARNING:Xst:646 - Signal <seg_flag> is assigned but never used.
864 :
>>863 :2013/05/13(月) 19:20:34.96 ID:vLa5smiF
追記です。 always(posedge PUSH_SW) とすることで、オブジェクトリストに表示されました。しかし、次は以下のようなエラーが表示され、まったく見当がつきません ERROR:MapLib:93 - Illegal LOC on IPAD symbol "PUSH_SW" or BUFGP symbol "PUSH_SW_BUFGP" (output signal=PUSH_SW_BUFGP), IPAD-IBUFG should only be LOCed to GCLKIOB site. ※PUSHをPUSH_SWに変更しました。
>>864 重要な情報、どこ社の何デバイスがターゲット?
Xilinxってのは分るが....
>>865 ほんとHDLってソフトだよなって実感するソースだよね
ソフトはクロック意識しなくて良いしね
デジタル回路を全く知らない人がソフト開発感覚でHDLしましたって感じ。
いまの学校ってデジタル回路の授業受けるまえにHDLはじめるのかな
学校ならそんなことはしない。 C級出版社がいつまでたっても「HDLで入門!」ってやってるせいだ。
すごい責任転嫁w
HDL書くのは慣れたら問題ない。 それ以上に回路設計の大まかな方針とか、拡張性、可搬性とか、もっと大事なことがある。 社内では、「書けるだけ」の人間と「設計できる」人間との間に大きな壁がある。
>>869 まぁまぁ、そこは言わないようにしないと。
PMやSEと、プログラマの差は、PMやSEは知ってるけどプログラマはそれを知らないし、
派遣か何かでそういうノウハウ与えないように使役するのが、仕事なんだからさ。
ある日、PMやSEは、プログラマから生じた。
PMやらSEやらそんなのを上位として見てるの? 根本的な次元違いの先を目指さなきゃ人生損よ?
873 :
>>863 :2013/05/15(水) 01:45:52.74 ID:wQ3geNo7
>>865 スレチ失礼しました。
AVRしかやったことなかったので、クロックを記述しないといけないということを知りませんでした
ありがとうございます!
>>873 AVRだって、クロックはどこかで意識しないといけないだろ。
お前がそれをしてないだけ。
なんとかうーのはしなくていいかもね。
そのクロックと一緒にされてもなあ
おれもそう思う
イイ格好しようとして恥かくパターンだな
>>869-870 ソフトにおいて、SEはエンジニアだけどプログラマはエンジニアではなくドカタ・奴隷
現代奴隷を使役するのに必要だからと少しはドカタ経験をさせるが、それは非常に短い期間
ソフト同様ハードでも同じようなことになっているよね
昔の社蓄の底辺はいま現代奴隷で良いや(底辺は奴隷がふさわしい境遇と認識になった)だから
それをこのスレで言って何か意味があるの?
いつものキチガイだからスルーしる
>>880 「ソフトにおいて」と断っているところを申し訳ないけど、「匠」「職人」と言われる人達はその土方側に分類されるんだよね。
そしてシステムの性能を最終的に決めてしまうのは、その「匠」「職人」の人達。
実務が伴ってないSEから注文を受けると、体力を浪費してしまう。 派遣でもなんでもいいから、とりあえず、一度現場を体験しろといいたい。 勉強する気がないのなら、この業界から去ってほしい。迷惑。 スレチで悪いな。
まさに土方
外国じゃプログラマはエンジニアなのに、日本ではソフトだけじゃなくハードでももっぱらコード を書く奴は底辺種の土方だからな。大学出て人身売買される職種になるってのもな。
日本のプログラマーはそれだけ低レベルが多いってことですよ だから土方と揶揄されます まあぶっちゃけ日本にエンジニアと呼べる人材は皆無ですょ 「想像し創造しなさい」これ私のオリジナル座右の銘です 現状を打破したい方はご参考までにどぞ
安っぽい
お前にゃ無理だ。諦めろ。
日本では優秀なプログラマは幹部になってコードを書かなくなる。外国は幹部になれない。
オープンソースで尖った人、居ないしね
>>887 >「想像し創造しなさい」これ私のオリジナル座右の銘です
薄っぺらいし、検索したら似たなうなこといってるインチキくさい自己啓発ばかり出てくる
厨二病の坊やなんだしそんなもんだろ
894 :
774ワット発電中さん :2013/05/25(土) 12:10:10.47 ID:NWl5MFif
なんか突然過疎ったw
天上の存在が天下の者達を畏怖させる
ネタがないからね
そういや、論理合成のエンジン部分は実は日本製だってね。
でっていう
900 :
774ワット発電中さん :2013/05/28(火) 00:13:00.81 ID:XOzUiX4I
インド製に決まってんだろ
FPGAシンジケートってなんだ?
>>897 どこが作っているの? 本当に知りたい。p社は外には出していないってきくし。
都市伝説
FPGAの事全くわからないのでアホな質問かもしれないけど・・・ Freescale ColdFire V1 Core for Altera Cyclone IIIって無料で使えるとか 聞いたんだけどCyclone IVとかVとかでも動くものなのかな?
>>905 ColdFireを FPGAとな!!
ちょっと感動した。
907 :
905 :2013/05/29(水) 19:42:22.38 ID:/wTuEA0e
>>906 テメエは初心者をそこまで馬鹿にして楽しいのか?あぁ?
ぶち殺すぞワレ
>>907 ちゃうちゃうw
ColdFireって 68000の後継チップ。
その 68kは一時 UNIXワークステーション席巻したチップ。
入ってもおかしくない時代だと頭で分かってても、突き付けられると「ちょっと感動」な訳。
910 :
909 :2013/05/29(水) 20:05:51.16 ID:/wTuEA0e
テメエもぶち殺してやろうかあぁ?
>>910 気が短いやっちゃなw
ググッれば出てくるけど、無料で使えるのはC3だけだってよ。
怖いインターネットだな。
>>911 そんなこと書くと
>テメエは初心者をそこまで馬鹿にして楽しいのか?あぁ?
>ぶち殺すぞワレ
ってなるよ
さすがに別人の騙りじゃね?
久しぶりに伸びていると思ったらただのキチガイか
917 :
905 :2013/05/30(木) 01:00:42.77 ID:+62URvhb
おう、家に帰ってとりあえず2chブラウザ開いたら予想外の展開になっていてワラタw
>>911 あらー、やっぱそうなのか。じゃあ手持ちのDE0-nanoでは動かないのねorz
情報サンクス
>>908 Coldfireと言ってもV1だからねぇ。V2以上だと68000互換、V1はカットダウン版で6800相当とか?
別にColdfireが必要なのではなくて無料と言われたから興味を示したレベルだけどね。
まぁなんだ。OpenCoreとか見たら68k cloneは何種類かあるみたいよ。
918 :
905 :2013/05/30(木) 09:38:36.38 ID:9RfCirYY
>>918 お前もな。なに905に成りすましてるんだよ。
すぐにわかるなりすましさえわからないから馬鹿にしてやってるのにアホなん?
FPGAの話しろよ
FPGA女子オープン
そんな女子いやだw
Field Programming Golfers Association
闘うプログラマ的な
CPLD入門としてLatticeの評価ボードLCMXO2-7000HEってどうなんでしょう? 8bitだけどCPU入るみたいだし、使ってる人が居たら使用感聞かせてほしい。 とFPGA・CPLD入門志望者がつぶやいてみるテスト
それ、もはやFPGAだから。 これに慣れてしまうと従来型CPLDの容量の少なさに絶望する。
32pin程度のちょっとだけ論理回路がほしい場面も多いのに、 最近、手頃なものが店頭から消えてしまって寂しい。
>>927 確かにALTERAのMAXシリーズにないソフトエラーマクロついていたし
>>926 あ、回答忘れてた。
その評価基板は周辺に何も付いてない。
やりたい事なくてとりあえず買うだけなら、すぐ興味が失せると思う。
やりたい事があったり周辺回路を自分で組む気があるなら
安いし容量でかいしお勧め。
931 :
926 :2013/06/01(土) 00:51:33.47 ID:BhK82KsP
>>927 >>929 >>930 なるほど、FPGAとCPLDの違いって今はあんまり無いのかな?揮発性かどうか?
やりたいこととしては、DCモータのサーボ制御とかステッピングモータの加減速制御、
センサ入力(シリアル)のフィルタ処理など、リアルタイム処理が求められるものです。
DSP使えって言われそうだけど、ハードウェアで並列処理やりたかったんでちょうどいいかなと。
他の人はどういう用途で使ってるんだろう?
>>931 LCMXO2-7000HEってどうって聞く位のレベルの実力じゃ、そんなことは無理だろ
>>931 リアルタイムでのフィルタリングったって、
サンプリングが100MHzなのか1kHzなのかで
話は違ってくるんだぜ。
1MHzとかは微妙なとこだが。
razikoで日本全国のFMが聴けるし もうちょっと実用性があってモチベーションの上がる題材ってないかな?
937 :
926 :2013/06/01(土) 10:23:36.09 ID:BhK82KsP
>>932 質問が抽象的すぎました。趣味でやってるロボット用に
>>931 で書いたものを
マイコンで個別に作ってきたど、1つのマイコンにまとめるのが大変だったので、
ハードにやらせてしまおうと思い、質問しました。
>>933 試行錯誤したいんでワンタイムはちょっと無理かな・・・
>>934 センサのフィルタリング周波数はせいぜい数kHzなんでいいんですが、
モータ制御のほうでそれぞれ2個のモータを直線補間動作させたいんで
そっちのほうがリアルタイム性要求されそうです。
>>935 ありがとう。FPGAはLUT、CPLDはマクロセルの集まりと覚えてしまってた。
既存の機能をひとまとめにしたいだけなので、その作例ほどのレベルには行けないけど、
DDC方式のFMチューナー、面白そうですね。
そんなに高いわけでもないので、ひとまず入手していじってみます。
仕事の関係で代理店さんからSmartFusion2の評価ボード貰ったけど まったく触れてない。家に持って帰るのが許されたら遊べるんだけど 仕事で貰ったものだから自宅には持って帰れないorz
>>938 あれってUSB-JTAGは内蔵してるの?
FPGAボードを使わずに、FPGA単体を買って基盤を設計したいのですが、 なかなかいい情報がありません。 よければ参考になるサイトや参考書がありましたら教えてほしいです。
>>940 メーカーの用意しているFPGAのデータシートと、評価ボードの回路図。
参考にするならまずこの2つ。
というか、何を参考にしたいのか分からないので、
上記以外に挙げようがないよ。
これだけあればFPGA周りは設計できるし。
XilinxのCore genのIPに、CANモジュールはありますか?
>>940 ボード作ってるとこ回路図やらパターンやら公開してないか?
あれぐらい何も秘密にするものでもないから開示してると思うけど。
あと、もひとつよくわからないなら参考に一枚買ってみるとかすればいい。そしたら間違いなく回路図ついてくるし。
めちゃ参考になるんじゃないの?
仕事でシビアなコスト要求があるのでもなければ安いボード買うことを頭から排除するのがよくわからん。
仕事であっても少量生産(数台とかの特注)とかならできあいボード使うのは悪くないと思われ。
CQ出版とかおまけ基板使った解説本とかもあったと思うんだが、廃版か?
>>940 書いててきづいた
FPGAが何かも知らないので
その周辺と何をどーつないでいいかわからない?
それならなおさらとりあえず一枚ボード買うのがオヌヌメだと思う
>>940 回路図がもっとも良い情報。
Aや Xが評価ボードの回路図をタップリ公開しているから、それを落として見ればいい。
何と言うか、補足情報とか知りたい経緯なんかがないとアドバイスしにくいねぇ
いい情報=そのものずばりでコピーするだけ だったりして
評価ボードってレイアウトも公開してね それをこぴーすれば
初めてFPGAをさわるのですが、教えてください。 CQ出版社から、PlanAheadでMicroBlazeのIPを使うという本が出たので、 それに従ってMCSの勉強をしています。PlanAheadを初めて使ったのだけれど、 GUIがなかなか良い感じで「Xilinxってステキ(はぁと)」と思いました。 ところが、今までGUIで気持ちよくやっていたのに、 CoreGenの後で、突然Tclの操作が必要になりました。 cd C:Xilinx/.../.../.../.../さらにsource source_1/.../.../.../...tcl のように、長いパスを打たせます。「え゛っ」って感じです。 しかも階層が深くてイライラしてきて、思わすPCを窓から投げたくなりました。 ・みなさんも、この部分では本のようにTclを打っているのでしょうか? ・この長いバスを、どこかでcopy(CTRL+C)したいのですが、Win7ではどのようにすればcopyできるのでしょう? ・僕が使っているのはWebPackという無料版ですが、有料版だとGUIでクリック1発とかで できるでしょうか? ・ALTERAでも、このように途中でTcl操作が必須なのでしょうか?
なるほどMicrosoft C Sharp の勉強をしてるんだな ヒント ファイル名補完
>>951 ラボツールって奴に入ってる
単体は知らない
>>951 ISEのインストーラーのメニューにiMPACTだけ入れるメニューがあったと思う。
つまり、ISEのインストーラーをダウンロードすればOK
(; ;) うわー ありがとうー!! ググレカスって言われると思って恐かったけど いい人達だった ありがとうございますー!!!
FPGA屋さんはやさしい人が多いよ。
嘘教えてもらってありがとうって・・・
952さん953さん ラボツールに入ってました! ありがとうございました !!! 読み出したいボードのJTAGが16ピンで (横にRISCWatchと印刷されてました) HJ−LINK/USBというJTAGアダプターで無理につないでみたんですけど iIMPACTでバウンダリースキャンしてもは不明なデバイスしか見つからず読み出せませんでした RISCWatch用のJTAGはiIMPACTでは使えないんでしょうか? CPLD はXilinxのXC95144XLなんですけど・・・
959 :
774ワット発電中さん :2013/06/15(土) 02:21:23.68 ID:rvdk3gOU
>>958 直接関係ないけど、
・iIMPACTではなくて、iMpact
・iIMPACTと是核で書かずに、半角で書こうよ。
>>958 XilinxにHJ−LINK/USBというJTAGアダプターで使えないと激しくクレーム。
そして、HJ−LINK/USBというJTAGアダプターを出している会社にもXilinxのiIMPACT
でXC95144XLにJTAG出来ないと激しくクレームする。そうするとやり方や使えるようにしてくれる。頑張れ
みなさん すぐにレスくださってありがとうございます 感謝です! iIMPACTではなくて、iMpact でした ^^; ご指摘ありがとうございます cblsrvを起動して、その窓(DOSみたいな画面)を開いたままにしてiMpactを起動しております cblsrvの窓には以下のメッセージが出ていました Hit CTRL+C exit program. Be sure to disconnect cable from Impact(Output/Cable disconnect), otherwise Impact will crash! 高校では英語赤点だったのですが 今ケーブルを抜くとiMpactが壊れますよ という意味かなと思いまして・・ iMpactが終了してからcblsrvの窓をコントール+Cで閉じてそれからケーブルを抜いてます RISCWATCHのPDFを見つけたのですが、LANにつないで使用するみたいに英語で書かれてあるようで 何で英語ってこんなに難しいんでしょう
次スレそろそろ建てた方がいいかも。 950越えたのに過疎ってるから直ぐにでも落ちそう。
967 :
774ワット発電中さん :2013/06/18(火) 06:46:30.65 ID:DTNtO862
最近xilinxのISE web PACK 14.5をダウンロードして FPGAの勉強をしています。 今まで問題なくコンパイル(?)できていたものが Implement Designで以下のエラーがでます。 ERROR:ConstraintSystem:59 - Constraint <NET "CLK_IN" LOC = "P88" |> [a.ucf(2)]: NET "CLK_IN" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint <IOSTANDARD = "LVTTL";> [a.ucf(2)]: NET "CLK_IN" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:NgdBuild:605 - logical root block 'a' with type 'a' is unexpanded. Symbol 'a' is not supported in target 'spartan3e'. どうしたらいいでしょうか。 わかる方お願いします。
968 :
774ワット発電中さん :2013/06/18(火) 07:47:27.85 ID:7HT0sZmu
そのメッセージのような確認をしてみれば良いと思う。
>>967 もう何年も ISE 触ってない俺が適当にアドヴァイス。
「"CLK_IN"がねーぜ」って言われてるスペルミスチェックするとか
デバイス指定間違えてないかチェックするとか。
>>967 Xilinxに意味不明な言葉で色々表示されるから内容が理解できない、日本語のISE出せって激しく文句いえ
日本語で表示されないと何がエラーなのか分らないよな
ピン出てないのはwarningにしてほしい。 デバッグ中にピン出したり削ったりするたび ucf 書き換えは面倒い。
>>970 そんなこと言ってると 日 本 語 対 応 しちまうぞ
例
>>967 2行目
ネット "CLK_IN" ノット ファウンド. プリーズ ベリファイ ザット:
974 :
967です :2013/06/18(火) 20:10:04.90 ID:oTrp46af
みなさんありがとうございました。 原因が不明なのでプロジェクト作成するフォルダを変更して作り直したら コンパイル(?)できました。 ご迷惑をおかけしました。
まぁ、FPGAはそんなのばっかだ。
>>974 まさかと思うが日本語フォルダの下で作成してたとかw
新参なのに差し出がましいようですが 新スレたてておきました
と思ったら・・ もうたっていました 大失敗 (; ;)
死ね 要らんことをしやがって
ごめんなさい
まあまあ
死ね
お前がな
わかった、ここは俺が死のう
今更だけどCycloneIIIの環境が欲しいんだけど安いボードないかなぁ。少し調べた所中華製?のOpenEP3C16ってのが安そうだけど・・・IVとかだと安いのあるけど時代的になのかIIIは安い奴みつからん・・・