【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 16

このエントリーをはてなブックマークに追加
1774ワット発電中さん
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/


■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 15
http://kamome.2ch.net/test/read.cgi/denki/1309401427/
2774ワット発電中さん:2011/10/30(日) 05:17:50.72 ID:a2Hffk02
2getしとくか
3774ワット発電中さん:2011/10/30(日) 07:46:34.81 ID:uB/dWWfj
博士「な、なんだこのロボたちは!!!」


   ▽  悪 ▼
  ( ◎ 皿 凵j  ガシャーン
  (        )      
 /│  死  │\         ガシャーン
<  \____/  >
    ┃   ┃
    =   =
ブラック3ゲットロボだぜ!
オートで3ゲットしてくれるすごいやつだぜ!
      _____
     /  お  \
   /  ⌒   ⌒ \       だっておwwwww
  ( ( ●)   ( ●)  )  
  ( ::::⌒(__人__)⌒:::: )      
 /│   |r┬-|   │\         だっておwwwwww
○ |    `ー'    |  ○
   \       /
    | |ω| |
    | |  | |
     ○    ○
4774ワット発電中さん:2011/10/30(日) 12:53:37.94 ID:+mfTaJn8
いちおつ
5774ワット発電中さん:2011/11/01(火) 06:42:31.50 ID:r7VGDuii
>>1おつ
6774ワット発電中さん:2011/11/01(火) 07:51:47.96 ID:40BhPbkz
1乙
なんか一気にスピード落ちたな
7774ワット発電中さん:2011/11/01(火) 23:54:28.78 ID:HupcRk2E
あんまここにはいないかもだけど、
FPGAの評価ってどういうことすればいいの?
ピン使用率の高いモジュールで動かしたりしたいけど、適当に加算器をつなげつづけるとかであり?
8774ワット発電中さん:2011/11/02(水) 01:33:13.78 ID:7kYBnv+z
SLICEやLEの構造を見て数珠繋ぎにしていけばいいんじゃね。
9774ワット発電中さん:2011/11/02(水) 18:18:11.17 ID:PyhEchF8
コスト安いFPGAに置き換えるなら
とりあえず既存の回路そのまんま乗っけるよな
10774ワット発電中さん:2011/11/02(水) 21:00:28.11 ID:qibILoay
まぁ、ほぼ間違いなくfitしないけどねw
11774ワット発電中さん:2011/11/02(水) 22:17:27.24 ID:zVg5hxAt
>>7
電源系の評価は重要よ。
複数の電圧それぞれの立ち上がりタイミングとか。
外部メモリを全部駆動したときの電源変動とか。

データシートに従ってるのに動作しないことも、ままある。
次の版でこっそり修正されてたりもする。
12774ワット発電中さん:2011/11/03(木) 00:28:17.15 ID:n6we+9Z7
>>7
FPGAの評価、といっても何を評価したいかによってやり方は変わるんじゃないだろうか。
13774ワット発電中さん:2011/11/03(木) 01:01:30.05 ID:n6we+9Z7
>>11が書いてるから無駄な書き込みだったな。

FPGAにも少し慣れてきたかなと思うけど、活用していく上での課題は数学力とかアルゴリズムの
理解とかだな。FPGAで動画再生などはとても興味を引くテーマではあるけどメジャーな動画フォーマット
をアマチュアが組み込むことはできるのだろうか。アマチュアの間でも高性能マイコンやFPGAにOSを
載せる動きもあるみたいだけど、この目的ってPC上で動作するエンコーダ/デコーダ・ソフトウェア
を流用したいって理由などもあるのかな。
14774ワット発電中さん:2011/11/03(木) 09:24:33.76 ID:hfGuLWRC
FPGAにOSとは壮大なテーマだな。
15774ワット発電中さん:2011/11/03(木) 14:20:39.12 ID:6q1qHjyJ
Linuxはもう載ってたりするけどな
16774ワット発電中さん:2011/11/03(木) 14:24:29.31 ID:aqYTGBff
ソフトウェアで実現可能なものはハードウェアでも実現可能、逆はダメ。
17774ワット発電中さん:2011/11/03(木) 14:45:45.12 ID:dTGpiSEY
ダメって事はないだろ?
ただ精度や時間を犠牲にするか、恐ろしく未来の話しになるかって程度ではないか
18774ワット発電中さん:2011/11/03(木) 15:05:27.04 ID:hfGuLWRC
>>15
実行時にコンフィグしながら動くんだね。
19774ワット発電中さん:2011/11/03(木) 15:08:05.89 ID:aXwBNccu
>>16
ソフトでも規模デカいのはハード化無理だろう
結局コード化して誤魔化すだけでしょ
20774ワット発電中さん:2011/11/03(木) 15:49:38.15 ID:9AKc+M53
>>16
> ソフトウェアで実現可能なものはハードウェアでも実現可能

じゃあ、ちょっとgcc(gccで生成されたソフトの実行バイナリではなく、
コンパイラとしてのgcc)をハードウェアで実装してみてちょ。
21774ワット発電中さん:2011/11/03(木) 15:51:49.83 ID:s4bse4jj
Altera Cyclone IIIを使ったVerilog初心者講習会を12月11日(日)
にやります。Verilogの初心者の方で興味のある方はぜひ
ご参加ください。
http://atnd.org/events/21474
22774ワット発電中さん:2011/11/03(木) 15:53:25.09 ID:dTGpiSEY
>>17を書いておきながらだけど

全てをソフト・ハードと分けるからID:aqYTGBffは変なだけ
実際には適材適所、効率、コスト等諸条件で決めるもの


ID:aqYTGBffをあんまり苛めるなよ、ネタの投下大変なんだからw
ハードウェアエンコードのような技術が私は好きだ
ハードもソフトも良いとこどりって素敵じゃないか?
23774ワット発電中さん:2011/11/03(木) 15:57:18.31 ID:0LsnEWng
>>20
それより、FPGAでISE、クォ実現の方が良いんじゃないか
激速ハードISE、クォ!
24774ワット発電中さん:2011/11/03(木) 19:27:56.87 ID:n6we+9Z7
MMUがあるかないかがLinuxかuClinuxかに分かれるのか。
25774ワット発電中さん:2011/11/03(木) 19:31:03.54 ID:zcZg7ztf
>>23
7series以降専用エンジンがどの程度かだね
PlanAheadでISEでは無いようだけど
26774ワット発電中さん:2011/11/03(木) 20:34:58.71 ID:4FNj4vaz
>>24
uClinuxは糞。触るべからず。
27774ワット発電中さん:2011/11/04(金) 10:02:02.22 ID:KuFS/mOy
ソフトウェアは、それを実行するハードウェアの上で実現される。
従って「ソフトで実現する?」「ハードで実現する?」はヘンな議論。
28774ワット発電中さん:2011/11/04(金) 13:29:05.81 ID:uTH83Ouo
FPGAと映像関連で調べものをしてたら「超解像技術」ってのを見つけた。またノートパソコンを
調べてたらこの超解像技術とやらを搭載した機種をひとつだけ見つけた。この技術の普及の度合いは
実際にどれくらい?またもともと解像度の低い映像を拡大表示してほんとに高解像感がでるのかな?
29774ワット発電中さん:2011/11/04(金) 14:37:51.10 ID:CpO4C/3N
>>16
無限ループは?
30774ワット発電中さん:2011/11/04(金) 14:42:03.07 ID:6ABV8WXj
>>29
無限ループをどのように利用しているかで考えるんじゃない。
31774ワット発電中さん:2011/11/04(金) 21:29:34.95 ID:61uPMXmw
>>27
そう言ってしまうとFPGAなんて所詮全部ソフトなんだけどねw
32774ワット発電中さん:2011/11/04(金) 22:46:40.55 ID:CgW9EtFS
>>28
全角…はともかく、そんないいもんなわけないw
33774ワット発電中さん:2011/11/04(金) 23:27:30.51 ID:Iuz0UFdJ
>>29
シミュレータとしての無限ループって意味?
センシビティリストが変化し続ける限り実行されるので
always文はそれ自体で無限ループとも考えられるんだが。
34774ワット発電中さん:2011/11/05(土) 00:02:50.03 ID:FuUXY8cs
ソフトウェアで実現可能なものはハードウェアでも実現可能

逆   ハードウェアで実現可能なものはソフトウェアで実現可能
裏   ソフトウェアで実現不可能なものはハードウェアでも実現不可能
対偶  ハードウェアで実現不可能なものはソフトウェアでも実現不可能
35774ワット発電中さん:2011/11/05(土) 02:59:26.80 ID:w//NhWvv
de?
36774ワット発電中さん:2011/11/05(土) 05:14:37.23 ID:XsVWG2gX
>>35だけ理解できてないので書いてみた
37774ワット発電中さん:2011/11/05(土) 08:36:45.44 ID:UVouHdgC
>>28
30年ぐらい前の天文雑誌を見ろ。もう既に特許切れてる頃じゃないかな。
要するにアンシャープマスクの成れの果て。
銀塩時代の現像液の「疲れ」をシミュレーションする。行列演算の隣接要素
の積和演算だから、DSPとかGPUで高速に計算できるように成っただけ。
38774ワット発電中さん:2011/11/05(土) 10:33:38.69 ID:khLMW3N3
>>31
FPGAはロジック回路間配線接続設計とそのインプリメント作業を
一部機械化して、ソフトウェア開発手法の利便性を取り入れた。
なので、開発過程はソフトウェア開発的だが、目的をどう実現しているかは、
ハードウェアとしてのワイアードロジックそのもの。
39774ワット発電中さん:2011/11/05(土) 11:02:41.69 ID:UJ0lwoOb
今やプログラム=ソフトウェアとして扱われているけど
ソフトウェアの概念はハードウェアを動かすための順序や手順、約束事だからね。
だからFPGAのチップはハードウェアでその中で動作するモノはソフトウェアとも言える。
例え動作がハードウェアと同等の動作をしたとしても。

元々FPGAやCPLDは中間的な存在なんだから、立場によってどちらとも取れるんだけどね。
設計者から見るとハードウェアで、管理者から見るとソフトウェアだと思ってる。
40774ワット発電中さん:2011/11/05(土) 11:05:29.72 ID:K1HXDdKo
>>38
ルックアップテーブルなんてワイヤードじゃなくてソフトだろうw
41774ワット発電中さん:2011/11/05(土) 13:00:31.70 ID:37itxneb
はいはい、ソフトソフト
42774ワット発電中さん:2011/11/05(土) 15:25:08.34 ID:432bInmU
uClinux組み込んだ後、そのOS上でどんなことしてる?
OS組み込むところまではいろんなサイトや書籍で紹介されてるけど、それから先に
何ができるのか、事例をあまり見ないので。
43774ワット発電中さん:2011/11/05(土) 15:33:11.31 ID:ILJa63GX
試験ボードで無線ルータにした事がある
コストの問題で製品にはならなかった
アップデートや投入後フォローを強化出来ても会社とし嬉しくない
技術的には特定業種は利用するんじゃない?どこの何かはしらん
44774ワット発電中さん:2011/11/05(土) 19:23:54.86 ID:RFBmgI2v
>>42
uClinuxは触ってはいけない。
45774ワット発電中さん:2011/11/05(土) 22:28:16.55 ID:nTQ2H3xi
手段が目的。たとえ実用性がなくてもネタとして書籍化すればバカが買う。
あと会社や個人が組込やってますとアピールしたりとか。
46774ワット発電中さん:2011/11/05(土) 23:42:33.97 ID:deBpGRH/
FPGAに全部入れる、それがロマン。
47774ワット発電中さん:2011/11/06(日) 03:35:25.48 ID:U9HOUnqE
FPGAの接続情報は、RAM保持なので、電源とかに強烈なノイズが乗ると、
内容が書き換わってしまわないのでしょうか?
48774ワット発電中さん:2011/11/06(日) 03:47:25.51 ID:mrnJ/cVo
ノイズかけるとIOが馬鹿になることはよくある。論理が変わったのを確認したことはないけど、
宇宙線で変わる可能性が・・・とかいわれているので、
不安ならアンチヒューズにするかCRCチェック機能をつかっとけ。

どちらにせよ。馬鹿になった時に重大な影響を及ぼさないような使い方をしないこと。
49774ワット発電中さん:2011/11/06(日) 06:57:31.35 ID:W3SKqben
>>47
ありえないとは言えない。
もっとも、それを言い出すとワンチップマイコンのRAMが書き換わる可能性は・・
とかいうことになってきちゃうけどね。
ある特定のパターンでアクセスしたときだけSRAMの中身が変わってしまうっ
ていうトラブルに出会ったことはある。
あるメーカの特定の型番のRAMだけで現象が出るという、面白い現象だった。
50774ワット発電中さん:2011/11/06(日) 10:14:14.07 ID:Ua0nkRlO
1chipマイコンに限らず、intel CPUだって…

SGI Onyxに使われたR10000とか、IBMのメインフレームはCPUのレジスタ
とかアキュムレータ、乗算器なんかにもECC入ってるんじゃなかったけ?
R10000は代わりにキャッシュメモリのリフレッシュ回路を忘れてた、という噂を
聞いたけどw
51774ワット発電中さん:2011/11/06(日) 10:17:19.77 ID:4SONJyEW
>>48
宇宙線で変わるのはダイナミックに電荷を保持してるとこでしょ
スタティックなとこは書き換わらない筈。
52774ワット発電中さん:2011/11/06(日) 11:11:59.28 ID:VWDcXhnx
SRAMの方がDRAMより圧倒的に宇宙線に弱いんだぜ
53774ワット発電中さん:2011/11/06(日) 11:50:01.76 ID:W0cLqLAS
>>47
グランド弱くて再コンフィグ繰り返したことならある。
54774ワット発電中さん:2011/11/06(日) 12:19:41.31 ID:IOvtEhMM
>>51
それはないわ。
55774ワット発電中さん:2011/11/06(日) 12:22:33.07 ID:Z0+ck3Ge
接続情報はエラー訂正機能でバックアップされてるんじゃなかったっけ?
56774ワット発電中さん:2011/11/06(日) 15:57:57.40 ID:IeG6FcR9
57774ワット発電中さん:2011/11/07(月) 01:20:50.47 ID:u9GhBQAw
SOPCにいくつかイーサーネット・コントローラがあるのが気になってて、LAN91C111を
少し調べてみた。リアルテックの8019チップ相当の機能がIPで提供されててパルストランスと
マックアドレスのEEPROMだけ外付けすればサイクロンIVEの1チップでネットワーク機器が
作れるのかな、と期待してたけど、外付けのコントローラとアバロン・バスをつなぐただのインターフェイス・
コントローラみたいで残念だ。
58774ワット発電中さん:2011/11/07(月) 02:10:14.42 ID:Pen7pj0B
そりゃあphyは必要だろうよ
59774ワット発電中さん:2011/11/07(月) 13:10:44.72 ID:u9GhBQAw
LSIをつくるためのFPGAでPHYは外付けが「当たり前」的な発想が信じられないな。
トランスを内蔵しろ、というわけでもないし。
60774ワット発電中さん:2011/11/07(月) 13:14:44.78 ID:mdTwiHC8
そういう需要が増えればPHY内蔵のものもできるんじゃないかな。
61774ワット発電中さん:2011/11/07(月) 14:33:53.40 ID:qoN80oxa
何でないのか考えられないの?
62774ワット発電中さん:2011/11/07(月) 18:23:51.96 ID:TEnq3rza
全角にそんなこと考え付く脳みそはない
63774ワット発電中さん:2011/11/07(月) 23:14:51.07 ID:5aB+iYuB
PHYよりFPGAにFPアナログ回路を内蔵だよな
64774ワット発電中さん:2011/11/07(月) 23:38:13.19 ID:s53mM/t3
このスレで行うのが適切かわからないのですが、質問させてください。
現在、Virtex4とPowerPCを搭載したボードで、PHY0からの入力をPHY1に出力するボードを作りたいと思っています。
以前、WindowsXPにおいてXPS9.2の上でxps_ethernetliteの1.00.aを利用した際は、IPコアを読み込んでConfigureするだけでリンクアップしました。
そのため、XPS内でXEmacLite_RecvFrameとXEmacLite_SendFrameを利用することができました。
ところが、最近になってWindows7+XPS13.3の環境でxps_ethernetlite4.00.aを利用したところ、リンクの際に見られるLEDの点灯すらしなくなりました。
初期化が問題だと思い、SDKにエクスポートしてhelloworldのサンプルを作り、その中でXEmacLite_initializeを実行したのですが、それでも変化はありませんでした。
リンクアップのためにはどこを修正すれば良いのでしょうか?
ご教授いただければ幸いです。
65774ワット発電中さん:2011/11/08(火) 01:25:45.43 ID:CBKerL55
>>63
ADC、DACと50MHzくらいの発振器がほしい。
66774ワット発電中さん:2011/11/08(火) 12:43:17.40 ID:gfoX/TUl
>>64
内容的にはこのスレが適切と思うし、そのツール類を現場で使ってるところもあるのだろうけど、残念ながら
そういう第一線のエンジニアがこのスレにいるとは思えない。マニアックな宇宙線ネタにはレスがあっても
前スレ終盤、アルテラのバスに関する実践的話題で首をひねりたくなる内容のレスに対しても何の指摘も
なかったしね。
しかしネットワーク・コントローラのドライバからhttpプロトコルまで自分でコーディングできるような
基礎知識があっても環境が違えばなんの助言もできないってのもなんかおかしな業界だね。
67774ワット発電中さん:2011/11/08(火) 14:25:14.19 ID:p7G1RjHO
実践的・・・?
68774ワット発電中さん:2011/11/08(火) 14:39:10.11 ID:jMh9YY0k
自己紹介乙
69774ワット発電中さん:2011/11/08(火) 15:03:09.43 ID:SyCoxy8R
70774ワット発電中さん:2011/11/08(火) 15:51:21.19 ID:horKb0SF
>>66
全角さん乙です
71774ワット発電中さん:2011/11/08(火) 15:55:53.99 ID:fqVKPbpk
>>64
背景が緑色の掲示板で聞くと、教えてくれると思うよ。
72774ワット発電中さん:2011/11/08(火) 21:01:43.20 ID:nOnuqGLG
>>66
え、レス欲しかったの?
てっきり日記代わりに独り言呟きたいだけなのかと。
73774ワット発電中さん:2011/11/08(火) 22:09:54.10 ID:gfoX/TUl
>>72
いや、いい。その様子じゃあまり分かってなさそうな感じだし。プロどうしなら特に「ちょっとそこは」
って内容には自然に意見したくなると思うんだけどなにもなかったし。
別に内容が間違っててもスレ的にかまわないけど、偉そうな感じでいいかんげんなこと言われるとね、
ってのがあるので。
74774ワット発電中さん:2011/11/08(火) 23:32:17.52 ID:f/omkbfh
>>72
「プロどうし」ではないと判断してれば、基本スルーでしょ。
75774ワット発電中さん:2011/11/09(水) 00:12:52.93 ID:HcJNsJ8v
自分の価値観だけで判断されてもなぁ。
オレは面倒くさがりなので長文レスになるようであればスルーするよ。
ID真っ赤にしてチャット状態なんか絶対イヤ。

全角は説明しても納得させるまで長文・ID赤になりそうで大変だからスルー。
76774ワット発電中さん:2011/11/09(水) 00:14:18.68 ID:HcJNsJ8v
>自分の価値観だけで判断されてもなぁ。
あぁ、73に対してね。
77774ワット発電中さん:2011/11/09(水) 00:36:20.33 ID:7jAmORyR
和紙は趣味FPGAだけど、プロがこんなところで仕事上の問題に関することを
聞くとは思えないが。そんなことは社内でするんじゃないか
で聞くにしても、A,Xの公式フォーラムあたりじゃないのか? 
2chで技術的なことを聞くって奴のほとんどは趣味FPGA人か学生だろ
>>64は恐らく研究室のボードでFPGAしている学生なんだろな。
趣味でVirtex4とPowerPCを搭載したボードなんてのを使っている奴
なんていないだろうし。
78774ワット発電中さん:2011/11/09(水) 00:43:59.43 ID:ZoZv7xM4
聞くだけでなく、レスするような無駄なことをするとも思えないんだけど、えらそうなのが多いのは
まあ確かだな。
79774ワット発電中さん:2011/11/09(水) 09:30:33.21 ID:N+v+TN0N
オレ一応プロなんだけど、64の質問の分野はちんぷんかんぷんだよw
80774ワット発電中さん:2011/11/09(水) 10:14:23.43 ID:b7KSFtXm
あまりにもレベルが低すぎるからな
81774ワット発電中さん:2011/11/09(水) 16:36:27.36 ID:WbtBpf8p
レベルの低いプロ(自称)?
82774ワット発電中さん:2011/11/09(水) 17:27:41.49 ID:JZrAP0pb
あまりにもレベルの低いプロがたくさんの日本電子産業界...
レベル低すぎるからドカタって呼ばれるんだろうな
83774ワット発電中さん:2011/11/09(水) 17:52:40.75 ID:x43/bybz
おまえ、ドカタをディスってんじゃねーぞ!
84774ワット発電中さん:2011/11/09(水) 17:57:59.15 ID:W+OoYdB2
ドカタ = 奴隷
85774ワット発電中さん:2011/11/09(水) 20:50:45.68 ID:xt95kv2m
工事現場で叫んでみろよ
このチキンが!
86774ワット発電中さん:2011/11/09(水) 22:12:40.66 ID:mF+FJc48
電子系ドカタは奴隷
つまり使い捨ての開発設計用生体機械って思われてるんじゃないのかな
87774ワット発電中さん:2011/11/10(木) 00:08:57.85 ID:NoO2Lyae
>>86
でも、きれいな心を持っている。
88774ワット発電中さん:2011/11/10(木) 07:23:02.21 ID:4ixuR49c
ソフト系土方も同じく奴隷だけど、心は荒んでるね。電子の方は確かに綺麗な気がする。FPGAとは関係ないと思う
89774ワット発電中さん:2011/11/10(木) 08:52:49.87 ID:TGcUG5ca
ドカタのにいちゃんは、意外と優しいやつが多い。
仕事がつらいからか、上下関係の厳しさからか?

それに引き換え、おまいらときたら・・・
90774ワット発電中さん:2011/11/10(木) 11:00:12.84 ID:3qJf/QM/
>>88
逆じゃね?
91774ワット発電中さん:2011/11/10(木) 12:15:43.65 ID:KF7AW8P/
超素人だけど初めてDigiKeyでこわごわ発注して、ミネソタ州からわずか三日でDE0が届いた。
UPS航空宅配の激速に絶句。ぎりぎり\一万強のFPGA学習ボードで送料無料のお得感はハンパない
つーか小林優氏の入門書で先にVerilog予習するつもりが、これじゃ予定くるうw
92774ワット発電中さん:2011/11/10(木) 13:02:25.86 ID:gn+XIpjp
おれ一応プロなんだけど小林優氏の入門書で勉強中だよ
93774ワット発電中さん:2011/11/10(木) 13:10:20.99 ID:H0+cGl5v
FPGAの開発ツール、みんなPC上のどのドライブにインストールして使ってるのかな?
ツール類のプログラム容量って馬鹿でかくてCドライブの空容量不足でパフォーマンスが落ちる。
アルテラのツールはCドライブ以外にインストールしてもちゃんと使えるみたいだね。
94774ワット発電中さん:2011/11/10(木) 14:27:58.05 ID:+kTvYu+r
コントロールパネルから見るとQuartusIIとModelSimがテラバイト単位で食ってる表示になってるんだがw
95774ワット発電中さん:2011/11/10(木) 14:34:06.70 ID:HqP2QvTG
ModelSim-Altera    2.71 TB
Nios2 EDS 1.40 TB
Quartus2 755 GB
Quartus2 programmer.. 723 GB
Altera DSP... 185 GB

自分のはこんな感じになってるな
96774ワット発電中さん:2011/11/10(木) 15:52:06.65 ID:4ehlyUjR
全角は知恵遅れの低学歴ニートなんだろうな
97774ワット発電中さん:2011/11/10(木) 17:13:36.87 ID:kviFPLGZ
質問させてください。
まだ始めたばかりの初心者なものです。
xilinxのXC9500シリーズでいろいろと遊んでるのですが、
省電力モードで動かす方法ってどうやるのでしょうか?
98774ワット発電中さん:2011/11/10(木) 18:27:40.81 ID:3qJf/QM/
http://japan.xilinx.com/support/answers/2717.htm

>XC9500 ファミリで、容易に消費電力を低減させる方法は、
>マクロセルを省電力モードで動作するよう設定することですが、
> これは伝播遅延を増加させます。
99774ワット発電中さん:2011/11/10(木) 19:57:56.58 ID:hRaa0iqn
>>97
Fitting のプロパティに Macrocell Power Setting ってのがある。
100774ワット発電中さん:2011/11/10(木) 20:58:24.90 ID:CA2+LdNR
QuartusIIのIn-System Memory Editorでの動的メモリ編集みたいな事を
XILINXでやりたい場合、Data2MEMでなんとかするしか無いのかな。

ツールはAlteraの方がとっつき易い気がする。まだModelsimも使えるし。
101774ワット発電中さん:2011/11/10(木) 21:35:22.34 ID:GauoLdT4
なんでFPGAスレがあるのに、XMOSスレがないんだ?
102774ワット発電中さん:2011/11/10(木) 21:48:13.79 ID:pIWLBt1d
ゼンカクアレルギーがテイキテキニワクナ
103774ワット発電中さん:2011/11/10(木) 21:52:57.99 ID:AwsM3Uso
このながれで便乗質問
XC9500シリーズをISEで使ってるんですが、
必要な信号が削除されて合成されずに困ってます。

mentorのシミュレーターだとちゃんと信号も残って動くので
記述が間違っていることはないと思います。

104774ワット発電中さん:2011/11/10(木) 22:04:08.40 ID:8Jw2S8VL
変化しないから消えたんです。

>記述が間違っていることはないと思います。
皆自信満々でそう言います。
105774ワット発電中さん:2011/11/10(木) 22:32:05.78 ID:A56VDQtW
>>103
何を聞きたいの(何が質問)?
106103:2011/11/10(木) 23:15:38.41 ID:AwsM3Uso
QuestaではSimできる信号がISEで合成すると消える
ISEでで明示的に最適化を避けて信号残す方法ある?
107774ワット発電中さん:2011/11/10(木) 23:54:17.06 ID:aHeZllIZ
そりゃRTLの全ノードを記録させてシミュレーションすれば、どこでも見ることが
できるでしょうよ。
圧縮されて消えて欲しくなければ、そのノードをピンに出力させちゃうってのが
一番簡単だわな。ついでにオシロでも見れるし。
108774ワット発電中さん:2011/11/11(金) 00:07:31.96 ID:RWPi31Ji
>>106
対象信号にattributeでS属性を付加すれば良いんじゃないかな。

http://japan.xilinx.com/support/answers/23990.htm
109774ワット発電中さん:2011/11/11(金) 00:08:56.43 ID:RsiBudpl
>>107
内部信号のことなのか? 
俺、必要な信号といっているからピンにアサインされた信号が
なくなるって思ったんだが
外部に出力しない信号で必要信号ってどんなんだろ
110774ワット発電中さん:2011/11/11(金) 00:46:18.32 ID:HWXjVh7h
>>103
回路合成で信号が消えたって問題は他でも見たことがあるけど、どんなコードを書いてるんだろうか?
(前スレの692に同じようなケースが書かれてる。そこで答えが見つかるかどうかはわからないけど)

>>109
FPGA内部で複数のモジュールをつなぐインターフェース信号なんかはピンにアサインされないケース
だと思うけど、そういう意味じゃない?
111103:2011/11/11(金) 01:34:36.76 ID:9IpVjkhO
レスありがとうございます。

外にPinがでている外部バスから
内部レジスタへのアクセスを制御するもジュールがあり(reg宣言もここ)、
そこへ書きこまれた値を使って別のステートマシンを動かすモジュールがあります。

この構成で合成すると、該当レジスタが使われてないという警告と共に削除され合成されません。
シミュレーションでテストしたときは全モジュールを結合した状態でちゃんと動作したのですが。

>>108さんのリンクを参考に色々試してみます。
ちらっとリンク先を読む限り結構泥臭そうですが。
112774ワット発電中さん:2011/11/11(金) 09:46:08.55 ID:W89pHF9v
その信号を参照する回路にクロックが供給されていないとか、
参照するステートに入ることがないとか。
RTLビューアできちんと意図通りの回路になっているか確認すべきかな。
113774ワット発電中さん:2011/11/11(金) 10:26:02.64 ID:exXFoUh5
>該当レジスタが使われてないという警告
答えでてるじゃん

シミュレーションでは動いてても必要なければ消えるだけのこと
11497:2011/11/11(金) 10:41:24.93 ID:SKZu6te0
>>99
なるほど、こんなのがあったんですね。
返答ありがとうございましたー。
115774ワット発電中さん:2011/11/11(金) 11:10:52.38 ID:/zAbOfrV
>>100
なひたふさんとこのJTAGツールを使えば出来るんじゃね?
116774ワット発電中さん:2011/11/12(土) 20:28:25.92 ID:GPZa3R0j
FPGA練習で本見ながらオモチャ4bitCPU記述して実行コードも
内蔵ROM方式で抱かせたら使ってない命令のデコード回路とか
見事に論理合成で削除されてたなぁ。
117774ワット発電中さん:2011/11/12(土) 23:01:54.05 ID:aWoEhAoF
な、なんだってー!
CPUの創りかた/渡波 郁著
ttp://www.amazon.co.jp/dp/4839909865
を買ってみようか、それを参考にFPGAで4bitCPUを勉強しようかな〜と
中期目標たてたのに。
118774ワット発電中さん:2011/11/12(土) 23:08:51.20 ID:yI7S69V6
ちゃんとBRAM実装したら削られないだろ。
119774ワット発電中さん:2011/11/13(日) 01:44:08.22 ID:6Q77kQIV
Xilinx ISEでタイミングレポートの使い方がよく分からないのですが、何かいい資料とかないでしょうか?
1万円とか高くなければ本でもかまいません。業者から資料貰うとかは無理です。

入力信号が内部でラッチされるタイミングを調べたく、どの配線/素子でどれだけ遅れるか知りたいのです。
FPGAの内部配線図から選択して、パスのDelayとか気軽に見れるといいのですが・・・・
120774ワット発電中さん:2011/11/13(日) 02:00:45.49 ID:37apwf5z
chip scopeではだめなの?
121774ワット発電中さん:2011/11/13(日) 09:04:46.95 ID:4Be7BTbS
>>117
数日前にトラ技のCPLDに入れ込んだ人がここに。
ROM部分はユーザーフラッシュメモリを使った、内臓クロックを分周したけど1Hz近くに落とすのに30EL消費。
4bitCPUで74LE消費で104LE使いました。
122774ワット発電中さん:2011/11/13(日) 10:38:50.26 ID:MpA/FuKY
objdumpファイル、みんなデバッグ時に利用してますか?
このファイルのアセンブラリスト、NiosIIedsツールの初期設定のまま使ってるけどとても見にくい。
Cステートメントとアセンブラが1対1で対応してればいいけど、Cのコメントやコードがリストの
中に重複して表れ一目でどこのアセンブラコードなのかが分かりづらいです。見やすくする方法とか
あるのかな?
123119:2011/11/13(日) 16:01:14.25 ID:6Q77kQIV
>>120
chip scopeは無料ではないので・・・・
なんだかHDLを書くよりも、ツールの使い方の方が難しいですね。
124774ワット発電中さん:2011/11/13(日) 17:10:39.66 ID:h1sqF/Z4
FPGAは、そういうものです
小難しいことを覚えて、初心者に偉そうなことを言う

入門者セミナーなのに、専門用語を容赦なく使い、全然やさしくない。

掲示板で質問すると、
そんなことも知らないのか、ぐらいの勢いで、
ひらがな二文字の巨匠の洗礼を受ける
125774ワット発電中さん:2011/11/13(日) 18:49:01.84 ID:tTTEex1l
マラ?
にしてもmodelsim-altera-starter使い方さっぱりだわ。
テストベンチの動かし方分からない。
ISimなら多少は分かるのに・・・ううう 自習してきます。以上チラ裏。
126774ワット発電中さん:2011/11/13(日) 21:16:25.16 ID:j3E1TLEJ
あぅ、同じところで悩んでる人いるんだ
ttp://blogwp.whiter.jp/?p=147
127774ワット発電中さん:2011/11/13(日) 21:41:18.25 ID:DINssJNT
>>119
>Xilinx ISEでタイミングレポートの使い方がよく分からないのですが、何かいい資料とかないでしょうか?

とりあえずWEBで入手できる資料を見るだけで結構わかると思うけど?
代理店のWEBにある日本語資料だけでも為になる。

>入力信号が内部でラッチされるタイミングを調べたく、どの配線/素子でどれだけ遅れるか知りたいのです。
レポートファイの中にディレイ時間があったと思う。
128774ワット発電中さん:2011/11/13(日) 21:42:43.81 ID:tTTEex1l
vector waveformは10で亡くなったらしいし。自習とか言いつつ寝てました。すんません。
手動でforce,clockなら動くのだけど、それではとってもシミュレーションとはねぇw
129774ワット発電中さん:2011/11/13(日) 21:58:56.88 ID:0z5lYJGF
QuartusからだとネットリストでのSimになるので、Modelsim-ASE単体で起動してる。
Modelsim単体だと旧XEもASEも使い方同じだし、速度も速いし、
Quartusでコンパイルするまでも無く動作を確認できる。
ttp://homepage3.nifty.com/alpha-1/computer/ModelSimXEIII6_J.html
130774ワット発電中さん:2011/11/13(日) 22:01:03.48 ID:4Be7BTbS
自分は大規模なのはしないし初心者なので9.0にバージョンダウンした
131119:2011/11/13(日) 22:11:07.97 ID:6Q77kQIV
>>127
代理店のWEBページ探してみます。Xilinxのは英語だったり、分かりづらかったりして・・・・
やっぱりタイミングレポートは、しっかり見れないとダメなんですね。
もう少し頑張ってみます。有難うございました。
132774ワット発電中さん:2011/11/13(日) 22:17:21.15 ID:tTTEex1l
>>129
やっぱりそっちの方向ですかねぇ。
ISE(Xilinx)の統合環境は分かりやすかったのですが、Quartusの方はイマイチ・・・

Altera向けソースをISEでシミュレートして・・・は逃げ過ぎですねw
ゲートタイミングとか高度なのは(まだ)要らないので・・・ま、modelsim攻略しますか。
133774ワット発電中さん:2011/11/13(日) 22:26:48.04 ID:0z5lYJGF
>>132
いやいやいやw
リンク先はXilinx用だけど、Altera用ModelSimでも使い方同じって話。

Xilinxは最近までModelSimをフリーで配布してたんで
ModelSimに関してはコッチのほうが情報多いんだよ。
134774ワット発電中さん:2011/11/13(日) 22:45:04.74 ID:tTTEex1l
おお、テストベンチ動いた!@modelsim-ase これで弾みがつくデス。ありがとうございました。
135774ワット発電中さん:2011/11/14(月) 10:29:10.89 ID:JsYkdwxv
>>119
ISE13.3の資料一覧
ttp://japan.xilinx.com/support/documentation/dt_ise13-3.htm

この中の「タイミング クロージャ ユーザー ガイド」
ttp://japan.xilinx.com/support/documentation/sw_manuals/xilinx13_3/ug612.pdf

これでも分からないんだったら、あきらめな
136774ワット発電中さん:2011/11/15(火) 23:27:44.04 ID:mr+v6WkM
俺趣味で、C2Qの9450、XP、4GメモリでXilinxしているんだけど結構重いんだ。
おまえらってどんなPCでXilinx/Alteraしているんだ?
137774ワット発電中さん:2011/11/15(火) 23:37:52.25 ID:nVbcRqgu
138774ワット発電中さん:2011/11/16(水) 00:07:32.18 ID:QVsEYKaB
139774ワット発電中さん:2011/11/16(水) 00:19:07.51 ID:SqZfzGLM
Linuxで動かしたこと無いけど、ちゃんとマルチコア対応になってるのかな?
Windowsでは中途半端に1コア分しか使ってなかったりしてたけど。
140774ワット発電中さん:2011/11/16(水) 01:35:16.37 ID:dkujRIby
opteronと32gbram

Quarrtusの無料版の場合マルチコアに対応してなかったような・・・
141774ワット発電中さん:2011/11/16(水) 02:04:33.99 ID:pVWr2ksI
リソースの使用率がマッハで、ツールが配置配線で発狂しているだけではなかろうか。
無料版でコンパイル出来る規模の回路だと、最近のマシンならば1時間も掛からないよね。
142774ワット発電中さん:2011/11/16(水) 10:53:58.39 ID:pAq55p5b
その1時間が長いってこと。
143774ワット発電中さん:2011/11/17(木) 17:00:55.67 ID:fDpO4Ca1
ちょっと前まで、12時間コンパイルとか余裕でしてたのに・・・
144774ワット発電中さん:2011/11/17(木) 21:29:09.22 ID:MbvdYx9f
なんでロリ合成は時間かかるの
145774ワット発電中さん:2011/11/17(木) 21:57:55.18 ID:dJxcJcXF
人体練成はひとりじゃ出来ないから…
146774ワット発電中さん:2011/11/17(木) 22:36:31.68 ID:fhx8m9rH
教えてください。

TerasicのDE2,DE3,DE4ボードなどに使われている、HSMCコネクタについてです。

1. HSMCコネクタのピン配置(電源の+/-とか信号ペアの位置とか)は、
   どのボード(DE2, DE3, DE4とか)でも同じなのでしょうか?

2. HSMCコネクタに来ている信号は、差動信号を意識して、ペアになっていますが、
   ペアはいらないから、シングルおエンドのI/Oとしてたくさん使いたい、という場合は、
   全ピンが、入力または出力の、どちらにでもできるのでしょうか?
   それとも、入力しかだめよ、とかあるでしょうか?

3. HSMCコネクタを買いたいのですが、売っているお店を教えてください。
   頼みの綱のデジキーが売っていないんです。

4. DE4ボードの回路図は、やっぱり、買う前には手には入らないでしょうか?

宜しくお願いします。
147774ワット発電中さん:2011/11/17(木) 22:41:15.11 ID:mBJrAW6y
ET2011でXilinxの弁当箱もらった
148774ワット発電中さん:2011/11/18(金) 00:08:39.41 ID:YA02SXrN
>>146
単にHSMCから多I/Oを引き出したいダケならばbreakout adapterを買ってくるのが楽かも。
http://zebax.com/index_files/Page1934.htm
149774ワット発電中さん:2011/11/18(金) 00:32:38.74 ID:2U8ovtzX
>>146
コネクタのアサインだったらpdfマニュアルに書いてある。
他の資料はログインしないと見れなさそう。
de0買ってる人ならDL出来るかな?
150774ワット発電中さん:2011/11/18(金) 11:32:23.54 ID:UiMT/axh
電波テロ装置の戦争(始)エンジニアさん参加願います公安はサリンオウム信者の子供を40歳まで社会から隔離している
オウム信者が地方で現在も潜伏している
それは新興宗教を配下としている公安の仕事だ
発案で盗聴器を開発したら霊魂が寄って呼ぶ来た
<電波憑依>
スピリチャル全否定なら江原三輪氏、高橋佳子大川隆法氏は、幻聴で強制入院矛盾する日本宗教と精神科
<コードレス盗聴>
2004既に国民20%被害250〜700台数中国工作員3〜7000万円2005ソウルコピー2010ソウルイン医者アカギ絡む<盗聴証拠>
今年5月に日本の警視庁防課は被害者SDカード15分を保持した有る国民に出せ!!<創価幹部>
キタオカ1962年東北生は二十代で2人の女性をレイプ殺害して入信した創価本尊はこれだけで潰せる<<<韓国工作員鸛<<<創価公明党 <テロ装置>>東芝部品)>>ヤクザ<宗教<同和<<公安<<魂複<<官憲>日本終Googl検索
151774ワット発電中さん:2011/11/20(日) 10:41:06.93 ID:FInDVSgC
>>146
1.そうですよ。
2.クロック入力ピンは入力専用ですよ。他はシングルエンド可能ですよ。
3.デジキーは在庫無くても注文したら意外と早く届くかもですよ。
 チップワンは一ヶ月くらいかかりますが扱ってくれますよ。
4.そんな雲上ボードのことは知りませんよ。
152774ワット発電中さん:2011/11/20(日) 14:17:45.04 ID:XhKY9Zoy
>>146
de2持ってるけどde4の資料はダウソできなかったよ
百度でも見てみたけれどDE4のpdfは転がってないみたいだね

terasicに直接ダメもとで頼んでみたらどう?
153774ワット発電中さん:2011/11/20(日) 19:28:12.72 ID:77JRYReq
外資だからかわいいねーちゃんが売りに来ないかな。
154774ワット発電中さん:2011/11/21(月) 03:35:26.49 ID:pHUTSKWy
>>148,149,151,152
どうもありがとうございます。大変助かりました。

HSMCコネクタは、その名の通り HighSpeed用なので、何か特別なことがあるのかと、思っていました。
LVDSのペアで配線してあるくらいかな?と読んではいましたが、
実際の回路図を見ないことには安心できないです。

評価ボードをたまに買うのですが、回路図が手に入らないことが多くて、
買う前にI/Oの内容やピン機能の確認が出来なくて、困っていました。
やっぱり買うしか無いんですね。残念です。

ALTERAでもXILINXでも、評価ボードのFPGAピンのほとんどが、スイッチや目盛りに配線されていて、
「そんなの要らないんだよ、外部IOがたくさん欲しいんだよ」という時に、困りますね。
DRAM、7segなんて、即座にハンダ付けを外してしまうことが、よくあります。

>>152
わざわざ調べていただいて、ありがとうございます。

みなさん、ありがとうございました。
155774ワット発電中さん:2011/11/21(月) 07:39:52.17 ID:+1h2CMgo
I/Oが沢山欲しいという事ならば、ヒューマンデータとかのブレッドボードの方が用途にあってるかも。
156774ワット発電中さん:2011/11/21(月) 09:44:53.78 ID:e9HC3ABS
>>154
特別なことありますよ。
外に出ているピンにはGNDが無くて、コネクタの真ん中を横切っているバーが
GNDですよ。このGNDバーは手半田しようと思うと基板に一工夫要りますよ。
あとね、
偶数ピン側には信号2本おきに+12Vが配置されてて、
半田ブリッジなどで信号ショートさせると高額な雲上ボードと言えどFPGAピンが
死にますよ。
しかも、悪い事に、このコネクタのピン、半田ブリッジしやすいですよ。
しかも、手前じゃなく奥で…。 ウヒョヒョヒョヒョ
157774ワット発電中さん:2011/11/21(月) 21:49:27.43 ID:DJJlUNAa
>>156
>雲上ボード
って、何ですか? 雲の上のボード→高額→見たことないという意味でしょうか?

しかし、12Vがサンドイッチされているって、変態ですね。
他に方法が無かったんでしょうか?

普通は、+12V, +12V, +12V, +12V, +12V, +12V とまとめて配置しますけどね。

158 【32.8m】 【東電 82.8 %】 :2011/11/22(火) 10:49:15.39 ID:TWHDhKsy
159774ワット発電中さん:2011/11/22(火) 21:56:31.50 ID:jrntN/Sw
ヒューマンデータにHSMC対応基板があったので回路図見てみた。
何この変態ピンアサインw
160774ワット発電中さん:2011/11/23(水) 13:54:47.97 ID:yJAppIwm
差動ペアを+12Vでガードしてるんでしょうね。
変態ですね。
双子の美少女がザクやドムでボディーガードされながら
一緒に歩いてるようなもんですね。
161774ワット発電中さん:2011/11/24(木) 00:45:44.12 ID:zT0eLUwT
ちょっとググったら、ここにちゃんと仕様あるやん。

ttp://www.altera.com/literature/ds/hsmc_spec.pdf
162774ワット発電中さん:2011/11/24(木) 01:03:48.32 ID:PeM+pa6M
+12Vなので、FPGAのピンの「入出力やH/L状態に関係なく」
ショートすると、FPGA即死ですよね?
そのピンだけでなく、FPGAの脳みそまで壊れる予感がします。
経験者の方、いますか?
163774ワット発電中さん:2011/11/24(木) 01:15:01.99 ID:zT0eLUwT
            , '´  ̄ ̄ ` 、
          i r-ー-┬-‐、i
           | |,,_   _,{|
          N| "゚'` {"゚`lリ     や ら な い か
             ト.i   ,__''_  !
          /i/ l\ ー .イ|、
    ,.、-  ̄/  | l   ̄ / | |` ┬-、
    /  ヽ. /    ト-` 、ノ- |  l  l  ヽ.
  /    ∨     l   |!  |+12V> |  i
  /     |`二^>  l.D+|D-| <__,|  |
_|      |.|-<+12V \ i / ,イ____!/ \
  .|     {.|  ` - 、 ,.---ァ^! |    | ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄l
__{   ___|└―ー/  ̄´ |ヽ |___ノ____________|
  }/ -= ヽ__ - 'ヽ   -‐ ,r'゙   l                  |
__f゙// ̄ ̄     _ -'     |_____ ,. -  ̄ \____|
  | |  -  ̄   /   |     _ | ̄ ̄ ̄ ̄ /       \  ̄|
___`\ __ /    _l - ̄  l___ /   , /     ヽi___.|
 ̄ ̄ ̄    |    _ 二 =〒  ̄  } ̄ /     l |      ! ̄ ̄|
_______l       -ヾ ̄  l/         l|       |___|

164774ワット発電中さん:2011/11/24(木) 09:32:43.55 ID:hIzDx1sr
>>162
脳みそってどこ?
コンフィギュレーション回路か?

12Vの電流制限なければかなりの回路は焼けそうだけど、
うまくすれば1BANKのIOブロックが死ぬ程度で済むかも。
165774ワット発電中さん:2011/11/24(木) 10:43:01.08 ID:zbOWFGUm
IOが多いので、生きてるピンを確認するのが大変。
166774ワット発電中さん:2011/11/25(金) 05:26:48.74 ID:59QpdYZL
質問させていただきたいのですが
FPGAと違うベンダのIPコアを使う際に注意点があれば教えていただきたいです。
同じ会社のを使えば楽になると思うのですが,どうしても別の会社のを使わなければならなくなりました。
fpgaには触れたことのない初心者です。。。
167774ワット発電中さん:2011/11/25(金) 08:25:56.93 ID:vGFI7prC
喧嘩すんな!
168774ワット発電中さん:2011/11/25(金) 08:29:18.85 ID:KVziQ+7Y
IPも全部その会社のに載せ替えた方が契約とか無難じゃないか?
169774ワット発電中さん:2011/11/25(金) 09:25:52.12 ID:sIDa4h9x
IPベンダ側でFPGAを指定してるだろ?
どんなFPGA にもインプリできる単純なIPなのか?
170774ワット発電中さん:2011/11/25(金) 10:13:12.71 ID:xtvB7nzF
>>164
いや、ショートした1本だけが死んで何をやっても中間レベルふらふらになる。
と、経験者のおれが言ってますよ。
171774ワット発電中さん:2011/11/25(金) 10:30:11.17 ID:KVziQ+7Y
>>170
300回くらいやって常に1本しか死なないのを確認してから断言してくれ
172774ワット発電中さん:2011/11/25(金) 20:10:27.99 ID:cmnuRoxx
>>171
256回ぢゃダメなんですかっ!(レンホー風)
173774ワット発電中さん:2011/11/25(金) 20:17:51.89 ID:3Gl2glqe
>>166
アルテラのメガコアで生成したやつザイリンクスに乗せるとか?
無理だろ
ゲートで書いてあるわけじゃなくてライブラリ呼び出してんだから
174774ワット発電中さん:2011/11/25(金) 22:16:51.80 ID:i+OVohsl
12Vが入ってきたら、一撃でパーじゃないかな。
175774ワット発電中さん:2011/11/25(金) 23:51:54.05 ID:KfQBgOdO
スレを通してみてると、環境や他人の資産に依存しないようにすべての機能モジュールを
自分で書いたほうがよさそう。カスタマイズも自由自在だし。
176774ワット発電中さん:2011/11/26(土) 01:54:04.36 ID:ZJySP5cW
期間と人件費を気にしなければ、という条件付になるけどね。
177774ワット発電中さん:2011/11/26(土) 10:44:43.58 ID:UpIGxdIR
時代と逆行してる発言のような、
モノづくり日本でも復活させるのかな。
178774ワット発電中さん:2011/11/26(土) 10:57:35.65 ID:+vJhi0qU
環境に依存しないように作っても、ターゲットが変わればカスタマイズだろ・・・
毎回作ってもそんなに大差ない。
#ifdef の嵐とか恐いぜ。
179774ワット発電中さん:2011/11/26(土) 20:32:27.78 ID:lvt5C0ZK
電源切っても電源ラインに電圧が残ってるというので、何でだと調べたら12Vを繋げられてたことがあった。
機能未割当てのピン=何に使っても大丈夫、ではないのだが。
死んでたのはそのピンだけだった。
180774ワット発電中さん:2011/11/27(日) 00:32:44.05 ID:kEpSS2cD
教えてください。

LatticeMico8のGPIO割込についてです。

GPIO割込を利用する為に、
MicoGPIO.cの記述に従って __MICOGPIO_USER_IRQ_HANDLER__ をONにすると
正常にコンパイルできません。
Mico8Interrupts.cで使用されるMicoGPIOISR関数の宣言が無い為です。
MicoGPIO.cやMico8Interrupts.cはBuild時に上書きされるので、直接編集もできません。

GPIO割込を利用するにはどうすればよいのでしょうか?

宜しくお願いします。
181774ワット発電中さん:2011/11/27(日) 08:57:00.14 ID:rOqb6FsO
Lattice全く分からんけど、
Micp8の構成に割り込み周辺が無いとかだったり?
ハード構成も確認してみては。
182774ワット発電中さん:2011/11/27(日) 09:48:09.34 ID:NhWS6pXV
AlteraのNios2はデフォルトで割り込み対応してたけど
XilinxのMicroBlazeでは自分で割り込みチェーンを構成しないといけなかった
その辺じゃないかな
183774ワット発電中さん:2011/11/27(日) 15:07:17.96 ID:tWagjrJt
>>175
高速IFとかメモリとかデバイス毎にハードマクロで作り込んであるから
素直に提供されたの使った方がいいよ
184774ワット発電中さん:2011/11/28(月) 19:37:40.06 ID:YoXmLZJR
質問ぶん投げクンたちはそもそも回答見てくれてるんだろうか・・・
質問じゃなく単なるネタ投下?
まあネタ投下でもそれはそれでいいけど
185774ワット発電中さん:2011/11/28(月) 21:36:47.53 ID:WZyRztuW
>>181,182
レスありがとうございます
反応が遅れてすいません

>>181
ハード構成には、IRQの設定があったので割り込み自体はあると考えました。
実際にハード構成でIRQの設定を追加すると、
Mico8Interrupts.cにMicoGPIOISR関数の呼び出しが追加されました。
問題は、MicoGPIOISR関数を改造できない点です。

>>182
割り込みチェーンについて調べてみます
186774ワット発電中さん:2011/11/28(月) 21:53:40.56 ID:lu5gsRgr
複数のマイコンと割込み機能を日常的に使ってるけどここのレスはよく分からんな。
割込みチェーンとか何?そんな言葉はまったく使わないんだけど。
187774ワット発電中さん:2011/11/29(火) 09:32:13.56 ID:DKX4+cd7
変な言葉使ったなw
割り込み信号の経路の事ね。
Xilinxだと割り込み信号を割り込みコントローラに繋いで、
割り込みコントローラの出力をCPUの例外入力に繋ぐって事を自分でやらなきゃいけなかった。
188774ワット発電中さん:2011/11/29(火) 09:54:19.10 ID:cXov6M6f
>>186
日常的に同じ事やってても知見は広がらないからな
189774ワット発電中さん:2011/12/03(土) 22:27:45.94 ID:RFT3Jl7h
>>189
同じ太さのスティックだけ使っててもアナルは広がらないしな
190774ワット発電中さん:2011/12/06(火) 23:05:16.72 ID:k2ipvmv2
2本じゃダメなんですか!
191774ワット発電中さん:2011/12/07(水) 17:36:29.54 ID:tYGMBqXW
すみません、XilinxのISEやPlanAhead関連の質問はこちらにしても大丈夫でしょうか?
192774ワット発電中さん:2011/12/07(水) 20:16:29.35 ID:fylBJuFl
どうぞ
193774ワット発電中さん:2011/12/08(木) 21:44:29.59 ID:jBZMwLM6
HDLの仕事減ってる?
数年前は組み込み組み込み言ってたのになー
最近verilogの募集なのに基板設計できませんって言うとことごとく断られるわ
194774ワット発電中さん:2011/12/09(金) 00:46:29.91 ID:j584SrAG
FPGA HDL屋で基板設計できないって信じられないんだが
FPGA設計と基板設計って不可分とは言わないが、ハード屋なら両方できないと
ちゃんと動くシステムなんて構築出来ないんじゃないか
FPGA HDL屋っていまやソフト屋がほとんどなのかな
195774ワット発電中さん:2011/12/09(金) 01:17:42.84 ID:6ayQgh9E
HDLだけ屋が実はソフト屋だったってのはままある事。
そんなヤツ使って痛い目合って…そりゃ雇う側も学習するさ。
196774ワット発電中さん:2011/12/09(金) 01:19:01.97 ID:BUSvI+a/
>>193
そういう場合、できますって言っといて採用されてから必死に身に着けていくものなのでは。
結果を怖がって最初から逃げるか、チャレンジするかが生き残れる技術者になるかそうでないか
の分かれ道なきがする。
197774ワット発電中さん:2011/12/09(金) 03:37:26.25 ID:d3dfUUZH
それは韓国人。
べからず三原則を思い出せ。

日本人の「出来ない」信じるべからず。
韓国人の「出来る」信じるべからず。
中国人の「出来た」信じるべからず。
198774ワット発電中さん:2011/12/09(金) 10:48:34.58 ID:hUuFSHMA
でもそういうハングリー精神で力をつけてきているのも事実。
日本人は謙虚だが、謙虚だけでは競争社会で置いていかれる
199774ワット発電中さん:2011/12/09(金) 10:54:32.97 ID:aDRiM43a
っと在日が申しております。
200774ワット発電中さん:2011/12/09(金) 12:57:40.44 ID:vVf1id4A
>>193
FPGAで作ったやつをPICで作り直すって仕事をもらったよ。
ガチガチのハード屋さんも最近はソフトで出来るって
気が付いたらしい。
201774ワット発電中さん:2011/12/09(金) 13:48:51.70 ID:wcgeq65d
雇う方からしてみれば、1つより2つのことをできるやつ雇うほうがいいもんな
今の時代一本でいくとか普通に考えて難しくないか?最低2つ、良ければ3つってとこだろ
202774ワット発電中さん:2011/12/09(金) 14:16:30.40 ID:2E3Y/EcK
特化した人間より幅広く知識を持つ人を求めたりもする
でも浅いとダメである程度深くと要求される
無限に時間はないんだけどな
203774ワット発電中さん:2011/12/09(金) 14:23:04.52 ID:VAUPKh9L
アナログ設計だけだから。と聞いて受けたら、
FPGA含めロジックとブートプログラムまでやらされたことがあったわ。
204774ワット発電中さん:2011/12/09(金) 14:55:11.34 ID:TqGmraqO
今実験で使ってるVHDLをコンパイルするのにstd_logic_arithextっていうライブラリファイルが必要らしいんだが見当たらない…(´;ω;`)
205774ワット発電中さん:2011/12/09(金) 18:06:13.48 ID:/rok5QGj
>>200
俺ハード担当だけどマイコンのソフトはある程度できる
でないと実機でのハードの簡単な検証すら出来ないからな
レベルはソウト屋と比べれば話にならんが

>>197
東アジアの民族はいずれにせよ嘘つきで信用ならんってことだな
206774ワット発電中さん:2011/12/09(金) 19:06:45.37 ID:zlbP1FjJ
193です
>>194-205の皆さんレスありです
なるほど
すげー勉強になりました
今まで一ヶ所だけをより深くしか考えてませんでした
207774ワット発電中さん:2011/12/09(金) 21:14:50.84 ID:ZcR1wzpU
>>194
回路設計はするが、
基板設計は外注する方が多いんじゃないの?
両面くらいなら、別に自分でしてもいいけど、
無料CADじゃ、多層のは無理だし。
208774ワット発電中さん:2011/12/09(金) 21:24:45.67 ID:Uykr14KY
>>207
基板設計はMBEで4層までやってますが(´;ω;`)ウッウッウッ・・・
209774ワット発電中さん:2011/12/09(金) 22:32:06.60 ID:6ayQgh9E
>>207
>>194の言う基板設計って回路設計のことかと思ってたけど。
アートワークは外注でいいよ。
210774ワット発電中さん:2011/12/09(金) 22:40:02.57 ID:zC0yfB/t
verilogも回路設計だからニュアンスとしては
もっとハードよりの設計という程度の意味だろう

さすがに基板のパターン引くことを意味してはいないと思う
211774ワット発電中さん:2011/12/10(土) 02:42:39.57 ID:zr4eVaxJ
FPGA屋はC++も、アートワークも、BGAのリボールも出来て当然!
212774ワット発電中さん:2011/12/10(土) 07:31:38.22 ID:SGb3OCpg
自分が仕事したのはFPGAでなくてLSIだったが、アートワークできる人などいなかった。
大手企業だったけど、普通に考えれば、アートワークとFPGAは並行して開発するから
HDLのプログラミングをする人がアートワークをするとは考えにくいと思うのだが。

このあたりの事情はFPGAでも、そう変わらないと思っていたのだが。
零細なところでFPGAを開発する場合、一人でアートワークの設計もHDLのプログラムもするって
ことなのかな。企業規模を教えてほしいな。
213774ワット発電中さん:2011/12/10(土) 08:35:36.96 ID:YDAVDHbq
こが高するとき回路設計とアートワークは融合する。
214774ワット発電中さん:2011/12/10(土) 09:52:42.71 ID:8zx+oM90
LSIだとアートワークじゃなくてレイアウトだろ。
215774ワット発電中さん:2011/12/10(土) 11:07:40.13 ID:Yixu/qUP
旋盤加工、フライス加工、表面処理、塗装の技術も必要
216774ワット発電中さん:2011/12/10(土) 11:30:06.88 ID:FP2i0an5
アートワークは外に投げるわ。
アートワークからアセンブリの間にFPGAを終わらせる。
217774ワット発電中さん:2011/12/10(土) 12:48:28.49 ID:nuJ7J6wC
>>215
機構設計くらいならする。
本職に比べるとダメダメだけどw

基板は配線ルートを考慮して
ざっくり部品配置案までは考えて
アートワーク屋に投げる。

FPGAのピンアサインと配線ルートがちぐはぐだと
「これ6層じゃ入らないんですけど」
とか言われたりして泣くw
218774ワット発電中さん:2011/12/10(土) 14:03:16.53 ID:2O2VFx+I
実際その現場でアートワークしなくても、アートワークできる人の方がピンアサインとか上手いよね
後工程までちゃんと考えてやるから
219774ワット発電中さん:2011/12/10(土) 14:50:52.83 ID:QXJbp2pk
>>215
勿論、自宅に旋盤、フライス、石定盤ハイトゲージ、ナベヤのバイス…等々
一通り出来るよ。
220774ワット発電中さん:2011/12/10(土) 18:09:59.28 ID:s3OUiQBy
>>218
自分でアートワークすると、「やっぱこっちのピンを使うか」みたいな
ことが出来るけど、任せると回路図通りに作ってくるからなぁ。

「こんなに引き回すなら、こっち使えよ」って思う。
面倒だからそのままにするけど。
221774ワット発電中さん:2011/12/10(土) 20:26:23.98 ID:T4KkUdIE
俺の会社には高周波屋が居るんだが、あいつらにとってパターンは
部品と同じ扱いで、パターンを使ってフィルタなんかを作るんだよな。
222774ワット発電中さん:2011/12/10(土) 20:31:37.85 ID:Xy7oMF8Y
そんな事はソフトがやってくれるわ
223774ワット発電中さん:2011/12/10(土) 21:05:50.63 ID:zHaP5/xy
そりゃ波長が短くなれば分布定数回路になるもんね、パターンは。
224774ワット発電中さん:2011/12/11(日) 12:31:31.53 ID:4y1GpL17
>>209
アナログが苦手とか高周波無理ならわかるけど、
回路未経験でHDLだけなんて人は、普通はいないよ。
そんな人がいれば、逆にICメーカやIPベンダで、
需要はあるとは思うけど、製品メーカや受託業者じゃきついね。
225774ワット発電中さん:2011/12/11(日) 14:06:18.66 ID:l3BRgyTJ
じゃあ>>224>>193,206をどう見てるんだい?
普通じゃない人?
226774ワット発電中さん:2011/12/11(日) 18:27:19.99 ID:l/17TY20
>>224 ここに一人います
227774ワット発電中さん:2011/12/11(日) 21:39:19.42 ID:9ePI/i9+
>回路未経験でHDLだけなんて人は、普通はいないよ
いまの日本はこれが普通だよな
エレキドカタに回路経験なんて不要だよな
228224:2011/12/11(日) 23:56:33.54 ID:E2b4gJHZ
>>225
だから、基板設計ができないんでしょ。
Verilogで食ってる会社で使うような高速大容量FPGAだと、
基板・回路・HDLをまとめて1人でやるようなところが増えてるんでは。
インピーダンス計算ちゃんとできない基板屋多いから、
ちゃんとやってくれるところは、高いだろうし。
229774ワット発電中さん:2011/12/12(月) 00:31:19.11 ID:GUMz0kRE
今日から変わったっぽいGoogleのトップページの画像だけど
ttp://www.google.co.jp/logos/2011/noyce11-hp.jpg
なんか違和感あるよね?
230774ワット発電中さん:2011/12/12(月) 01:09:51.58 ID:lzagBUst
>>228
逆に細分化されてそれぞれのスペシャリストがやるようになっているんじゃないのか
231774ワット発電中さん:2011/12/12(月) 01:46:17.00 ID:UQe2Ntmq
>>226
ちなみに、どんな御製品をお作りでしょうか?
232774ワット発電中さん:2011/12/12(月) 08:42:20.74 ID:u/WVyNZy
ツールが使えるのと、設計ができるのは、まったく別次元だと思うけど、
なぜか日本で言う「経験者」とは前者で、しかも経験年数がたかが2〜3年。
だけど、経験ツールのバージョン違い等にはやたらとスキル指定(wが細かい。

ブログネタで基板を作っていたり、オートルータ任せの基板しか設計した
ことがない程度のレベルでは、個人的には仕事を任せたくない。

1本や2本のパターンならともかく、基板設計時の等長配線や、インピーダンス
計算は、ほぼ100%ツールが持っている機能まかせでしょ。操作のやり方さえ
覚えれば、中身は知らなくてもできる。

そもそも、インピーダンス整合といっても、発注依頼側に『じゃあ何Ω』に
整合しますかとか質問しても答えられなかったり、前提となる基板材料の
指定とかやっていないのでは?
233774ワット発電中さん:2011/12/12(月) 13:38:05.22 ID:VmHhBCKr
だって、長い経験年数を要求したら年寄りしか来ないやん
234774ワット発電中さん:2011/12/12(月) 18:26:18.91 ID:DGrzNH3I
いま電子産業界で多く必要とされているのは技術者ではなく
低賃金で使い捨てのドカタだからツールが使えればOK
グローバル時代は1%の技術者と99%のドカタの時代なんだよな
235774ワット発電中さん:2011/12/12(月) 18:57:29.91 ID:u/WVyNZy
>>233 みたいな、無能で稼げない正社員ドカタが、上から目線で格上の
エンジニアを見下して、より待遇の悪い条件で使い捨ての駒を募集して
いるんだから、地盤沈下が止まるはずがないわな。

過去に開発の一線に関わった経験どころか、ロクに知識がないピンハネ屋
の営業ばかりが暗躍して、素人同然の学生上がりを派遣で送り込んで、
エンドレスの開発で体力消耗中だけど、破綻するまで誰も責任を問われ
ないという、ウィンウィンの関係。(w
236774ワット発電中さん:2011/12/12(月) 21:08:59.38 ID:r47/TxEH
日本のIT産業はゼネコン型
237774ワット発電中さん:2011/12/12(月) 21:40:15.91 ID:1z0KuiUN
>>231
携帯関係です
238774ワット発電中さん:2011/12/12(月) 22:28:25.36 ID:085dcGYu
>>235-236
日本が世界に誇るすばらしい社会システムで多くの企業が活用しているよな
地盤沈下じゃなく、これがあるから日本は競争力ある電子産業大国になれた
239774ワット発電中さん:2011/12/12(月) 23:17:17.59 ID:hcuDslji
>>235
そちもワルよのぅ、越後屋
240774ワット発電中さん:2011/12/12(月) 23:43:57.14 ID:ovf4e0RV
>>237
ってことは、大量生産のASICかな。
241774ワット発電中さん:2011/12/13(火) 00:30:25.40 ID:KX3ZrOug
242774ワット発電中さん:2011/12/13(火) 18:30:30.38 ID:4Zz0QNEE
日本の凄さは異常。
243774ワット発電中さん:2011/12/13(火) 19:00:29.70 ID:Io9tJzdP
という勘違い
244774ワット発電中さん:2011/12/13(火) 19:23:01.56 ID:P1ZHGnDa
ほんと日本の電子産業の凄さは異常すぎるよな
なんでここまで凄い電子技術大国になったんだろうな
日本人が世界一優秀だからだろな
245774ワット発電中さん:2011/12/13(火) 20:54:33.14 ID:cw3C4J0W
>>238
ソフトウェア(HDLも)の場合で、半導体産業の発展はこれにはあたらない。そしていまでは衰える一方だが。
日本人が世界一優秀、これも違うでしょう。
246774ワット発電中さん:2011/12/13(火) 22:50:12.79 ID:5SAf5mEN
>そしていまでは衰える一方
ゆとりだらけだからそんなもんじゃないかな
247774ワット発電中さん:2011/12/13(火) 22:54:26.68 ID:a9OUYu6J
>>244
何を勘違いしてるんだ???
248774ワット発電中さん:2011/12/13(火) 23:27:07.84 ID:0MPXHswu
なんでもゆとりって言えば結論だと思ってる老害
249774ワット発電中さん:2011/12/14(水) 07:01:22.11 ID:BNheQurf
ASICとASIA
250774ワット発電中さん:2011/12/14(水) 07:51:33.36 ID:SN/ywKDj
ゆとりというより日本の会社の構造とか
メンタリティの限界だと思う。
何をするのも他人頼み、判断も承認も遅い。
今は欧米の優れた会社はもとより中国、韓国にも劣る。
過去の資産でなんとか食いつないでいる感じ。
251774ワット発電中さん:2011/12/14(水) 09:07:18.53 ID:fyNaOewT
日本をナメんじゃないよ
252774ワット発電中さん:2011/12/14(水) 10:05:56.75 ID:q3vWr4dT
欧米崇拝もいいけど、行き着いた先がコレですよ。
このスレ的には、米国(と台湾)に抑えられてるけどね。
253774ワット発電中さん:2011/12/14(水) 11:27:57.35 ID:WbM3nvRu
韓国に抜かれ、台湾に抜かれ、中国に抜かれ・・・
254774ワット発電中さん:2011/12/14(水) 12:41:19.13 ID:XcnWKmsP
何も残ってねー
255774ワット発電中さん:2011/12/14(水) 13:35:21.26 ID:/kWJ3Vb1
無能な経営者が残っています
256774ワット発電中さん:2011/12/14(水) 14:14:51.70 ID:YKC0VKlT
ハニートラにやられちゃったかw
257774ワット発電中さん:2011/12/14(水) 18:40:36.10 ID:0Vi2qzHL
日本が研究開発し名誉を得、それの成果をアメリカが商品化して先ず儲け
そして韓国・台湾・中国が安く大量生産しても儲ける
金持ちになった今の日本ではローテクなのや世界一じゃないと価値無し
だからな。
258774ワット発電中さん:2011/12/15(木) 09:33:20.28 ID:WoS50XCm
>>253
昨日、台湾マッサージ行ったけど
抜かれなかったぞ!
259774ワット発電中さん:2011/12/15(木) 11:55:55.14 ID:OlvIeHYH
>>258
裸にされてサイフ抜かれた
260774ワット発電中さん:2011/12/15(木) 14:06:18.84 ID:WaeMRTNm
日本はもう凄くない
261774ワット発電中さん:2011/12/15(木) 19:13:18.18 ID:QsRCgAKL
教えてください。

ISEのコンパイルやインプリメントなどの処理が遅いので、PCを買い直そうと思います。
現在、Core2Duoでやっていますが、
i7-2720?にすると「おっ! すげ〜」というくらいに速くなりますでしょうか?
262774ワット発電中さん:2011/12/15(木) 19:47:37.97 ID:hjomVL6T
インプレスとかで各種テストしてると思うから、そのスコアでも見たら?
仕事時間を使って何してんだかと言われるかもしれないけど、お金を使う事に失敗しないために予め捨ててもいいような資金を投資するようなもんだ。
C2Dも一世代前ぐらいは特集で組んでてコレくらい違うとか記事であるから、現状のPCのスコアを出してとりあえず購入直後ならコレくらい差があるって出してあげるといいと思う。
それの価値を見出せるかどうかは上司しだい。

と書いてから自分で全部やれる職場なんじゃないかと思い始める俺。
ところで人間って時間間隔を考えるときに指数じゃないとやっぱり早く感じないのかねw
263774ワット発電中さん:2011/12/15(木) 22:05:02.08 ID:5TN8MK7M
>>261
普通はワークステーションでやるんだが
いまならi7-3930ぐらいにしろ
264774ワット発電中さん:2011/12/15(木) 23:10:15.65 ID:hd7PXwAg
サブスクリプション版買わないと性能上げても無駄なんじゃないの?
265774ワット発電中さん:2011/12/15(木) 23:14:04.62 ID:OlvIeHYH
>>263
ISEはマルチコア対応なん?
Quartus IIはカネ払わないとシングル縛りなんだけど。
266774ワット発電中さん:2011/12/15(木) 23:29:09.78 ID:5TN8MK7M
おいおい
普通はLinux(x64)でサブ版だろ
267774ワット発電中さん:2011/12/16(金) 01:11:34.30 ID:CSylaXrn
Quartus IIもごにょごにょすれば使えるだろ、サブ版w
268774ワット発電中さん:2011/12/16(金) 10:41:23.06 ID:zdLwMV75
>>265
今、ちょうど13.3をインストール中なんだが、
multi core 対応のチェックがあったよ。

何でも、インストール時のファイル展開が速くなるって書いてある。
269774ワット発電中さん:2011/12/16(金) 11:28:06.13 ID:B9KOsbgI
>>268
それマルチコア対応してるのインストーラじゃんw
270774ワット発電中さん:2011/12/16(金) 12:23:39.91 ID:Cug/gKNt
いい雰囲気なのにすみません。教えてください。

ALTERAのStratix4を使おうと、資料をダウンロードして読んでいるのですが、
このデバイスの各I/Oピンについて教えて欲しいことがあります。
 ・シングルエンド入力時、終端抵抗が、on/off可能か。
 ・シングルエンド出力時、直列終端抵抗が、on/off可能か。
です。
LVDSなどの差動I/O時などではon/offあり、の説明があるようなのですが、
「シングルエンド時でも使えるよ」とは読み取れないのです。

以下は言葉の意味の説明です。
・入力時の終端抵抗とは、FPGA内部に抵抗を持っていて、VccとI/O間、またI/OとGND間の抵抗のことです。
・出力時の直列終端抵抗とは、FPGA内部に抵抗を持っていて、ダンピングする抵抗のことです。
・シングルエンドとは、GNDとI/Oピンで入出力する、ごく一般的な使い方です。

もしいずれも「NGだよ」だとすると、
基板上に抵抗を競ってしなければならず、泣いてしまいます。

どうぞ宜しくお願いします。
271774ワット発電中さん:2011/12/16(金) 18:14:21.91 ID:aLIQAicx
>>270
空気読め無い奴だな。ここは雑談スレでそんな質問をするスレではない
そんな質問は公式Alteraフォーラムにしる
ここで聞いて良いのは下記のようなことだよ(公式フォーラムで聞けないこと)

>>267
もってことはISE同様にQuartus IIもってことか
両方のごにょごにょを教えろ
272774ワット発電中さん:2011/12/16(金) 18:27:37.16 ID:EHltswFN
勝手に仕切るなよ()
そんなこといつ誰が決めたんだ()
273774ワット発電中さん:2011/12/16(金) 18:30:41.42 ID:EcA6AF4d
>>271
>空気読め無い奴だな。ここは雑談スレでそんな質問をするスレではない

こんなことを堂々と言っちゃう人がいるとは。。。 >>1 を百篇読み直すのがお勧め


>>270 は、気にしないように。ここは質問おk、入門者大歓迎のスレです。
274774ワット発電中さん:2011/12/16(金) 18:36:07.52 ID:Cug/gKNt
対象のI/Oが100本以上あるのですが、
パターンカット用にカッターナイフを用意した方が良いでしょうか?
宜しくお願いします。
275774ワット発電中さん:2011/12/16(金) 18:46:32.41 ID:44hnpO5r
パターンカット→リストカット
276774ワット発電中さん:2011/12/16(金) 19:48:54.97 ID:0GgJPNZ2
>270
通常はNGのはず。差動のみ、てのが普通。
Stratix固有の話は知らないがシングルでもOKならOKと分かるように書くと思うので
みあたらなかったのなら諦めろん。
277774ワット発電中さん:2011/12/16(金) 20:43:22.59 ID:/NBW5wmi
>>274
超音波カッターおぬぬめ
278774ワット発電中さん:2011/12/16(金) 21:50:33.09 ID:836hGprq
SDCファイルの記述法教えてください。特にPLL生成のクロック。
279774ワット発電中さん:2011/12/16(金) 22:15:51.16 ID:B9KOsbgI
Stratixは知らんけど、
Cyclone3だとシングルエンドで出力直列終端は使えるね
入力並列終端はもともと無い

>>278
derive_pll_clocks -create_base_clocks
280774ワット発電中さん:2011/12/16(金) 22:21:19.80 ID:1cTLTUAi
Stratixなら両方とも使えるだろうに
281774ワット発電中さん:2011/12/17(土) 09:41:29.35 ID:GquazPu8
>>274
パターンカットなら卓上フライス盤+0.3Φエンドミルがおぬぬめ
282774ワット発電中さん:2011/12/17(土) 12:42:52.15 ID:8f8wY0s8
I2C通信のようなワイヤードORで構成されたシステムを
FPGAに持ち込むのってやっぱ面倒臭そう?
論理合成が勝手にやってくれると助かるんだけどなぁ。
verilog使いなので分からないのですがVHDLだと楽にできちゃったりするのかな。
283774ワット発電中さん:2011/12/17(土) 12:47:22.14 ID:8gNGRAQd
出力側と入力側に分離して
出力側を全て(不論理の)ORして
入力側につっこめば良いんじゃない
284774ワット発電中さん:2011/12/17(土) 13:14:24.82 ID:1+q9s8v8
誰か パターンカット手伝って欲しいです
100箇所カットとレジストはがし、0603チップ抵抗取り付け。
基板は5枚あります。月曜日に納品です。
1箇所3分として、25時間かかる。
寝れーへんよ
285774ワット発電中さん:2011/12/17(土) 13:32:30.53 ID:pHpvXiQ0
まだ月曜まで時間あるじゃん
頑張れw
286774ワット発電中さん:2011/12/17(土) 13:44:26.33 ID:8f8wY0s8
>>283
固体の入出力は分離するのね。
なるほど、ありがとう。
287774ワット発電中さん:2011/12/17(土) 15:14:22.77 ID:o8NdvpiL
>>284
せめて、リューター買ってくれば? ダイソーでもいいけど、カッターや
アートナイフでチマチマやるより作業性がいい。 つか、自営やってて、
これくらいの道具も持ってないのか?

それに、0603ってJISそれともEIA? 後者なら1箇所に3分じゃ、時間
掛かりすぎ。
288774ワット発電中さん:2011/12/17(土) 15:55:02.88 ID:YHAlfxB5
砥石で切るタイプの基板カッターってのもそこそこ使えるぞ。
289774ワット発電中さん:2011/12/17(土) 16:35:19.63 ID:eoCm287Z
おまえら、FPGAの知識よりドカタのやる仕事の知識があるんだな
290774ワット発電中さん:2011/12/17(土) 16:41:30.97 ID:e4NODlXk
>>284

NC屋のコネはないの?
さすがにレーザー持ってるとこは少ないけど
基板図面さえあれば、確認しながらのモードでも1個5秒もかからずカットできるし
0.3mmピッチでもカット可能だよ。
291774ワット発電中さん:2011/12/17(土) 20:26:17.66 ID:o8NdvpiL
データ入力と位置あわせに半日掛かる悪寒。
292774ワット発電中さん:2011/12/17(土) 21:44:05.00 ID:YHAlfxB5
>>290

>NC屋のコネはないの?

そら、NCだから。
293774ワット発電中さん:2011/12/18(日) 00:35:56.30 ID:KmL0BIvO
直列のダンピング抵抗は使えるでしょ?
なんでパターンカットすることになった?
270とは、別の話か?
294774ワット発電中さん:2011/12/18(日) 12:16:59.17 ID:HLXhn+gd
えっ、マジですか?
295774ワット発電中さん:2011/12/18(日) 12:33:57.11 ID:Bu7oc6+p
実際やってみりゃ分かる話じゃない。

何もせずに人の話のみを鵜呑みにして
パターカットしようとしてるなら2chなんかで
質問するべきじゃない。
296774ワット発電中さん:2011/12/18(日) 16:14:59.56 ID:21MY9YnJ
>>292
ハハハw
297774ワット発電中さん:2011/12/18(日) 16:17:29.64 ID:8bNZ4qMq
>>292
若干じわじわきたw
298774ワット発電中さん:2011/12/18(日) 18:57:47.20 ID:FRQO5i0o
2chを鵜呑みにして、パターンカットするために
フライス盤と、NC盤と、砥石で切る基板カッターと、リューターを
全部そろえて片っ端から試して、
「やっぱり普通にカッターでやっときゃ良かった」と後悔しても、
もはや取り返しのつかないことになっている>>270を想像してワロタ
299774ワット発電中さん:2011/12/18(日) 21:46:53.71 ID:LFa8jn4b
ダンピング抵抗を入れないと誤動作しまくり・動作しないような基板って
なにか設計間違ってないのか
300774ワット発電中さん:2011/12/18(日) 22:09:21.29 ID:grCr3KUk
単にデカくて配線の長さがあるんだろうね
301774ワット発電中さん:2011/12/18(日) 23:29:23.86 ID:dEHzB7Jy
>>296-297
誰にもレスされなかったからって自演しなくてもいいよ
302774ワット発電中さん:2011/12/19(月) 12:30:54.32 ID:cf0bSJPq
>>300
短小包茎で悪かったな!
303774ワット発電中さん:2011/12/19(月) 17:27:01.56 ID:9aI0iNT1
大変だ、>>284が息をしていない・・・
304774ワット発電中さん:2011/12/21(水) 22:14:30.96 ID:GvBVg4QA
クリスマスが来るな。どうするんだ?
305774ワット発電中さん:2011/12/21(水) 22:22:25.87 ID:/gbPhhJr
XILINXmas
306774ワット発電中さん:2011/12/22(木) 00:23:07.52 ID:uX6ztnQy
fpgaを抱いて寝るんだろ
言わせるなよ、恥ずかしい
307774ワット発電中さん:2011/12/22(木) 03:10:52.39 ID:GGqa2NUk
去年のクリスマスプレゼントは、ダウンロードケーブルだった。
今年は、チップスコープが欲しいな。
308774ワット発電中さん:2011/12/22(木) 10:55:38.27 ID:vQ1NPpeG
>>306
イタ気持ちよさそうだ…
309774ワット発電中さん:2011/12/22(木) 18:16:40.28 ID:5QsUe2R+
なんかクリスマスプレゼントクレクレ
310774ワット発電中さん:2011/12/22(木) 22:11:28.18 ID:KuXAcMb7
Platform cable Uが壊れたよ。
予算がないよ。自腹はやだよ。

サンタさん、お願いします。
311774ワット発電中さん:2011/12/22(木) 23:13:23.17 ID:RSMDgXAY
どんな風に壊れたのか知らんが
ttp://sa89a.net/mp.cgi/ele/xil-cable.htm
312774ワット発電中さん:2011/12/23(金) 10:46:22.49 ID:SFk/CR64
JTAGの認識はするけど書けなくなった。
ドライバー入れ直したらうまく認識しない。
借りてきたライタは使えたからライタ本体が壊れてるっぽい。

休み明けにやってみるわ。ありがと。
313774ワット発電中さん:2011/12/23(金) 12:49:16.80 ID:74jqIWdb
Xiのケーブルは、つなぐたびにファーム送ってるから、
ちょっとした不調で、再起不能になるかも。
314774ワット発電中さん:2011/12/23(金) 15:34:58.74 ID:VI4gcNPM
>>299
基板変更できない状態になればやらざるをえないこともある。
そんなことは製品設計では日常茶飯事
ダンピング抵抗で改善するならましなほうだ
315774ワット発電中さん:2011/12/23(金) 16:43:03.42 ID:C8DQ4hWZ
>>310
自腹はいやって、会社のだろ
たいした値段じゃないのにそれを買う予算がないっておいおいじゃないか
買ってもらえよ
316774ワット発電中さん:2011/12/23(金) 16:56:26.79 ID:oCVX6D8A
>>314
何だそれ?
下位のSPAのcycでも、もう端子同士直結じゃ動かない速度でしょ。
だから、最初からダンピング抵抗内蔵してるんじゃん?
パターンの引き回しの悪いのは論外だけど、基板を直して
よくなるような次元の話じゃない。
317774ワット発電中さん:2011/12/23(金) 21:32:06.40 ID:2LYfyGm+
教えてください。

FIRフィルタについてです。
FPGA clock 50MHz、ADサンプリング周波数50MHzで取り込んでLPFを作ろうと思います。
取りたい信号は、15kHzくらい以下で、50kHz以上を1/10000に落としたいです。

しかし、とてつもない数のDSPスライスが必要になります。常識的に考えると、
「なんで15kHzごときに、50MHzものclockを使うんだ!?
 そんなもん、200kHzとかで十分だ。そうすればDSPスライスも少なくて済むんだし」
と言われそうです。
しかし、15kHzの信号を200kHzでサンプリングしていては、
1周期に14点程度しかデータが得られず、
例えばDAコンバータを使ってアナログ波形に戻すと
「ガタガタの階段みたいな波形」になってしまいます。
これを、
1度の細かさで出力したいとなると15kHzの360倍、
0.1度の細かさで出力したいとなると15kHzの3600倍の
それぞれサンプリング周波数になると思います。
その結果、今回のように50MHzのサンプリングということに
なると思うのです。
何か、考え方がおかしいでしょうか?
318774ワット発電中さん:2011/12/23(金) 22:14:29.78 ID:y1AgXtZr
>>317
技術的なことは分らんが、文章から低脳と感じるんだが
周りの奴に低脳って言われないか?

200kでAD、そして処理して、出力は50MでDAすればいいだろ
ADとDAのクロックを変えれば良いって小学生でも分るんじゃないのか
あとど素人の俺でも、>>317は小学生レベルの信号処理すら理解していないように感じるんだが
319774ワット発電中さん:2011/12/23(金) 22:34:32.13 ID:kRJolEez
オーディオっぽいのでパス
320774ワット発電中さん:2011/12/23(金) 22:37:59.45 ID:pIyLiMQf
>>317
シャノンのサンプリング定理の導出は大学の般教レベルなんだが、
感覚的なことをいうと1波形あたり2点でサンプリングして、標本化関数で補間すれば、
元"波形"を再現できるってこと。
実際、標本化関数では補間せず簡単なアナログLPF使って、カクカクが見た目無いような処理をするんだが、
君はそれが不満ってわけだな。
でも、いくらオーバーサンプリング周波数を上げてもアナログLPFを使わないとカクカクは無くならないけどいいのかい?
それとADとかDAのスペックみたことあるか?サンプリング周波数を上げれば上げるほどノイズフロアは上がる
48kHzと96kHzでは明らかに96kHzの方がノイズが大きいんだが、それでもいいの?
50MHzで16bit LSBまでギャランティしてるADなんて存在するのか?
321774ワット発電中さん:2011/12/23(金) 23:44:43.90 ID:d0bwiG/2
どこの大学だよw
322774ワット発電中さん:2011/12/23(金) 23:47:50.79 ID:UsHgnnGD
どこの大学でもそうだろ
323774ワット発電中さん:2011/12/23(金) 23:53:39.51 ID:bn2RbiFF
外語大とかは教えないけどなw
324774ワット発電中さん:2011/12/23(金) 23:58:04.60 ID:UsHgnnGD
外語大出るやつがFPGAなんか使うかよ
325774ワット発電中さん:2011/12/24(土) 00:03:37.44 ID:83THaIbg
ドカタスレに技術ネタは駄目だろ
まともに答えられる奴いないんだから
326774ワット発電中さん:2011/12/24(土) 00:32:06.36 ID:83THaIbg
>>324
いまや文系学部卒の奴でもソフトと同じだらと仕事でHDL書き書きしてるんだろ
327774ワット発電中さん:2011/12/24(土) 01:02:24.07 ID:g4m83TMH
マジレスすると、シャノンのサンプリング定理は高校の情報の教科書に載ってる。
328774ワット発電中さん:2011/12/24(土) 01:15:33.44 ID:83THaIbg
いまは小学でオッペンハイム先生の教科書で信号処理をやるだろ
329774ワット発電中さん:2011/12/24(土) 01:54:20.03 ID:VtPVJ0BN
>>317
15KHzでフルスイングする波形と考えた場合、16BitADCなら50MHzで1サンプリング毎に、
5LSBぐらい変化するデータを取りたいんだよね?

1/10程度の周波数で80db落とすFIRをカスケードに4個接続してみたらどう?
相当リソースが必要だけれど、実現不可能なほどではないと思う。

>>320
TIのADS5263(16Bit 100MHz)とか?
84.6dB SNRだから14Bitは信頼できそう。
330329:2011/12/24(土) 02:24:34.11 ID:VtPVJ0BN
ぼけをかましてしまった。
>1/10程度の周波数で80db落とすFIRをカスケードに4個接続してみたらどう?
カスケード毎にサンプリング周波数を落とさないと駄目だから、使えない。
331774ワット発電中さん:2011/12/24(土) 03:45:30.68 ID:QYv+y1Xc
スパルタン3で100MHz出れば上等だね って言われていたけど、
今のスパルタン6ではどうなんだろう。
何も指示しなくても、300MHzくらいは行くんだろうか?
332774ワット発電中さん:2011/12/24(土) 08:48:23.10 ID:VxmGn2Zj
>>321
サンプリング定理の導出は矩形周波数帯(帯域制限された周波数)の
逆フーリエで求められる。つまりフーリエ変換のイロハさえ知ってれば導出できる。
つまり、応数さえ履修できていれば理屈も含めて理解できるから般教レベルと書いた。
333774ワット発電中さん:2011/12/24(土) 09:52:51.32 ID:/IbNyBxF
般教で教えないF欄なんだろ
334774ワット発電中さん:2011/12/24(土) 11:58:26.41 ID:Vvqf7sKA
いや、どこの大学ってのは質問者がってことでしょ
335774ワット発電中さん:2011/12/24(土) 12:35:59.70 ID:YzGMCbCQ
般教ではフーリエやってないわ、自分のところの大学
336774ワット発電中さん:2011/12/24(土) 14:00:12.88 ID:VxmGn2Zj
>>335
あ、わりぃまともな大学しか眼中になかったんだわ。スマソ
337774ワット発電中さん:2011/12/24(土) 14:42:51.46 ID:cRCP8M0C
と、まともな大学から相手にされなかったヤツが言ってるようだがw
338774ワット発電中さん:2011/12/24(土) 15:15:52.82 ID:YzGMCbCQ
まあアメリカと日本では授業の形態がかなり違うからな
般教でやらなくともおかしいことではない、スレチだが
339774ワット発電中さん:2011/12/24(土) 15:22:35.87 ID:kXVof0eL
般教ではやらないよな。専門科目だよな。
般教で得られる知識なんぞ糞の役にもたたねぇ
340774ワット発電中さん:2011/12/24(土) 15:57:50.15 ID:ga95m+eh
大学出ても派遣。コネがあれば準教授という学歴ニートという道も。
341774ワット発電中さん:2011/12/24(土) 18:17:05.20 ID:RSQ3H7kj
フーリエ変換って高校レベルじゃないか
それを大学の専門課程でやるって。大学は高校後期課程かよ
電子産業が駄目になるわけだ
342774ワット発電中さん:2011/12/24(土) 18:27:19.99 ID:XTloFJPd
韓国に追い越されるのも納得やな・・・
343774ワット発電中さん:2011/12/24(土) 18:59:54.20 ID:JUQXSZNV
普通の高校では、もう40年ぐらい前からフーリエ変換教えてないような気がする
もしフーリエを高校で習ったという人がいたら、その人は昭和一桁または工専か?

それよりも、先週末あたりにやっとFPGAスレらしいまともな流れになったと思ったのに
どうしてまた技術がどうの日本がどうの、っていう懐古雑談に戻るんだろう?w
いま日本が近隣のアジア諸国に対してグチってるのとほとんど同じことを
三十年ぐらい前の日米経済摩擦の頃に、アメリカが日本に対して言ってたような…。
344774ワット発電中さん:2011/12/24(土) 19:12:57.92 ID:RSQ3H7kj
>>343
実際に設計していないおっさんだらけだからな
そいつらが話せることといえば昔話だけ
ついでにそんな雑談書くのなら>>317にどのような方法が良いのか教えてやれよ
345774ワット発電中さん:2011/12/24(土) 20:49:24.50 ID:ga95m+eh
この国では、設計はドカタの仕事と決まっている野田。
346774ワット発電中さん:2011/12/24(土) 20:59:14.78 ID:vzfTfPau
>>343
だからといって日本人はサムチョンの液晶テレビ壊したり大韓民国の旗を燃やしたりはしない罠
逆に日の丸が燃やされてるのはなぜ?()
347774ワット発電中さん:2011/12/24(土) 21:27:02.62 ID:jn1HDnl0
>346
日本国内で他国の国旗を損壊するとつかまるからな。
あちらにもそういう法律があるはずなんだが…。
(因みに日本では日本国旗を損壊してもつかまらないが他国では時刻の国旗を損壊すると当然つかまる)
348774ワット発電中さん:2011/12/24(土) 21:41:28.20 ID:M40F6six
>>317
FPGAでFMチューナー作ってる人のやりかたが参考になるのでは?
73MHzくらいのADCで取ったデータから最終的に可聴域を取り出している。
急峻な特性が要るところはFIR、緩やかでよければCICフィルタと使い分けていたような。
349774ワット発電中さん:2011/12/24(土) 22:35:47.23 ID:qKmEukKt
>>348
デジタルデザインテクノロジvol1の記事?
350774ワット発電中さん:2011/12/25(日) 00:12:26.02 ID:ear341Rm
フーリエ変換を高校でやれるわけないだろw
その前の微積分すら理解できない人間が大半だというのにw
351774ワット発電中さん:2011/12/25(日) 00:14:38.73 ID:wVcUeoKZ
2ちゃねらーの高学歴率は異常ですから。
352774ワット発電中さん:2011/12/25(日) 00:19:40.45 ID:vAaf5H9e
漏れは高1のときに理解した
353774ワット発電中さん:2011/12/25(日) 00:53:59.66 ID:1BqDabKO
プログラムする高校生は学校で習わない数学を自分で勉強するぐらいだからな。
プログラムする上で必要だからとフーリエの基礎となる正規直交変換なんかも自己学習するらしい。
ほんと今の高校生って優秀だよな。それに比べドカタのおまえ達は
354774ワット発電中さん:2011/12/25(日) 01:12:45.66 ID:1BqDabKO
マンガでわかるフーリエ解析
高校数学でわかるフーリエ変換
こんなのでやっているみたい

おまえらなら宮川・今井先生のバイブル訳本、日野先生の本でやったろ
電気屋の書いた良い本がないからと、畑違いの日野先生の本が教科書だからな
355774ワット発電中さん:2011/12/25(日) 01:24:25.58 ID:Aapq8qHU
>>348

だから、そういうのはせいぜいDレンジ70dB程度のFMクオリティの音声だから、
Over 70MHzの高雑音ADCでサンプリングしてるんだろ。
>>317はシャノンの定理では量子化ノイズには一切触れてないことも、
サンプリング周波数を上げればノイズフロアが上がることも知らず、
15kHzの音声周波数であってもただたサンプリング周波数を上げれば
高品質な処理ができると思い込んでるだけの無知野郎に過ぎん。
それを見抜けず意味の無い情報を提供スンナ
356774ワット発電中さん:2011/12/25(日) 01:36:24.65 ID:ear341Rm
>>353-354
優秀な高校生は終わコンの電子分野なんかスルーしてソフトウェア分野の勉強してると思うよ。
357774ワット発電中さん:2011/12/25(日) 01:47:47.05 ID:uOoRdKEK
このスレだけ見ても日本がもう駄目な理由が分かるなw
358774ワット発電中さん:2011/12/25(日) 01:52:02.59 ID:Aapq8qHU
>>253
回路設計する高校生は学校で習わない数学を自分で勉強するぐらいだからな。
回路設計する上で必要だからとラプラス変換では必須の留数も自己学習するらしい。

んじゃ、その優秀な高校生とやらに大学への数学の学コンでもやらしてどのくらい優秀か試してミロや。
高校のわずか3年の間で、プログラムにうつつ抜かしてるなんて、正規の学業は、はしにも棒にもかからんか、
よほどの天才で、かるーくいなすかだが、おそらく、前者である可能性が極めて高い。
359774ワット発電中さん:2011/12/25(日) 02:03:00.09 ID:Aapq8qHU
>>356

優秀な高校生なら、ソフトウェアなんて遠の昔に金にならなくなったことは理解してるよな。ゲラゲラ
優秀な高校生なら、横道にそれず、学業に専念するんだよ。おまえみたいな工業高校生じゃあるまいし。
360774ワット発電中さん:2011/12/25(日) 02:08:56.66 ID:Q1IaYB9S
>>352
>ほんと今の高校生って優秀だよな。それに比べドカタのおまえ達は

優秀なら、講義についていけない学生に、大学に入学してから高校レベルの補修なんかやるかよ馬鹿たれ
ほんとにレベル低いんだよな今の高校生は。あきれるほどに。
361774ワット発電中さん:2011/12/25(日) 02:13:01.76 ID:Aapq8qHU
今の高校生が優秀てメクラか?
362774ワット発電中さん:2011/12/25(日) 02:13:53.79 ID:LyM7RL+1
                        ,,、ー '""~~~~~~~ ~'''-、
                       ,-'".. /'  レ "  //<<    い あ 困 さ
    な 大 .き           / ::::: /ri'ノii "  (~ヽr''')    .う  っ っ っ
    ん 事 み.          /  ::::::: r"ツ人ン    ヽ,/    .と. た た. 続
    だ な  た         /  ,,,、、、、;;;;ij,//~ 彡,,、-- 、,     い .ら こ け
       労 ち         r"r'"::、、、  '""ヽツ"     ,,、    い    と な
       働 は.       rニti 、,,,ー'''''ヽツ(,,--、 'tr''ニ"ニ彡       な が さ
       力         リ, '    ̄~)::)r"   ミ":::'"          ん    い
                //    ;;ッ''"(,」⌒'',"~'' ,,:::::、、、,        で
                r'ii    r"/,、 ヽ-z⌒",, ヽヽ'~        も
              A tii    `/;;"ヽ`'=zz三zz'7" 't;;|i
 r"ヽ  ,,、       /:::::|  i     |;i ii,,ゝ、_,、-''" ,ii  |;;i       ii
. |   ヽi;::ヽ     /::::::::|  t    i;| ~'' 、,,""",、-''"  jリ       ii'-、
. t    ヽ;:ヽ,,,,,,、、-'ーz=-t、r"t    i|,    ~~~    ノ/       ノ  ~' 、      
 ヽ、,,   ~' 、 ::::''";;;/~''i", ヽ;;;  j |ii       ,,,iij| /      ,、 '     ~'''''ー 、ー< ̄~
ーー ゝ、-―  ~''ー"、,'   | iii  ヽ、;;; t、wwwwwwiij"" "     '"           ~'i::'i
  ,r  ヽ、,,,、-'    i|   ヽi||;ii   ~' 、iiiiii、、,,,、、jjiiiii}iiiiii从ii        ,、-''"      |:::|
ー 、、,,,,z''   ,,、 '" Aヽ、  |t~' 、;;;;;;  ~'''ーー------ー'''"      ,,、-''"        i::::i:::::
   )  ''フ"" r" ii ヽ、、  ti  ~''-''、、;;;;;;;;;;;;::::    ,,,,,,,、 -ー ''''"            リ:::リ :::
,,,、-"-ー''"   i;;  ヽ,     リヽ      ~~~ """""                   リ:::リ:::::
、    /::   t;;;  `'   ノ  t                              /:::/ :::::
.リ  r":::::::   'ー、;;;;;;;;;;;;/   t.                            /:::/:::
" ,,、" ::::''"      ~"      i|                            /::::/:::::::::
~~        〃

ハートフル派遣エンジニアリング社長
363774ワット発電中さん:2011/12/25(日) 02:35:27.08 ID:wNzFC0vb
>>355
解っているおまえが>>317にどうするのか教えたら

>>356
優秀な奴は超速で衰退している電子に来ないよな
優秀なガキなら目指せGoogle
駄目なガキなら仕方なくドカタ

>>357
日本が駄目なんじゃない、大人が駄目駄目駄目すぎる
364774ワット発電中さん:2011/12/25(日) 03:01:57.09 ID:P6vJE2Q3
一人発狂しててワロタ
365774ワット発電中さん:2011/12/25(日) 07:10:55.95 ID:gNReV/rn
ソフトウェアで食っていけるの?
366774ワット発電中さん:2011/12/25(日) 08:43:20.40 ID:DOaLue5k
ヒッポファミリークラブのフーリエの冒険でも読んでいなさい。
367774ワット発電中さん:2011/12/25(日) 08:58:19.72 ID:rjSTZorf
あれはいい本だ
最近のはカバーがかわった?
368774ワット発電中さん:2011/12/25(日) 09:22:22.31 ID:LyM7RL+1
Google目指す学生は、かつての繊維産業や造船産業が儲かっていた時代に、
卒業後にその業界の企業就職を目指すような、典型的なダメ学生。

今頃になってソフト業界を目指すのは、衰退が始まった頃に繊維業界や
造船を目指すようなもの。

Googleは、Web広告で利益を叩き出しているわけではなく、IPOと高い株価で
得た潤沢な資金で、一種の循環取引をやっていたのでは?

Google Maps APIの有料化や、Google Desktop検索の提供終了、回避はされ
たがMozillaへの資金提供の終了の検討など、一連のサービス有料化は、ここ
最近の株価低迷でGoogleのケツに火がついたと見ている。
369774ワット発電中さん:2011/12/25(日) 10:46:31.76 ID:OnyV9qQg
花形の例としてぐーぐるなら、あそこも独占的な状況はともかくもう下り坂に入り始めたから、ほかを持ってこないと
370774ワット発電中さん:2011/12/25(日) 16:29:05.61 ID:vAaf5H9e
>>368
GoogleはMSと違って虚業だからな
最近のサービスの変化を見てると
相当経営状態は悪化していると思わざるを得ないな
371774ワット発電中さん:2011/12/25(日) 16:34:36.14 ID:vAaf5H9e
>>358
おれは高校生のときに回路設計してたしフーリエ変換も理解した
大数の巻末の学コン優秀者にも名前載ったし
三高と言われた国立大学にも進学したが
ご覧の通りクリスマスの昼間から2chに書き込みしてるお前と同じドカタだ
372774ワット発電中さん:2011/12/25(日) 16:35:26.45 ID:eoLZXdfD
おまえらは非優秀だからから電子産業に入ったのか
頭悪いとorzだな。だから優秀って言葉に反応するんだろ
優秀な奴は創業して成功させるんじゃないか
Google社員でも社蓄は社蓄。優秀なガキが社蓄を目指すなんって信じられないが
373774ワット発電中さん:2011/12/25(日) 18:15:54.74 ID:P6vJE2Q3
起業でもしない限り社畜は社畜
でも外資と日本企業じゃ待遇は天と地の差だけどな、ドカタといえども
374774ワット発電中さん:2011/12/25(日) 18:24:10.66 ID:ZERF6OAZ
>>331
Spartan-6では少し気をつければ全体が150MHzくらいで動く
何も考えなくっても100MHzなら楽勝じゃないかな?
Virtex-4で200MHz
300MHzってのはVirtex-6でも難しいんじゃないかな?

ETでナナオの講演聞いてきたんだけど、
http://www.eizo.co.jp/products/em/fs2332/
これの超解像度とか何とか言う画像処理にSpartan-6のLX45を使っていて
デバイス使用率は90%で、主要部分は155MHzで
最高速部分は270MHzで動作させているとの事
375774ワット発電中さん:2011/12/25(日) 18:28:49.69 ID:ZERF6OAZ
ところで、 >>270 氏は今頃どうしているんだろうか?
本人見てたら、近況教えて
376774ワット発電中さん:2011/12/25(日) 19:48:39.50 ID:Wkz2LkAW
ちょうどいいやる夫スレ見つけたからみんなで勉強しようず!

ttp://jbbs.livedoor.jp/bbs/read.cgi/otaku/12973/1324726110/
377774ワット発電中さん:2011/12/25(日) 20:44:28.93 ID:eoLZXdfD
>>376
勉強ってここのスレの連中のほとんどは履修済みだろうに
やるならこれでやれ
http://www.ic.is.tohoku.ac.jp/~swk/lecture/yaruodsp/main.html
378774ワット発電中さん:2011/12/25(日) 20:53:06.34 ID:ZhSkF0Ne
つまり結局は日本大勝利ってことか。
379774ワット発電中さん:2011/12/25(日) 21:23:29.65 ID:BisW1aoX
なんと言う親切サイトw
これだけ作るのも大変だろうなあ
380774ワット発電中さん:2011/12/27(火) 02:40:02.70 ID:p1zy8o2a
XilinxのISE13は、i7のクワッドコアなどに、対応しました?
検索してもWin7には対応と出るけど、マルチスレッドについては書かれていないんです
381774ワット発電中さん:2011/12/27(火) 02:57:04.02 ID:pkgUqb9j
>>380
>>268

MAPの-mtオプションを有効にすれば多少は高速化されるのではないでしょうか。
382774ワット発電中さん:2011/12/27(火) 03:13:46.83 ID:p1zy8o2a
さっそくありがとうございます。

ということは、i7買っても、i5買っても、同じということでしょうか。
なんかショック。
少しでも速くならないかと思ったのに。
CoreGenでFIR compilerの起動だけで、2分かかるのをもっと速く。
383774ワット発電中さん:2011/12/27(火) 05:58:37.65 ID:wsguu7rQ
i7ならLGA2011の4ch Mem CTRL
選択しとけばデカいFPGAにも
対応出来ていいんじゃね
384774ワット発電中さん:2011/12/29(木) 03:52:15.32 ID:+qKqHJUP
ったく、ISEは重たいなぁ。
385774ワット発電中さん:2011/12/29(木) 10:20:34.62 ID:aRU0Dudn
最適化したので、うちのはかなり速くなったよ。
386774ワット発電中さん:2011/12/29(木) 10:58:42.26 ID:aIGjSPiX
ChipScopeの起動は割と速いのに、CoreGen関連の起動の遅さはタマランな
387774ワット発電中さん:2011/12/30(金) 12:04:02.87 ID:8WApWgxd
たしかにコアジェン、遅い
何をそんなに考えることがあるんだ?
388774ワット発電中さん:2011/12/30(金) 12:08:43.24 ID:8uwT8sUm
起動するまでの間、CPU負荷もディスクアクセスも
なかった気がするけど、何を待ってるんだろ?
389774ワット発電中さん:2011/12/30(金) 12:30:25.61 ID:Rn8Fk+mP
金払う気がないなら使うのやめとけ
という決断猶予時間待ち
390774ワット発電中さん:2011/12/30(金) 12:59:15.58 ID:mwPWbh3J
Javaだからでしょ
391774ワット発電中さん:2011/12/30(金) 12:59:47.14 ID:yDxTxpIF
ネットにアクセスしてライセンスチェックだろ
392774ワット発電中さん:2012/01/01(日) 19:25:35.42 ID:YEl9Q02d
不思議でならないのは、
起動や計算があれだけ遅いソフトなのに、
世界中の人が文句言わずに使っていること。
まわりの人に聞いても、「あんなもんじゃないの?」とか、
「前よりは速くなったよ」というだけ。

みんなよく使ってるな、って思う。
393774ワット発電中さん:2012/01/01(日) 20:35:32.60 ID:qnlM3hUD
遅いからSynplify使ってますが何か?
394774ワット発電中さん:2012/01/02(月) 01:11:18.81 ID:GFzh9bjq
そういう恵まれた人は、ごく一部ね
395774ワット発電中さん:2012/01/02(月) 22:13:25.95 ID:05W82mnD
ISE使いたくないのでAlteraにしました。
396774ワット発電中さん:2012/01/02(月) 22:24:26.69 ID:N3ZSoasv
自分で選べる人はいいねぇ。
客先やアプリケーションによってA、X、Lと使い分けるし、
Aはロット要求してくるから、使いにくいとか言ってられない。
397774ワット発電中さん:2012/01/02(月) 22:29:09.16 ID:Nh4QyA2x
さすがにLは使わないけど小規模はA主体、高速なのはXでやってる。
ハード的にはXがちょっと進んでからしょうがなくw
398774ワット発電中さん:2012/01/02(月) 22:34:27.41 ID:Zk/KUDS8
ほうActelか
399774ワット発電中さん:2012/01/02(月) 22:46:29.77 ID:Nh4QyA2x
またマイナーなw
あいにく省電力用途はやってないっすマイコンでやっちゃう。
400774ワット発電中さん:2012/01/02(月) 23:10:52.47 ID:N3ZSoasv
さすがに>>398のは使ってないけど
Q社のアンチヒューズなら使ってた。
401774ワット発電中さん:2012/01/02(月) 23:27:19.42 ID:Nh4QyA2x
開発ツールは何?
402774ワット発電中さん:2012/01/02(月) 23:42:40.06 ID:N3ZSoasv
Q社の?QuickWorks…だったかな。
使ったの10年前なのでうろ覚え。

基本金無いので、3rdパーティとか使わない。
どうしても必要になったらデバイスメーカの
ツールを購入してもらえる位。
403774ワット発電中さん:2012/01/02(月) 23:46:34.29 ID:Nh4QyA2x
アンチヒューズだと簡単にICPできないので
耐放射線製品でも頼まれない限り使う機会は無いだろうなw
404774ワット発電中さん:2012/01/03(火) 02:10:31.87 ID:bniTmpxA
同じ英語でも、
ISEに比べて、Quartusのエラーメッセージは分かり易いと思わない?
ISEは最近のVerになってから、処理中のグルグル回るマークが1カ所になって
動作しているのかどうか不安になる。
CoreGenとか起動するまで無反応だし。
405774ワット発電中さん:2012/01/04(水) 11:50:59.30 ID:twuClUA0
>>403
>アンチヒューズだと簡単にICPできないので
簡単じゃなければ出来るのか、ん?

焼いてる途中でバグを見つけると
焼いただけで使われない石が出来ちゃうんだぜ!
406774ワット発電中さん:2012/01/04(水) 12:13:35.98 ID:LkmXewNd
>>400
QuickLogic…
PCI周りとかには良く使われてるよね。
407774ワット発電中さん:2012/01/04(水) 18:37:26.42 ID:s3W08ON4
立ち上がりと立下りの両方のエッジ検出分かる方いらっしゃいませんか?
if(CLK' event and CLK = '1') then
if(CLK' event and CLK = '0') then
の2つで処理させてみたり、rising_edgeとfalling_edgeでためしてるんですがなりません.
どなたかご教授ください.
408774ワット発電中さん:2012/01/04(水) 19:39:21.71 ID:0H3eBoLR
シミュレーションで動きませんか?
ハードをイメージしましたか?
409774ワット発電中さん:2012/01/04(水) 19:51:03.33 ID:s3W08ON4
言葉足らずで申し訳有りませんでした.

DCMで生成したクロックを外に出したいんですが,そのまま出すとMapのところでエラーがでるので
エッジ検出してクロックと似たものを出すとエラーが出ないのでこの方式でいこうかなとなりました.
しかしこのまま立ち上がりだけでエッジ検出をするので、クロックが半分になるので立ち上がりと立下りの両方の検出をしたいんです.

今はsyntax errorで止まってしまいます.
Line 48: statement is not synthesizable since it does not hold its value under NOT(clock-edge) condition
Netlist CW(Behavioral) remains a blackbox, due to errors in its contents
こんなエラーです.

ボードはSpartan6-LX45Tです.
410774ワット発電中さん:2012/01/04(水) 20:00:37.50 ID:j6KP8cdV
FDDRCPE
FDDRRSE
411774ワット発電中さん:2012/01/04(水) 20:20:56.78 ID:s3W08ON4
>>408
>>409
お二方ともありがとうございました!できました!
ビット反転だけで外部にクロックを出力できるとは・・・
奥が深いですねVHDL
412774ワット発電中さん:2012/01/04(水) 20:21:44.76 ID:s3W08ON4
あ・・・しくった
>>410さんでした.ありがとうございました!
413774ワット発電中さん:2012/01/04(水) 21:39:25.92 ID:WIl6hKuN
>>411
VHDLじゃなくて、FPGAの固有の機能だが。
414774ワット発電中さん:2012/01/04(水) 21:40:22.45 ID:oePfz3aa
FPGAを個人でやってる人って、どういうものを作って遊んでるの?
415774ワット発電中さん:2012/01/04(水) 21:59:30.75 ID:DpeNZe0l
会社の手作り検査ジグで
74IC20個分を詰め込んだだけの組合せ回路オンリーで
使用率4%の作った事あるよ
416774ワット発電中さん:2012/01/04(水) 22:11:54.07 ID:1IOc4/y4
LEDピカピカ
417774ワット発電中さん:2012/01/05(木) 03:41:23.92 ID:y8fXZw9k
いざ、って時に使えるよう、マイコンのIOに噛ましてあるけど
大抵はIOブロックと内部配線しか使ってない。
418774ワット発電中さん:2012/01/05(木) 22:53:05.97 ID:d+0jaEab
始めて27288もあるSlice LUTsを212%も使った・・・
integerの32bitの四則演算はめっちゃ食うのね
419774ワット発電中さん:2012/01/05(木) 23:07:25.12 ID:ByOh9gU9
半分以上、除算回路と見た。
420774ワット発電中さん:2012/01/05(木) 23:20:40.67 ID:d+0jaEab
>>419
4096×2の乗算と2048×2の加算を256×6bitの入力にたいしておこなってます.
421774ワット発電中さん:2012/01/06(金) 00:00:25.28 ID:eDjp4DnA
>414
雑誌の付録USBマイコンと繋げて,
なんとなく,カーナビのATAバスの信号を眺めてみたり,
なんとなく,プリンタのシリアルROMの信号をながめてみたり.
いろいろ妄想膨らませて喜んでる.
422774ワット発電中さん:2012/01/06(金) 09:14:26.18 ID:doCEsaGJ
ロジアナ買ったほうか幸せになれる
423774ワット発電中さん:2012/01/06(金) 13:08:23.60 ID:zE2rGIes
自作CPU作ってオルテア8800 or TK80ごっこして遊ぶ
424774ワット発電中さん:2012/01/06(金) 20:55:56.78 ID:h1NNoI+C
パワーアップしたsayuriをお待ちください。

ご連絡先
425774ワット発電中さん:2012/01/07(土) 00:40:30.13 ID:C/z54vyZ
なぜY <= conv_integer(X)
426774ワット発電中さん:2012/01/07(土) 12:16:55.20 ID:BQ2xJijx
>>414
秋葉原で拾ったカラー液晶をピカピカ
427774ワット発電中さん:2012/01/07(土) 12:26:49.57 ID:0kZViHni
みんなどんなボード使ってるの?
428774ワット発電中さん:2012/01/07(土) 13:48:19.53 ID:CEe8gLtk
429774ワット発電中さん:2012/01/07(土) 13:52:54.11 ID:060hLumL
FPGAを使うメリットが見えない。。
430774ワット発電中さん:2012/01/07(土) 13:54:50.10 ID:0aVcrE+3
処理速度の必要ないものしかやってないからだよ。
431774ワット発電中さん:2012/01/07(土) 14:59:57.52 ID:1AOhpjuQ
任天堂3DSの3D液晶画面のキャプチャをしようと調査中です。
このスレに集う方、何かヒントをお願いします。

3D液晶パネルのメーカーはSHARPで型番はLS035T7LE38Bです。
メイン基板とフレキシブルケーブルを調べたところ、
LVDS信号3組で画面表示を行っていることが判明しています。

FPDのLVDS信号は通常CLK+3組以上のDATA信号ですので、
4組以上のLVDSになるはずです。
ですので、一般的なFPD-LINKの規格では無いと考えます。

そこで考えられるのが全くの独自規格ということになりますが、、。
その場合Spartan3などのFPGAを繋いで解析可能だと思いますか?

あるいは、どこかのメーカーの規格なのでしょうか、、?

432774ワット発電中さん:2012/01/07(土) 15:52:12.45 ID:HKiDx6U6
>>431
LVDSなのが確実なら解析できるんじゃない?
クロック線無しだとCDRが必要だから難しそう
433774ワット発電中さん:2012/01/07(土) 16:02:19.42 ID:ARC7V7A8
1677万色⇒RGB888。
800x240だと30fpsとしてドットクロック6MHzくらいか。
MPL-2くらいでいけそう。
ttp://www.nintendo.co.jp/3ds/hardware/spec/index.html
ttp://www.national.com/en/interface/displays/index.html
434774ワット発電中さん:2012/01/07(土) 16:35:56.48 ID:eNjzP5HO
そんな低いレートでもLVDS使うのかな?
435774ワット発電中さん:2012/01/07(土) 16:40:13.05 ID:eNjzP5HO
あ、勘違い、ドットクロックのレートですね
436774ワット発電中さん:2012/01/07(土) 16:48:40.82 ID:RDEYDvGF
最近の液晶はタブレットとかスマホ向けだと800x480でもLVDSの奴多いよね。
ちょっと昔は800x600とかならCMOSパラレルだったけど。
437774ワット発電中さん:2012/01/07(土) 16:58:50.25 ID:pVS056v0
差動信号って配線の途中で信号取る時どうしたらいいの?
単に差動入力をパラに繋ぐだけ?
438774ワット発電中さん:2012/01/07(土) 17:17:39.94 ID:ARC7V7A8
>>432
あ、そうか。
DisplayPortのLane数減らせば出来るか。

>>437
基本的に出来ない。
BusLVDSとかRS485とか駆動能力のあるのは別だけど。
439774ワット発電中さん:2012/01/07(土) 17:34:51.58 ID:Lp8ioX3V
ソニーが有機ELから完全撤退みたいだな。
表示デバイスとしても面照明としても最終形って言われてるのに
こりゃ情報家電でやってきたソニーおわってんじゃね?
440774ワット発電中さん:2012/01/07(土) 17:35:14.61 ID:Lp8ioX3V
441774ワット発電中さん:2012/01/07(土) 17:42:47.14 ID:pVS056v0
サンクスコ。じゃあ液晶の信号を取る場合は、コネクタ外して差動で受けて、
もいっかい差動でドライブし直すしかないんですかね。
ていうか差動プローブはどんな仕組みなんでしょ。
442774ワット発電中さん:2012/01/07(土) 18:23:29.05 ID:Lp8ioX3V
443774ワット発電中さん:2012/01/07(土) 19:34:05.70 ID:1AOhpjuQ
3DSの質問者です。皆様ご回答ありがとうございます。

MPL-2だとCLK(1)+DATA(4)で合計5組ですよね。
3組と言うことはやはり独自フォーマットの可能性高いですよね。

ちなみに、3DSのLVDS信号に普通のオシロのプローブ当てても
画面が乱れることは無かったので、
多分抵抗を介して引き出しても大丈夫ではないかと思います。
444774ワット発電中さん:2012/01/07(土) 22:59:21.64 ID:eNjzP5HO
>>438
量産じゃないし解析くらいなら、終端抵抗付近でパラって取れなくは無いでしょ。
5~6cmの配線なら。
445774ワット発電中さん:2012/01/09(月) 00:25:38.91 ID:1O+g2pv4
コンパイルに1時間とかかかると萎えるな
446774ワット発電中さん:2012/01/09(月) 17:35:17.39 ID:qywP+JsZ
1時間でタイミングまでOKならいいじゃん
パラで複数流して数時間待って結果確認
Xilinxのフローおかしいよ
447774ワット発電中さん:2012/01/09(月) 19:18:47.27 ID:1O+g2pv4
SynthesizeではおさまってるのにImplement Designでslice数が足りないっておこられるんだけどなんで?
448774ワット発電中さん:2012/01/09(月) 19:21:42.69 ID:LEG9KXCe
クロック周期下げて配置させてみな。
449774ワット発電中さん:2012/01/09(月) 19:32:24.84 ID:1O+g2pv4
Nummber of MUXCYs used: 20,532 out of 13,644 150% (OVERMAPPED)

乗るわけなかったw
さてどうやって減らしていくか・・・・

>>448
やってみます
450774ワット発電中さん:2012/01/09(月) 20:57:09.73 ID:BqXH7F+2
ロジックセル、ロジックエレメント、アダプティブロジックモジュール、スライス・・・
わけがわからないよ
451774ワット発電中さん:2012/01/09(月) 22:37:07.43 ID:DdBoY9/C
>>449
へー、タイミングを満たせないと同じ回路を複数作るのかな?
452774ワット発電中さん:2012/01/09(月) 22:47:32.16 ID:k/s+6f2+
register duplicateがONだったりすると、タイミングを満たす方向でFFを複製したりするからなあ。
453774ワット発電中さん:2012/01/10(火) 12:20:59.13 ID:f7Lp0hcB
>>451
タイミング合わせないと行けないのが9360行くらいあるのでタイミング合わせで使っちゃうのかなーと思います。

>>452
お!ちょっとやってみます.
454774ワット発電中さん:2012/01/11(水) 03:19:53.55 ID:lkAQ3DNS
処理能力が低くてISEが重くなってきたので、PCを買い換えようと思う。
処理能力はノートPCよりやはりデスクトップの方がいいだろうか。
ISEの使用だけを考えれば、グラフィックボードの能力は関係ないと思うけど
どうなんでしょう
455774ワット発電中さん:2012/01/11(水) 03:25:15.39 ID:Ol1Q+P0v
デスクトップ+64-bit Win7+メモリ上限積めるだけ
今のところ、グラボは映れば何でも良いとおもう。
MAPやPARがGPGPU対応になったらステキなんだけどねー。
456774ワット発電中さん:2012/01/11(水) 09:42:34.71 ID:ssm2m0Rc
ベンチマークの指標となるプロジェクトファイルが欲しいねぇ。
457774ワット発電中さん:2012/01/11(水) 11:51:17.49 ID:s66v2WCn
Win7 64bitでAMDの8コアとCore i7 2600Kで比べてみたけどそんな変わらなかった.

ISEってシングルコアでしか処理してないのかな?
458774ワット発電中さん:2012/01/11(水) 12:16:07.58 ID:ssm2m0Rc
>>457
WEBエディションだとシングルでしか動かないでそ
459774ワット発電中さん:2012/01/11(水) 12:47:26.86 ID:FLoDE/PQ
どのくらい影響あるかわからないけど、CPU内蔵のGPUだと表示にメモリ帯域取られるから、
安く消費電力の低いビデオカードがあると良いかな?
460774ワット発電中さん:2012/01/11(水) 12:52:48.44 ID:A3krS1vS
>>458
本当だLogicエディションでコンパイルさせたらコア全部使ってる
461774ワット発電中さん:2012/01/11(水) 12:53:33.10 ID:qigl8kZx
デュアルチャネル+OCメモリでもグラフィック帯域による性能低下ってあるのかな
462774ワット発電中さん:2012/01/12(木) 03:02:11.07 ID:XivR2rT+
>>458 >>460
えっ!? それって
「お金出すやつには高速で動いてやるけど、
     タダでやろうなんてヤツには速くしてやなんい」
ということですか?
463774ワット発電中さん:2012/01/12(木) 03:32:38.18 ID:gzbopmEQ
当然そうだけど、何か文句でもあんの?
464774ワット発電中さん:2012/01/12(木) 03:37:06.27 ID:bo7UIX/W
ありません
465774ワット発電中さん:2012/01/12(木) 06:20:48.81 ID:pobYDyBc
ソフトをタダで提供しているだけでもありがたいと思え
466774ワット発電中さん:2012/01/12(木) 09:08:49.85 ID:Qx7TXJXn
タダじゃないとチップも使わないけどな。
仕事でも。
467774ワット発電中さん:2012/01/12(木) 13:28:54.72 ID:pisj8puM
FPGA初心者なんでわからないんですけど、
Xilinxの試用版IPコアのライセンスって
カスタマーサポートにメールとかで問い合わせないと取得できないんですか?
468774ワット発電中さん:2012/01/12(木) 20:47:50.57 ID:IuJmjnpJ
yes
469 【30.5m】 【東電 89.3 %】 :2012/01/12(木) 21:29:14.25 ID:x9CJA5qN BE:700569825-2BP(108)
>>466
量産どうするんだよ?w
470774ワット発電中さん:2012/01/12(木) 22:25:39.35 ID:L06wWjzy
たんに別のメーカーのを使うだけじゃね?
471774ワット発電中さん:2012/01/12(木) 23:06:53.78 ID:8e/E3Mvg
まあ、そうやって時代から取り残されていく訳だが。
472774ワット発電中さん:2012/01/13(金) 00:18:55.48 ID:8zVTT5mF
は?
473774ワット発電中さん:2012/01/13(金) 00:54:31.84 ID:ayLu6yNS
>>469の言いたい意味が分からない。
開発ツール無料だと量産できないとでも??
474774ワット発電中さん:2012/01/13(金) 09:24:43.76 ID:29kBKs7q
vertexの5とか6のデカいヤツ以外は、webpackでできるもんな。
逆にデカいやつはISEなんて使ってらんない。
Alteraも同じようなもん。
475774ワット発電中さん:2012/01/13(金) 12:10:03.83 ID:6McGG8NX
>>473
無料の開発ツールしか使えないような資力で、
量産の仕入れ資金はどうすんだよ?
と心配してんじゃね?
 ※オレが言ってるんじゃないよ。想像の大便を垂れただけだよ。
  後でファビョって束になってかかってこないでね…
476774ワット発電中さん:2012/01/13(金) 13:30:11.05 ID:Slm9teku
違うでしょ、
量産 = 大規模デバイスしかないと思ってる。
フリー版 = 大規模デバイスが使えない。
だから量産どうするつもりだ?と聞いている。
ということじゃないか?
477774ワット発電中さん:2012/01/13(金) 16:11:37.71 ID:LxrcsveW
量産より開発・実験のほうが大規模デバイス使うじゃん
478774ワット発電中さん:2012/01/13(金) 18:07:45.00 ID:3MoSOn2n
合成はともかくとしてシミュレーション速度の問題があるから無料版は仕事ではキツいよな
新人教育に使うぐらいなら問題ないんだけど・・・

>>477
自社製品ならそれが普通だけど請負の仕事だとはじめから指定される事もあるよね。
相手の担当がわかる人なら「最初は安全を考えてピンコンパチの大きめので・・・」と
言って理解してくれるんだけど、頑として認めてくれない人もいるので(汗)
まあ下手に大きめにすると「まだ入るよね」とか言われて直前まで仕様追加がくるという
問題もあるなw 小さめにしとけば「もう入りませんよぉ(藁)」とか言えるのに。
# それでも「何とか入れろ」と押し切られる場合も多いけどさ(泣)
479774ワット発電中さん:2012/01/13(金) 20:15:17.58 ID:ayYtjGiN
手段に興味あるけど目的がないわ
480774ワット発電中さん:2012/01/13(金) 22:27:09.04 ID:flTke9ur
ISEのオマケでシミュレーションとかないわ
481774ワット発電中さん:2012/01/13(金) 22:39:02.47 ID:T7/DoARi
みんなVHDLのシミュレーターって何使ってるの?
LogicEditionだけどコンパイル中にメモリを8G以上使い始めてヤバイ
482774ワット発電中さん:2012/01/13(金) 22:48:55.30 ID:ayLu6yNS
>>475
そんなきちゃないモノ垂れ流されたら困るけど、
補足しなくても語幹で伝わってるから大丈夫だよw

まぁマンパワーが無いので大規模デバイス使い切れないし
大企業じゃないので量産と言っても大した数は掃けない。
483774ワット発電中さん:2012/01/14(土) 09:18:52.83 ID:EZWF0mjy
シミュレーションってやったこと無いなぁ
端子に出してロジアナで済ましちゃう
484774ワット発電中さん:2012/01/14(土) 14:45:45.09 ID:8mrT1icm
宇宙機構職員のパソコン感染、技術情報流出か
ttp://www.yomiuri.co.jp/national/news/20120113-OYT1T01204.htm
 宇宙航空研究開発機構は13日、30歳代の男性職員のパソコン1台が
コンピューターウイルスに感染し、技術情報やメールアドレスなどが流出した可能性がある、と発表した。

 職員は筑波宇宙センターに勤務し、国際宇宙ステーション(ISS)に物資を送る
無人補給機「こうのとり(HTV)」の技術面を担当。昨年8月11日、パソコンに
異常が現れたため、ネットワークから切り離したところウイルス感染が判明した。
専門機関に調査を依頼したところ、別の新種ウイルスにも感染しており、
同7月6日〜8月11日の間、パソコン内の情報を外部に送信していたことが分かった。

 ウイルスには、知人の名前を使って送られてきたメールの添付ファイルから感染した。
流出した可能性があるのは、宇宙機構内外の約1000人分のメールアドレスや、
こうのとりの仕様や運用に関する技術情報など。宇宙機構や米航空宇宙局(NASA)の
システムへのパスワードも含まれているが、流出していてもISSやこうのとりの運用に影響はないという。
(2012年1月13日22時28分 読売新聞)

ttp://www.itmedia.co.jp/news/articles/1201/13/news119.html
485774ワット発電中さん:2012/01/14(土) 18:37:34.29 ID:xAdzMdke
こういうコピペ貼るやつって何を考えてるの?
486774ワット発電中さん:2012/01/14(土) 23:06:48.47 ID:SWVMvZ0a
ISEってSynthesizeした後に時々スライス数とか表示しない時があるんだけどなんでなんだろうか
487 【16m】 【東電 77.9 %】 :2012/01/15(日) 00:23:19.78 ID:PHOuQaOS BE:700569825-2BP(108)
>>473
>タダじゃないとチップも使わないけどな。
無料開発ツールが存在しないチップは使用しない
ではなくて
チップが無料じゃないと使用しない
と理解してしまった

サンプルだけじゃ量産できないだろ

正直スマンかった
488774ワット発電中さん:2012/01/15(日) 00:33:42.08 ID:2fE7EG3M
無料ツールを配ってれば、個人で工作とかに使ってみるし、
仕事でも導入しようかなって思う。
もちろん、そこそこ使えるって前提で。

まあ、有料ツールでも会社で頼めばお試しライセンスくれるけどさ。
そもそも、ツールで儲けるビジネスじゃないだろと。
489774ワット発電中さん:2012/01/15(日) 11:46:56.68 ID:rMkO+vYO
仕事だからこそ無料ツールを使う
仕事だからこそコスト意識が大事だ
当然、仕事だからこそwindowsもhome Editionだ
490774ワット発電中さん:2012/01/15(日) 12:21:14.33 ID:6NbbCC8J
無料ツール使って安くあげたと思ったが、
工数一桁上がった場合は?
491774ワット発電中さん:2012/01/15(日) 12:49:20.48 ID:2fE7EG3M
>>489
馬鹿モン!コスト意識が足らん!
Linux+LibreOfficeを使え。
492774ワット発電中さん:2012/01/15(日) 12:53:59.46 ID:CLBan9RL
今の開発ツールの構成だと、そんな場面は思いつかないなぁ。
もし工数が一桁上がるようであれば先を読む能力が欠けているか
経験が足りないだけ。ツールが無料とか関係なく。
493774ワット発電中さん:2012/01/15(日) 13:44:23.39 ID:Ih7rugf7
Xilinx ISEで、HDLで書いて回路図表示をさせると、
線が切れているのですが、そういうものでしょうか?
動作はちゃんとするのですが。
494774ワット発電中さん:2012/01/15(日) 17:14:11.40 ID:iq4QIqbG
なぜか切れてるけど動くよ.
最後の動作クロックがNoなんたらになってなかったら
495774ワット発電中さん:2012/01/15(日) 22:03:57.97 ID:cWX6GeTQ
ディスプレイの解像度設定が合ってなくて
細かい線が潰れて消えてるとか?
496774ワット発電中さん:2012/01/15(日) 23:04:32.08 ID:DWbw0vqg
何を言っているんだ?
497774ワット発電中さん:2012/01/15(日) 23:07:58.87 ID:L7S9go+p
>>496
判らないなら、スルーしる
498774ワット発電中さん:2012/01/16(月) 16:59:53.76 ID:eFuCRUrL
いまはAltera、Xilinxも雲開発環境を提供しているだろうに
自前で開発PCや開発ソフトを用意して開発なんて江戸時代のやり方だろうに
世界で分散開発が普通の時代に江戸時代のやり方しなくても良いよな
499774ワット発電中さん:2012/01/16(月) 17:43:36.99 ID:vxZGcy6m
>>498は、誰あての話ですか?
500774ワット発電中さん:2012/01/16(月) 18:12:21.49 ID:TnrvG+mM
平賀源内
501774ワット発電中さん:2012/01/18(水) 14:13:59.85 ID:ylTF2nJZ
INTERNAL_ERROR:Xst:cmain.c:3422:1.27 - Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.

こんなエラーでSynthesizeが止まってしまった.
WebCaseに入れろとか言われても法人の人しか入れれないじゃんね.
Xilinxフォーラムってどうなの?
502774ワット発電中さん:2012/01/18(水) 20:20:35.89 ID:cOzMpx+M
INTERNAL_ERRORはイラっと来るね。もう少し解析のヒントを表示してくれといつも思うわ。
Synthesis中ならば、ログ(*.syr)を見てどこのモジュール解析中に死んでるかを見てみるとか。
503774ワット発電中さん:2012/01/18(水) 20:53:20.59 ID:DClSord1
あーいとぅいまてーん えらー
ならいいのか?
504774ワット発電中さん:2012/01/18(水) 21:44:00.86 ID:FfaX2xp7
(・∀・)イイ!!


わけねぇだろ
505774ワット発電中さん:2012/01/19(木) 11:41:47.38 ID:YqPsWXqN
>>502
INTERNAL_ERRORはソフトのバグなので解析のヒントも何もありゃせんだろう。
506774ワット発電中さん:2012/01/19(木) 11:50:01.24 ID:Lsn8bAvG
バグというより、こんな条件こないだろうからほっとくかって
手を抜いたところに来たってことじゃね?
507774ワット発電中さん:2012/01/19(木) 15:00:04.05 ID:tcZzipYR
馬鹿には無理
508774ワット発電中さん:2012/01/19(木) 19:40:49.83 ID:YqPsWXqN
>>506
論外なコーディングしてればそうだろうけど、
意味不明な時に起こって、前後を多少変えるだけで通るからバグじゃないのか?
509774ワット発電中さん:2012/01/19(木) 23:40:14.10 ID:t7wyFr6x
単純に64タップのFIRフィルタ作ってるだけなのにどうしてこんなことに。。。

>>502
FIRフィルタの加算の部分で止まってました.

さてどうしたものか
510774ワット発電中さん:2012/01/20(金) 06:51:28.94 ID:u/np5fKF
あきらめる
511774ワット発電中さん:2012/01/20(金) 07:02:44.35 ID:4TyWFUbu
>>509
単に加算部分で使ってるsignalの左辺・右辺のbit幅が一致してないとか。
512774ワット発電中さん:2012/01/20(金) 11:35:39.16 ID:URgmm+Wl
>>511
中身はinteger型にして計算させてるんでそこはおそらく大丈夫だと思います.
513774ワット発電中さん:2012/01/22(日) 00:51:02.64 ID:KwndFzQd
保守書き込み
514774ワット発電中さん:2012/01/22(日) 21:05:08.20 ID:3+qrc0Yg
保守
515774ワット発電中さん:2012/01/23(月) 21:17:23.24 ID:V69m5WEn
ISE13.4が出たね.早速ためしてみるか
516774ワット発電中さん:2012/01/24(火) 02:16:57.77 ID:6Xrs5hy1
>>512
インテジャー。ワロタ
517774ワット発電中さん:2012/01/24(火) 02:44:36.32 ID:f61jV+zW
>>515
micro brazeが変わったんだって?
518774ワット発電中さん:2012/01/24(火) 11:33:29.38 ID:gs06iEsQ
MicroBlazeシステムの何かが追加された?様な事が書かれてたけど、
具体的に何が変わったのか良く分からんね。
大きなところでは、7シリーズの新しいデバイスに対応したみたいだけど、あんまり関係ないし

ちなみにISEとしてはこれが最後で、次のバージョンからはPlanAheadベースの新IDEだって
519774ワット発電中さん:2012/01/24(火) 12:33:56.98 ID:wor0tvp5
Zinqへのつなぎで、マイコンぽいテンプレ追加しておきますね
てことかなこれは
520774ワット発電中さん:2012/01/24(火) 13:08:22.44 ID:FDQPbyij
MicroBrazeの設定、アルテラくらい簡単にできないのかな?
Xilinxのはわかりにくいと思うんだ
521774ワット発電中さん:2012/01/24(火) 13:47:06.36 ID:cLN5vB5c
>>512
integerで計算したのって、インプリされるの?
522774ワット発電中さん:2012/01/24(火) 14:23:08.59 ID:9cLp+X3a
>>521
出力するときにconv_std_logic_vectorでvector型にしたらimplementされるよ
523774ワット発電中さん:2012/01/24(火) 14:35:50.32 ID:wor0tvp5
>>520
簡単になったらMicroBlazeじゃないだろ!
524774ワット発電中さん:2012/01/24(火) 18:51:15.92 ID:DLj6O9N0
Xi, AlのFPGAマイコンをおまえらは使っている?
それのプログラムは自分で作っている?
525774ワット発電中さん:2012/01/24(火) 20:13:05.13 ID:wWT2gQD4
在リンクスの箱のお兄さんに恋しました
どこに行けば会えますか
526774ワット発電中さん:2012/01/24(火) 21:54:13.58 ID:6Xrs5hy1
>>525
箱に穴を開けて、ち●こをいれればOKです。
527774ワット発電中さん:2012/01/25(水) 00:53:47.71 ID:Ny5SfjDQ
>>524
PicoBlaze使ってる。
記述はアセンブラになるけど、シミュレータがあるのでデバッグは楽だわ。
528774ワット発電中さん:2012/01/25(水) 01:44:16.19 ID:FOVxjR+w
>>525

鶴橋で在日リングスの前田日明って聞けば居場所を教えてくれるよ
529774ワット発電中さん:2012/01/25(水) 02:47:26.18 ID:Kh+3WxVD
FPGA初心者です。
なんとなく、直感ですが、XilinxよりALTERAのほうが、
  ・ツールが使いやすくて、
  ・web上のドキュメントも日本語が多くて、わかりやすい
  ・でもFPGA性能は、Xilinxより遅れている
そんなことないですか?
530774ワット発電中さん:2012/01/25(水) 08:03:29.33 ID:1rD8uaN9
>>524
どちらも使っている。
ソフトはシミュレーション用と実機確認のテストプログラムまで。
後は本職のファーム屋さんに任せている。
531774ワット発電中さん:2012/01/25(水) 16:43:11.97 ID:QE0i87S8
MUXCYsが全く減らない・・・・どうやって減らしていくかな
532774ワット発電中さん:2012/01/27(金) 00:08:17.53 ID:8fGuscCu
>>529
>   ・web上のドキュメントも日本語が多くて、わかりやすい

これが全世界で日本だけがAlteraユーザが多い理由か?
世界ではXilinxが6割くらいのシェアだけど、日本だけが
Alteraが6割のシェアらしい。
533774ワット発電中さん:2012/01/27(金) 00:15:07.00 ID:/3j6n6ZL
ISEが使いづらすぎなだけではあるまいか。
534774ワット発電中さん:2012/01/27(金) 00:17:09.02 ID:/3j6n6ZL
あと一時期ツールのVHDLマンセー化も…
535774ワット発電中さん:2012/01/27(金) 00:20:08.64 ID:Oh9j1KWJ
ModelSimXEのサポートを止めちゃったのが残念。
536774ワット発電中さん:2012/01/27(金) 01:04:25.21 ID:kdvWkkSJ
IsimでModelSim-XEみたいにソース直してすぐに再Simしたいが、
Isimを起動した状態でソース書き換えて再Simする方法が分からない。

わざわざIsim閉じてISEに戻ってるが、さすがに
作業性悪すぎるのでModelSim-AEで動作確認してから
ISEにソースコピーすることにした。
537774ワット発電中さん:2012/01/27(金) 01:15:50.30 ID:Oh9j1KWJ
>>536
ヘルプによると、[Re-launch]ボタンってのを押すと、再実行までのフローを自動でやってくれるみたいね。
538774ワット発電中さん:2012/01/27(金) 10:29:02.07 ID:yBvnruSW
>> 536
539774ワット発電中さん:2012/01/27(金) 10:33:41.52 ID:yBvnruSW
>> 536
13.1からできるようになってるよ
540774ワット発電中さん:2012/01/27(金) 21:48:55.77 ID:ZsVBFdwq
Kintex7の評価ボード安いね.Virtex7の評価ボードまだでないのかね
541774ワット発電中さん:2012/01/27(金) 21:54:56.86 ID:kdvWkkSJ
>>537-539
ありがとうございます。
おかげさまでバージョン上げて出来るようになりました。

出来たけど…コンパイル遅っ。(ModelSimに比べて
でも一旦ISim閉じるよりはるかにマシですね。
少しはまともに開発進められそうです。
542774ワット発電中さん:2012/01/27(金) 22:12:52.71 ID:6KCIpQgv
543774ワット発電中さん:2012/01/28(土) 13:54:46.08 ID:uOYr71xk
チラ裏だけど、ISimの不満点
・波形がアナログで表示できない
・VHDLのvariableが波形表示できない
・エラー箇所などを外部エディタで開けない
・マーカーが2個しか使えない

結構あるな
544774ワット発電中さん:2012/01/28(土) 13:57:49.89 ID:1CWJvkUE
>>543
Veritak買えw
545774ワット発電中さん:2012/01/28(土) 19:39:40.44 ID:kw+GWZOp
Xilinx良く知らんが、いや正確にはほとんど知らんがだが
ダダ版に付くISimと有料版に付く付くISimじゃ何か違いあるのか
>>543はダダ版だから?
546774ワット発電中さん:2012/01/28(土) 20:12:07.58 ID:UMtfLmAY
違い。
ttp://japan.xilinx.com/products/design_tools/logic_design/verification/ise_simulator_faq.htm

ちなみにISE Webpackが無料版のツール。
547774ワット発電中さん:2012/01/29(日) 11:11:32.22 ID:NulPlZHz
全然違わんよ
違うのは行数によるパフォーマンスの制限だけ
だから >>543 は有償版でも同じだよ

アナログ表示がないのはDSP系処理にはつらい
548774ワット発電中さん:2012/01/29(日) 11:43:56.40 ID:+1oWeYiY
>>547
ISimで時間波形と周波数スペクトルは見たいところですね
549774ワット発電中さん:2012/01/30(月) 00:32:14.47 ID:mVhjC3sE
仕事ではXilinxのISEのどのエディションをよく使ってるんだ?
おいはweb。俺の会社、金がないし、おまえらにはwebで十分だろだ
550774ワット発電中さん:2012/01/30(月) 01:28:25.40 ID:lP4GYl+W
>>549
合成はwebだけどsimはNC Verilog。客先でsimの場合はvcsが多いかな?
551774ワット発電中さん:2012/01/30(月) 07:34:49.68 ID:wDlV5DWO
webpackだとvirtex5 とか 6 のデカいデバイスが使えないからなぁ・・・
NC速くていいね。
552774ワット発電中さん:2012/01/30(月) 10:17:30.29 ID:lNjWGjre
俺もWebPACKだ
でもたまにChipScope使いたくなる
あとEDKも使いたい

>>548
周波数スペクトルなんて、ModelSimでも見えるの?
553774ワット発電中さん:2012/01/31(火) 00:14:09.25 ID:M2K3HNeq
AlteraならWebEditionでロジアナ使えるのに
554774ワット発電中さん:2012/01/31(火) 00:22:39.07 ID:PvrucqDh
SignalTapIIは便利だよねー。
InSystemMemoryEditorもデバッグ時のLUT書き換えで重宝してるわ。
555774ワット発電中さん:2012/01/31(火) 00:48:21.69 ID:YgGPsx8E
仕事でweb版がメインって意外と多いのか
俺もchipscope使いたいよ
ちなみにAlteraはXiのEDK相当ってタダ版でも使えるのか?

>>550
NC Verilogって有料ISEよりはるかに高いんじゃないのか

>>553
AlteraはXilinxよりシェア少ないから気前よくして
シェアを上げようとしているんじゃないか
556774ワット発電中さん:2012/01/31(火) 00:54:50.55 ID:M2K3HNeq
>>>555
EDKってソフトCPUコア作り込むヤツのことか?
CPUは一番処理能力低いのしか選べないけど無料で使えるし、自作ペリフェラルもXiより簡単に作れるよ。
557774ワット発電中さん:2012/01/31(火) 00:55:41.75 ID:+i5SKm+W
>>555
Xilixのデバイスの仕事だけしか来ないならISEという選択肢もアリだけど
Alteraもあるし最近かなり減ったけどASICの仕事とか論理設計だけの仕事
なんかもあるのでNC Verilogのほうがつぶしがきく。
558774ワット発電中さん:2012/01/31(火) 02:03:01.52 ID:EBQSlYSy
Xilinx ISEを使っていますが、ALTERAのQUARTUSも使いたいと思っています。

以下の点を教えてください。

中間ファィルの拡張子が違うので、戸惑っています。
1) ビットファイル ISE xxx.bit     → Quartus xxx.sof
2) 制約ファイル ISE xxx.ucf     → Quartus ???
3) FlashROMファイル ISE xxx.mcs → Quartus ???

4) Xilinxの場合だと、ucfは、text editorで編集できますが、Quartusの場合も可能でしょうか?
Xilinxでもそうですが、あの表計算みたいなところに"PIN_AF34"とか書き込むのが面倒ですし、
text editorなら、//や/*・・・*/が使えて便利なのです。
559774ワット発電中さん:2012/01/31(火) 02:42:32.31 ID:NXctskr9
1) うん
2) qsf
3) AlteraコンフィグFlashROM用ファイルなら pof
4) テキストファイル。好きに弄れ
560774ワット発電中さん:2012/01/31(火) 08:00:50.17 ID:sR47AQgu
エクセルから貼れますよ
561774ワット発電中さん:2012/01/31(火) 08:04:21.20 ID:PvrucqDh
PIN定義とかの定型的なヤツは別Tclにしておいて
プロジェクトの立ち上げ時に「Run Tcl」でqsfに取り込むようにしてる。
562774ワット発電中さん:2012/01/31(火) 08:28:04.85 ID:nmrOWXDC
>>557
なぜISEとNCを比べる?
ISEに対応するものはdcじゃないのか?
563774ワット発電中さん:2012/01/31(火) 17:17:32.00 ID:u6S3M9Da
TSMCの28n立ち上がらんなあ
まぁXもAも共倒れだからいいか
後発のLには追いつかれないだろう
今年も売上はX、利益はAなのかな
564774ワット発電中さん:2012/01/31(火) 17:54:47.09 ID:z8TC/JV/
to_integer と conv_integerって型変換2つあるけど,どっちがリソースを使う使わないとかあるのかな
565774ワット発電中さん:2012/01/31(火) 18:56:52.15 ID:nEhUS/B9
Xは28nではSpartanがないんだけど何か理由あるの
566774ワット発電中さん:2012/01/31(火) 19:00:27.58 ID:76UhBRYB
名前を変えただけじゃないの
567774ワット発電中さん:2012/01/31(火) 19:33:58.78 ID:+i5SKm+W
>>562
>>549>>550>>555の流れから>>557でISEとNCを並べただけ
ISEとdcを並べてももちろん問題はないから細かい事は気にするな
568774ワット発電中さん:2012/01/31(火) 20:50:11.34 ID:aIupyftd
>>565
SとVでは内部のコンポーネントが異なっていたんだけど、
7シリーズからはAKV全部同じになる。規模とか組み合わせがかわるだけ。
(基本的には。GTなどは別)

SとV両方で使えるようにラッパーかけるような設計してたけど、
7シリーズからはそれが必要なくなるね。
Spartanの名前が無くなる理由はわからん。マーケティング的な理由だと思うが…
569774ワット発電中さん:2012/02/01(水) 09:46:41.00 ID:TXZu1r9m
単なる気分転換でそ
名前の変更
570774ワット発電中さん:2012/02/01(水) 11:50:17.50 ID:wiGfOX+K
中身をみんな同じチップにして、
パッケージとI/O数(外部にとの出す配線数)だけ違うのを出してくれれば
1種類の製造で済むから徹底的にコストダウンできると思う。
そのほうが使う側も楽だし。

近所のスーパーにも、フェラーリで行く感じで。
571774ワット発電中さん:2012/02/01(水) 11:51:25.01 ID:RDEL+aAC
それよりSpartan 4 とか 5 が無かったのが謎
572774ワット発電中さん:2012/02/01(水) 11:52:13.55 ID:eSx94JvL
別に何もおかしくない
573774ワット発電中さん:2012/02/01(水) 11:59:24.66 ID:DasAJGQv
>>570
ダウト、出来たらやってるよ。
I/Oのパッド数でダイ面積が決定される。
574774ワット発電中さん:2012/02/01(水) 12:20:18.00 ID:3j9fVPXi
アリアの3、4もないしね
575774ワット発電中さん:2012/02/01(水) 15:24:48.05 ID:wiGfOX+K
>>573
俺、あんたにダウトって言われる筋合いはないよ。
実際の物のどうこうは関係なく
俺が思ったことに間違いはないんだから。
576774ワット発電中さん:2012/02/01(水) 15:25:43.91 ID:DasAJGQv
>>575
稚拙なアイデア乙
577774ワット発電中さん:2012/02/01(水) 15:57:13.14 ID:RDEL+aAC
>>573
それ以前に、ゲート規模の違うのを作ってるんだからひとつできないだろ。
全部最大サイズの高いのにするのかよ・・・

それより FFG1923 と FFG1924 とか同じパッケージで
1ピン違いとか作るなよ。

数え間違えたら困るだろ。
578774ワット発電中さん:2012/02/01(水) 16:06:51.06 ID:iHUyyNuA
俺が思ったことに間違いはないんだから。

俺が思ったことに間違いはないんだから。

俺が思ったことに間違いはないんだから。
579774ワット発電中さん:2012/02/01(水) 16:17:34.55 ID:mcmiz93R
>>577
>FFG1923 と FFG1924
検索で出てくるPDFを並べて見ても違いが全然分からん…
580774ワット発電中さん:2012/02/01(水) 17:24:56.50 ID:cvW6rb/P
>>577
ダイは最大のが1つで、小さいのは無効(含む不良箇所強制無効)にしている可能性はないのか
581774ワット発電中さん:2012/02/01(水) 17:36:30.49 ID:TXZu1r9m
さすがにそんなもったいないことはしてないと思うけど
582774ワット発電中さん:2012/02/01(水) 22:43:35.23 ID:h0CABaMX
>>580
チップの値段ってダイ面積に比例する部分が大きいからもったいなくてできない。
ダイ面積が元々小さくて原価に占めるダイの割合が少ない小規模品なら量産効果、
規模で1段階くらいなら不良チップ救済で経済性があるんじゃないかな。
配線長は短いほど高速なので大型ダイの一部を無効化して作られた小規模品はパフォーマンスが落ちる。

たとえばAlteraのEP3C5とEP3C10は同一ダイでIDCODEまで同じ。
無効化はされていないので、不良がなければ使えてしまう。
583774ワット発電中さん:2012/02/02(木) 08:26:05.04 ID:S0tDxY29
いいのが出来れば上のクラス、不良ダイが多くなっちゃったら下のクラス。おれならそうする
584774ワット発電中さん:2012/02/02(木) 14:56:48.54 ID:sAQR9SEz
スピードグレードや、温度ランクは当然そうしてるでしょ
585774ワット発電中さん:2012/02/03(金) 00:38:48.43 ID:jbP8PnCX
>>215
フライス盤買った。本体よりも周辺の工具の値段の方がずっと高くなった。
旋盤も欲しくなった。足りない工具治具も色々作りたくなった。
楽をするための努力は惜しまない性格が災いして以下略
... UNIX、GNU、Linux あたりと同じ状況に。面白いからハマるんだよなぁ。
586774ワット発電中さん:2012/02/03(金) 05:17:21.88 ID:sVEihAI4
ちなみにいくらぐらいかかったんですか?
587774ワット発電中さん:2012/02/03(金) 23:45:43.32 ID:TLN9Utri
>UNIX、GNU、Linux
結局できあいのものに振り回されてるだけだったり
588774ワット発電中さん:2012/02/04(土) 00:24:12.23 ID:/NQYh8b0
結局FPGAに振り回されてばっかりなのにな
ドカタじゃしょうがないよな。どうね?
589774ワット発電中さん:2012/02/04(土) 06:48:24.68 ID:Wsi38jN6
人がやっていることを詰まらないものみたいな言い方をして楽しめる性格ってなんだかな
590774ワット発電中さん:2012/02/04(土) 07:29:36.19 ID:iMhhdWc9
浪費癖自慢よりも成果物を晒せって感じ
591774ワット発電中さん:2012/02/04(土) 09:54:20.42 ID:AMw00qGQ
>>585
オマエはきっとフライス盤をNC化するだろ?w(悪魔のささやき)
592774ワット発電中さん:2012/02/04(土) 10:05:57.77 ID:7KNyFAn5
NC化するための部品を作るのに
NCがあると便利なんだろうな・・・
593774ワット発電中さん:2012/02/04(土) 14:18:32.52 ID:uZdi0aQP
>>590
スレ住人は実力ありませんから成果が出ません、
浪費自慢で俺すげーだろって強烈アピールなんです。
スレ見たら成果なんて無理野郎だけってわかるでしょ
594774ワット発電中さん:2012/02/04(土) 16:58:48.44 ID:oMLEi5Ug
ISE13.2で教えてください。

RTL回路図表示のとき、
四角いブロックをダブルクリックすると、その内部の回路が出てきますが、
それをダブルクリックせずに、右クリックで選ぶと、
その部分のソースファイルょ開くような機能があります。
ところが、そのソースファイルの先頭になるだけで、肝心な部分にカーソルが移動しません。
その部分に飛ぶようには出来ないでしょうか?

595774ワット発電中さん:2012/02/05(日) 20:06:14.67 ID:UxRqhjcG
EPM7032Sの周辺回路が分からない…
CPLDをまともに使ったこともないのにこんなのなんで貰ってきてるんだろう俺w
OEって何だよ、出力イネーブルってアクティブLowで何をイネーブルにするんだよぉ…orz
まさか出力回路自体を外部から切れるようになっているのか?
PD、GCLRのプルアップの値やGCLKの入力クロック処理、OE2とGCLK2の選択方法なんかもぜんぜん分からん。

JTAG部分はどうにか他の資料で見つけたが、なんで大本の資料にリファレンスらしい図面の一部が載ってないんだろうaltera…
全部ブロック図から読み解けということなのだろうか。
596774ワット発電中さん:2012/02/05(日) 20:17:19.91 ID:8figPfFO
独り言なら自分のブログででもやってくれ。
597774ワット発電中さん:2012/02/05(日) 20:19:38.73 ID:UxRqhjcG
4つも5つも教えてくださいというのもアレかなと思いまして。
598774ワット発電中さん:2012/02/05(日) 21:04:54.58 ID:8figPfFO
グダグダで貴方が何を持ってて
何が知りたいのか全く分からんのだ。
この状態で他人に何を期待してるんだ?

てか、OEくらい分かるだろ?
74シリーズのバッファでも想像してくれ。
599774ワット発電中さん:2012/02/05(日) 21:13:39.46 ID:LpZdBwlt
FPGA/CPLDは日本ではドカタが扱うもの
>>595はドカタのリアル鑑
ドカタはこうじゃないとな
600774ワット発電中さん:2012/02/05(日) 22:27:10.59 ID:S6PijqvJ
>>599それはない。日本をナメんじゃないよ。
601774ワット発電中さん:2012/02/05(日) 22:59:09.13 ID:Z+VhrWww
>>599
さすが、ドカタさん。
よくわかっていらっしゃる。
602774ワット発電中さん:2012/02/05(日) 23:15:13.85 ID:LpZdBwlt
>>601
ドカタじゃないとこんなスレこないよな
ところで、Xドカタは>>594に回答すらできないのか?
603774ワット発電中さん:2012/02/05(日) 23:29:19.13 ID:8figPfFO
あんな使いにくい回路図エディタ使ってられまへん。
604774ワット発電中さん:2012/02/05(日) 23:50:50.16 ID:UxRqhjcG
>>598
74シリーズのバッファって言われても良くわかんないです。
ググっても幾つかのICはNOTばかり書かれていてOE端子なんてないですし。
ニワカドカタなので、74シリーズ全般をソラで言えるような恵まれた勉強はしたことないですし。
電圧変換しているような感じでもないですよね。
7032Sは内部と外部(多分OE1とOE2?)で全部で6個の入力を元にどうこう…なんて書かれているところまではデータシートで見つけましたが。
タイミングチャートも真理値表も無いと何のことやらさっぱりで…。

もうちょっと色々探して見ます、仕事じゃないし。
605774ワット発電中さん:2012/02/05(日) 23:53:28.95 ID:elI5UhEP
>>603
何使ってるのあなたは?
606774ワット発電中さん:2012/02/06(月) 03:21:54.06 ID:8jW2bmIE
そもそも今時7000番台を使うこと自体...
607774ワット発電中さん:2012/02/06(月) 10:29:41.38 ID:eon6q3gB
>>604
CPLDというよりデジタル回路の基本を勉強しる。
608774ワット発電中さん:2012/02/06(月) 12:09:57.74 ID:VY3zE7As
>>604
一つ言ってやると、FPGA/CPLDというものは
タイミングチャートも真理値表も
自分で作らなきゃいかんのだ。
「何をイネーブルにするんだよぉ…orz 」じゃなく、
それは自分できめにゃいかんのだ。
逆に言うとそれらを自分で決められるICがFPGA/CPLDなんですよ。
609774ワット発電中さん:2012/02/06(月) 13:08:59.64 ID:8+qt6Juf
このスレらしからぬ暖かいレスが続いているのは、なぜ?
みんな、オトナになっちゃったの?
610774ワット発電中さん:2012/02/06(月) 14:03:17.87 ID:VY3zE7As
ドカタとしては、これからドカタを目指す者には暖かい(西成魂)
611774ワット発電中さん:2012/02/06(月) 14:04:48.34 ID:qa3qnfmT
本来のスレの趣旨に戻っただけでしょう
>>609 さんは >>1 を百回読み直してください
612774ワット発電中さん:2012/02/06(月) 19:52:50.25 ID:lvni4vN7
>>606
おっさん・爺の標準なんでしょ

ここは技術的なことやツール等の使い方を聞くところではないよね
聞いてもちゃんとした回答なんて期待できないんだから
ここはFPGA/CPLDに興味ある奴が愚痴をこぼしたり、雑談、自慢話、罵倒をするところ
613774ワット発電中さん:2012/02/06(月) 21:07:43.21 ID:eTvx+HOs
>>612
>ここはFPGA/CPLDに興味ある奴が愚痴をこぼしたり、雑談、自慢話、罵倒をするところ
スケールの小さいヤツだな、お前。
614774ワット発電中さん:2012/02/06(月) 22:09:45.38 ID:G6nPSwBS
ここはライバル会社のヤツを罵倒してハナをへし折り、出鼻をくじくスレです
615774ワット発電中さん:2012/02/06(月) 22:37:58.50 ID:4fDLQIjT
いや、ここはエレキドカタの喫煙所ですよ
616774ワット発電中さん:2012/02/07(火) 19:54:31.66 ID:Kf0+0edr
差動信号の出し方が全くわかりません
これってコードの方でNegative出力とPositive出力作るのものなんですか?
617Xilinxでの話:2012/02/07(火) 20:05:22.07 ID:+ac5vp1F
>616
差動のための出力バッファにはそもそもpositive信号しかつっこむところがない。


618774ワット発電中さん:2012/02/07(火) 20:36:19.79 ID:eYoICnW2
>>616
ISEのテンプレートにあるかもしれない。探してごらん
619774ワット発電中さん:2012/02/07(火) 22:11:55.23 ID:Kf0+0edr
NET "xx_p" LOC = "XX" | IOSTANDARD = LVDS_25 ;
NET "xx_n" LOC = "XX" | IOSTANDARD = LVDS_25 ;

モジュールもnot回路通した反転したの作ってucfで書いてみてもならないんですね.

>>617
>>618
返信ありがとうございます.自分で考えてやっていくしか無いですね.
620774ワット発電中さん:2012/02/07(火) 22:23:32.68 ID:+ac5vp1F
>619
その状態で xx になにがしか代入したら差動動作してくれるはずだが、
xx_p, xx_n に代入してるのか?
621774ワット発電中さん:2012/02/07(火) 22:25:28.87 ID:Kf0+0edr
>>620
え・・・見事にxx_pって名前のとxx_nっていう名前のピン作ってました.
やってみます!
622774ワット発電中さん:2012/02/07(火) 22:32:11.31 ID:wZl+t4at
まずはライブラリマニュアルでOBUFDSを検索だっ!
623774ワット発電中さん:2012/02/07(火) 23:28:27.35 ID:Kf0+0edr
>>622
これってもしかしてIOBUFDSをつけてあげないと差動信号だせない感じですか?

ロジックをかなり高速で動かしてるんでこういうのやりたくない・・・・
624774ワット発電中さん:2012/02/07(火) 23:44:40.81 ID:G+tv3LMh
言ってる意味が分からない。
IOBUFDS入れても速度変わらないんだけど。

高速なら尚更プリミティブ使ってハードマクロを
組み合わせた方が確実に割り当てられる。

てか、皆よくXilinxだと分かるな…。
625774ワット発電中さん:2012/02/07(火) 23:58:04.53 ID:G6K5qUMq
>>624
俺も意味分からんが、恐らく
シングルの時はIOBUFがないから高速、で差動にすると余計なIOBUFDSが付くから
高速動作できなくなるって思っているんじゃないか

>>623
IOBUFDSがいやなら、シングルにして高速動作のLVDSトランシーバを外部につける
これなら良いだろ
626774ワット発電中さん:2012/02/08(水) 01:22:08.24 ID:eo1QkLiv
>>623
・SelectIO リソース ユーザーガイド
・クロックリソース ユーザーガイド
どのシリーズかは知らないけど、上記のドキュメントを落として眺めるとイイヨ。
FPGAのI/O周りの事が何となくつかめると思う。

どうしてもOBUF縛りでやりたいってんなら止めはしないw
627774ワット発電中さん:2012/02/08(水) 03:50:17.66 ID:gKmLcr7q
>>623
>差動信号だせない感じですか?
感じですか? って何?
質問説明は会話じゃないんだから、そういう言い方やめろよ。バカに見えるぞ。

差動出力は、非反転と反転を自分で手当して出力してもいいけど、
そういう使い方を想定して、XilinxがFPGAの中に送信回路を容易してくれている。
1本線の信号を、それに入れると、I/Oピンに差動で出力してくれるという便利なもの。

どうやってそれを使うのかと言うと、HDL上で、その機能を呼び出して配置する
(インスタンシェートする)だけでOK。あとはISEが自動的にやってくれる。

  IOBUFDS U1 ( .IN( aaa ), .OUT( B ), .OUTB( Q ) );  みたいな感じ。

適当に書いているので、実際には取説を見て使用する。

もちろん、I/Oピンも、
・ペアも決まっていて
・反転/非反転の極性も決まっている
なので、好き勝手なピンに割り付けられない。

上記の例は出力だが、同様に、入力のブロックも用意されていて、
全く同じように使う。
628774ワット発電中さん:2012/02/08(水) 08:09:39.45 ID:+B5p3xE1
穏やかですね
629774ワット発電中さん:2012/02/08(水) 08:59:35.59 ID:Wsi+crac
穏やかな感じですね?
630774ワット発電中さん:2012/02/08(水) 09:02:38.79 ID:EQHwZfqm
そうっすね・・・
631774ワット発電中さん:2012/02/08(水) 09:29:39.26 ID:3K/fVnOg
xilinxはバッファとかその辺が面倒だな。

alteraならシングルエンドの信号書いといてpin plannerで差動IO規格割り当てて終了。
632774ワット発電中さん:2012/02/08(水) 12:50:00.74 ID:4ZNJN/2S
よくわかってなかった頃はPLL用のBUFGが壁だったな‥
633774ワット発電中さん:2012/02/08(水) 18:21:04.46 ID:eFww2QHU
>>616ってほんとゆとりって感じだな
メーカーやデバイス等を言わないで、差動ってどうやるのって聞くって
なんかゆとり日本らしいな。日本人ならこうでないとな
634774ワット発電中さん:2012/02/08(水) 19:35:24.06 ID:x55pHIXl
まーた爺の小言が始まった
635774ワット発電中さん:2012/02/08(水) 19:36:32.67 ID:+772xFzZ
さーどうでしょう。
636774ワット発電中さん:2012/02/08(水) 19:37:31.51 ID:+KgQzua0
>631
それは材でも同じだろう。
アホの子の{ために|せいで}バッファを陽に書く話になってるだけだ。
637774ワット発電中さん:2012/02/08(水) 20:21:16.89 ID:mCMIPib2
でも楽にできたほうがよくね?Xilinxしかつかったことないけど
638774ワット発電中さん:2012/02/08(水) 20:23:23.20 ID:AFNpAmVQ
そこは>631に同意だわ。
Xだとソース上PとN両方書かにゃならん。
それとも、俺が知らんだけでツールの機能だけで信号分けれるとでも?

まぁソースの変更と言っても大した内容じゃないけど。
639774ワット発電中さん:2012/02/08(水) 22:18:01.77 ID:RE9bWWKH
HDLソースに記述あったほうが分りやすくないか?
Aの場合HDLソースコードだけで差動出力って分るのか
640774ワット発電中さん:2012/02/08(水) 22:55:25.97 ID:4yQzXJPC
別にHDL上は差動かどうか判別する必要ないじゃない。
IOSTANDARDやピン番号なんかはHDLではなくツール側に情報持たせてる訳だし。
そこはこだわる所ではないと思う。
641774ワット発電中さん:2012/02/09(木) 00:07:03.60 ID:3rMGgDt1
>>640
HDLでは関係ないが
内部回路のHDL(シングルエンド)と回路図(差動で信号ピン増えた)であれ?
になるならプリミティブ使って明示的に差動変換をHDL記述したほうが分りやすいじゃと思った。
結局>>636の言うように知らない奴対策としてだがな

Aの場合スケマでやる場合もスケマはシングルエンドにして、でピンアサインで差動変換なのか?
642774ワット発電中さん:2012/02/09(木) 03:08:38.59 ID:xkjaMeB2
わかりにくいと思うのは、Xilinxのライセンス管理表みたいなやつ。
何をしているのか、さっぱりわからん。

あと、ソースでI/Oを減らすと、ucfも併せて減らさないと「怒られる」こと。
使ってないんだから、見逃してくれればいいと思うんだけど。
643774ワット発電中さん:2012/02/09(木) 10:05:19.62 ID:6Vuywu44
>> 642

Translateのプロパティで、Allow Unmatched LOC Constraints をオンにする
ヘルプのどっかに書いてあったよ、「未完成のデザインをインプリメントする」とか何とかいうタイトルで

この辺の分かりにくさはXilinxの伝統だね
644774ワット発電中さん:2012/02/09(木) 19:46:50.58 ID:+8IJHQbQ
始めまして、現在制御技術関係の職業訓練を受けてVHDLの授業に入りました。
ほんとーに初心者で、ほとんど分かってないのですが、xilinxを授業で使っていまして
本格的に勉強したいと思ったのです
そこで、皆さんのお勧めの本などを教えて頂けますでしょうか?よろしくお願いします
645774ワット発電中さん:2012/02/09(木) 20:51:11.48 ID:o140SVPf
>>644
職業訓練の授業ってディジタル回路の基礎からやるものなんですか?
646774ワット発電中さん:2012/02/09(木) 21:04:12.58 ID:+8IJHQbQ
>>645
電気理論から始まってアナログ回路→デジタル回路→VHDLを使ったデジタル回路
とりあえず今はここまでですよ〜
647774ワット発電中さん:2012/02/09(木) 22:12:36.51 ID:D2JRbdXH
>>644
Xilinxを使ったおすすめの本って思いつかん。
XilinxのサイトにISEのチュートリアル(pdf)あるから
先ずはそれで勉強で良いんじゃないのかな
648774ワット発電中さん:2012/02/10(金) 02:59:59.76 ID:Ng/5lcMd
目的持ってコード書いて分からないとこあれば随時調べていくっていうのが
力つくと思うんだけど.
649774ワット発電中さん:2012/02/10(金) 03:54:07.61 ID:BRzGutyw
俺も、ISEでHDLを覚えるのには、死ぬほど苦労した。
そこいらの検索結果を見つけては、自分のソースに継ぎ足してみたけど、
エラーの嵐だったりして。
で、そのエラーが何を言いたいのかわからない。英語だし。
言ってるそばから、単語や用語がわからない。
Vectorなんとか、とエラーメッセージが出るんだけど、Vectorという単語は、
ソフト検索サイトのベクター、(x1,y1)-(x2,y2)のベクトル、くらいしか知らない。
辞書見ても、一次元配列と書いてあるだけ。「俺は配列なんか使ってないぞ」と
ずーっとわからずにいた。
またscalerなんとか、というエラーメッセージも出る。スケーラー? スカラー? なんだそれ。
scalerがVectorと対義語の関係にあることがわかれば、なんとなくわかりそうなもんだけど、
それすらわからない。
その後しばらくしてから、Vector = 束(たば) = バス変数 = [7:0] datの変数で、
scalar = スカラー = 1本線 = wire aa という関係がわかった。そんなことすら、気づくまでに大変な苦労をした。
1人でやってると、聞ける人もいなくてツライ。

今でも、regal net valueと言う言葉がわらない。 堂々とネット値? 
650774ワット発電中さん:2012/02/10(金) 10:51:38.23 ID:V/KGvBls
ははは それは大変だね。
俺は、
always ()の外に、<=を書いて
出たエラーメッセージの意味がわからなくて、
1日中悩んだよ
651774ワット発電中さん:2012/02/10(金) 15:00:03.42 ID:4jYyVgGK
<=と=の違いは、本に書いてあるけど、
記述する位置について書かれた本は、なかなか無いね。
先輩の作ったソースを見せてもらえばいいんだろうけど。

確かに、マイコンのCやっててVerilogやると、頭が混乱するね。
652774ワット発電中さん:2012/02/10(金) 15:10:52.12 ID:h6mqBcaD
そこらの本書いてる奴も大抵は経験則で知ってるってだけで、
ちゃんと原本にあたってるわけじゃないから
653774ワット発電中さん:2012/02/10(金) 16:12:54.14 ID:maCDNYg+
でもこの<=と=の違いがハードとソフトの考え方の違いを表してる典型例だと思う。
時間軸の捉え方が違うよね
654774ワット発電中さん:2012/02/10(金) 19:22:51.65 ID:k/HWFq2y
<=による値の変更が行われるのはいつか・・っていう点で殆どの
書籍が間違っているという指摘があったね、そういえば。
655774ワット発電中さん:2012/02/10(金) 21:52:10.49 ID:fb86IU62
遅レスだが600あたりを見てて思った。
FPGAのスレはここと、電卓を作ろうだけだから、
初心者が質問しても不思議じゃない。
74LSなんて死語に近いから最近の人が知らなくても無理はない。
FPGAの初心者を煙たがる人がこのスレに住むようになったのなら、
初心者スレを分けたほうがいいかな。
656774ワット発電中さん:2012/02/10(金) 22:11:46.13 ID:wLezecJi
74"LS"とは誰も言ってない。74シリーズは回路設計してたら常識的に使う。
そもそもFPGAやCPLDは回路設計の延長線上にあると思ってるんで、個人的には。

それに初心者を煙たがってるのではない。
質問形式で書かず、単なる愚痴にしかなってないから嫌気がさしてるんだ。
見てる側に問題点がはっきり伝わらないから。
657774ワット発電中さん:2012/02/10(金) 23:30:10.17 ID:qKYih5vP
>>654
俺、そのあたりがよく分ってない。
解説よろしく
658774ワット発電中さん:2012/02/10(金) 23:51:14.34 ID:IGQoDXX0
STARC本の通りコード書けば大体大丈夫
659774ワット発電中さん:2012/02/10(金) 23:55:49.89 ID:fb86IU62
>>656
HCだろうが他のシリーズだろうが
> 74シリーズは回路設計してたら常識的に使う。
いまどき74なんて外付けで使った時点で、俺は敗北を覚えるな。
660774ワット発電中さん:2012/02/11(土) 09:20:21.64 ID:0rx6daTb
74、バッファとしても使わないの?
661774ワット発電中さん:2012/02/11(土) 11:33:32.41 ID:3P6Lwfbc
>>659
>いまどき74なんて外付けで使った時点で、俺は敗北を覚えるな。
そんな格好付けて、何が嬉しいんだ?
662774ワット発電中さん:2012/02/11(土) 11:45:26.32 ID:3tJqCTPE
そっとしておいてやれよ
663774ワット発電中さん:2012/02/11(土) 12:39:18.98 ID:zwBkrYyz
ちなみに、XilinxのISEって名前が変わった?
PlanAhead 13.2 = ISE13.2 と考えればいいのだろうか?
よくわからない、XIlinx
664774ワット発電中さん:2012/02/11(土) 13:53:49.15 ID:PvMj7l52
コネクタで信号受けるときは74に限らずバッファ入れないと不安だな。
665774ワット発電中さん:2012/02/11(土) 14:37:02.61 ID:2IYX2Dvu
>>663
ISEは総称。
「ISE Project Navigator」が現在設計開始の一般的なエントリーポイント。
このソフトを「ISE」と呼んでしまってるので混乱してるんだと思う。
「PlanAhead」はフロアプラン設計を得意としたツール。
しかし、将来的には「PlanAhead」が「ISE Project Navigator」を置き換えて、
「PlanAhead」が設計のエントリーポイントになるらしい。
666774ワット発電中さん:2012/02/11(土) 15:59:43.42 ID:IdtyDpEC
>>659
おまえ今時の74シリーズ知らないで設計やってんの?
667774ワット発電中さん:2012/02/11(土) 16:27:04.70 ID:xUIdsSql
1Gxxとかってちょっとしたときに便利だよね
668774ワット発電中さん:2012/02/11(土) 21:00:01.04 ID:zwBkrYyz
教えてください。

ISE13.2で、トランスレートすると、Warningがたくさん出ます。
調べて見ると「ucfに、おなじことが2度書いてあるじゃん」ということみたいで、
実際にucfに2カ所書かれていました。
例えば、1つは aaa[7] もう一つは aaa<7> などです。

なぜこのようなことになるのでしょうか?
以前はISE12で、今回ISE13に持ってきたので、流儀が異なるので2カ所あるのかな?と考えています。
しかし、そんなことユーザーには関係なくてXilinxの都合なんだから、
Xilixnがちゃんと処理してくれるべきだと思うんです。
こんなソフトで、もう13.4リリース!とか、いい加減にしてください。

みなさんは手動書き換えているんでしょうか?

なんか、とても腹が立ったので、書込ました。
669774ワット発電中さん:2012/02/12(日) 07:23:22.26 ID:0jJDBsoi
何を教えるの?
670774ワット発電中さん:2012/02/12(日) 07:36:59.68 ID:6eQZr0Z7
オナニーしたいのなら自分のblogにでも書けよw
671774ワット発電中さん:2012/02/12(日) 11:35:36.44 ID:LwUyNakP
以前に自分でISEの設定変えてたんじゃないの?
それがデフォルトに戻っただけに見える。
672774ワット発電中さん:2012/02/12(日) 13:58:07.05 ID:WZIao+U2
8bitデータを8回の平均するとき、8回加算して、上から8bit取り、下3bitは捨てますよね。
そうすると、ISEは下3bitについてWarningを出します。
このWarningを出ないようにすることはできないでしょうか?

というか、Warningの無いHDLを目指しているのですが、
この手の「接続先無いよ、使ってないよ」というWarning が取り除けないんです。
「そんなことわかってるから、Warningしなくていいよ」と言いたいのですが。
大量に出るWarningについて、みなさんどうしてますか?

それとも「Warningの100個や200個普通だよ。そんなこと拘ってたら、ISEなんてやってられないよ」
という話でしょうか?
673774ワット発電中さん:2012/02/12(日) 14:18:18.59 ID:Jooapn9t
>>672
そういったどうでも良いメッセージを抑制する用途で
「メッセージフィルタ機能」があるんだけど使いにくいらしい。
674774ワット発電中さん:2012/02/12(日) 16:04:24.58 ID:WZIao+U2
少なくとも、種類分けしてくれたらいいのに、と思います。
緑色のチェックの付いた丸印が並ぶと気持ちいいんですが、
ピックリマークの黄色三角ばかりで、めげてしまいます。

ISEも、Quartusのように進捗バーが出ればいいのに。
675774ワット発電中さん:2012/02/12(日) 18:56:25.72 ID:fg6QZ0zP
>>672
QuaはWarningはたくさんでないのか? いっぱい出るだろ
676774ワット発電中さん:2012/02/12(日) 21:15:45.57 ID:WZIao+U2
誰も「QuartusはWarningいっぱい出ない」とは言っていない。
677774ワット発電中さん:2012/02/13(月) 12:04:14.13 ID:ESnn2H5q
>>672

試したけどwarning出ないぞゴルァ

module avr(d0,d1,d2,d3,d4,d5,d6,d7,q, clk,reset);

input [7:0] d0,d1,d2,d3,d4,d5,d6,d7;
output [7:0] q;
input clk,reset;

reg [7:0] q;
always @(posedge clk or negedge reset)
if(~reset) q<= 0;
else q<= (d0+d1+d2+d3+d4+d5+d6+d7+0) >> 3;

endmodule


Total memory usage is 135408 kilobytes

Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 0 ( 0 filtered)
678774ワット発電中さん:2012/02/13(月) 12:20:03.53 ID:/8npZcWt

always @(posedge clk or negedge reset)
  if(~reset) begin
    answer <= 0;
    counter <= 0;
    q <= 0;

  end else begin
    q <= q + data;
    if( counter == 8 ) begin
      answer <= counter[11:3];
      counter <= 0;
      q <= 0;
    end
  end
endmodule
679774ワット発電中さん:2012/02/13(月) 12:37:54.81 ID:ESnn2H5q
>>678
脳内でいいからシミュレーションしてみろ
680774ワット発電中さん:2012/02/13(月) 13:27:23.06 ID:/8npZcWt
まちがえました。
answer <= counter[11:3]; → answer <= q[11:3];
681774ワット発電中さん:2012/02/13(月) 14:00:24.02 ID:H7tAEQoa
>>679
>>678>>672が書きそうなコードらしいじゃないか
てか>>672はコードすら出さずに気に入らん警告出た出たって騒いでる(た)奴だし
優秀な俺の完璧コードで警告を出すとは許せんって感じだろ

>>680
正しく動作するコード出せ
682774ワット発電中さん:2012/02/13(月) 15:28:53.08 ID:4EO2Ft8Y
672ってそういう意味だったのかwwww
ある意味勘違いしてたわ
683774ワット発電中さん:2012/02/14(火) 03:50:35.44 ID:ooSE5iWf
教えてください。
FPGAの出力電圧を3.3V とか 2.5V とか、BANK単位ですがPACE上で設定できます。
例えば、VCCI/Oに3.3Vを接続し、0V←→3.3Vを出力しているピンを、
そのままPACEで2.5Vに設定すると、2.5Vか出るのでしょうか?
つまり、VCCIOピン→→→3端子レギュレータで2.5V生成→→→I/Oピンへ、
となっているのでしょうか。
684774ワット発電中さん:2012/02/14(火) 03:58:32.67 ID:fOMqyGBU
なりません。
2.5vにしたいのであれば2.5vを入れてください。
というか、周りと別電源て気持ち悪くない?
685774ワット発電中さん:2012/02/14(火) 10:46:12.23 ID:NlWg4Fi2
そうすると、VCCIOに2.5V供給しているのに、
ピン制約にも 同じことを定義しなければならないのでしょうか?
VCCIOに2.5V入れてあるのだから、
FPGAはそのまま、何も考えずに出力してくれればいいのに。
二重に言わなければならないのは、なぜなんでしょうか
686774ワット発電中さん:2012/02/14(火) 10:58:07.90 ID:izW0oEUH
>>685
3.3でも、CMOSとLVTTLを区別してるでしょ?
そのあたりからお察しください。
687774ワット発電中さん:2012/02/14(火) 18:05:44.46 ID:zHml/CWE
CMOSとTTLは判定レベル違うんだから指定しないと駄目だろ
688774ワット発電中さん:2012/02/14(火) 21:01:55.05 ID:/skbsDbQ
全くの推測だけど、出力の信号強度が違うはず。

入力特性はLVTTLとLVCMOSで違いはない。
そりゃ電源電圧が変わるとスレッシュも変わるけど。
689774ワット発電中さん:2012/02/14(火) 21:36:12.73 ID:LdjdZXcm
もともと TTL だと 0.6V~2.0V は、
HでもLでもないグレーゾーンだよな。
690774ワット発電中さん:2012/02/14(火) 21:38:13.48 ID:kEMnd9Xd
topモジュールにインスタンシエートされたモジュールの、
その内部信号を、チップスコープの観測ピンに「そのまま」割当てできますか?
それとも、top階層までズルズルと引っ張って来ないと、
プロービングできないのでしょうか?
後者だとしたら、インスタンシエートの階層が深いとき、
結構面倒な気がするのですが、どうでしょうか?
691774ワット発電中さん:2012/02/14(火) 22:19:09.00 ID:K+cLK2hI
チップスコープ使うってお金持ちだな
俺は貧民で使えないけど
チップスコープのマニュアルには下層の信号ピン観測の仕方も載ってないのかな
692774ワット発電中さん:2012/02/14(火) 22:37:10.85 ID:qogNqltu
Verilogでの下位階層の参照は、シミュレーションだけでなく
ちゃんとインプリメントできるんだっけ?
693774ワット発電中さん:2012/02/15(水) 02:04:20.09 ID:CIKXtybX
チップスコープは、HDL弄らずに下位層の信号も参照できるよ
言語は関係ない
インスタンシエートするタイプじゃなくて、プロジェクトに組み込む感じだった気がする
694774ワット発電中さん:2012/02/15(水) 02:25:02.07 ID:BF5u5p/L
見たい信号を指定して合成し直さないといけない、ってことはないんですか?
695774ワット発電中さん:2012/02/15(水) 02:27:10.30 ID:FixjOnii
>>プロジェクトに組み込む感じだった気がする
チップスコープは、そもそもこの方式だよ。

>>チップスコープは、HDL弄らずに下位層の信号も参照できるよ
下位層の信号に直接引っかけられる?? ホント?
696774ワット発電中さん:2012/02/15(水) 04:29:46.14 ID:nGQedt08
ChipScopeはネットに組み込む方法とインスタンスする方法両方使える。
ネットに組み込む時は観測対象のネットが最適化で消されないように。
インスタンスする時はVHDLの場合は上位まで引っ張るか、モジュール内部で
完結するかどちらかかな。verilogの場合はtop.sub.hogeってダメだっけ?
VHDL、verilogどちらも、ChipScope制御信号は引っ張り回す必要あり。
697774ワット発電中さん:2012/02/19(日) 17:49:02.73 ID:rSuRAaDH
ISEはもうSystemVerilogしている?
698774ワット発電中さん:2012/02/19(日) 17:50:55.98 ID:P9y2aSrF
してる。                                                          かもよw
699774ワット発電中さん:2012/02/20(月) 13:57:13.18 ID:QUQSBWNn
ISEは、Core i5などのマルチコアのCPUに対応していますか?
もちろん、有料版を買ったときの話です。
ALTERAは、もう対応しているんですよね?確か。
700774ワット発電中さん:2012/02/20(月) 14:40:31.67 ID:n9a6EAL8
ISEはあらゆるシステム開発を受託しています

http://www-06.ibm.com/jp/ise/english/company.shtml
701774ワット発電中さん:2012/02/20(月) 15:52:02.27 ID:RJYbbRMh
>>699
合成は未対応
Mapは2スレッドまで
Parは4スレッドまで

WebPACKでも同じだろうか?
702774ワット発電中さん:2012/02/20(月) 21:46:28.63 ID:6E+clqj/
んにゃ。Webpackは全工程シングルスレッド。
703774ワット発電中さん:2012/02/21(火) 10:28:26.39 ID:J7n3vuTD
どうせ大規模の論理合成をするわけでもないから十分だろ。
704774ワット発電中さん:2012/02/21(火) 14:10:37.86 ID:iekAn9RU
同じ回路でも、処理時間がちがうよ。
速い方がいい
705774ワット発電中さん:2012/02/22(水) 08:55:28.77 ID:HvJGXGjz
Intelの22nm Tri-GateがFPGAに、Tabulaと製造契約
http://www.ipros.jp/news/article/detail/2673/

時分割でファブリックを使いまわすABAXアーキテクチャとINELの
高速プロセスの組み合わせってのは理にかなってはいるよな。

つか、安くできるって割に開発キット高すぎる。
706774ワット発電中さん:2012/02/23(木) 00:04:21.93 ID:gSt876QS
すみません、教えてください。

アナログデバイセズのADコンバータ、AD92xxシリーズをFPGAで駆動して使ってみようかと、考えています。
このADコンバータには、変換動作用のCLOCK入力 (CLK+, CLK-)の他に、DCOという出力端子があります。
DCOとは、Data Clock Outの略で、変換データを後段で取り込む時のclock信号のようで、
時間ズレはあるものの、与えたCLK+と同じ周波数で出力されます。
どちらの信号も、FPGAから与えたり、取り込んだりして使いたいと思っています。

そこで質問です。
AD変換データを正しく受け取るには、DCO clockのタイミングで取り込む必要があると思います。
すると、変換データの処理は、DCOをクロックにする必要があるので、FPGA全体のclockを、DCOに乗り換えるべきと思います。
つまり、
水晶発振器(CLK)→→→FPGA→→→(CLK+)ADコンバータ(DCO)→→→FPGA→以降のシステムはDCOをclockとする。
というclockの流れになると思っています。

assign CLK = Xtal_Clock;

always @ ( posedge DCO ) begin
  AD_data <= ADin;
     :
  (その他の処理)
     :
end
という感じです。

でも、一方では「水晶発振器の信号がFPGA全体のclockになるべき」とも思います。
このような場合は、どのように考えれば良いのでしょうか?

あるいは、FPGAはあくまで水晶のclockで動かして、ADデータはFIFOのような
一時記憶を使って、DCO系から水晶clock系に乗り換える、ということをするのでしょうか?

宜しくお願いします。
707774ワット発電中さん:2012/02/23(木) 00:46:03.47 ID:WjFu/G05
正解なんてないよ。設計者の思想次第。
問題なくデータを扱えるならどっちでもいい。
リソースの数でどちらかにせざるを得ない場合もあるけど。

>FPGA→以降のシステム
のところはADCがクロック出力するまでは
まったく動作しないので、ブロック図全体を見渡して
問題ないか決めていけばいいんじゃないの?
708774ワット発電中さん:2012/02/23(木) 00:50:21.77 ID:FZ10L1vr
>>706
たいていFIFOにしちゃうね。
面倒ないし。
709774ワット発電中さん:2012/02/23(木) 11:26:30.09 ID:HAwxTY5D
>706
DCOを主クロックにするにはAD92xxの初期化前にクロックを必要とする奴が
居たらだめなんじゃないかと思うんだが、そのあたりはどうよ。
DCOのクロック品質で足りるのかどうかとか。
710774ワット発電中さん:2012/02/23(木) 12:26:02.61 ID:gSt876QS
>>706です。
みなさん、ありがとうございます。
やはり、FIFOで乗り換えするのが正攻法のようですね。

>のところはADCがクロック出力するまでは まったく動作しないので、
>AD92xxの初期化前にクロックを必要とする奴が居たらだめなんじゃないかと思うんだが、
まったくその通りです。
assign CLK = Xtal_Clock; とすることで、ADからDCO(clock)は出てきますが、
システム全体のclockがADコンバータ頼みというのは変ですね。

もう一度、よく考えてみます。
どうもありがとうございました。
711774ワット発電中さん:2012/02/23(木) 12:56:55.70 ID:MT2PWRrM
ADCのクロックを高速なクロックで叩き直して、同期化とかも正攻法
712774ワット発電中さん:2012/02/23(木) 15:54:29.84 ID:xueF+vmj
AD92xx、ピンからキリまであるけど
差動で出力してくらだから 結構速いヤツかな?
なら、同期化とか到底無理。
713774ワット発電中さん:2012/02/23(木) 16:15:35.61 ID:I78VCKug
差動クロックでデータアウトクロックとなるとかなり高速だろな
ADよりFPGAメインクロックが低速ってシステムになるんじゃないかな
714774ワット発電中さん:2012/02/24(金) 00:07:39.73 ID:MaDXGb90
みなさん、ありがとうございます。
AD9246です。14bit 125MSPSです。FPGA内部はもっと速いので、ADの変換待ちという部分もあります。
ADのclockジッター量で、SFDRがゴロゴロ変わるというので、ビビっています。
FPGAのLVDS出力ジッタは60psらしいので、まずまず行けるだろうと踏んでいます。

FIFOは、DualポートRAMの一種なのでしょうか、
それとも、DualポートRAMの一種がFIFOなのでしょうか。
今日調べた結果、たぶんDualポートRAMの「データ 一方通行版」がFIFOだと理解しました。
715774ワット発電中さん:2012/02/24(金) 00:33:09.40 ID:f740203K
>>714
RAMってランダムアクセスメモリ
FIFOってランダムアクセスできるの・していいの?
716774ワット発電中さん:2012/02/24(金) 00:39:02.40 ID:f7C4gVaF
> ADのclockジッター量で、SFDRがゴロゴロ変わるというので、ビビっています。

これが嫌でADのクロックはFPGA通さない専用PLLから供給したことがあるな。
FPGA内はクロック二つ(DCOから取ったADCのデータ解釈系と、ADCへの初期化その他の指示系)になるが、
相互に高速通信しないからキニシナイ!であった。


717774ワット発電中さん:2012/02/24(金) 01:03:17.97 ID:xA4YgGoI
>>714
DualPortRAM と書き込み/読み出しポインタ制御回路を組み合わせて
"First In, First Out"の動作を実現したのがFIFO回路。

>>715
ラインダブラー回路等では、FIFOのリードポインタをリセットして
1ライン書き込み&同一ライン2度読みとかをする事もありますね。
718774ワット発電中さん:2012/02/24(金) 01:17:52.50 ID:f740203K
>>716
>相互に高速通信しないから
って125Mぐらいでも高速って言わないの?
DDR3の端子の転送量からすると低速だろうけど
719774ワット発電中さん:2012/02/24(金) 08:18:22.96 ID:wuJwXlLm
FPGAのramで作ったFIFOで間に合うの?
720774ワット発電中さん:2012/02/24(金) 09:00:15.61 ID:+CQxkqzj
むしろ125MHzで外部FIFOにするのが無茶だろ
FPGA内で済まさないと間に合わない
721774ワット発電中さん:2012/02/24(金) 09:53:28.51 ID:NSQi6i+z
今のSpartan-6ならFIFOは150MHzでいける
200MHzは無理かな

関係ないが、相変わらずXilinxのツール品質はクソだな
この1月でISE,EDK,SDK,ISimの不具合を5件以上見つけた

もう5年近く使ってないけど、Quartusの方はどうなんだろう
722774ワット発電中さん:2012/02/24(金) 10:58:50.84 ID:1EvA8zSO
>>720
FFでFIFOみたいの作るのは邪道?
723716:2012/02/24(金) 11:06:14.33 ID:euZOxVxA
>718
高速系は高速系だけでほぼ完結してるんだ。
ただADCが起き出す前とか寝てる間のごにょごにょ向けに低速系が載ってるので、
低速系は高速系の生データを受け取ったりしない。
EZ-USB FX2で480Mbpsの生データを8051コアが受け取ったりしないようなもん。
724774ワット発電中さん:2012/02/24(金) 18:28:53.85 ID:CZJFNgIT
いつのまにかLatticeの企業ロゴが変わっている件
http://www.latticesemi.com/
725774ワット発電中さん:2012/02/25(土) 00:04:14.91 ID:Qzd4p0Hz
HUMANDATAのFPGAボードで、SPIの64Mbit config ROMにmcsを書き込んでる。
が、とても時間がかかる。
20分以上も。64Mbitだとこんなもんでしょうか。

iMPACTのコンソール窓には、Programming in x1 mode みたいな表示か出るんですが、
x2モードとか設定できるんでしょうか?
726774ワット発電中さん:2012/02/25(土) 00:15:20.21 ID:k88AEpBs
>>725
もっと速いよ。ダウンロードケーブルは何?
727774ワット発電中さん:2012/02/25(土) 03:31:02.28 ID:Qzd4p0Hz
DLC9というUSBのケーブルです。
10分くらいでFailになることも、しばしば。
728774ワット発電中さん:2012/02/25(土) 12:51:20.71 ID:FF4heKsP
JTAGクロックは6MHz?
24Mに上げ…いや、余計にFailになりやすそうだな。
729774ワット発電中さん:2012/02/25(土) 12:54:28.27 ID:PnWm8GEn
x1 mode はそれで合ってるよ
倍速なんて設定じゃなくて、SPIの接続ビット数だから
Winbondの4bit SPIフラッシュをつなぐときだけ設定する

それにしても遅いね
もしかして全領域書いてない?
mcsにフラッシュ全領域分のデータが入ってないか

俺の手元にあるSpartan-6 LXT75はコンフィグデータのサイズは19Mbitだけど
SPIに書込むのは数分かかる
LX9は2.7Mbitで書込にはベリファイ含めて1分かかる
これから考えると64Mbit全領域で10分以上というのはそんなもんかもしれない
730774ワット発電中さん:2012/02/25(土) 18:08:24.33 ID:k88AEpBs
>>727
Failになる時点で時間がかかるよりいろいろ気にした方が良くない?
接触不良とか電源不足とか…

HUMANDATAのボード自体は速度速くても大丈夫だしフラッシュも50M品だよね。
24M設定にしても問題ないと思うけどね。
731774ワット発電中さん:2012/02/25(土) 18:47:40.65 ID:yqCp31Rz
>>727
DLC9ってXi純正だよな。それでFailって中国の動けばラッキー品質のボードだな
そんなレベルのを作っている会社のものは使わない
732774ワット発電中さん:2012/02/25(土) 18:57:49.56 ID:k88AEpBs
>>731
わあ素人くせえ言い方w
ニッショウとかと同列にすんなよ。

HUMANDATAそんなに悪くないの知ってるから
ボードの作り疑えとか書かなかったんだがwww
733774ワット発電中さん:2012/02/25(土) 19:03:15.98 ID:yqCp31Rz
>HUMANDATAそんなに悪くないの知ってるから
そんなに悪くはないってどちらかと言うとマイナス評価じゃないか
>ボードの作り疑え
つくりは良くても検査が駄目で不良品を出荷しているってことはないのか
734774ワット発電中さん:2012/02/25(土) 19:08:05.07 ID:k88AEpBs
>>733
だんだん空想世界になってないか?
735774ワット発電中さん:2012/02/25(土) 22:30:47.01 ID:GZSBtYfY
>>721
>この1月でISE,EDK,SDK,ISimの不具合を5件以上見つけた
だからこそ、不具合を直して、新たな不具合を生む新バージョン
をどんどん出す。そうやって有料版顧客から継続して金を得るのがISEビジネス

スパ6は100MHz以上で動くのか。スパ3とは違うな
CQはAl、Xiどちらでもいいから俺趣味用に45nFPGAの付録出せ
736774ワット発電中さん:2012/02/25(土) 22:57:30.24 ID:PnWm8GEn
>374 に書いたがSpa6なら普通に気をつければ100MHzは楽勝
6入力LUTが効いてるんだと思うが、貧乏人にはありがたい限りだな
737774ワット発電中さん:2012/02/26(日) 01:04:47.00 ID:X28CnWw0
>>727
なんかがおかしいね。
JTAG-FPGA経由じゃなくて、SPIで直接書き込んでるのかな?
純正ケーブルで、ちょくちょくfailなら、HUMANに苦情を言ってもいいかも。
738774ワット発電中さん:2012/02/26(日) 13:03:37.49 ID:JLXBjZnG
>>737
良く知らないけど、64Mbitだったらどのくらいで終わるのが普通なの?
JTAG-FPGA経由でSPI間接書き込みすると、SPI直接よりかなり時間が
掛かりそうなイメージがあるんだけど。

(今のiMPACTがSPI直接とかサポートしてないから比較は無理なんだけど)
739774ワット発電中さん:2012/02/26(日) 17:17:37.47 ID:4rSn+I2F
違うフラッシュだけど、こことかには約4分て書いてあるな。
http://nahitafu.cocolog-nifty.com/nahitafu/2012/02/mitoujtagspi-ro.html
740774ワット発電中さん:2012/02/26(日) 17:53:31.48 ID:jAuxgW2G
>>725
>>739のMITOUJTAGを買ったほうが良いニダ
これでだめなら、HUMANDATAに激クレームするニダ
741774ワット発電中さん:2012/02/26(日) 20:50:33.23 ID:JLXBjZnG
>>739
MITOUJTAGがJTAGクロック24MHzだとしたら
6MHz全ビット書き込みで、おおよそ30分掛かる計算になるな。
2/3の領域を書き込んでいるとして20分…合ってるんじゃない?
742774ワット発電中さん:2012/02/29(水) 02:27:11.97 ID:bVCP9dH4
SPARTAN6で100MSPS程度のパラレル16bit DAを動かしたいと思っています。
ClockはLVDSで駆動、データはパラレルで駆動です。

clockとデータを、FPGAのバンクをまたがって駆動しても問題ないでしょうか?

例えば、
バンク1=LVDS clockとD15-D10
バンク2=D09-D00
という具合です。
743774ワット発電中さん:2012/02/29(水) 10:50:41.85 ID:PHnwGJSc
逆に聞くが、何か問題があるのか?
遅延を気にしてる?

オレは、100MS/16bitの後段をどうするかが気になる
744774ワット発電中さん:2012/02/29(水) 11:34:22.38 ID:NX+Zpp1c
250MHz/16chのLVDSのasyncレポートの遅延差 >> 等長配線の誤差
という意味不明さに泣いた全俺様が通りますよ。

SP6で100MHzならFPGA内は出力段直前で叩いた
グローバルクロックで必要十分に足並み揃うっしょ。
適当に合成してasyncレポ見てみればすむ話。
745774ワット発電中さん:2012/02/29(水) 11:58:12.05 ID:bVCP9dH4
>>743,744
ありがとうございます。
I/Oバンクの理解が正しく出来ていないですね、私。
以前ISEに、クロックで「バンク跨いではダメ」みたいな文句を
言われたことがあるので心配しています。

バンクは、I/O電圧に別の電圧が設定できるというだけで、
FPGAコア→I/O回路(Select I/O)→出力ピン という経路では、
全ピンでみんな同じと考えれば良いでしょうか?

であれば、I/O電圧を同一にすれば、BANKを跨いでもOKですね。
746774ワット発電中さん:2012/03/01(木) 09:13:08.46 ID:klu4sKtC
こんな匿名のいい加減な場所で、「OKだよ」って言われたら信用するのか?
おめでたい頭してるな
747774ワット発電中さん:2012/03/01(木) 09:46:58.77 ID:GTybecPx
万能技術「ゆとり」全開!
748774ワット発電中さん:2012/03/01(木) 10:01:02.03 ID:H5sHqfol
商社だって信用ならないぞ
749774ワット発電中さん:2012/03/01(木) 11:16:28.37 ID:8H/XTVxJ
バンクまたいでもエッジが同じなら大丈夫と思う
750774ワット発電中さん:2012/03/01(木) 14:52:17.26 ID:c+JBS0wo
聞いて安心するんだからそれでいいんじゃね?

わざわざ嘘教える人とか居るの?怖いわ
751774ワット発電中さん:2012/03/01(木) 21:50:04.42 ID:P3nKIdeY
ワザとじゃなくても適当に話を合わせる。それが営業マンw
752774ワット発電中さん:2012/03/03(土) 20:16:18.02 ID:yUqkyRRA
>>750
間違っていることを知らずに教えていたりするから
753774ワット発電中さん:2012/03/04(日) 02:22:19.92 ID:DUILyfrF
速度の報告をします。

ISE13.2

1 Core2 T5600 1.8GHz RAM4GB → 2分59秒

2 Core2D X9100 3GHz RAM8GB → 1分34秒

3 i7 2720 2.2GHz RAM16GB → 1分15秒
754774ワット発電中さん:2012/03/04(日) 03:22:01.82 ID:xiGrQEZn
2と3は、あまり変わらないんだな。
755774ワット発電中さん:2012/03/04(日) 17:09:06.44 ID:DUILyfrF
>>754
そうです。せっかくRAMを16GBも積んだのに残念です。

ちなみに、Spartan6にはDCMは無いのでしょうか?
Core GenのClock関係の選択リストに、Virtex4,5までは出てくるんですが、
Spartan6が出てこないんです。

756774ワット発電中さん:2012/03/04(日) 17:11:59.54 ID:yPeHBBja
>>753
そんくらいの規模だと変わらんのでは?
1時間くらいかかるのでやってめそ。
757774ワット発電中さん:2012/03/04(日) 17:42:12.06 ID:Tp2gZnYE
SSDでやらないとなあ。ディスクに足引っ張られてるだけでは?
758774ワット発電中さん:2012/03/04(日) 17:43:12.95 ID:r/tOb+7a
DCM存在するけど使ってないなぁ。
PLLあるし。
759774ワット発電中さん:2012/03/04(日) 19:17:52.56 ID:DUILyfrF
>>757
実は全部SSDです。cruicel?とかいうメーカーの
256GB, 64GBなんです。
i7でのマルチスレッドに期待したんですが、
「おいおい1番と3番と6番と7番、サボってんじゃねーよ」という感じでした。
760774ワット発電中さん:2012/03/04(日) 19:26:28.08 ID:qSL92OUw
>>755
Clocking Wizardであるよ.


おれのFX8120ちゃんとSilicon PowerSSDでインテルを抜くか
761774ワット発電中さん:2012/03/04(日) 19:52:49.51 ID:eqJ9ofN3
>>760
有料ISEならコア数の多いFXの方がインテルi7より早いんじゃないか
でも、会社で設計開発にAMDを使っているほとんどないだろうがな。
タダISEならコア性能高いi7の方がFXより早いだろうが
762774ワット発電中さん:2012/03/04(日) 20:01:23.86 ID:qSL92OUw
>>761

FX8120ってi7の4コア8スレッドじゃなくて8コア8スレッドなんだが.
763774ワット発電中さん:2012/03/04(日) 20:15:35.90 ID:eqJ9ofN3
>>762
Win8CPではFXの4Mは4コア8スレッド扱いになっているらしい
コア数で何個のスレッドを走らせるかってISEが判断しているとなると
Win8では4MのFXは4スレッドになるかもしれない。でも、ISEでのWin8のサポート
まだまだ先だろうからな。
ついでに>>759の4スレッドサボリってi7は4Cだからじゃないのか
764774ワット発電中さん:2012/03/04(日) 22:01:38.72 ID:DUILyfrF
もうすぐ出る(だろう) ISE14に期待してます。

それにしても、CoreGenの起動/停止は遅いです。
たまらなく遅いです。
Windows7が起動するのと同じくらい時間がかかる。
765774ワット発電中さん:2012/03/06(火) 15:40:28.16 ID:ms5NXq9P
プランアヘッドって、
GUIが今までのISEの感じと全然ちがうけど、
どっか違う会社のものかな?
766774ワット発電中さん:2012/03/06(火) 16:56:40.23 ID:RmFHukc2
multiplierless multiplierBox作ったのに通常の乗算器の方がリソース食わなかった・・・

悲しい
767774ワット発電中さん:2012/03/07(水) 03:28:04.41 ID:GF+nDaCG
EDK XPS ISE UCF MCS BIT NGC XCO 
どうしてXilinxは3文字の言葉が多いのはなぜ?
768774ワット発電中さん:2012/03/07(水) 08:21:50.63 ID:VbJzrSVu
拡張子を引き合いに出されても(^_^;)
769774ワット発電中さん:2012/03/08(木) 05:01:22.84 ID:snyVODgR
DIPやPLCCなど、1/10インチピッチの基板で使えるCPLDのお勧めは?
770774ワット発電中さん:2012/03/08(木) 13:21:42.91 ID:HZTB2XA0
DIP だと 22V10 くらいしかなくね?
6002 とかまだあるかな?
どちらにしても "C"PLD じゃないなぁ。
771774ワット発電中さん:2012/03/08(木) 14:54:10.46 ID:efA7J1cG
>>770
DIPは、無理っぽいですね。汎用ロジック数十個の代替で考えています。
PLCC44で、良いのはありますか?MAX3000Aが手に入るので、それで
考え始めたのだけれど、いつ入手不可になるか分からない為、もっと
新しい石があればと思っています。
772774ワット発電中さん:2012/03/08(木) 15:11:57.89 ID:5yF/eIN7
773774ワット発電中さん:2012/03/08(木) 15:23:14.47 ID:efA7J1cG
>>772
うーん、1-2桁安い方が…。これはこれで、別の用途に使う分は楽しそうだけれど。
774774ワット発電中さん:2012/03/08(木) 15:42:16.44 ID:HZTB2XA0
ATMEL の ATF750 が DIP 品だった。
775774ワット発電中さん:2012/03/08(木) 16:34:54.36 ID:efA7J1cG
>>774 有り難う。良さそうですね。AtmelのATFシリーズで、PLCC44のATF1500なんてのも見つけましたが、
使い勝手とかはどうでしょう?
あと、XilinxのXC9500でPLCC44があるようなのですが、ここが良いとか悪いとか、有りますか?
776774ワット発電中さん:2012/03/08(木) 16:43:00.77 ID:EOS16Pml
digikey.jpで眺めると
ATF750C-10PU:574円
ATF2500C-20PU:672円

開発/書き込みのための道具立てがどうなるのかは知らん


777774ワット発電中さん:2012/03/08(木) 22:13:05.34 ID:QUrKjCjF
ATMELのは22V10互換とかでない?
XC9500は定番だけともうすぐ廃品種だったような…まぁ趣味なら買えりゃいいか。
ケータイなので分からんが、記憶ではdigikeyでCallになってた気もするけど。
778774ワット発電中さん:2012/03/08(木) 23:02:43.80 ID:6gxkIV8j
シフトレジスタと加算器だけで乗算器モジュール作ったけど普通に乗算器使ったほうがいいってことがわかったわ
779774ワット発電中さん:2012/03/09(金) 01:09:22.60 ID:mbhX2GfZ
ATF750Cは22V10の拡張版、ATF2500だとD-FFが48個っていうから、
多少は使えるかもな。

XC9536XL(44ピンTQFP)あたりでもいいなら、digikeyでも
@105円で4500個ほど在庫してるとな

780774ワット発電中さん:2012/03/09(金) 01:51:40.42 ID:Vg3Sbzvh
XC9500は、もうすぐ廃盤ですか…。もう少し、色々考えてみます。
XC9500か、ATF2500になりそう。みんな、どうも有り難う。
781774ワット発電中さん:2012/03/09(金) 03:24:26.73 ID:0pGcl+YZ
>>780
いいけど、XC9500シリーズは消費電力がとても高いので注意。
データシートを見てみ。clock=0Hzで、何百mAも流れてる。
I/O電圧範囲が許すなら、クールランナーが良い。
XPLA3は、I/O=3.3Vで、消費電力=ほぼ0。よかったけど、終息方向。
XCR2は、I/O=2.5Vという曲者。電圧が使いにくい。
782774ワット発電中さん:2012/03/09(金) 07:11:15.70 ID:mbhX2GfZ
CPLDだけじゃなくて色々やるなら、PSoC3/5なんかもいいかもね。
マイコン、アナログ(オペアンプ、A/D,D/A,デジタルフィルタブロック)、CPLD入り
783774ワット発電中さん:2012/03/09(金) 14:57:55.04 ID:dM17QTfU
PSocのICに入っているOP AMPに、過度の期待はよくないですか?
オフセット、スリューレート、帯域、ゲイン・・・
784774ワット発電中さん:2012/03/09(金) 15:13:56.00 ID:YZSHKEK/
>>782
使ったことある?
785774ワット発電中さん:2012/03/10(土) 02:01:36.13 ID:s6npeBas
>>784
 ノ
今丁度使ってる
786774ワット発電中さん:2012/03/11(日) 22:51:52.43 ID:GLMx+SUn
こんな所で聞いても間違いだと思いますがちょっと質問です。

現在「改訂版FPGAボードで学ぶ論理回路設計」という本で学習しているのですが
この本ってソースコード間違ってたりしませんかね?
「3.5スイッチON/OFFに合わせてLEDを点灯/消灯する」のところで
ソース通りに打ち込むとトグル動作になるんですが・・・

ソースコード曝すのはマズイと思うんだけど曝した方が良いのかな?
787774ワット発電中さん:2012/03/11(日) 23:07:39.67 ID:rDJ+rYAF
来たれエスパー
788774ワット発電中さん:2012/03/11(日) 23:45:06.84 ID:ieTG2wlz
ドキュメントに書いてあることが正しい
実験して違う結果が出たなら、物理現象が間違っている
心の底から信じていない人間がいるせいで、誤った物理現象が発生しているんだ

エスパーしてみますたw
789774ワット発電中さん:2012/03/12(月) 00:37:54.76 ID:gNYC89O+
>>788
エスパー乙
>>786
どんな動作を期待しているんだ?
押しているときだけ点灯を期待しているのか?
こんなのをFPGA・HDLの題材にするって頭がイカレテイルと思うぞ
トグル動作なら題材にしても良いと思うがな。

恐らくおまえの頭が悪くてその本に書いている文章を理解できないんだろ
790774ワット発電中さん:2012/03/12(月) 07:03:12.81 ID:rey70U2Y
「スイッチを押したときにLEDを点灯し、スイッチを離した時に消灯する」
という言葉がトグル動作を意味するんだったら分かるんですが・・・

とりあえず自己解決できました。
スレ汚し申し訳ないです。
791774ワット発電中さん:2012/03/13(火) 03:40:49.43 ID:Vf26Xhhr
???
792774ワット発電中さん:2012/03/13(火) 04:51:43.17 ID:/B//3+0+
自分はその本を持ってないので、写真を見たけどちょっと小さくて
ttp://www.amazon.co.jp/dp/4789831507/
スイッチのタイプ(スライド/プッシュ/Dip…)がわからないのですが

たぶん著者の人は厳密な表現を書いたわけでなく、単にトグル動作を
「スイッチのOnを繰り返すたびに、LEDの点灯・消灯が切り替わる」というつもりで
「3.5 スイッチON/OFFに合わせてLEDを点灯/消灯する」と記載したのかも。

ところが >>786 さんは、おそらくこの文章を厳密に読んで
「スイッチがOnの時に点灯、Offの時に消灯」と解釈したのでしょう。
それで、文章と動作とが違うのでは? と、戸惑ってしまったのかも。

まあ実際の HDL 記述と実装&動作結果がよほど妙でなければ
ちょっとした日本語の文章表現の曖昧さは、適当に‘察して’
どんどん先に読み進むのがいいかと。どうせ単に、学習サンプルなわけだし。
793774ワット発電中さん:2012/03/13(火) 14:45:31.10 ID:BCnt85bo
>>792
お言葉ですが、僕も>>786と同じ疑問を持ちました。
スレの流れから、>>792の言うような文意にとれないこともありませんが、
あの表現では、2通りに取れるのは無理もないと思います。
著者の思いが足らない(あるいは間違い)だと思っています。

>ちょっとした日本語の文章表現の曖昧さは、適当に‘察して’
これも問題だと思います。
そもそも読み手のスキルによって、とらえ方が変わるような文章は
ダメだと思います。
読み手のスキルによって、「察し方」が変わるんですから。

「3.5スイッチON/OFFするたびに、LEDを点灯/消灯する」と書けば良かったのに。
794774ワット発電中さん:2012/03/13(火) 18:18:29.84 ID:W6BkJ8K5
>「3.5スイッチON/OFFするたびに、LEDを点灯/消灯する」
これでトグル動作のイメージができるのか
これじゃ押したときは点灯、離した時消灯ってならないか?
スイッチON/OFFに合わせてLEDを点灯/消灯するとあんまりかわらんな
795774ワット発電中さん:2012/03/13(火) 18:32:31.53 ID:FiMhaKcz
点滅/消灯、ならよかったね
まぁ論議するほどのことでもないけど
796774ワット発電中さん:2012/03/13(火) 19:06:26.57 ID:BCnt85bo
「3.5 スイッチ押すたびに、LEDが点灯/消灯する」

>>795
点灯/消灯、ならよかったね
797774ワット発電中さん:2012/03/13(火) 19:10:16.09 ID:8xGHxcFU
スイッチON→OFFするたびに、LEDを点灯/消灯する
798774ワット発電中さん:2012/03/13(火) 19:12:58.61 ID:8xGHxcFU
スイッチON→OFFするたびに、LEDの点灯/消灯状態が反転する(トグル動作)

仕様書もそうだけど、人に教える文章ってむずかしいよね
799774ワット発電中さん:2012/03/13(火) 19:48:24.20 ID:B9Em0k3g
厳密には、"OFF→ONのたびに" じゃね?
変な表現だけど。
800774ワット発電中さん:2012/03/13(火) 20:23:55.41 ID:7V01t7K4
スイッチがHigh-Activeだったとしたら、
”スイッチの立ち上がりエッジ検出のたびに”・・・とも表現できるか。

なんにせよ、波形の図を載せておくのが一番確実だねw
801774ワット発電中さん:2012/03/13(火) 20:30:52.59 ID:W6BkJ8K5
>>799
ON->OFF,OFF->ONは違うイベントで
それによって遷移する状態が異なる可能性あるからな

3.5は(間接的に?)イベント、状態、状態遷移を学習するところなのかな
でも>>786が3.5で学んだのが日本語の正しい使い方・表現法だけだったりして
802774ワット発電中さん:2012/03/13(火) 22:44:26.76 ID:DcEHyqiL
>>798
確かに難しいね。
でも、それが大事なことなんだよね。
803774ワット発電中さん:2012/03/13(火) 22:56:42.43 ID:oAy1P6z5
786です。
自分の説明不足で変な流れになってしまいすいません。

>3.5 スイッチON/OFFするたびに、LEDを点灯/消灯する
これは項目の名前なのですが、本文には
>「スイッチを押したときにLEDを点灯し、スイッチを離した時に消灯する回路を設計します。」
と説明されているのです。

本に載ってるソースコードの一部を書くと
process (clk)
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if sw_in_node = '0' and sw_latch_on = '0' then
led_node <= not led_node;
sw_latch_on <= '1';
elsif sw_in_node = '1' and sw_latch_on = '1' then
sw_latch_on <= '0';
--led_node <= '0'; ←ここを自分で追加して文章通りに動作
elsif sw_in_node = '0' and sw_latch_on = '1' then
sw_latch_on <= '1';
end if;
end if;
end process;

led_out <= led_node;

このような感じです。

実際この章で学ぶ事はチャタリング対策についてなので
トグル動作になる方が正しいのだと思います。
いろいろとご意見ありがとうございました。
804774ワット発電中さん:2012/03/13(火) 23:15:00.35 ID:3UpCRVf0
CLK' event and CLK= '1'って面倒くさくね?
いつもrising_edge(CLK)で書いてるわ.どっちも変わらないんだけどさ
805774ワット発電中さん:2012/03/13(火) 23:28:17.07 ID:7V01t7K4
RESETやCLK記述まではエディタで自動挿入されちゃうから気にしてなかったw

大昔はシミュレーション速度が違ってくるとかあったのかもしれないね。

テストベンチのクロック記述も「CLK <= not CLK after (CLK_CYCLE / 2);」じゃなくて
constant定義の段階で計算しておいて「CLK <= not CLK after (HALF_CLK_CYCLE);」の方が
速いとか言われてたし。
806774ワット発電中さん:2012/03/13(火) 23:28:27.57 ID:3YKpRY2Z
>>804
>CLK' event and CLK= '1'
このイベントで行うって感じが文章的に出て良いじゃないか
VHDLは基本的に文章だし
>rising_edge(CLK) これじゃVerilog(記号メイン)だろ
807774ワット発電中さん:2012/03/13(火) 23:42:01.21 ID:3UpCRVf0
>>806
たしかrising_edgeとfalling_edgeはVHDLで
Verilogはそこまでやってないから曖昧だけどnegedgeとposedgeじゃないっけ?

文章的にはわかりやすいんだけど長いんだよなー
808774ワット発電中さん:2012/03/14(水) 00:02:00.48 ID:3YKpRY2Z
>>807
@(posedge CLK)
@の意味が分らないと?になる

VHDLは長文好きは良いんだろうが、俺は長いのは嫌いだ
809774ワット発電中さん:2012/03/14(水) 02:23:38.71 ID:ixUvQwcn
VHDLの人たちは、タイピングの練習がしたいんじゃないの?
810774ワット発電中さん:2012/03/14(水) 02:28:26.02 ID:fvSVkU70
エディタの補完機能を併用するのでVHDLもVerilogもタイプ量はあまり変わらないかな。
まあ、vhdl-mode.el が無かったら切れてるとは思うw
811774ワット発電中さん:2012/03/14(水) 08:50:01.03 ID:RbqgIPQw
確かにvhdl-modeが無かったら書く気にならん
昔某社で常駐の仕事をする時に「emacs入ってますか?」と真っ先に質問したのは俺だw
812774ワット発電中さん:2012/03/17(土) 03:37:34.18 ID:iJ192HA9
FPGA初心者です。
Spartan3A-DSPというFPGAで、フィルタ(fir)の実験をしていますが、思ったような結果が出ずに
困っています。だれか教えてくださいませんか。

回路構成は、
発振器---->ADコン(16bit 1Msps)---->Spartan3A-1800--->DAコン(16bit 5Msps)--->オシロ
なのですが、DAコンの出力振幅が小さいのです。
LPFを試してみました。
例えばOP AMPの2次フィルタとかでは、通貨帯域では1Vpp→約1Vpp出ます。
しかし、今回のDAコン出力では、10%程度の振幅しか出てきません。
係数はマトラボで計算して、タップ数27、係数の合計は1.00になっていますので
合っていると思います。

フィルタを通さずに、DA=ADとして出力させると、1Vppが出ますので、
ADとDAも、正しく動いていると思います。

何がいけないのでしょうか? (お前が悪い、というのは無しでお願いします)
813774ワット発電中さん:2012/03/17(土) 09:54:46.30 ID:iN5zJaHJ
>>812
これだけの情報で問題の原因を推測するのはまずムリ。
原因を調べるための工夫をしないとダメだよ。

フィルタの周波数特性は設計値と同じだった?
とりあえず、フィルタ係数を1個だけ1.0、他の係数を0.0に設定して
DA=ADの場合と同じ結果になるのか確かめてみたら?
814774ワット発電中さん:2012/03/17(土) 10:36:43.01 ID:XQC1pY/u
>>812
できるけどタップ数が27って少なくない?プログラム簡単なんだからシリアルのタップならもっと多くていいような・・・
LPFの設計ならおそらくMtlabだとfir1の関数つかってるならフィルタ係数計算って簡単だよね.

DAの出力が小さいって話だけど,出力ピンの設定(ucfファイル)はきちんとLVCMOS25とかになってますか?
なってなくても2.5V設定に勝手になるような.

あとLPFって周波数領域の話じゃないの?スペアナでみてるならわかるけどオシロでみて結果ってわかるの?
少なくとも時間波形をとってFFTかけて周波数領域でスペクトル見ないとLPFが動いてるか分からないとおもうんだけど.
815774ワット発電中さん:2012/03/17(土) 11:01:34.29 ID:cMbvR5DT
>>812
問題ないな。おそらく正しいよ
それに直流入れたときはどうなる?
そして超低周波(10Hzとか)入れたときはどうなる?
おそらくディジタル信号処理を理解していないから、予想と違うと
キチってるだけだろう
816774ワット発電中さん:2012/03/17(土) 11:57:47.47 ID:uuEp51QK
>>814
正弦波入れたら基本波成分しかないんだから振幅読むだけでわかるでしょ
817774ワット発電中さん:2012/03/17(土) 12:20:04.97 ID:2bABkK+0
>>812
LPFの動作周波数から見て
発振周波数がLPFの通過帯域に
入ってないんじゃないの?
818774ワット発電中さん:2012/03/17(土) 12:28:04.83 ID:XQC1pY/u
>>816
たしかにそうですけど時間波形で悩むよりせっかくMatlab持ってるんですから
データとってFFTかけて周波数特性見てきちんと動いてるかどうかを確認した方が確実と思いまして
819774ワット発電中さん:2012/03/17(土) 14:00:40.97 ID:RHGI88Lp
Spartan6を使用しているのですが、外部から入れたクロックとデータ数本の信号タイミングが合いません。
そこでクロックをIODELAY2を使用して遅延させようと思ったのですが、
タイミングは変わるのですが、SETUP/HOLDの性能が悪くなってしまいます。

GCLKピン → IODELAY2 → BUFG →データをラッチするFFのCLKへ

やはりクロックにへんな素子をつなぐべきではないのでしょうか?
データに遅延を入れるのが普通かとは思うのですが、
データは数本あるので、一本だけズレたりしたら良くない思ったのですが。
820774ワット発電中さん:2012/03/17(土) 14:06:38.68 ID:iN5zJaHJ
>>818
FFTをかけて周波数特性を見る場合、入力にホワイトノイズを入れるの?

その場合、ノイズの特性が本当にフラットでないとダメだし
正確に-3dB点などを調べるのは難しいと思うよ。
821774ワット発電中さん:2012/03/17(土) 14:21:06.29 ID:MByumNsD
まずはDACの入力にロジアナつなぐだろ。
常識過ぎて誰も書かないのか?
822774ワット発電中さん:2012/03/17(土) 16:42:20.38 ID:2bABkK+0
>>819
PLLをソースシンクロナスモードで動かすとかは?
823774ワット発電中さん:2012/03/17(土) 20:22:15.26 ID:lSoA3yKI
>>819
外部のクロックと一部のデータ信号のタイミングがあっていないのを
FPGAに取り込みたいの?
クロック遅延させたらあっていない信号の取り込みはよくなるけど、
あっている信号の取り込みが駄目になるんじゃ
824774ワット発電中さん:2012/03/17(土) 21:22:48.77 ID:uuEp51QK
どんな信号かよくわからんからタイミングチャート出して欲しいなw

信号のタイミングがばらついてるのか、本来揃ってるはずの信号だけど
マージン割ってるから一部信号だけ化けてるのかわからん

クロックとデータのタイミングが近すぎてマージン確保できないなら
裏クロックでラッチすればうまくいきそうなもんだが
825774ワット発電中さん:2012/03/17(土) 23:50:10.53 ID:277WODRi
>>815
僕もそう思う。
だれもがみんな陥る問題。単にbitシフトすればいいだけ。
あとは、発振器を適当にスイープさせれば、オシロで肩特性は見えるはず
826774ワット発電中さん:2012/03/19(月) 19:12:57.90 ID:PM3SlEd1
趣味FPGA始めたんだけど
タイミングチャート、状態遷移図、フローチャート書くソフト何使っている
なんかフリーで良いのない?
827774ワット発電中さん:2012/03/19(月) 19:43:38.99 ID:EhEc012+
>>826
10年ぐらい前は全部 Tgif で描いてたわ。使い慣れたドロー系ツールなら何でも良いと思う。
状態遷移図、フローチャートはUMLエディタを使ってもいいかもね。フリーのもあるし。

まあ、フリーに拘らなければ、セルを方眼紙サイズにしたExcelが最強って事で。
828774ワット発電中さん:2012/03/19(月) 23:22:18.14 ID:E2YybyWh
openoffice でいいじゃん
829774ワット発電中さん:2012/03/19(月) 23:35:40.04 ID:F1HPApab
>>826
おらいまだにDirectDrawだ。
830774ワット発電中さん:2012/03/19(月) 23:45:23.27 ID:LTHk+w7/
>>827
Excelの罫線で矢印って書けますか?
831774ワット発電中さん:2012/03/20(火) 00:32:45.39 ID:KyWbUe+8
>>830
Excel2007では罫線自体を矢印にすることは出来ないみたいですね。
オートシェイプの矢印を使うか、セルに斜め罫線を引いて「→」を表現するか。
832774ワット発電中さん:2012/03/20(火) 01:05:17.39 ID:oDzExNZh
blockdiagとかどうよ?
833774ワット発電中さん:2012/03/20(火) 01:26:23.30 ID:zfBZWQ48
blockdiagとかどうも・・・
834774ワット発電中さん:2012/03/20(火) 13:56:52.03 ID:nqAUCoq6
秋月にXC9536XL出てるね。
ttp://akizukidenshi.com/catalog/g/gI-05564/
マクロセル数:36 時代は変わったなあwww
835774ワット発電中さん:2012/03/20(火) 14:06:42.00 ID:nJyLFKs1
>>831
特別なソフトいらないし、みんな見れるし、仕様書にも貼り付け楽だし、
使い方が間違っていようともタイミングチャートはExcelが便利。

Microsoft Excelで波形を描く方法: なひたふJTAG日記
ttp://nahitafu.cocolog-nifty.com/nahitafu/2007/04/excel_e5a2.html
836774ワット発電中さん:2012/03/20(火) 14:08:26.52 ID:C0S0jlmJ
D-FF 36個だと、何に使えますかね。
SERDESには使えそうですね。

・SERで18個、DESで18個

・送信data 8bit記憶で 8個
・送信bit数カウンタで  3個
・状態遷移用に     2個 13個使用  でしょうか
837774ワット発電中さん:2012/03/20(火) 18:46:53.00 ID:r7cDQpaW
会社で使っている回路図・基板等を書くCADソフトにタイミングチャート、状態遷移図、フローチャート
描く機能の入っていないの? 
会社では個人が好き勝手なソフトで作成しても電子ドキュメント管理で困ると思うけど
838774ワット発電中さん:2012/03/20(火) 19:35:55.21 ID:nqAUCoq6
>>837
入っててもプレゼンに使えないし、
エビデンスでもインスタンスとかが判る大きさにしたらサイズか枚数が死ぬ。
839774ワット発電中さん:2012/03/20(火) 20:01:12.80 ID:r7cDQpaW
>>837
ひょっとしたら回路図もExcel?
840774ワット発電中さん:2012/03/20(火) 20:06:39.81 ID:fU5ol61R
>837
なぜ会社(仕事)の話になっちゃうの? あなたの会社だと仕事上の
問題やトラブル相談を2chに書き込む行為が普通なの?
今回のチャート図の件は>826の"趣味"のFPGAからだし

私もエクセルで書いてるけど、罫線で書いてる。図形で描くと
行を削除した際、ほそく残ってる事があるんで
841774ワット発電中さん:2012/03/20(火) 21:51:45.34 ID:wX9FU7RM
excelなら罫線で書くより、条件付書式で罫線自動挿入できないかね

セルの値=0なら下側に罫線、≠0なら上側に罫線で、セルに1なり0なり状態値を入れる
思いつきなので、出来ないならごめん
842774ワット発電中さん:2012/03/20(火) 22:09:05.08 ID:KyWbUe+8
>>841
確かに条件付き書式で罫線の自動挿入は出来たが、マウスで引く方が楽だったわ。
843837:2012/03/20(火) 23:31:33.81 ID:9ngeOHUM
>>840
私も趣味ですよ。
てか会社でやっている人が2chで仕事上の質問なんてするのかな
趣味でどうのこうのじゃなく、単に会社でなら会社の統合開発環境のを使っているんじゃ思っただけ
エクセルは会社でもエクセルってこと?
844774ワット発電中さん:2012/03/21(水) 00:40:31.06 ID:/IRyAGbr
>会社でやっている人が2chで仕事上の質問なんてするのかな
するみたいだね。
あと、いきなり本の筆者に「仕事で利用したけど上手くいかなくて困っています
至急対策をお願いします」なんてメールしてしまう愚か者とかも。
845774ワット発電中さん:2012/03/21(水) 01:38:59.93 ID:UDqwUbNp
>>841
VBAでVCDからタイミングチャートにするマクロ書いたっけ。ただし縦書きwww
846774ワット発電中さん:2012/03/21(水) 02:52:32.05 ID:0Th1La9D
なんか会社というところに変に粘着している馬鹿共がいるなw
仕事してないのか?
847774ワット発電中さん:2012/03/21(水) 12:09:44.99 ID:PVG7nySy
してたらこんなところ見てないだろ
848774ワット発電中さん:2012/03/22(木) 00:50:58.68 ID:OKC3+0fC
>>841 >>842

> excelなら罫線で書くより、条件付書式で罫線自動挿入できないかね
> セルの値=0なら下側に罫線、≠0なら上側に罫線で、セルに1なり0なり状態値を入れる


なかなかGJなアイディア。試してみる。ありがとう。
849774ワット発電中さん:2012/03/22(木) 01:30:39.10 ID:fvThymcU
>>834
秋月、前に一度XC95が数種類入荷してなかった?
SHとかARM、H8とかのCS端子とか
色々まとめるGlueLogic用途には良いと思うけど。
850774ワット発電中さん:2012/03/22(木) 02:43:53.07 ID:hDsCr/v0
FPGAで素朴な質問をさせてください

例えば、DAコンバータを駆動するとき、50MHzで駆動したいとき、
DAに与えるデータもクロックも、全部 always @ () で作りだそうとすると、
FPGAの内部は2倍の100MHzで動かないといけないと思いますが、どうでしょうか。

ところが一方で、クロックを assign で送出すれば、システムクロックと同じ周波数で
できそうですが、完全同期の回路という意味では、ちょっと手法が違いますし。

みなさん、どのようにしていますか?
851774ワット発電中さん:2012/03/22(木) 07:48:31.28 ID:hoOSXD4l
まずは同期回路とは何かから考えてみよう
852774ワット発電中さん:2012/03/22(木) 07:52:39.25 ID:wauQaszl
クロックはPLLでつくるんじゃなかったっけ?
853774ワット発電中さん:2012/03/22(木) 07:53:00.36 ID:bmvtsvr1
サンプリング定理・・・・
854774ワット発電中さん:2012/03/22(木) 08:53:05.98 ID:yi1YWpQf
まずは日本語、、、
855774ワット発電中さん:2012/03/22(木) 09:40:47.52 ID:uUh9buJm
>>850
タイミング制約をかけて(クロックとデータの位相がターゲットに合うように) assign でいいと思う。
XだとクロックはIOにassignできないからDDRプリミティブで出す。
856774ワット発電中さん:2012/03/22(木) 12:00:51.57 ID:9dr8sW4H
グルーロジック絡みで便乗質問。
CPUの周辺でアドレスデコーダやバススイッチ的な動作を
させるような場合は、同期設計が前提のFPGAじゃなくCPLDを
使わなきゃ駄目ですか? それともFPGAでもCPLDやロジックICと
同等の伝播速度出せます?
857774ワット発電中さん:2012/03/22(木) 12:42:42.78 ID:s2hA8YC4
>>850
そもそも、クロックが50MHzのDACならデータは25MHzだろ?
858774ワット発電中さん:2012/03/22(木) 12:53:13.95 ID:CIt07DuV
えっ!?

>>856
むしろCPLDのほうが同期設計前提じゃね?
859774ワット発電中さん:2012/03/22(木) 16:27:54.25 ID:sMpT8G7E
>>856
遅延時間は使用するCPLDとかFPGAとかロジックICの品種で異なるから
単純には言えないんじゃない。
860774ワット発電中さん:2012/03/22(木) 17:45:51.97 ID:LIsMxoaK
>>856
昔のCPUならともかくいまのCPUのバスは高速だから無理だろうな。
FPGAやCPLDなんて使わないでASICを起こせすか専用ICを使え。
CPUのチップセットにFPGAなんて使っているところあるのか?
861774ワット発電中さん:2012/03/22(木) 19:20:58.94 ID:62+JTJjH
>>860
http://www.altera.co.jp/devices/processor/intel/e6xx/proc-e6x5c.html

一言でCPUつってもピンキリだしな
数MHzで動いてるような8ビットCPUならいけるんじゃね?
862774ワット発電中さん:2012/03/22(木) 22:05:49.31 ID:3DBpHn+X
評価ボードを検討中なのですが、
低価格用、高機能用に一枚ずつ購入するようにと言われています。
それぞれお勧めを一枚お願いできませんでしょうか?
863774ワット発電中さん:2012/03/22(木) 22:31:04.94 ID:usXRc4bX
>>862
用途、価格、メモリetc、XILINXとALTERA…どっちかじゃないとダメ!とかいうこだわりはあるのけ?

XILINX なら、TED の Spartan-6評価ボード(TB-6S-LX150T-IMG2) と Kintex-7評価ボード(TB-7K-325T-IMG) とか。
拡張I/F子基板も使い回せるし、値段もお手頃な感じでした。
864774ワット発電中さん:2012/03/22(木) 22:47:51.89 ID:hoOSXD4l
synopsys の HAPS は、Vertex 7シリーズ出してこないのかな?
865774ワット発電中さん:2012/03/22(木) 23:52:41.66 ID:QF/pV5ys
低価格だったらDE0-nanoがアルテラではいいんじゃないか?
866774ワット発電中さん:2012/03/23(金) 00:11:23.02 ID:xvoQ22K8
>>863
ありがとうございます。
XILINXとALTERAがFPGAの大手なんですね。
この二社に関しましてはどちらでも問題ないです。

用途は画像処理&音声処理です。
ある衝突実験をした瞬間〜10000msまでの画像と音声について
解析したいと思っています。

DDR3でいくかDDR2でいくか、またその容量をそれぞれどうするか?
音を拾う、画像を取る場合の各機器をどうするか?
等々色々検討事項はあるのですが、核を為すのはFPGAだと思います。
FPGAの性能を評価してそれから各周辺機器の検討に入ろうという流れです。

普段の実験で使う、ある程度数を用意する板と、
おかしな現象を発見した時に専門部隊が再現実験し、
原因究明するために使用する高性能な板の
二種類を用意したいと思っています。

価格について指定はありませんので、
今のところは特に気にしなくて良いかと思っています。

>>865
よく分かりませんが、製品仕様をまずは調べてみたいと思います。
867774ワット発電中さん:2012/03/23(金) 01:04:09.35 ID:5gLlm475
解析した結果をパソコンへそれなりの速度で転送する手段と
撮影した画像を一時的に蓄えるバッファメモリがいるかな。

通信手段はPCIeかな?
メモリ容量はVGAサイズ/120fps/10secだとすると
350MByte+中間処理用バッファってところか。

買うならどちらかのメーカに合わせないとツール使う側が困るだろうから
Alteraなら高級品はこのあたりかな。
ttp://www.altera.co.jp/products/devkits/altera/kit-siv-gx.html
ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?No=501
868774ワット発電中さん:2012/03/23(金) 01:16:17.00 ID:BF5OGVH8
評価&究明用はX社もA社も放送機器向けの純正ボード、キットがあるからそれがいいと
思うよ。高いけど、それだけの価値はある。そんなアプリケーションなら尚更。
数を用意するのはそれを元にシュリンクした周辺ボードを設計してブレッドボードで
コントロールすれば? 国産ならHuMANDATA社製がオススメ。どことは言わんが一見
楽できそうなのはハマるから止めておいたほうがいい。ステマじゃないよ、体験談(笑。
869774ワット発電中さん:2012/03/23(金) 06:45:39.06 ID:anPQSnkg
メモリが最初から余裕を持って載ってること、が必須条件かな。
870774ワット発電中さん:2012/03/23(金) 08:29:29.64 ID:U4yr8cnM
カメラの仕様がわからないけど、
普通にカメラとインターフェースボード買った方が確実だよ。
ライブラリとかも揃ってるし・・・

量産するとか専用の高速画像処理が必要なら別だけど。
871774ワット発電中さん:2012/03/23(金) 17:58:32.47 ID:7OXNWuok
HuMANDATAの基板ってそんなにいいか?これが噂のステマ?
872774ワット発電中さん:2012/03/23(金) 19:19:15.93 ID:XTWXRBKn
HuMANDATAって高いよな

あぁ国産縛りでの話か
873774ワット発電中さん:2012/03/24(土) 11:31:52.71 ID:2ynP9sU5
ラインナップが網羅されてて、日本人が窓口で対応してくれるってのが良い。
わけの解からん中国製やら外国産は安いかもしれんが文句を言う先がない。
874774ワット発電中さん:2012/03/24(土) 12:01:49.66 ID:TxglJ5U3
875774ワット発電中さん:2012/03/24(土) 12:53:40.60 ID:K/DH56ke
>>818
>>820
まあ、f特測るときは、入力正弦波の周波数をスイープさせて、
出力振幅をプロットすればいいよね。べつにホワイトノイズとか
FFTなんぞ使わなくても。。
876774ワット発電中さん:2012/03/24(土) 13:05:01.81 ID:Y0JEZCad
>>875
なんという遅レス
てかこれフィルタのインパルス応答とかみてフィルタ係数が出力されたかみてるんかね.


フィルタは省リソースにしたいから自作しかしたことないけどIPコアのFIRフィルタって浮動小数点なの?
877774ワット発電中さん:2012/03/24(土) 13:35:29.95 ID:K/DH56ke
>>876
久しぶりにのぞいたもんでね。。
普通のオーディオのf特はかるときはそうするよねっていう一般論を
書いただけだよ

整数か浮動小数点数かは、作り込みによるんでないかい?
878774ワット発電中さん:2012/03/25(日) 13:34:46.09 ID:FWmC+G97
>>877
ノイズ入れてFFT処理するのが普通?

オーディオの場合でも周波数特性の測定は
正弦波をスイープする方が普通だと思うよ。
879774ワット発電中さん:2012/03/25(日) 15:56:28.75 ID:9fJPz1e6
>>878
だからそう書いたじゃん。。>>875
880774ワット発電中さん:2012/03/25(日) 17:28:46.80 ID:FWmC+G97
>>879

>>877 普通のオーディオのf特はかるときはそうするよねっていう一般論

どっちが普通なの?
881774ワット発電中さん:2012/03/25(日) 17:35:03.76 ID:FWmC+G97
818=877だと思っていたけど違った?

877の普通=818で書いたFFT処理
という意味だと解釈したから、聞いてみたんだけど。
882774ワット発電中さん:2012/03/25(日) 17:41:33.36 ID:9fJPz1e6
>>877の普通=>>875
という意味だよ
883774ワット発電中さん:2012/03/25(日) 18:25:51.09 ID:seh8cAC3
>>881
おまえはシム検証のことを言って
>>875
実機検証のことを言っているんだろ。

手順としては、先ずPCでMatlabやHDLシムで検証して、
そして実機で検証だろうが。シムで駄目なのを実機でやってもな
884774ワット発電中さん:2012/03/25(日) 21:40:17.40 ID:LfrI7aZr
>>881
文脈からみて、877≠818だろう
なんで877=818だと思ったかは知らんが
885774ワット発電中さん:2012/03/26(月) 02:35:24.14 ID:rmA2trFI
FPGAの勉強中の者です。質問があります。教えてください。

XilinxのSpartan3Eのボードを使っています。
PLAN AheadというソフトでI/Oの定義をしますが、
その設定窓に、SlewRate (Slow/Fast)、Strings(12mA)などの設定がでるようです。
この2つの設定は、本来どのような手順/方法で決めるのでしょうか?
「わからないならデフォルトでいいよ」という話ではなくて、
どのような方法を用いて、これらの数値を決めるのが正しい方法なのかが
知りたいです。
何か測定器を使って判断するのでしょうか?
886774ワット発電中さん:2012/03/26(月) 06:51:41.41 ID:ivka/vLI
strength だろ。文字列設定って・・・

そのピンにつながっている回路がわかれば自ずと設定値も決まるはずだが。
消費電力とかリンギングとか気にしなければデフォルトでいいよ。
887774ワット発電中さん:2012/03/26(月) 13:34:27.53 ID:gZ9fFwH8
>>886
すみません。strength 強度でしたね。アホな私。

>そのピンにつながっている回路がわかれば自ずと設定値も決まるはずだが。
自ずと、というのがわからないです。
例えば、VCCIO=3.3Vの出力に、負荷抵抗330Ωだとすると、H出力のとき10mA流れることになります。
「それなら、12mAの設定で行こう」ということになりますが、
負荷はC-MOS入力だから、電流はほぼゼロmAという場合は、
1) 12mA設定でもよい
2) 12mA設定より小さくてもよい
3) 12mAより小さい電流値に設定すべき
4) 12mAより小さい電流値に設定しなければならない
など、どれにすれば良いのでしょう。
具体的には、どのような局面で、このstrength値を変更するのでしょうか。
DDR2だと○○だから、○○mAにしなければならない、のような設定の方法が
わかりましたら、教えてください。
888774ワット発電中さん:2012/03/26(月) 14:37:23.29 ID:OqRYGXgH
DDR2 だけど終端しないなら 12mA より小さくていいと思うよ。
ドライブ能力が高い分には問題はおきにくい。
ただ消費電力は大きくなるよね。

そういう意味では、オシロで見ながら最小値を探るってのも必要かも。
889774ワット発電中さん:2012/03/26(月) 15:52:46.08 ID:Z2K6X23C
そういえばCベースのCyber Work Benchってどうなんだろ?
日本製で、会社が赤字だし、やっぱりゴミだろうな
890774ワット発電中さん:2012/03/28(水) 18:26:14.87 ID:pI8ReJsF
Handel-Cは使ったことがあったなぁ
凄く面白かったし、楽だった。
891774ワット発電中さん:2012/03/29(木) 11:52:41.04 ID:cB/GJieh
本命はmatlabベースだと思う
892774ワット発電中さん:2012/03/29(木) 21:04:19.95 ID:b+ArcgyO
マトラボでFPGA使うときてハードは問わない?
893774ワット発電中さん:2012/03/29(木) 21:42:35.50 ID:EC/2hG/L
MatlabのVHDL CONVERSION TOOLBOXのかね?

使ったことないけどロジック数とかスライス数とかどうやって設定するんかね.
894774ワット発電中さん:2012/03/30(金) 10:01:41.27 ID:V9Rdup1P
これの事じゃないの?

「MATLAB」ファイルからHDLコードを直接生成
ttp://ednjapan.com/edn/articles/1203/07/news044.html
895774ワット発電中さん:2012/03/30(金) 10:07:05.46 ID:V9Rdup1P
こちらの記事の方が詳しいかも。

MATLABがハード設計向けに進化、
MファイルからのダイレクトなRTL生成が可能に
ttp://www.eda-express.com/2012/03/matlabmrtl.html
896774ワット発電中さん:2012/03/31(土) 19:02:06.30 ID:q0lLDs+d
MATLABプログラミング 質問箱 その3
http://toro.2ch.net/test/read.cgi/tech/1254528108/

と関係ある?名前が被っただけのようにも思える。
897774ワット発電中さん:2012/03/31(土) 19:21:40.44 ID:1ZaGmcDE
xilinxだけだと思ってたが、
mathworksが本気だしてたんだな
今後に期待しよう
898774ワット発電中さん:2012/03/31(土) 22:46:31.22 ID:6DFZ+0/L
自動生成されるプログラムなんかに負けたくないけどきっと同じ動作するものでもMatlab使ったほうが良いコードができたりしてね
899774ワット発電中さん:2012/03/31(土) 22:50:38.24 ID:7RZYrqs1
>>898
人が書いたソースが少し良いって程度だったら
インデントとか直しただけでGOの可能性はあるね。
今時は、回路にならないソースやら、極端に動作が鈍いとか
回路規模がデカすぎるってのも無いんじゃないかな?
だったら、そのまま使えるソースを履いてくれる可能性は高い。
900774ワット発電中さん:2012/03/31(土) 22:57:07.72 ID:Lzyll0Tr
>>898
Cか何かから自動生成する奴は、NECが出してたよね
SystemCからなら、今後どんどん出そうだし。
901774ワット発電中さん:2012/03/31(土) 22:59:26.80 ID:7RZYrqs1
なんか、アルゴリズムとブロック図を書いたらハードが出来る時代になるのかね?
902774ワット発電中さん:2012/04/01(日) 03:09:42.11 ID:P0QNEyGy
ところで
クロック周波数が100MHzとか200MHzくらいの動作なら、
FPGAでも普通にできるようになってきたと思います。
だとすると、800MHzとか900MHz, はたまた1000MHzを越えるような
クロックで動くFPGAも、もう出ているのでしょうか?
903774ワット発電中さん:2012/04/01(日) 08:38:53.41 ID:4sN6QZF9
そろそろ600MHzが一般にも出回ってきた、ぐらいのところ。
ttp://ppg.teldevice.co.jp/m_device/virtex6.htm
904774ワット発電中さん:2012/04/01(日) 10:57:54.62 ID:nVd5/BxZ
>>901
アルゴリズムを書いたら回路が出てくるよ。
RTLで書かなきゃいけないけど。
905774ワット発電中さん:2012/04/01(日) 11:05:16.87 ID:4A/qZu0W
>>904
それHDL書いてるのと殆ど同じやん。(w
906774ワット発電中さん:2012/04/01(日) 11:18:51.15 ID:/uVyQvkB
そんな高尚なことしないよ。
907774ワット発電中さん:2012/04/01(日) 11:22:07.29 ID:2vUvml+Z
そうだけどね。
Cで書いてもサイクルの概念が入って来るとHDLと同じになる。
908774ワット発電中さん:2012/04/01(日) 11:33:34.26 ID:4A/qZu0W
ハードを知らずに、プログラマーでHDLを書いてるって人がいるんだけど
クロックに対する同期とか、同時動作を理解するのに随分苦労してたなぁ。
909774ワット発電中さん:2012/04/01(日) 11:51:56.43 ID:4sN6QZF9
そりゃそのHDLが、中途半端にプログラミング言語っぽい機能を持ってるのがいかんのだ。
910774ワット発電中さん:2012/04/01(日) 12:21:31.39 ID:4A/qZu0W
まぁRTLとか書いてたら回路図書いてるのと何が違うんだ?と思うこともしばしばだしなぁ。
911774ワット発電中さん:2012/04/01(日) 16:38:56.55 ID:XA+pXO7v
>>910
それは言えているよな
回路図をテキストエディターで書くための言語がRTLって感じだからな
RTL(VHDL,Verilog)ってソフト言語ならC言語にもおよばないアセンブラプラスアルファレベルだろう
昔ならともかく、いまHDLって言うならもっと抽象化の進んだ言語、SystemCぐらいじゃないとな
912774ワット発電中さん:2012/04/01(日) 17:34:39.24 ID:wI/58nyE
システムCで抽象的な記述なんて出来るの?
それで回路が作れるの?
すごいね。
913774ワット発電中さん:2012/04/04(水) 10:31:07.33 ID:zmUBDFqx
RTLとHDLという言葉は、どのように使い分ければ良いのでしょうか?
このスレでも、分別なく使っているみたいなので、わからなくなりました。

RTL = Resistor Transfer Logic
HDL = Hardware Description Language
914774ワット発電中さん:2012/04/04(水) 10:34:03.98 ID:zLBC6nkv
Resistor Transfer Level
要するにゲートレベルより抽象的で、ビヘイビアレベルより具体的なレベル
915774ワット発電中さん:2012/04/04(水) 10:41:32.32 ID:tyC3R/aA
その2つの「レベル」も説明してくれ〜〜
916774ワット発電中さん:2012/04/04(水) 20:14:56.28 ID:TsvcLTfV
ゲートレベル 機械語
RTLレベル アセンブリ言語
ビヘイビアレベル C言語
917774ワット発電中さん:2012/04/04(水) 20:25:46.99 ID:gMqPH+sy
>>913
HDLは時間方向に制約条件を記述できるプログラム言語
RTLは基本論理合成とかができるHDLの記述。
918774ワット発電中さん:2012/04/04(水) 20:29:56.42 ID:tTo4jN6O
HDLもソフトのように資格あればいいなとたまに思うときがある
919774ワット発電中さん:2012/04/04(水) 20:36:11.25 ID:SdCVLnDf
>>918
それって、資格持ってても無能な奴が大量に出るって事だよ。
シスアドとか旧情報処理試験とかで苦い経験してない?
うちはしまくりだよ。
920774ワット発電中さん:2012/04/04(水) 20:42:04.71 ID:tTo4jN6O
>>919
苦い経験とかはどうでもよくて…
他の分野と違って資格とかなくて寂しいなというだけですよw
ついでに会社で資格手当てだすなどあれば、さらに嬉しいかもwww
921774ワット発電中さん:2012/04/04(水) 20:50:49.01 ID:iVcB0wii
Starc の ESA でも取っちゃいなYO!
922774ワット発電中さん:2012/04/04(水) 21:03:19.30 ID:SdCVLnDf
>>920
そりゃ、君の願望じゃないか。(w しかも打算!(w
君みたいな奴は、嫌いじゃないな。


君みたいな奴は確実に伸びる。




横に。
923774ワット発電中さん:2012/04/04(水) 21:53:18.10 ID:tTo4jN6O
>>922
だって同じ会社でもソフトは資格があって手当てがあるのにハードはない
なんて悔しいじゃないですかw
924774ワット発電中さん:2012/04/04(水) 22:30:36.49 ID:Y85fXWhy
>>923
がんばって、技術士の資格を取る!
925774ワット発電中さん:2012/04/05(木) 04:00:53.71 ID:f8hzBhsH
>>923
HDL書きなんて、ハードじゃないよ。

半田付けはできない、オシロも触ったことない、ICはデータシートの回路例の通りにしかできない、
そんな人がやってるんだから、ハードじゃない。ソフトウェアだよ。
926774ワット発電中さん:2012/04/05(木) 06:59:25.52 ID:mUZP5m5t
あんたがデジタルを小馬鹿にしたいアナログじじいということだけはよくわかった
927774ワット発電中さん:2012/04/05(木) 07:30:11.68 ID:kIKnY1wR
>>925
おまいのところはそうなんだろうね、おまいを含めてw
928774ワット発電中さん:2012/04/05(木) 07:37:46.03 ID:GZEcCp45
>>924
技術士は超絶難しいけど、技術士補は過去問で何とかなるレベル。
しかも技術士補は、それを看板にしてもOK。カッコ悪いけどね。(w

>>925
君の見た世界だけがこの世の全てじゃないよ。
それにしても君の会社えらく黒いね。
929774ワット発電中さん:2012/04/05(木) 12:58:51.17 ID:SuO5gobY
いやいや、>>925はたまに出てくる、ロジックなんて全く出来ないソフトオンリーな人だよ。
そのソフトの能力も独りよがりで、人から指示されて始めて動けるようなレベルなんだ。
昔よっぽどHDLの設計者にバカにされたのがトラウマになってるんだろうね。
バカにされたのが自分では無くソフトエンジニア全体だって思い込まないと心が持たないんだよ。
HDL書く連中なんて自分と同レベルのソフト書きだって、自分のレベルと上げるのでは無く、
相手のレベルを貶めて安心を得ようとしてる。そんなかわいそうな人なんだ。遠巻きに見守ってあげて。

でもな、ソフトウェアエンジニアを馬鹿にするな>>925
930774ワット発電中さん:2012/04/07(土) 02:25:25.54 ID:4B12RfZq
会社では、FPGAのソフトウェアって言うけど。
ハード寄りではあるけども、基本は言語で書いて動作が変えられるから、
基本はHDLはソフトウェア、というのには一理あると思う。
931774ワット発電中さん:2012/04/07(土) 02:32:14.98 ID:ik85DN42
RTLは単なる言語なのでソフトウエア扱いするのは構わんけどな
実際に動くハードとRTLは別物と理解しているなら、という条件付きで
932774ワット発電中さん:2012/04/07(土) 06:32:53.35 ID:j92Oz702
ネットリストだって、NC工作機械のコマンドだって「言語」で書いてあるぞ?

HTMLがプログラミング言語でないのと同様、HDLはプログラミング言語ではない。
DSLの一種ではあると思うが。
933774ワット発電中さん:2012/04/07(土) 07:06:39.43 ID:RVWwGhMg
ソフト屋とか、事情を全然知らない部署の人から見ると
「テキストをソースとして書いてる」って、この一点のみを見て
ソフトと言い切ってるのが大半だと思うよ。(w
934774ワット発電中さん:2012/04/07(土) 08:17:31.66 ID:31KwCV3E
HDLがハードウェアという訳ではないしな。
Cで動作記述してハードに落とす場合、
それはハードなのかソフトなのか?

どうでもいいよ、そんなこと。
935774ワット発電中さん:2012/04/07(土) 08:20:01.66 ID:RVWwGhMg
その割りとどうでもいいこと拘ってギャースカやるのが面白いんだよ。
ただし、酒の肴以上にはならんがね。
936774ワット発電中さん:2012/04/07(土) 08:26:50.29 ID:o7Yl3Tzc
社団/財団法人HDL(+ α)検定協会でも作るかなw
937774ワット発電中さん:2012/04/07(土) 10:06:20.14 ID:tV85oQsy
記号化してないのはハードというのは真であろう。
938774ワット発電中さん:2012/04/07(土) 11:01:33.05 ID:AUFJ3tZM
HDLはソフトウェアではないのは わかるとしても、
PCが一台あれば、ホテルでも電車の中でも仕事ができる、シミュレーションしてメールで納品などと平気で言うやつが嫌いだ。

ハードウェアは、現物ありき。
939774ワット発電中さん:2012/04/07(土) 13:12:49.85 ID:gHHOjKZg
それでうまく動かないのなら論外だけど、動くのならその過程はどうでもいい
940774ワット発電中さん:2012/04/07(土) 17:26:22.03 ID:31KwCV3E
後行程でレイアウトして、チップをパッケージに入れてようやくハードと呼べるってわけか?
941774ワット発電中さん:2012/04/07(土) 17:45:55.25 ID:9YeBidAU
>>935
技術的な質問・話題出ても禄に答えられないから、こんな酒の肴話題で盛り上がるんだろう
いかにもエレキドカタスレって感じが出て良いよな
プログラム(マイコン)系スレの方はコードや使い方の話がいろいろ出ているのにな
おなじドカタスレでもなんか違うよな
942774ワット発電中さん:2012/04/08(日) 03:42:27.84 ID:XtqwIJqg
>>941
>プログラム(マイコン)系スレの方は
どこのスレ?
943774ワット発電中さん:2012/04/08(日) 12:55:57.79 ID:11fh0zof
具体例を出しても小馬鹿にされてお終いだからな、
誰も実装例なんて出さないだろう。
944774ワット発電中さん:2012/04/08(日) 13:47:56.57 ID:G2l1B6bN
試しに、例を出してみてよ
945774ワット発電中さん:2012/04/08(日) 14:12:03.19 ID:IZpb+iZN
946774ワット発電中さん:2012/04/08(日) 14:54:43.26 ID:XtqwIJqg
>>945
>ほらよ

って、これがそうなのか?

Not Found
The requested URL /uploda/example_code.png was not found on this server.
947774ワット発電中さん:2012/04/08(日) 14:59:21.17 ID:+v0VbMa4
なりたかちん
http://upload.naritaka.jp/uploda/半角文字列.png
で使えます
(例)http://upload.naritaka.jp/uploda/hoge.png
これで専ブラで画像自動読み込みにしてる人のIPアドレスを取得する事ができます。

罠URLすらちゃんと貼れないなんて悲しすぎるぞ。
948774ワット発電中さん:2012/04/08(日) 15:11:23.45 ID:aAT/lcsy
ネタにマジレスとかワロタw
949774ワット発電中さん:2012/04/09(月) 01:48:01.07 ID:ycBw4FuG
あそこは何が特殊なんだ?
規格ものばっかりやってるじゃないか。
950774ワット発電中さん:2012/04/09(月) 17:33:42.19 ID:JOW5OBxS
屋号にそういう文字入れると、あとが大変だよね。
○○設計とかだけのほうがいいような。
○○興業とかは怖いな
951774ワット発電中さん:2012/04/09(月) 18:20:16.54 ID:7Np98ygF
特殊なものは一切公表できないのさ
なにせ特殊だからな
952774ワット発電中さん:2012/04/09(月) 18:34:28.59 ID:NC/awNz3
規格モノAV
953774ワット発電中さん:2012/04/09(月) 19:19:58.82 ID:K7DXSe1X
>>951
おっと、なひたふさんの悪口はそこまでだ!
954774ワット発電中さん:2012/04/09(月) 19:53:50.70 ID:ohJxyNzY
おまえらもっとぱみゅぱみゅしろよ
955774ワット発電中さん:2012/04/10(火) 13:31:57.40 ID:Yin9dBL3
特殊モノAV
956774ワット発電中さん:2012/04/10(火) 14:00:15.13 ID:4wXgvjIY
万年土方乙
957774ワット発電中さん:2012/04/10(火) 23:04:15.63 ID:wiSpRWu7
ドカタは残業しないで定時ですがね
958774ワット発電中さん:2012/04/11(水) 21:43:45.73 ID:UTQ6ARTR
ISE12.4のPinAheadって、*.ucfを壊すためのツールなの?
959774ワット発電中さん:2012/04/11(水) 23:50:58.70 ID:FOFKigZJ
>>958
ISE12.4から起動するとUCFファイルは酷いことになるねえ、、、
960774ワット発電中さん:2012/04/12(木) 08:28:26.10 ID:2WsE34VC
>>958
わかるわー‥
961774ワット発電中さん:2012/04/12(木) 10:25:36.51 ID:l+J93rox
>>958
PinAheadって、PlanAheadとは違うの?
962774ワット発電中さん:2012/04/13(金) 02:55:42.91 ID:SdGmVHJW
教えてください。
FPGAの中には、ハードIPとして、すでにPLLが入っていますが、
それをFPGAで作ることはできるのでしょうか?

位相比較器=ExORで可能

LPF=?? CR外付け・・・という問題じゃないですよね。

VCO=?? どうなるのでしょうか
963774ワット発電中さん:2012/04/13(金) 03:31:16.59 ID:6boYviwB
>>962
「完全ディジタルPLL回路の設計―ディープ・サブミクロンCMOSプロセスで実現する」
って本でもぐぐって探して読んで。
ADPLLってのでぐぐるも由

74LS297を参考にするってのもあるけど…
964774ワット発電中さん:2012/04/13(金) 09:52:49.98 ID:GkkgGFBK
All-Digital って言う割には、TDC とか DCO ってアナログだよね
965774ワット発電中さん:2012/04/13(金) 20:52:09.09 ID:k7bTTZdi
根本は全部アナログさ。
966774ワット発電中さん:2012/04/13(金) 20:56:42.89 ID:e15NZD4p
オールデジタルってなんか角張ってそう。
967774ワット発電中さん:2012/04/13(金) 21:51:13.23 ID:8YqaRFYD
東ハト、オールレーズン
968774ワット発電中さん:2012/04/14(土) 07:10:40.46 ID:5poMCoXi
オールクランベリーは最近はまってる
969774ワット発電中さん:2012/04/14(土) 10:11:40.49 ID:/wgWmYB7
>>962
> FPGAの中には、ハードIPとして、すでにPLLが入っていますが、
> それをFPGAで作ることはできるのでしょうか?
まずこの質問自体がおかしい。
 FPGAの中すでにPLLが入っているのだから、
 FPGAで作ることは可能です。
って答えになっちゃう。
だから「論理回路だけでPLL作れますか?」って質問だとして答えます。
論理回路は0と1の2値しか扱えない回路なので、
それだけでPLLを作るのは無理です。
周波数を落とす方向のVFOの一種なら作れます。
970774ワット発電中さん:2012/04/14(土) 11:54:13.53 ID:XnRpokz9
質問させてください

FPGAを選定するとき、それが何MHzまで動くのかを知る目安として、
データシートの、どのような数値に注目すれば良いでしょうか?
971774ワット発電中さん:2012/04/14(土) 12:17:20.14 ID:mNuYK96l
>>969
ゲートディレイを使えば2倍のクロックとか作れるだろ。
安定性の問題とかあるけど、理論的には可能じゃね?
972774ワット発電中さん:2012/04/14(土) 13:02:09.23 ID:x1ggSvRg
>970
データシート見れば
Core Performance Specifications
Table 1?19. Cyclone III Devices Clock Tree Performance
Device
Performance
Unit
C6 C7 C8
EP3C5 500 437.5 402 MHz
EP3C10 500 437.5 402 MHz
EP3C16 500 437.5 402 MHz
EP3C25 500 437.5 402 MHz
EP3C40 500 437.5 402 MHz
EP3C55 500 437.5 402 MHz
EP3C80 500 437.5 402 MHz
EP3C120 (1) 437.5 402 MHz

と書いてある、CycloneVの例だけどね
スピードグレード 6,7,8 で差があるね
IOのインプットMAX とか PLLのMAX値もあるから
どこがボトムになるかで決まってくるように思う。

詳しい人フォロー↓www
973774ワット発電中さん:2012/04/14(土) 14:18:31.22 ID:nWyCoCd3
ディジタルPLLってあるでしょ
NCOと位相比較器で出来そう
回路のクロックよりはるかに低いクロックしか合成できないけど
サンプルレート2kHzで電源60Hzに同期させるのは見たことある
974774ワット発電中さん:2012/04/14(土) 20:03:11.95 ID:i8L5GNh0
>>972
GHz動作が普通なのに500Mじゃ使い物にならないよな
これじゃ高速回路はだめだな
975774ワット発電中さん:2012/04/14(土) 21:46:58.08 ID:mNuYK96l
普通ってなんだよ?
976774ワット発電中さん:2012/04/14(土) 21:55:23.85 ID:SpRLmL0j
>>975
PCのCPU基準じゃ?
977774ワット発電中さん:2012/04/14(土) 22:05:28.75 ID:GNn4+0pr
いまどき400MHzとか俺のセレロン300MHz@450MHzより遅いww
978774ワット発電中さん:2012/04/14(土) 22:35:33.40 ID:6YtElw42
>>977
x2がぬけてないかい?
979774ワット発電中さん:2012/04/15(日) 01:22:59.65 ID:WDmGSQT6
そりゃ一番下のランクのチップでGHz出ないって。
980774ワット発電中さん:2012/04/15(日) 08:50:53.95 ID:YagA0pUe
FPGA を使う人間の基準は、組み込みプロセッサじゃないの?
最近は 1GHz 越えてるのもあるけど、バスなんて数百MHz だし。
981774ワット発電中さん:2012/04/15(日) 08:55:54.86 ID:QgG3ks9s
面白いよね。
内部で回路をパイプライン化、並列化できるから基地外みたいな
高クロックに拘る必要なんか無いのに。
982774ワット発電中さん:2012/04/15(日) 13:37:46.70 ID:7zF4HYq/
>>980
ARMなんかクロック数百メガからGHzってあるからな
それでグラフィックまで付いているのに低消費電力だし
http://jp.rs-online.com/web/generalDisplay.html?id=raspberrypi
のプロセッサをFPGAで実現したらどれぐらいの動作になるんかな
983774ワット発電中さん:2012/04/15(日) 22:10:34.89 ID:jbEwnWBe
>>981
>基地外みたいな高クロックに拘る必要なんか無いのに。
パイプライン化して処理したところで、
ペリフェラルがGHzのClockを要求していれば、FPGAがGHzを出力するしかないでしょ。
データを並列処理したところで、
最後には1つにまとめなければならないときは、内部がGHzを越えてしまうよ。
984774ワット発電中さん:2012/04/15(日) 22:15:57.65 ID:QgG3ks9s
>>983
それは設計思想が悪い。
その流れで行ったら、「使いものにならない」でFAだろ。
使えるように考えるのが設計者の手腕。
出来ないなら無能。
985774ワット発電中さん:2012/04/15(日) 22:49:36.10 ID:nkXabrV7
次スレ建てろよ
986774ワット発電中さん:2012/04/15(日) 23:06:43.74 ID:zYXTn5aV
>>985
おまえが建てろよ
987774ワット発電中さん:2012/04/16(月) 08:56:04.54 ID:sY7yC0fZ
>>983
I/O 部分は考えられてるだろ。
sATAとかさ。
988774ワット発電中さん:2012/04/16(月) 15:23:09.51 ID:5TIXAJc8
光のI/Oで遊んでみたいな
そろそろだろ
989774ワット発電中さん:2012/04/16(月) 17:17:44.88 ID:Npl3smzb
外付け LED で我慢しろ
990774ワット発電中さん:2012/04/16(月) 18:57:49.34 ID:ViLg4fRN

  ( ⌒ )
   l | /
  〆⌒ヽ☆
⊂(#‘д‘) 誰が高輝度LEDやねん!!
 /   ノ∪
 し―-J |l|
    @ノハ@ -=3
991774ワット発電中さん:2012/04/16(月) 22:56:49.15 ID:mgMBX8Mk
時代はギガ速LEDチカチカだ
992774ワット発電中さん:2012/04/16(月) 23:01:55.94 ID:zp5BG3L9
真面目な話、I/Oに500Mとか1Gでデータを特殊なバッファや振幅を
使わずダイレクトに出力するとか思ってる奴って居るのか?
PCのCPUでもクロックを除けば、メモリバスが最高速度じゃね?
993774ワット発電中さん:2012/04/16(月) 23:19:58.84 ID:tv9JJRGJ
>>990
いい仕事してるな
994774ワット発電中さん:2012/04/16(月) 23:30:51.84 ID:nt6bkzq5
>>992
今のIntelのCPUはメモリバスとPCIeが直接出てて
チップセットにはPCIeで繋がってるってのは常識の類っしょ。

そんな事は百も承知でネタ話をしてる訳よ。
まさかそんな事も知らない奴がここにいる訳ない…よね????
995774ワット発電中さん:2012/04/17(火) 01:12:33.87 ID:L0y7VLhB
FPGA内部が500MHzオーバーで動くのに、
なぜI/Oピンに500MHzがでないんですか?

世の中には、3.5GHzで動くADコンバータだってあるのに、FPGAで駆動できないとなると
どうやってそれを使うんでしょうか。
LVDSで出せば、1000MHzくらい出るのではないかと思います。
996774ワット発電中さん:2012/04/17(火) 01:27:33.95 ID:+kHZaO0b
>>995
〉 3.5GHzで動くADコンバータ
って、中多段になってるのでは?
オシロとかのA/Dもそうだし。

> FPGAで駆動できないとなると
逆に"汎用CPUだけ"では駆動できないよ。
外付けに専用のAsicつけるか、
FPGAでたくさんのポートで同時制御するとか、
それでもポートやセル数足りなきゃ複数使うとか。
997774ワット発電中さん:2012/04/17(火) 01:45:06.46 ID:L0y7VLhB
ありがとうございます。

複数の低速ADコンバータを使って、時間をずらしながら変換して、
見かけ上のスループットを上げるという方式は知っていますが、
1つのアナログ信号をADの数だけ分配する必要がありますよね。
ところが、それぞれのADのVrefズレや直線性ズレがあり、
例えば横一線のDC電圧を変換したとしても、AD1,AD2,AD3,AD4の変換結果が
異なると思います。すると、それを1つにまとめたときに、
一直線な変換値にならず、ガタガタした波形になってしまうと思います。
ですので、可能なら1個のADでやりたい所です。
FPGAから1000MHzとかの高速クロックが出せないとなると・・・・
998774ワット発電中さん:2012/04/17(火) 09:37:08.78 ID:v2x8BLcm
3Gspsだとしても、バスにそのまま出す必要ないだろ。
8ビットサンプルでも、32ビットバスに出せば4クロックに1回でいい。
ちょっとは考えろ。
999774ワット発電中さん:2012/04/17(火) 09:38:04.90 ID:v2x8BLcm
ってか、3GHzとかまともに扱えるのか?おまえが?


オレは無理。
1000774ワット発電中さん:2012/04/17(火) 09:49:50.30 ID:iVgwL1xq
時分割の概念を今頃行ってるようじゃダメだ。
そりゃスレも終わるわ。
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。