【EP3】DE0で始めるVerilog HDL【C16】

このエントリーをはてなブックマークに追加
1DE0のK22ピンに注意

CQ出版から2011年7月22日に発売された書籍と、
ALTERA FPGAの載ったボード「DE0」について語るスレです。

ハードウェア記述言語HDLの学習はとにかく使ってみることが大切です。

HDLの初心者,HDL解説書を読んだが思うように理解が進まなかった人、
何かというとスグに「米国本社の英語マニュアル嫁!」で困っているFPGAソフト屋さん、
組み込みマイコンをやってみたいけど、家事と育児に追われて時間のない人、
新型デバイスが発表されても、ちっとも出回らなくて辟易している人、
VHDLはなんでソースがあんなに長いんだ?と思っている人、
渦子ちゃんを触ってみたいチェリーな人、

みんな み〜んな大集合! 楽しく情報交換して、DE0でいろんなもの作りましょう。

  さぁキミも、レッツ F・P・G・A〜ぇぃ !!

CQ出版 DE0の本のページ
http://shop.cqpub.co.jp/hanbai/books/I/I000030.htm

DE0のメーカーのページ
http://www.terasic.com.tw/en/

ソリトンウェーブ
http://solitonwave.shop-pro.jp/?mode=cate&cbid=547287&csid=0

DigiKey
http://www.digikey.jp/

アルテラ
http://www.altera.co.jp/
2774ワット発電中さん:2011/07/12(火) 19:25:26.37 ID:GjYZ+uvS

 もしかして

   俺って、 2ゲット?
3774ワット発電中さん:2011/07/15(金) 10:46:49.82 ID:n8bNR3Ha
おぉ、丁度触り始めた所です。
色々教えて欲しいっすw
ときに、スケマしたののシンボル吐かせようとすっとファイル生成に失敗するのウチだけ?
4774ワット発電中さん:2011/07/15(金) 10:52:01.56 ID:Vtmj47h8
もう少し詳しく教えて!
5774ワット発電中さん:2011/07/15(金) 11:01:05.32 ID:n8bNR3Ha
回路図を入力してbdfファイルを作成ののち、bsfファイルを作りたいので
File→Create/Update→Create Symbol Files for Current File
で、"Can't open read-onry file C:/Proj/xxx.bsf" って出て何もしない。

試しに、xxx.bsfのファイルを先に作っておいて上書きすると成功します。
Win7の問題だろうか?
6774ワット発電中さん:2011/07/15(金) 21:38:52.50 ID:LxHMJmPW
DE0、アカデミックでソリトンから買ってしまったわ
CQのアカデミックのほうが別々に買うよりお買い得だったな・・・
7774ワット発電中さん:2011/07/16(土) 00:36:02.52 ID:aIyQz98/
でも、CQで両方買うと、結構な金額になりそうだね
8774ワット発電中さん:2011/07/17(日) 11:31:31.16 ID:DzStYn5F
今週末発売なのに、書き込み増えないね。
人気ないのかな?
9774ワット発電中さん:2011/07/17(日) 12:17:44.54 ID:XBKoxNbv
verilogで

reg [3:0]count;
always@(posedge clk)begin
count <= count+1;
end

assign led = (count==4'b10x0); ←不定のxって使えないんですかね? bit1を無視したいんですが

コンパイルは通るけどRTL VIEWERで見ると何も無いので、
完全に無視されてるんですが。
10774ワット発電中さん:2011/07/17(日) 12:29:43.27 ID:9+P4IBQX
スレ分散させてどうすんだろうと思いつつ。

>9
count のbit1 が不定 ... つまり 0 だか 1 だか分からない時 (0 でも 1 でも良いという意味ではない)
に "==" が成立する、という動作になってんだろ。無視はされてない。

実機では 0 だか 1 だか分からないってことはないから "==" が成立することはなく、
assign 文ごと消えると。
11774ワット発電中さん:2011/07/17(日) 13:15:19.36 ID:XBKoxNbv
そうでしたか、助かりました。ありがとうございます。
12774ワット発電中さん:2011/07/17(日) 13:48:33.36 ID:c5uAkMIC
>>10
横からすみません。教えてください。

>0 だか 1 だか分からない時 (0 でも 1 でも良いという意味ではない)
これは、どういう事なのでしょうか?
xだと「1でも成立、2でも成立」ということでは無いんでしょうか?
13774ワット発電中さん:2011/07/17(日) 19:54:14.98 ID:hvMXZBQc
Digikeyのが届いたけど、いい匂いだな
書籍5kはちと高い・・・。PDF版単体で売ってほしいわ。
14774ワット発電中さん:2011/07/17(日) 21:55:41.39 ID:9+P4IBQX
>12
x が「0 でも 1 でもオケ」になるのは casex 文の時だけ。


15774ワット発電中さん:2011/07/18(月) 00:00:03.53 ID:7zXUZh2X
>>14
ありがとうございました。そうなんですか。
xをドンとケアは使えるところと使えないところがあるんですね。
ありがとうございました。

STARCの本を買って勉強します。
ありがとうございました。

16774ワット発電中さん:2011/07/18(月) 00:15:00.31 ID:epgW8WOL
なるほど、なるほど
17774ワット発電中さん:2011/07/18(月) 01:10:59.28 ID:lqo5nN6A
>ドンとケア
ワロリン
18774ワット発電中さん:2011/07/18(月) 03:19:20.82 ID:91Ta/D49
CQからDE0+CD-ROM買うのと、デジキーからDE0だけ買うのとでは、
どっちがおいしいでしょうか。
19774ワット発電中さん:2011/07/21(木) 00:29:37.56 ID:8CpKN2Wn
いよいよ明日ですね
20774ワット発電中さん:2011/07/21(木) 14:44:28.58 ID:UseFgD/i
VHDLだったら即買いするんだけど、VerilogみたいなのでNIOS IIの記事を読んで考える。
21774ワット発電中さん:2011/07/21(木) 16:34:49.68 ID:ApNtWPEn
5kの価値あるのかな
22774ワット発電中さん:2011/07/21(木) 21:12:18.01 ID:n0YQFvbH
>>20
自分もVHDLなら即買いだったかな。NiosII部分の記事を読んで決めようと思ってる。
23774ワット発電中さん:2011/07/22(金) 10:27:23.16 ID:Oi9++QY6
HDLを始めようと考えているのですが、VHDLはそんなにいいんですか?
たまたま仕事でやっているから、慣れてるというだけではないのですか?
記述量は多いし。
24774ワット発電中さん:2011/07/22(金) 13:10:04.07 ID:Z0QkGygd
テストベンチ使いこなしたいならVerilog
VHDLは下位モジュールの信号を引っ張り出すのが面倒
25774ワット発電中さん:2011/07/22(金) 15:20:17.41 ID:Oi9++QY6
ありがとうございます
そうするとますますVerilogが良いように思えます。
26774ワット発電中さん:2011/07/22(金) 17:38:59.33 ID:uTiwa+s6
QuartusIIって両エッジを受ける記述通らんのですかね?

always@(posedge hoge or negedge hoge) みたいなの
27774ワット発電中さん:2011/07/22(金) 20:16:45.94 ID:Z0QkGygd
むしろそういうことができるFPGAってあるっけ?
ないならQuartusIIでも無理なのは自明
シミュレーションなら可能だろうけど
28774ワット発電中さん:2011/07/22(金) 21:00:59.63 ID:uTiwa+s6
やっぱりceのflipflopがどちらか片方っぽいので構造的に無理そうですね、
高周波か遅延回路使ってエッジ検出するしかないですか・・・
29774ワット発電中さん:2011/07/22(金) 21:30:27.75 ID:Z0QkGygd
5,000円もするのか〜初学者には高く感じるだろうな
30774ワット発電中さん:2011/07/23(土) 19:37:40.98 ID:9vZZHlNc
31774ワット発電中さん:2011/07/23(土) 21:04:39.42 ID:FKzxRoKw
>>24
そんなことしているの?
ModelSimのマニュアルを読めば幸せなのに。

>>23
> 記述量は多いし。
Verilog派の人はそれを良く言うね。
でもVHDLはAdaに由来し、その言語仕様は大規模プロジェクトでバグを作り込まないための、長年の言語工学の研究の成果によるもの。
系譜としてはAlgol→Algol68→Ada→VHDLだけど、AdaはN.Wirth教授の功績が大であると指摘しておく。
Algol68からブランチした中に、N.Wirth教授による実験的言語Modulaがあるが、これはモジュール構造を仕様に持ち込んだもの。

モジュール構造とは、上位から下位までを階層を成し、名前空間のスコープを制限するもの。
これにより、大規模プロジェクトで知らぬ間に他人が作った同名の関数名とか、変数にリンクするのを防ぐ事が出来る。
知らない間にリンクしてしまうと、それを見つけるのは厄介だからね。

VHDLの記述量は多いのは、ここら辺に由来する。
その分、コンパイラさえ通ってしまえば、残るは論理的バグのみ。
Verilogと違い、その安全面とデバッグしやすさは、記述するだけで得られる面は大きい。

実際、Verilogはその後SystemVerilogが作られたが、SystemVHDLは作られていない。
これは元々VHDLがSystemVerilogの改良点を含んでおり、作る必要がないため。
それだけ言語仕様としては先進だったのさ。
32774ワット発電中さん:2011/07/23(土) 21:10:54.39 ID:FKzxRoKw
ちなみに、
> 知らない間にリンクしてしまうと、それを見つけるのは厄介だからね。
この保護機構が無いVerilogは、

>>24
> テストベンチ使いこなしたいならVerilog
な荒技が出来てしまう。怖い怖い。
33774ワット発電中さん:2011/07/23(土) 21:17:31.04 ID:KR6Z7Yys
なんでそんなにVHDLに詳しいのか知らないが
ModelSimでもVerilogの$readmemhとか$writememhとかみたいな便利なものは無い
まあ大規模なものがVerilogで記述されてる実績を見ても
そんなに心配することでもなかろうに
34774ワット発電中さん:2011/07/23(土) 21:24:34.52 ID:DYyiW7Aw
std_logic_vectorとか、いろいろ長くてイヤw
35774ワット発電中さん:2011/07/23(土) 21:26:05.86 ID:jg41karn
          _______
        /\ ⌒ヽ≡≡≡≡\
       / ((   |A D A|;;;;;∵. ... \ 
     /◇\/ ̄ ̄ ̄ ̄ ̄\/◇.\  
    /   \ \____|| | | ||____//     \
  /  /// ̄/ ̄ ̄V ̄ ̄ \ ̄\//   \
   ̄ ̄ ̄    \ ≡||□||≡ /     ̄ ̄ ̄
36774ワット発電中さん:2011/07/24(日) 19:22:08.72 ID:w8jh0rOD
目次読んだときはワクワクしてたのに、内容が薄すぎて10分で読み終わった。
書いたのソリトンウェーブの中の人っぽいから工業高校あたりの教科書になるの決まってるんじゃない?
37774ワット発電中さん:2011/07/24(日) 21:11:23.90 ID:qnfsClKL
>>31
だけど、タイプ量多いよね
しかも、なぜかstdは、standardとフルで打たないんだよね

38774ワット発電中さん:2011/07/24(日) 22:09:19.04 ID:UsBwTUA5
>>36
だからこんなに高いのか
39774ワット発電中さん:2011/07/25(月) 02:14:27.44 ID:aLNMLhPs
>>36
>内容が薄すぎて
先輩風ビュービューの、少しだけできるから天狗になっている、そんなお前には
そう感じるかも知れない。が、初心者は、それを読み解くのに3ヶ月とかかかるんだ。
お前にだって、そういう時期があっただろう。黙って見てろ。
4031:2011/07/25(月) 06:35:58.62 ID:ebjHcs3a
スレチ スマソ
>>34 >>37
std_logic_vectorは、言語仕様でなくてライブラリなんだけど…。
Ada/VHDLはタイプオーバーロード出来るからね。
嫌ならライブラリを直せばslvとか、短く出来るよ。

その他タイプ量が多いのは事実だけど、あれ、Adaが英文として自然な方向に設計された為。
ウロ覚えだけど、電話でコードをしゃべるテストをして、プログラミング言語の中で一番正しく伝わったのがAdaだった逸話があるんだって。
それだけ、Adaは英語圏の人には自然な文法になってるんだってさ。
41774ワット発電中さん:2011/07/25(月) 08:13:35.81 ID:0sOs3nPg
電話で伝えるためのbegin endだったか。
もういいかげん{}にしてくれよ…
42774ワット発電中さん:2011/07/25(月) 10:44:44.52 ID:+C5d+MIo
激しく同意

Verilogの、//や/*のコメント表記は、柔軟性があって好きだ
43774ワット発電中さん:2011/07/25(月) 20:04:46.46 ID:hcgNqI8L
>>41
> もういいかげん{}にしてくれよ…
それ、初期のAlgol系からブランチした言語群のふる〜い仕様。
Cとかもそうで、未だにひきずってるw
44774ワット発電中さん:2011/07/25(月) 20:07:33.56 ID:6b+3hER8
Cのどこにbegin endがあるんだ?
一度自分の知識が根拠のない神話にどれだけ染められてるか確認したほうがいいと思う。
45774ワット発電中さん:2011/07/25(月) 20:24:53.50 ID:hrZ5mGpf
>>44
お前はCより日本語を勉強したほうがいいと思う。
4643:2011/07/25(月) 22:55:36.95 ID:ebjHcs3a
>>44
C言語は系統的にAlgol60→CPL→BCPL→B→Cなんだけど、begin〜endと{}とは同じブロック文。

BCPLでは「$( 〜 $)」だった。
CPLは文献が失われて知らない。
Algol60では「begin 〜 end」。
こんな訳で、元を辿れば「begin 〜 end」のブロック文。

俺が言うことより、大学のせんせいの言うことを信じるかな?
ttp://www.edu.cc.uec.ac.jp/ee/ip/c_lang/pas-c-ex.html
47774ワット発電中さん:2011/07/25(月) 23:16:38.98 ID:ebjHcs3a
そんな訳でbegin endや{}はブロック文を示すもの。
 C : if (…) {…} else {…}

でも、比較的新しい研究成果を元にしているAda/VHDLは
 VHDL : if … then … else … end

と、ブロック文である事を明示的に示さなくても良い様に改良されている。
でも古いところから分岐したVerilogは、
 Verilog: if (…) begin … end else begin … end

と、ブロック文が残っている(← Verilogの話しに戻したw)

それと、俺は>>45ではないから。
48774ワット発電中さん:2011/07/26(火) 03:33:03.64 ID:z9JVtvyN
CQの販売ページを見ると、18600円?のタイプは、在庫僅少になってる。
俺は5040円のを買ったけど、18600円のフルセットを買った人はいないのか?
49774ワット発電中さん:2011/07/26(火) 08:27:21.43 ID:MnNAkOnr
>>46
授業資料に書いてある「歴史」なんてのはたいていあやしい。

きちんとした考察を読め。
http://www.lbm.go.jp/toda/comp/corigin.html
50774ワット発電中さん:2011/07/26(火) 12:18:57.51 ID:CKtvDsbr
>>49
例えば、B言語やC言語の顕著な特徴である「視覚的表現」が、これに該当する。 典型的なのは、ブロックの区切りに「begin」「end」というキーワードではなく、 括弧記号「{ }」を用いたことであろう。
51774ワット発電中さん:2011/07/26(火) 12:22:47.24 ID:CKtvDsbr
>>49
つうことで、ブロック文であることがここにも書かれているが?
ところでいい加減スレチだから、よそに行けよ。
52774ワット発電中さん:2011/07/26(火) 14:09:00.18 ID:t30gYObj
DE0にサウンド出力とSRAMさえ載ってればもっと色々できるのに。
あ、それDE1だわ
SRAM→内部BlockRAM、サウンド→1bitΔΣ出力で我慢するか…
53774ワット発電中さん:2011/07/26(火) 21:27:04.46 ID:DaS3Wyo4
それで充分じゃんか。
54774ワット発電中さん:2011/07/26(火) 22:07:41.49 ID:VMvNepGc
nanoの俺はどうすればいい?
55774ワット発電中さん:2011/07/27(水) 17:30:05.56 ID:YWbT3ima
>>52
少し高いけどDE1の方がいいかもね
>>54
nanoはmicroSDスロットを何故付けなかったし
56774ワット発電中さん:2011/07/29(金) 01:06:24.70 ID:Nfkgpb3F
本買った。実際にDE0で試して見た。NIOS IIも本の通りやってみた。確かに動いた。
けど、NIOS IIについての記述がもっと欲しい。FLASHに書き込む方法とか、デバッグの方法とか。
何か良い本は無いでしょうか?
明日、町の本屋まで行くので、ぜひ買ってきたいです。

わかったことは、SOPCビルダーで機能を選んだら、FPGAによるマイコンが完成し、
あとはマイコンソフトをゴリゴリ作るということ。
ただし、マイコンソフトのコンパイルが、とても長くて、やってられないこと。
PCが止まってしまったかと思うくらい長時間。
57774ワット発電中さん:2011/07/29(金) 09:46:14.00 ID:fAmbsqaW
>マイコンソフトのコンパイルが、とても長くて

論理合成とC言語のコンパイルどちらでしょう
論理合成なら一回キリですし長くても耐えられそうですけど、
C言語のコンパイルが長かったりしたら問題ですね。
58774ワット発電中さん:2011/07/29(金) 19:38:59.60 ID:q++T38Le
JTAG-UARTってどれくらいの速度出るんだろう
シリアルが230Kbpsとしたら1Mbpsくらい出るかな?
SOPC Builderで作れるかな
59774ワット発電中さん:2011/07/31(日) 14:49:01.19 ID:OroMChom
スケマライブラリのmuxplus2にある21muxって
セレクト端子が1のときAで0のときBという変態仕様なんでしょうか?
6059:2011/07/31(日) 15:27:20.47 ID:OroMChom
自己解決。
一生懸命リファレンスを探していたけど、
OpenDesignFileで等価回路を参照できたのね
61774ワット発電中さん:2011/07/31(日) 19:32:01.15 ID:ANOEgNSr
FPGAのRAM上に転送して実行させ、電源を切れば忘れてしまうファイルは、
xxx.sof (SRAM Object File)だと思いますが、FlashROMの中に書き込んで、
電源off後でも、起動できるファイルは、どのような拡張子でしょうか?
xxx.mcsとかを探したのですが、見つかりません。xxx.bitファイルも見つかりま
せんでした。

あと、ASCデバイスとは、何でしょうか? ALTERA Sxxxx Cxxx の略でしょうか。

よろしくお願いします。
62774ワット発電中さん:2011/07/31(日) 21:50:05.55 ID:OroMChom
拡張子はjic
ファイルメニューのconvertprogramfileでsofを入れ込んで作るんだけど、
ここらへんは公式HPのビデオ見るのが早いかな。
63774ワット発電中さん:2011/07/31(日) 21:54:58.09 ID:8kAc+t72
>>61
pofとかjitとか、色々あるよ。
64774ワット発電中さん:2011/07/31(日) 22:07:52.51 ID:OroMChom
65774ワット発電中さん:2011/08/01(月) 02:03:26.71 ID:v+6BtqM6
ありがとうございます。さっきからずっと、pofを探しているのですが、
一向にに見つかりません。ALTERAのページには、sofと同時にpofも生成される、
とあるので、フルコンパイル(CTRL+L)を実行しているのですが。
Programmerで、modeをASにすると「何かが足りないから、このモードはできないよ」
と言われます。
DE0にはEPCS4というconfig ROMが載っているので、それに書き込めば、
電源起動時に読んでくれると思うのです。

>>62, 63, 64
ありがとうございます。明日、試してみます。
ファイルの拡張子がたくさんあって、覚えきれません。
jamとかは、まるでプリンターのjamのよう。
66774ワット発電中さん:2011/08/01(月) 02:08:17.54 ID:v+6BtqM6
連投すみません。
CQの本で勉強していますが、RAM上で動かす方法だけしか書かれていないように思います。
RUN←→PROG SWは、常にRUN側にして置いてください、って書かれています。
PROG側にしないとASモードは使えないと思うです。
Programmerについては、Xのほうがわかりやすいと思うのですが、どうでしょう。
67774ワット発電中さん:2011/08/01(月) 07:41:01.41 ID:0VDO/xId
そこらへんは構成と利便性の兼ね合いだから何とも言えない
DE0ではコンフィギュROMがJTAGに繋がっていないので、
デバイス経由での書き込みになってるからややこしい。
68774ワット発電中さん:2011/08/01(月) 08:23:12.53 ID:Z+jeKhjK
>>66
> CQの本で勉強していますが、
それでは…。
Alteraは意外に日本語ドキュメントを用意しているから、そっちを見たほうが早いよ。
69774ワット発電中さん:2011/08/01(月) 20:27:37.83 ID:f2myrUMr
Alteraは日本語音声とスライドのオンライントレーニングもあるてら
70774ワット発電中さん:2011/08/01(月) 22:30:35.63 ID:TGsm3/3+
Nios II Software Tools for Eclipseって
QuartusIIをインストールしただけじゃ使えないんですか?
Nios II エンベデッド・デザイン・スイート(EDS)も
インストールする必要があるとか
71774ワット発電中さん:2011/08/02(火) 15:28:07.41 ID:zzpb1bXf
名前的にスイートの方を入れるべきだな
72774ワット発電中さん:2011/08/02(火) 17:27:12.11 ID:o/ZdYlqE
Nios II エンベデッド・デザイン・スイート(EDS)インストールしたら
Nios II Software Tools for Eclipseが使えるようになりました。
73774ワット発電中さん:2011/08/02(火) 23:20:53.54 ID:k0QmnkMf
>>65,66
pof自体は生成されていますか?
私もあまり自信がないのですが、cpnfigDeviceの中のUse configuration devceを
EPCS4にすると、pofが生成できるようですが。
74774ワット発電中さん:2011/08/03(水) 09:15:50.65 ID:BItewBJR
初心者にとっては開発環境を整備するだけでも難しく感じるね。最近de0-nanoを試したくてPCに
開発ツールをインストールしたのだけど、ちょっと悩んだところを書いとく。
インストールするべきソフトは「QuartusII」と「NiosII_EDS」、それぞれ別々にインストールする
必要がある。解説によっては「NiosII_EDS」の代わりに「NiosII_IDE」で説明されていることもあるけど
前者は後者の後継にあたる新しいバージョン、NiosII_EDSをインストールするとNiosII_IDEも同時に
インストールされる。もしIDEの方を使いたいという場合はウィンドウズのスタートメニューから起動する
必要がある。QuartusIIとNiosII_EDSはデフォルトのインストールでデスクトップにアイコンができたかな。
開発には他に「SOPC Builder」と「Programmer」を使うことになるけどこれらはQuartusIIをインストールすると
同時にセットアップされる。de0-nano買ったばかりだけどde0の方がよかったかな?
75774ワット発電中さん:2011/08/03(水) 10:15:16.56 ID:aractoS1
>>74
同士よ〜
nanoはスイッチが直接操作できないのが面倒でならんw
76774ワット発電中さん:2011/08/03(水) 12:53:19.26 ID:XJoAFnpC
FPGAでもmbedみたいな開発環境がクラウドになっているのがあれば面白いのにね。
チップ限定でも良いから
77774ワット発電中さん:2011/08/03(水) 15:27:18.10 ID:25sq477G
>開発環境がクラウド

それらしき物を発見
ttp://zone.ni.com/devzone/cda/tut/p/id/11917
78774ワット発電中さん:2011/08/13(土) 00:57:38.70 ID:Hbrbwdmq
あんまり盛り上がってないみたいだね
79774ワット発電中さん:2011/08/13(土) 07:10:49.55 ID:H7gocn8M
何気に>>56氏が「何か良い本は無いでしょうか?」と、総評を書いてしまっているしな。
本屋で本を手にとってないけど、>>56を読んで買う程の本ではなさそうとオモタ。

ソリトンシステムとDE0を販売しているソリトンウェーブって、関係ないのかな?
ソリトンシステムの林氏がディジタルデザインテクノロジ誌に寄稿した、FMラジオの記事。
あれのDE0移植版のハードでも提供されれば面白かっただろうに。

とりあえず、本を一通りやったらZet processorで遊ぶのがいいよ。
 Zet processor
  ttp://zet.aluzina.org/index.php/Zet_processor
  Zet processor is an open implementation of the so widely used IA-32 architecture (generally called x86).
v1.2からDE0をサポートしたし、ただのFPGA基板がクラッシックなパソコンに変身するのも面白いし。
80774ワット発電中さん:2011/08/13(土) 10:06:33.38 ID:Iey6t+bS
どういうレベルのひとがDE0とかスレタイの本とか買うのだろう?
始めてFPGAにさわる初心者ならかって損はなさそうかなとは思う。アマゾンにも
カスタマーレビューが一件アップされてるね。
しかしFPGAって面白いのにマイコンに比べて情報が少ないな。開発ツールに依存する
部分も多くて古い記事ではこれから始める初心者にはあんまり役に立たなかったり。
NiosIIのソフトウェアのコンパイル時間は自分のパソコン環境では最初のビルドでは
1分くらい、2度目からは20秒くらいかな。汎用マイコンのに比べれば遅いね。
81774ワット発電中さん:2011/08/13(土) 17:59:59.16 ID:6XIbVTTu
全角でべたべた書き殴ったあげくに上げんなゴキブリ野郎。ぶち殺すぞ
82774ワット発電中さん:2011/08/13(土) 18:31:02.88 ID:kH/TBJqR
こっちにも落ち武者現れたかwww
死ねばいいのに。
83774ワット発電中さん:2011/08/14(日) 20:47:49.06 ID:ZsvZWIjj
>>67

いやマニュアル通りにやれば問題ないと思うけど。 どこがややこしいのか判らん。

コンフィグROMに直接書けないと嫌だというだけなんだろうか?

84774ワット発電中さん:2011/08/15(月) 09:49:19.29 ID:tOaKtXF4
コンフィギュに直接書けないからXに比べて直感的でなくなってる罠
85774ワット発電中さん:2011/08/18(木) 17:49:42.32 ID:lefQx/bY
アルテラの開発ツールについての質問です。
.sofファイルと.elfファイルをツールを起動せずにターゲットにダウンロードするための
バッチファイルを作りたい。ファイル名はtest.sofとtest.elfでc:\の下にコピーされています。

@path c:\altera\10.1sp1\quartus\bin;
@echo off
@ set SOPC_BUILDER_PATH=%SOPC_KIT_NIOS2%+%SOPC_BUILDER_PATH%
@ quartus_pgm -m jtag -c USB-Blaster[USB-0] -o "p;test.sof"

バッチファイル内にこのような記述をすると.sofファイルはダウンロードできます。
.elfをダウンロードするにはどのように記述すればいいのでしょう?

Nios2インストールフォルダにあるNios II Command Shell gcc3.batを実行してその中で
nios2-download c:/test.elf -g -r
と入力するとダウンロードできるのは確認できています。
これをバッチファイルで処理したいと言うことです。
86774ワット発電中さん:2011/08/18(木) 20:17:19.41 ID:omgkfPBV
いちいち上げるな知障
87774ワット発電中さん:2011/08/25(木) 19:03:25.89 ID:ZZ4d0a3M
上げときますね♪
88774ワット発電中さん:2011/08/26(金) 04:05:20.86 ID:XENJUIO0
僕も上げときます。
89774ワット発電中さん:2011/08/26(金) 09:28:16.87 ID:jUIRPXO8
教えてくれるまでage
90774ワット発電中さん:2011/08/26(金) 09:52:41.68 ID:lnFgwM0W
age
91774ワット発電中さん:2011/08/27(土) 21:26:11.70 ID:sLYJn33J
シュミレーションは、やった方がよいでしょうか
92774ワット発電中さん:2011/08/27(土) 21:53:24.95 ID:WyXl+njg
しなくともよい。
ただ、問題が発生してすることになるだろうけどw
93774ワット発電中さん:2011/08/27(土) 21:56:54.07 ID:WyXl+njg
それと、シュミレーションではなくシミュレーションな。
94774ワット発電中さん:2011/08/28(日) 01:57:46.23 ID:MKGd7E9X
了解しました。
ISim使ってみます。ありがとうございました。
95774ワット発電中さん:2011/08/29(月) 03:47:54.63 ID:xGfeTYRd
DE0のフラッシュROMに書き込む方法、
というか、電源onで自起動するようにしたいのですが、
どのようにすれはよいのでしょうか。
先日発売のCQの本には、最後が端折ってあって、わからないです
96774ワット発電中さん:2011/08/29(月) 12:29:36.23 ID:1lA5UL7g
わたしもまだやったことないんだけど、想像では
スイッチをPROGにして、de0の電源入れて、asモードで
書き込めばいいような気がするんだけど、あってますか?

97774ワット発電中さん:2011/09/02(金) 00:58:46.82 ID:uCCV09pd
あってないような気がするんだけど、あってますか?
98774ワット発電中さん:2011/09/02(金) 12:31:43.77 ID:oUVWQ7mS
やってみればわかるだろ
99774ワット発電中さん:2011/09/21(水) 00:17:43.39 ID:AHmY7YXY
どうせならみんなでCPUとか作ってみよーぜ
100774ワット発電中さん:2011/09/21(水) 01:01:55.79 ID:Nb10kV+7
101 【東電 75.3 %】 :2011/09/28(水) 19:45:42.60 ID:7+lg/khV
DE0対応で新たな書籍がでたね。

FPGAボードで学ぶ組込みシステム開発入門 〜Altera編
ttp://gihyo.jp/book/2011/978-4-7741-4839-7
102774ワット発電中さん:2011/09/28(水) 20:19:26.63 ID:z1lYGj/k
買ってみようかね
103774ワット発電中さん:2011/09/28(水) 21:40:07.51 ID:5UiS+sAt
de0-nanoに対応したこの手の本がでないね。
FPGA自体の経験はほとんどないのでカウンタやデコーダといった基本機能ではなく、
SPI通信モジュールやメモリコントローラといった応用機能を実現するための手順が
具体的に解説してあるような書籍があったらいいんだけどね。
今のところNIOSは普通に使えているしSDRAMも組み込めている状態なので、
アマゾンの読者レビュー見る限り必要ないかなとも思えるし。
この書籍ではFPGAのどんな機能モジュールを作っているのだろうか?
104774ワット発電中さん:2011/09/28(水) 23:53:33.88 ID:XrEkEFgR
>>103
MegaWizardを起動するだけの希ガス
105774ワット発電中さん:2011/09/29(木) 00:22:21.32 ID:e8SLm1YO
>>101
田舎ではそんな本は本屋に並ばないから立ち読みできない、orz

>>102
買ったら感想よろしく
106774ワット発電中さん:2011/09/29(木) 00:35:56.92 ID:v6CNnxSM
データはリンク先のサイトから普通にダウンロードできるみたい。
解説読まないと意味ないと思うけど。
107774ワット発電中さん:2011/09/29(木) 00:49:24.51 ID:e8SLm1YO
>>106
それ見た感じだと、nios2で色々したい人はよさそうな感じはするけど。
自分にとって良い本・買うに値する本なのかどうか解らず
108774ワット発電中さん:2011/09/29(木) 03:19:36.03 ID:mkrTyHFC
後からするから後悔と言うのだ。
まずは買って、ハズレだったら後で好きなだけ悔やめばいいさ。
109774ワット発電中さん:2011/09/30(金) 07:12:49.72 ID:V+1ukP7s
>>101
この本は素晴らしいぞ。
自作IPにAvalonバスをつけてSOPC Builderに組み込む方法や
DE0でuClinuxを動作させて、ドライバを組む方法まで書かれている。
110 【東電 67.3 %】 :2011/09/30(金) 22:45:39.27 ID:yP23+Acu
参考文献に、THE AVALON M@STER の人のもあるのかー。
111774ワット発電中さん:2011/09/30(金) 22:53:14.44 ID:fblU1htT
〜の部屋の人も自分ところが参考文献になってるって
ブログでびっくらこいてたな。
112774ワット発電中さん:2011/10/03(月) 22:32:45.94 ID:j+idPqJu
amazon で何時のまにやら在庫6。
明日、明後日くらいで捌けそうだ。
113774ワット発電中さん:2011/10/03(月) 22:36:12.16 ID:xCJ5asUN
俺も注文してみた
114774ワット発電中さん:2011/10/06(木) 22:15:09.07 ID:/y+kGPEs
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編
http://gihyo.jp/book/2011/978-4-7741-4839-7

これめちゃくちゃすばらしいな

115774ワット発電中さん:2011/10/06(木) 22:18:06.45 ID:CD0Ivj/b
今日買って今読んでる
まだ1章だが、良さそうな雰囲気
116774ワット発電中さん:2011/10/06(木) 22:32:03.11 ID:xJiKtc8t
>>114>>115
もう少し具体的に書いて。著作権に触れない範囲で。
THE AVALON M@STER が参考文献になってるそうだけどアバロン・スレーブの自作について
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編 とどちらが詳しくかつ分かりやすいのだろうか?
117774ワット発電中さん:2011/10/06(木) 22:54:47.49 ID:IGLKSa4z
あと2冊。
118774ワット発電中さん:2011/10/07(金) 11:55:51.72 ID:p90wFZ0u
あと1冊

ポチったら無くなった
ホントに厳密に在庫管理してるのね
119774ワット発電中さん:2011/10/07(金) 18:40:22.64 ID:Mue4MklZ

な〜んか、著者の自作自演臭がプンプンするスレだな

120774ワット発電中さん:2011/10/08(土) 06:50:31.62 ID:4IPX0RJk
消化に大分時間掛かったな、
このスレ見た奴しか買ってないのかw
121774ワット発電中さん:2011/10/08(土) 19:45:40.38 ID:A8JIrsC9
CQのDE0の本ってなんであんなに高いんだ
5000円の価値なんてあるのか?
122774ワット発電中さん:2011/10/08(土) 23:17:51.27 ID:K+Kf+VFo
>>121
これがセミナーの教科書だったら5千円程度は妥当だけど。
と言うか、セミナーの参加費用としては安い方だな、5000円。

しかし、本としてはあの内容では5000円は確かに高い。

まあ、17章あたりまでしか読んでいないので最後まで読み終えると評価も変わるかも知れないが。
123774ワット発電中さん:2011/10/09(日) 02:27:55.47 ID:6PnP/Ezj
目安として、コピー代金と比較して安ければお買い得。
124774ワット発電中さん:2011/10/09(日) 10:31:42.32 ID:BxV8Z9/s
コピー先が紙媒体でなくHDDなら全て割高になってしまうなw
125774ワット発電中さん:2011/10/09(日) 13:13:44.63 ID:Ft53mom5
わけがわかんないよ
126774ワット発電中さん:2011/10/09(日) 13:52:37.83 ID:6PnP/Ezj
>>124
目安だから、本当にコピーしたらいかんぜよw
127774ワット発電中さん:2011/10/09(日) 15:21:38.38 ID:Nml7F4UJ
ダウンロードすれば金はかからな(ゲホゲホ
128774ワット発電中さん:2011/10/09(日) 15:24:27.63 ID:y0PfNGlB
今はマスター(紙本)をコピーして端末でいつでもどこでも読めるようにするのが普通だろ
本、音楽、ビデオでこれやっていない奴って低脳じゃないか
129774ワット発電中さん:2011/10/09(日) 20:17:59.29 ID:WTtrRRli
わざわざ自炊しなくてもCD-ROM版買えばいいじゃないか
130774ワット発電中さん:2011/10/09(日) 23:08:02.46 ID:Srs+8318
読み終えた。
これを読んで得する人は

・Verilogについてほとんど知らない
・Verilogについてはある程度は知っているがFPGAはいじった事がない

のどちらかに該当する人だなあ。

該当者以外は読んでも暇つぶしや娯楽以上の意味はないと思う。
131774ワット発電中さん:2011/10/09(日) 23:18:57.24 ID:rmAd2a8h
>>130
自己紹介乙
132774ワット発電中さん:2011/10/10(月) 04:17:55.88 ID:YmS4w1Ri
ようやくねんがんのuClinuxが動作させられた。
uClinuxのクロスコンパイル環境を整えるのがめんどかったわ。
それにしてもこの本ってLinuxの使い方についてはまったく説明なしなのなww
UNIX系OS使った事のないやつはuClinuxの章を見てもつまづくだろうから、
本書で紹介されてるVMware+ubuntuの組み合わせで
Linuxについて勉強してみるのがおすすめ。
133774ワット発電中さん:2011/10/10(月) 10:26:11.18 ID:yeGVsMc3
windows系を動かす例を見かけないがなぜなんだろうね?
uClinuxを動かせたらどんなことができるの?
134774ワット発電中さん:2011/10/10(月) 15:54:22.65 ID:wHUVGkn9
Windowsフォン用のソースが格安で入手できるならやる人居そう、
PC用のOSはNIOS2じゃ厳しいでしょうね。
135774ワット発電中さん:2011/10/10(月) 22:51:34.42 ID:5o6vC7CQ
>>131
反応早いねw

内容について具体的に言えば、17章までは2進数やらブール代数やらのとても簡単な解説と簡単な回路の作成などが行われ、18章からはそれまでよりも少し手の込んだ回路を作成している。

Verilogほとんど知らないと言う人は18章あたりから苦労するかも。

後、本書の冒頭でも書いてあったかVerilogの文法書ではないので、例えばテストベンチに関しては巻末あたりで簡単に触れているだけ。

NIOS2の実装に触れている章はNIOS未経験者にとって手ほどきになると思う。
でも、その次の章に書かれているNIOS上で動くCプログラムの作成についての記事はサンプルコードを用いたツールの使い方の解説なので、実際のプログラミングしている内容を期待したら失望する。

136774ワット発電中さん:2011/10/16(日) 00:44:12.42 ID:z7XwlCxy
>>135は何の本について語ってるんだ?
CQのDE0の本?
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編?
137774ワット発電中さん:2011/10/17(月) 21:56:55.26 ID:0uL7TqVu
>>136
CQのDE0の本。

「FPGAボードで学ぶ組込みシステム開発入門 Altera編」はまだ読んでいない。
もう少ししたら読む予定。
138774ワット発電中さん:2011/11/03(木) 15:50:09.51 ID:s4bse4jj
DE0基板を使ってのVerilog初心者講習会を12月11日(日)に
やります。Verilogの初心者の方で興味のある方はぜひ
ご参加ください。
http://atnd.org/events/21474
139774ワット発電中さん:2011/11/03(木) 18:31:56.29 ID:0cZpK0tl
CQのDE本 139ページ StopWatchのところ
リスト18-1 StopWatch.v 下から3行目
assign ss_nreset=btn[1] | ~cout[3];
というのは
assign ss_nreset=btn[1] & ~cout[3];
が正しいと思うが(134ページの説明のように動作するには)、
この1行をCQに連絡するのにあんまり手続きがややこしいので
ここに投げときます。
ダウンロードできるサンプルも間違っているので要注意。
#decorder だの decriment だのスペルミスがやたら多いのはご愛嬌w
140774ワット発電中さん:2011/11/03(木) 18:40:34.35 ID:0LsnEWng
典型的なドカタの仕事だな
これが普通が今の電子産業だからな
141774ワット発電中さん:2011/11/03(木) 18:41:17.25 ID:0cZpK0tl
// start/stop FF reset signal
// assign ss_nreset = btn[1] | ~cout[3]; <- wrong
// A. push btn1: btn[1] == L
// B. set carry3: cout[3] == H
// ss_nreset is L-active.
// "not (A or B)" is correct condition.
// assign ss_nreset = ~ ( ~btn[1] | cout[3]);
assign ss_nreset = btn[1] & ~cout[3];
142774ワット発電中さん:2011/11/06(日) 15:28:06.88 ID:srf58iFx
CQのDE本 151ページからのDigitalWatch
このまま打ち込むと Button2/Button1を押して
時/分を直そうとすると ボタンを押し続けると
1/10秒のスピードでカウントアップされていく
わけだが となりの分/字のほうもつられて1秒
ごとにカウントアップされてしまう
どこを直せばいいか見つけましょう ってのは
ちょうど学生の練習問題に都合がよさそうだけど
たぶんわざとじゃなんだろうなあ

答えはCMのあとで 直す場所は156ページの2行
143774ワット発電中さん:2011/11/06(日) 21:26:00.28 ID:1qsp41/0
次号を買えってことだよ、恥ずかしい(ry
144774ワット発電中さん:2011/11/06(日) 22:26:48.50 ID:srf58iFx
// carry in
// 時間調整中はカウントを止める
assign cin[0] = (clkinh == 1'b0)? 1'b1: 1'b0;
// これでは分/時調整をしているときに,時/分が1秒単位で動いてしまう.
// assign cin[1] = (clkinh == 1'b0)? cout[0]: 1'b1;
// assign cin[2] = (clkinh == 1'b0)? cout[1]: 1'b1;
assign cin[1] = (btn[1] == 1'b0)? 1'b1: cout[0];
// 分を調整しているときに時が動かないようにしないといけない
assign cin[2] = (btn[2] == 1'b0)? 1'b1: ((btn[1] == 1'b0)? 1'b0: cout[1]);
145774ワット発電中さん:2011/11/18(金) 11:57:23.44 ID:UiMT/axh
電波テロ装置の戦争(始)エンジニアさん参加願います公安はサリンオウム信者の子供を40歳まで社会から隔離している
オウム信者が地方で現在も潜伏している
それは新興宗教を配下としている公安の仕事だ
発案で盗聴器を開発したら霊魂が寄って呼ぶ来た
<電波憑依>
スピリチャル全否定なら江原三輪氏、高橋佳子大川隆法氏は、幻聴で強制入院矛盾する日本宗教と精神科
<コードレス盗聴>
2004既に国民20%被害250〜700台数中国工作員3〜7000万円2005ソウルコピー2010ソウルイン医者アカギ絡む<盗聴証拠>
今年5月に日本の警視庁防課は被害者SDカード15分を保持した有る国民に出せ!!<創価幹部>
キタオカ1962年東北生は二十代で2人の女性をレイプ殺害して入信した創価本尊はこれだけで潰せる<<<韓国工作員鸛<<<創価公明党 <テロ装置>>東芝部品)>>ヤクザ<宗教<同和<<公安<<魂複<<官憲>日本終Googl検索
146774ワット発電中さん:2011/11/18(金) 18:10:37.47 ID:X2pNbhPv
>>140
ごりごりコード書くのがドカタってこと?製造業はどれもドカタ的な辛さはあるだろ。
147774ワット発電中さん:2011/11/18(金) 19:14:51.58 ID:fn7qF+r6
そこは、ドカタ的な楽しさと
148774ワット発電中さん:2011/11/18(金) 20:59:45.78 ID:I4STsHno
各段の創意工夫もなく決まったルーティンで時間とお金を交換していくのがドカタ。
149774ワット発電中さん:2011/11/18(金) 21:06:31.80 ID:ukmaYZCS
ドカタは定時で帰れるんだぜ、いいだろ
150774ワット発電中さん:2011/11/20(日) 09:28:46.40 ID:ZR1qSuTw
LSI設計もドカタって…IT産業は全部ドカタですか
151774ワット発電中さん:2011/11/20(日) 10:19:48.85 ID:bWJt3ums
低賃金で労働を強制されてる奴はドカタだね
152774ワット発電中さん:2011/11/20(日) 11:02:20.51 ID:wf87o3cH
まずは、ドカタの定義から始めようか。
153774ワット発電中さん:2011/11/20(日) 11:02:20.89 ID:cEBz2Cqs
まずは、ドカタの定義から始めようか。
154774ワット発電中さん:2011/11/20(日) 11:03:33.43 ID:10PsxPAA
なんか変な現象が起こった
155774ワット発電中さん:2011/11/21(月) 22:40:13.49 ID:chr88cHc
トリ生みさんがTorilog HDL作るんだー!って息巻いてたよ。頑張ってくれ。
156774ワット発電中さん:2011/11/22(火) 22:37:44.15 ID:5DDzp2n6
バカじゃねーの
157774ワット発電中さん:2011/11/23(水) 03:47:57.95 ID:Bd6pcWA7
なんでバカなんだよ。
立派なもんじゃないか。
何もトライしないで、人をバカ呼ばわりする>>156のほうが、よほどバカだと思う。
158774ワット発電中さん:2011/11/23(水) 07:38:42.45 ID:LwPXqpoi
いまあるHDLはどれもデザインが微妙だからな

東海大の清水先生とかも独自HDL作ってらっしゃるし
159774ワット発電中さん:2011/11/23(水) 08:40:17.09 ID:CBT3Wn3d
バカじゃねーの

に同意。
160774ワット発電中さん:2011/11/23(水) 10:45:52.44 ID:93WoWlCK
159 = 156 ですね。
161774ワット発電中さん:2011/11/23(水) 10:54:02.87 ID:CBT3Wn3d
いや、純粋に同意しただけだけど?
独自HDLなんて暇人やなぁと。
162774ワット発電中さん:2011/11/23(水) 12:25:18.92 ID:r1xG08Ws
独自HDLっていうけどなぁ、Verilogだって、元は独自だったんだぜ。
PARTHENONみたいに全然使われないのも多いけどな。
システムCだって各社バラバラだし。

個人的には、Verilog をもっと機能縮小したサブセットを作るべきだと思う。
信号強度だけで13種類とかあるからシミュレーションに時間が掛かりすぎ。
163774ワット発電中さん:2011/11/23(水) 12:50:13.01 ID:CBT3Wn3d
減らした分だけ早くなる確証はあるのか?
164774ワット発電中さん:2011/11/23(水) 13:02:19.72 ID:KqT1046s

Verilogの信号強度は4種類のはずだが?
VHDLと勘違いしてるんじゃ…
165774ワット発電中さん:2011/11/23(水) 13:35:17.90 ID:7OrRFrIf
縮小されたセットが明確にされてないこともあって
縮小されたセットを使わされてることに気付いてない164のようなのもいるわけだ。

ほとんど使ってない奴はそもそもサポートしてなかったりで
なくなっても速くはならんと思うが >162
166774ワット発電中さん:2011/11/23(水) 14:19:38.83 ID:r1xG08Ws
VCSやNCは、全部サポートしてるだろ・・・

遅いんだよ。
167774ワット発電中さん:2011/11/23(水) 16:12:26.51 ID:LwPXqpoi
速いかどうかはともかく、サポートされている(かもしれない)範囲が曖昧ってのはいいことじゃない。
全部サポートされてないのが当たり前という状況なら、サブセットをきっちり定義すべき。
168774ワット発電中さん:2011/11/23(水) 16:25:10.49 ID:oJvvsBPB
>>164
> VHDLと勘違いしてるんじゃ…
std_logicの事言っているんだろうが、それはVHDLそのものではない。
単なるライブラリ。
169774ワット発電中さん:2011/11/28(月) 10:48:24.78 ID:mzcRjwqW
>>166
信号強度が多種あるとシミュレーションが遅くなる根拠を説明してくれ。
170774ワット発電中さん:2011/11/30(水) 00:07:50.03 ID:/2/BQivO
普通に考えて、
1信号が占めるメモリ量(or ファイル容量)が
増えるのでシミュレーション遅くなるよね。
171774ワット発電中さん:2011/11/30(水) 06:21:37.46 ID:3JPAqlgY
演算パターンも増えるしね。
172774ワット発電中さん:2011/11/30(水) 13:40:36.16 ID:/4Vx0Nai
>>171
それがアホの発想なんじゃね
173774ワット発電中さん:2011/12/03(土) 00:50:09.48 ID:b5JoWEV+
>>172
画面に表示するパターンも増えるしね。
174774ワット発電中さん:2011/12/03(土) 09:07:31.29 ID:RFT3Jl7h
>>169
テストベンチを記述する手間も増えるしね。
175774ワット発電中さん:2011/12/03(土) 12:29:00.34 ID:GorMBOC3
>>173
画面表示は、HLZXしかないような・・・
176774ワット発電中さん:2012/01/08(日) 12:20:36.07 ID:checkIeX
あけましておめでとう。

今年もDE0でがんばろう!!
177774ワット発電中さん:2012/01/12(木) 15:54:25.04 ID:mD+PdACj
久々にいじったらボタン接触不良じゃねーか。
錆びたのかな。
178774ワット発電中さん:2012/01/13(金) 00:43:18.00 ID:8cV0zYLo
ハンダ付けのリハビリも兼ねて自分で修理。
179177:2012/01/13(金) 09:08:24.05 ID:GIe8hTW3
面実装品の取り外しは勘弁してくれ
180774ワット発電中さん:2012/01/15(日) 08:56:47.06 ID:6NbbCC8J
>>177
窓の近くに置いてなかったか?
この時期、電子機器は窓から遠ざけること。
特にボードとか剥き出しで、結露の影響受けやすいかもな。
181177:2012/01/16(月) 13:02:29.66 ID:ElSbWhry
いや、別に結露するような保存はしてなかったけどなぁ
スイッチが安物なんじゃないかと思ってるw
182774ワット発電中さん:2012/01/16(月) 20:17:44.48 ID:CO0e/bgy
タクトスイッチはすぐ壊れるイメージ
183774ワット発電中さん:2012/01/17(火) 06:43:00.88 ID:ybmLqQhP
毎日使うPCのマウスぐらいの頻度で、年単位で数えりゃ、そりゃすぐだが。
184774ワット発電中さん:2012/01/20(金) 00:14:42.98 ID:5h/59flr
DE0-nanoでPWMでナイトライダーやりました、就職できますか?
185774ワット発電中さん:2012/01/20(金) 12:50:29.87 ID:MjRwQVPC
デバイスの99%使ってりゃ採用されるんじゃないかな
186774ワット発電中さん:2012/01/22(日) 16:33:27.16 ID:w6ws7Bgp
テラシックから、クリスマスに引き続き今度は謹賀新年のメールが来てびっくりした!
なんで今頃?と思ったら旧正月なのかぁ(今年は1/23らしい)
ていうか英語で Chinese New Year って書くと初めて知ったw
勉強になりました、ありがとう Terasic の中の人
187774ワット発電中さん:2012/01/23(月) 01:07:49.37 ID:5mSdDnH/
PCM方式で16bit サンプリング周波数48kHzと同等の情報量を持たせるために
PWM方式で必要なパルス周波数とタイマー精度ってどーなるの?

SACD発売当初、CDをディジタルでPWM変換するんじゃなくCDのアナログ出力を
DSDレコーディングしてSACDとして販売してるのがあったが、これだと明らかに
情報量がCDよりスポイルされてるはずなので、がっかりした記憶がある。
188774ワット発電中さん:2012/01/23(月) 01:18:20.92 ID:DOmkXrZS
オーオタきもっwww
189774ワット発電中さん:2012/01/23(月) 07:19:30.88 ID:93jD9DiQ
理論的なひとつの指標としてはビットレートじゃね?
190774ワット発電中さん:2012/01/23(月) 08:55:44.63 ID:5mSdDnH/
DSDってPWM作るときの時間間隔は単にサンプリング周波数単位なん?
別にタイマ回してるわけじゃないのか・・・

ビットレートなら、PCMは16bitx44.1kHzなら706kbpsなんでこの時点で
方式の違いっていうより2.8Mbpsの現行SACD仕様の情報量が多くて当然てことだよな。
PCM 24bit 192kHzなら4.6Mbpsだから、このレベルならどーよってことだけど、
PCMのサンプリング周波数ってのは、実装上上げれば上げるほどノイズフロアも上昇するので、
単純に品質向上するわけじゃないんだけどDSDも同じ問題があると思うんだが?
191774ワット発電中さん:2012/01/24(火) 13:41:40.38 ID:cLN5vB5c
そもそもDSDはPWMじゃなくPDMじゃね?
192774ワット発電中さん:2012/01/25(水) 13:46:12.57 ID:FOVxjR+w
wikiの正確さの問題はあるが、
この波形はとうみてもPWMなんだが。

http://en.wikipedia.org/wiki/File:PCM-vs-DSD.svg
193774ワット発電中さん:2012/01/25(水) 13:50:10.90 ID:FOVxjR+w
ああわかった。
>>191おまえしょーもないちゃちゃ入れるなや。
密度でも、ibitのパルス時間幅単位のwidthでもいっしょだろが。
194774ワット発電中さん:2012/01/25(水) 15:44:52.27 ID:XqmZA5za
>>192
PWMとPDMがそんな図で見分けつくわけなかろう
195774ワット発電中さん:2012/01/26(木) 09:57:59.67 ID:s5ZiHMrL
ハァ?
bit 数がwidthになってるんだから。密度と言おうが、幅と言おうが同じだろうが。アホかい。
196774ワット発電中さん:2012/01/26(木) 21:36:28.09 ID:LOIzzkHX
PWMじゃなくてΔΣDACなんじゃないの?
197774ワット発電中さん:2012/01/26(木) 23:13:05.07 ID:JRcdqQUu
>bit 数がwidthになってるんだから。

誤差拡散とか分からないのかねぇwww
198774ワット発電中さん:2012/01/31(火) 11:11:18.43 ID:yVldzJRR
幅が狭い=密度が高い


 と思っているミトコンドリアが約一匹
199774ワット発電中さん:2012/01/31(火) 14:25:55.18 ID:64IcqavE
>>198
全く逆だろその解釈。フィルタは積分器だろが。
つまり、単位時間の積分結果が電圧値と比例関係になるためには

1の長さ = 密度

でなければならない
出現頻度が言葉通りの密度と思ってるアホがお前
200774ワット発電中さん:2012/02/04(土) 09:49:49.30 ID:AMw00qGQ
>>199
それがPDMなんじゃね?
201万時:2012/03/22(木) 16:47:25.71 ID:gvT3BKrf
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編

これ購入してDE0でサンプル試してるんだけど、NIOS 2 EDSから
Debugとすると、Downloading ELF Process failed ってメッセージが出てきて
なにも実行できん・・・。
202774ワット発電中さん:2012/03/22(木) 17:32:02.97 ID:LIsMxoaK
そうですか
CQ出版と著者にこらーっって連絡した?
203万時:2012/03/22(木) 23:17:51.22 ID:7x2rzv8O
SW[9]の状態で、この現象が発生するみたい。
SW[9]の状態を切り替えると、この現象が発生しなくなった。
204774ワット発電中さん:2012/03/27(火) 22:41:04.14 ID:7fF8aHdk
>>203
Quatusでの作業のPin配置指定が間違ってるんじゃないの?
SW[9]に接続されてるポートがリセットになってるとか、
CLKになってるとか、
そんなミスじゃね?
205774ワット発電中さん:2012/03/28(水) 00:29:15.57 ID:zO1qI7rN
>>201
DE0専用の書籍のほうがいいかも。
5000円もするけどこれなんかどうかな。見たときないから知らんけど。
http://shop.cqpub.co.jp/hanbai/books/31/31371.html

ただで済ませるならこの辺とか。
http://blog.goo.ne.jp/sim00/e/8e1fc436d1e29a6decc20f8256adb914
http://www.geocities.jp/jy6jf3hg5t/nios/index.html
206774ワット発電中さん:2012/03/28(水) 14:51:57.10 ID:YJbxmHQQ
>>201
その本の77ページをよく見てみよう
207万時:2012/04/03(火) 23:15:42.04 ID:8WoHMUgr
>>204
>>205
>>206
ありがとうございます。
”SW[9]はリセットです”とかかれてありました。見逃してました。
208774ワット発電中さん:2012/06/06(水) 03:05:25.48 ID:AToY8XPP
あげときます
209774ワット発電中さん:2012/07/04(水) 02:05:49.82 ID:1pOox2CP
もうすぐ1年だね。
210774ワット発電中さん:2012/07/28(土) 00:56:37.72 ID:AAJPGaX4
1年過ぎたね。
211774ワット発電中さん:2012/08/31(金) 03:08:07.12 ID:Gp4eY/UM
アルテラのFPGAって、LVDSができるピンが限定されているんですか?
Xilinxは、どのピンでもLVDSできるのですが。
212774ワット発電中さん:2012/09/05(水) 00:51:48.84 ID:dccZIWQI
>211
どちらも使用できるピン(ペア)は決まっているお。
基板内だったら直接接続する事はあるけど、外部と接続するなら普通はバッファICを使うのが吉。
213774ワット発電中さん:2012/09/05(水) 23:14:08.32 ID:8ZQWlkZI
Cyclone3はTOPとBOTTOMは外部に
抵抗つけないとLVDSとして使えなかったはず。

でも、FPGA直結だとESD耐性ないんだもんな。
IOピン壊れたら基板使い物にならなくなる。
214774ワット発電中さん:2012/09/08(土) 03:04:18.43 ID:Xdg3B9La
Xilinx使いだけど、
最初にALTERAのFPGAを見たとき、
LVDSができないピンがあるのに驚いた。

>>212
>どちらも使用できるピン(ペア)は決まっているお。
それはそうでしょう。もともとパターンは併走してくるんだから。


>決まっているお。
〜お、って言う言い方やめた方がいいよ。
バカに見えるから。
215774ワット発電中さん:2012/09/08(土) 03:22:15.97 ID:HLo4It+g
> それはそうでしょう。もともとパターンは併走してくるんだから。

それはそう(キリッ)と言えるほど、罪の中の人も常識的な構成をしないからな…。
1,2,3,4 というピン並びで 1と3, 2と4がLVDSのペアってどうなのよ。
216774ワット発電中さん:2012/09/08(土) 14:05:41.31 ID:2JiZeCkd
Alteraのピンアサインはダイでは隣同士なのに
ピンは離れているペアがあって、差動信号をアサインし難いんだよね。
217774ワット発電中さん:2012/09/12(水) 20:07:32.00 ID:PQcFLMDJ
ここはDE0のスレですか?
218774ワット発電中さん:2012/09/18(火) 21:18:22.31 ID:w5jSoxMB
DE0買って放置してて
今日から本気だそうかと思ったら
アルテラのダウンロードページ入れないじゃん
やる気なくなったからまたしばらく放置だわ
219774ワット発電中さん:2012/11/19(月) 01:34:39.29 ID:r8lWEgIh
旧Ver でよければ付属のCDがあるじゃん。
220774ワット発電中さん:2013/01/02(水) 22:45:14.91 ID:8w179EtR
DE0とソリトンの拡張キット普通に買うのと、
ヤフオクなりでDE2買うのどっちがいいかね
221774ワット発電中さん:2013/02/11(月) 19:51:39.93 ID:pMbgBbb2
Alteraって、LVDSの終端抵抗を内蔵してて、
ツール設定で有効/向こうできるFPGAってありますか?
222774ワット発電中さん:2013/04/19(金) 21:55:58.03 ID:Ybxc1hQj
DE0 が 昨日届いた。
プロセッサ作ってみようと思ってる。
GWから着手しようかな。
223774ワット発電中さん:2013/08/23(金) NY:AN:NY.AN ID:M7E3cQHO
224774ワット発電中さん:2014/04/12(土) 12:09:42.70 ID:DpIH6dUa
↓な私ですが、Nios II のマイコンを動かすのは、難しいでしょうか?
・Xilinx ISE、Spartan6なら何度か使ってHDLも書いて、動かしています。
・Quartus、Cyclone、など、ALTERA関係は、一切使ったことありません。
・ALTERA FPGAの用語も、ほとんどわかりません。
225774ワット発電中さん:2014/04/12(土) 13:21:21.41 ID:NwTE6sJw
難しいと思うよ
226774ワット発電中さん:2014/04/12(土) 13:32:37.64 ID:n5McVeNp
FPGAボードで学ぶ組込みシステム開発入門 Altera編
読んでみそ 使用ツールが古くなりかけてるけど操作手順まで書かれている
227774ワット発電中さん:2014/05/19(月) 09:22:00.98 ID:a6H9PFzv
228774ワット発電中さん:2014/05/24(土) 09:59:10.52 ID:SGakbjPG
>>227

227 みたいなWeb見るくらいなら、 とりあえず本を手配して斜め読みしたほうが良いよ。
電子書籍とかもあるので、とりあえず買っちゃってから、中身を見る。

ただ、ツールの使い勝手みたいなのは試してみないと分からないし、
ハマると大量に時間がかかる。

で、そういう情報は、Webとか2chから情報集める。
229774ワット発電中さん:2014/05/27(火) 00:41:03.34 ID:LZynj0Uu
>で、そういう情報は、Webとか2chから情報集める。
仕事の疑問を聞いてもいいですか?
230774ワット発電中さん:2014/06/08(日) 12:15:15.59 ID:fk5egLhp
>>229
> 仕事の疑問を聞いてもいいですか?

機密保持とかを破らない範囲で、聞くのはOK!

日本の大手企業は公知の技術でさえ、機密保持にしたがるけど。
231774ワット発電中さん
     ...| ̄ ̄ |
   /:::|  ___|
  /::::_|___|_
  ||:::::::( ・∀・)    DE0 CV はまだかね?
  ||::/ <ヽ∞/>\   
  ||::|   <ヽ/>.- |  
_..||::|   o  o ...|_ξ
\  \__(久)__/_\
.||.i\        、__ノフ \
.||ヽ .i\ _ __ ____ __ _.\
.|| ゙ヽ i    ハ i ハ i ハ i ハ |
.||   ゙|i〜^~^〜^~^〜^~^〜|i~