【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 15

このエントリーをはてなブックマークに追加
1774ワット発電中さん
前スレが
「なかなか復旧しないので、その14として建て直しました。」
なので 15 です。

今をときめく半導体、FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/
2774ワット発電中さん:2011/06/30(木) 11:38:00.18 ID:SBQQdLJo
2 774ワット発電中さん [sage] 2010/09/18(土) 07:02:27 ID:czVFjeM+ Be:
CPU(8051/Cortex-M3)が入っちゃってるけどPSoC3/5も仲間に加えてやってくだされ
Verilogで書けますんで。
3774ワット発電中さん:2011/06/30(木) 11:39:52.29 ID:SBQQdLJo
12 10 [sage] 2010/09/21(火) 01:11:12 ID:cRb4Pzwf Be:
>>11
個人的にISE8.1のときが一番ひどかったような気がする。
何度プロジェクト壊されたことか・・・。

といってもISE6〜10しか使ってないけど。
以降はAに浮気中なのでXはあまり使ってない。

もうすぐXのModelSIMサポートなくなるので
ISimの使い方勉強しなきゃ。
4774ワット発電中さん:2011/06/30(木) 11:40:49.25 ID:SBQQdLJo
16 774ワット発電中さん [sage] 2010/09/22(水) 04:57:16 ID:iPSEg2V3 Be:
>ISimの使い方勉強しなきゃ。

これは酷い。ほんとにひどい。使い物にならないと思うよ。
ModelSIMがなくなるとシミュレーションはどうするのよ。やっぱり買えって
はなしなのかな。

19 10 [sage] 2010/09/23(木) 01:32:48 ID:9omggZ48 Be:
>>16

ISE11以降のISimは波形入力じゃなくて
テストベンチ入力になってるんで、最悪な状態ではないはず(多分)

あとVHDLとVerilog混在OK、SecureIPサポートしてると聞いた。
(ModelSimXEではサポートしてなかった)

使い勝手は実際に使ってみないと分からないけど。

20 774ワット発電中さん [sage] 2010/09/25(土) 08:57:57 ID:bnFpAWK4 Be:
>19
 俺もその「まさか」とか「たぶん」でしばらく取り組んではみたんだが、
たぶん、相変わらず酷いものだとおもうよ。変わらないと思う。たぶん。
モデルシムを使ったら、使い物にならんと思う。
5774ワット発電中さん:2011/06/30(木) 11:46:11.48 ID:SBQQdLJo
47 774ワット発電中さん [sage] 2010/10/06(水) 19:46:50 ID:8BzEbVXA Be:
http://shop.trenz-electronic.de/catalog/product_info.php?products_id=630

ドイツ製だけど、Spa3E-500で電源は5V、IOも5V入出力可
コンフィグはSPIフラッシュ32Mbit

コレでたったのEU49.00だけど、敵うの作れる?
6774ワット発電中さん:2011/06/30(木) 11:47:54.77 ID:bfC8RQT+
スパルたん
7774ワット発電中さん:2011/06/30(木) 12:15:02.68 ID:TUpQZLFe
>>5
載ってるのはコレか。

ttp://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=XC3S500E-4VQG100C-ND

1ユーロ≒116円として、×50で5800円くらいか。 まぁ、ロットで流す数が
まとまれば、薄利多売覚悟なら出せなくはない。

んが、スイッチサイエンスみたいに、『評価用サンプルをタダでよこせ、ウチの
利益は30%貰いマス』ってな流通を通したら、間違いなく赤字だろうねぇ。
8774ワット発電中さん:2011/06/30(木) 15:12:26.13 ID:i5XJEIIB
前スレの1000は、100万円のFPGAボード買ったのかなぁ…
9774ワット発電中さん:2011/06/30(木) 15:48:06.70 ID:TUpQZLFe
>>8
単位は円とは限定していなかったぞ。100万ジンバブエドルとか。
10774ワット発電中さん:2011/06/30(木) 18:15:44.42 ID:1ZxW0I7z
>>9
http://finance.yahoo.com/q/bc?s=ZWDJPY=X&t=5d&l=off&z=l&q=l&c=
そんなにバカにした金額にはならんぜ
11名無しさん:2011/06/30(木) 19:34:24.14 ID:9K7P5np3
>>9
漢ならユーロかポンドで
スイスフランもいいかなぁ
12774ワット発電中さん:2011/06/30(木) 20:05:17.49 ID:bfC8RQT+
買ったらうpしろよw>前スレ>>1000
13774ワット発電中さん:2011/06/30(木) 20:59:11.58 ID:DesJVc7m
14774ワット発電中さん:2011/06/30(木) 21:01:05.75 ID:As2F1SCz
教えてください。

FPGAにDDS(発振器回路)を作り込んで、
外部のシリアルDAでアナログ波形にする場合です。

例えば、1波形を360点で構成、D/Aには32clock必要とすると、
20kHzの正弦波を作るときは、20kHz×360×32=230.4MHzのFPGA内部clockが必要、
このclockは、FPGA内部のDCMで逓倍して作る、という理解は正しいでしょうか?
15774ワット発電中さん:2011/07/01(金) 08:07:43.66 ID:032gE3v2
さすがに全回路230MHzで駆動するのはきついでしょう。

システムクロックは 20kHz×360=7.2MHz として
シリアルDACに出力するSER部分だけ 7.2MHz×32=230.4MHzにすれぱいい。
これらのクロックはもちろんDCMで逓倍して作る。
16774ワット発電中さん:2011/07/01(金) 11:44:53.27 ID:9DP9t2dy
>>15
ありがとうございます。
ということは、FPGA(DDS)→シリアルD/Aという構成よりも
1) FPGA(DDS)→パラレル接続D/Aを使用する
2) FPGA→(SPI)→外部のDDS IC
などのほうが、お利口さんということでしょうか?
17774ワット発電中さん:2011/07/01(金) 12:42:43.48 ID:tUEiPens
何故FPGA内で完結しないw
18774ワット発電中さん:2011/07/01(金) 12:59:34.89 ID:cAVQhyrV
>>17
FPGA内部でD/Aがつくれないからだろう。
19774ワット発電中さん:2011/07/01(金) 14:56:47.16 ID:9DP9t2dy
そうなんです。
D/Aが入っているデバイスがあれば問題は無いんですが、
それも無理っぽいです
20774ワット発電中さん:2011/07/01(金) 15:05:36.44 ID:Ik7OjWC8
ΔΣDACでいいんじゃね?
21774ワット発電中さん:2011/07/01(金) 16:27:43.60 ID:qK2kpV/H
20kHzの1周期360点じゃ、7.2MHz。ビデオDAC並みだな。
22774ワット発電中さん:2011/07/01(金) 17:00:38.93 ID:cAVQhyrV
>>20
専用ICでよく聞く名称だね。FPGAにその方式のDA変換回路は組み込めるの?
一般にはみんなDAだけは外付けしてるようだけど。
23774ワット発電中さん:2011/07/01(金) 17:23:53.13 ID:sk/hBVOo
24774ワット発電中さん:2011/07/01(金) 21:04:37.95 ID:A+THwllA
25774ワット発電中さん:2011/07/01(金) 21:05:19.37 ID:l0FKJa1H
Alteraにもあるてら?
26774ワット発電中さん:2011/07/01(金) 22:22:23.17 ID:Ik7OjWC8
ロジックで組めばいいだろw
27名無しさん:2011/07/01(金) 23:26:46.32 ID:mG2gFcMI
てか精度と振幅はどの程度欲しいんだ
28774ワット発電中さん:2011/07/02(土) 11:13:22.79 ID:4lB4R5RW
会社ドロップアウトさせられて、

FPGAのVHDLの就職先探しているが。本当にない。

求められるのは、CPUでC言語できるか・・・・ばっかり。そりゃ学生時代と電子工作で遊んでいたからできるけど。。。。実務は。。
29774ワット発電中さん:2011/07/02(土) 11:21:13.72 ID:Du0ohqyM
>>28
電子工作...と実務...の具体的なギャップは何?
FPGAよりマイコンが使えたほうが重宝されるんだ。FPGAの方が技術レベル高そうだけど。
電子工作でCやってるなら仕事でFPGAやってるくらいだから何とでもなりそうだけどな。
30774ワット発電中さん:2011/07/02(土) 11:29:52.42 ID:4lB4R5RW
>>29
速レスサンクス

たぶん、VHDLでここまでできますよと説明できても相手が理解できてないのが問題かも。
派遣会社だと、そういうキーワードでいくと、実は仕事がないか、先方の要求レベルが高くて(要求が狭いw)
組み込み系の案件を紹介される。
CPLDレベルで、同じXilinxですからとか。
もうバカかとアホかと。

なんだかなーと思いますよ。
就職できないのは、私の人格に問題があるともいえますが(笑)

純粋にFPGA上の開発って言うのがほとんどなくて、回路設計とか基盤設計を要求される。
それは趣味レベルなので、もう趣味でナニカ作ろうかと思っているけど、失業保険でお金ないw

正直何ともどうにでもなるよ、と説明しても、相手がわからない。

か、30超えているから年齢ではねられている。
31774ワット発電中さん:2011/07/02(土) 11:31:34.59 ID:4lB4R5RW
マイコン鹿やってない人(会社)にとっては、FPGAの石の大きさと、やってきた内容説明しても、わからないみたい。
32774ワット発電中さん:2011/07/02(土) 11:50:43.88 ID:OIxEVZIx
FPGA使用した時のメリットの説明が 下手なんじゃないの?
そんなにFPGAが使えるなら、相手を説き伏せなきゃ。
33774ワット発電中さん:2011/07/02(土) 12:33:58.31 ID:3W4CT71g
日本語が苦手なのは伝わってきた
34名無しさん:2011/07/02(土) 13:06:25.76 ID:ue0nY4U1
verilogにも慣れていた方がいいね
Cが直接出来なくてもシステムアーキの会話が
出来るスキルは必要だね
Tclは使えて欲しいな
FPGAだと活用し難いがSDCやCDC等タイミング関連の
ツールも出来れば可で
って俺が採用担当なら思うかなぁ
35774ワット発電中さん:2011/07/02(土) 13:26:26.26 ID:SHZBEkup
当方40代後半で、まだFPGAツールが高かった時代なので、PAL,GALまでしか
やってない。一応、大昔に仕事で集積規模で80286相当のASSPの開発とか
やったことはある。大半ROM/RAMでランダムロジック部はたった4Kゲート規模
だけどな。(w まだサブミクロン以前でメタル二層も普及してなくて、ポリ
シリコン配線とか使ってた時代。

大半の企業は、FPGAを使うメリットがない。∴需要がない。

趣味レベルならともかく、FPGAベースでUSBやEthernetコントローラ、Micro
Blaze程度の低レベルなマイコン等を組んでも、性能や規格を満たしているか
どうか担保ができないし、コスト・性能的に既存の汎用マイコンに太刀打ち
できない。

頼みのASSPや画像処理向けも、市場規模さえ見込めれば、専用マイコンが
出てくる。たとえば、こんなのとか。

ttp://car.watch.impress.co.jp/docs/news/20110622_455079.html

XilinxでもAlteraでも、たとえばこれと太刀打ちできる規模のFPGAを開発
して見合うコストで代替できるの?○○研究所とかサイト立ち上げオナヌー
研究に走るならともかく、技術的に可能なことと、企業の採算ベースに乗る
かどうかの区別ができなきゃな。

まぁ、もし開発できたとしても、ソースコード貰って技術者はポイが今の
日本じゃ当たり前でそ? FPGAが書けるだけじゃソフト屋と同じ。
36774ワット発電中さん:2011/07/02(土) 13:26:32.53 ID:Ij11uAwn
そもそも派遣でFPGAってのが失敗じゃないかと思うんだけど。
FPGA知らない人に自分の仕事取ってきて貰うとかどんだけ…
その内ASICやってくださいって言われるぞ。当然貴方のリスクでw

まぁ回路設計できないHDL屋ではこの辺りが限界って事かな。
37774ワット発電中さん:2011/07/02(土) 13:35:51.80 ID:bpy+8mJa
FPGAとかコンスタントに仕事が発生するような性格の物でもないけどな
何かしらの商品にはFPGAで作った方がコスパが良いとかなんとか偶然発生するくらいで
じゃあその商品関連で次々と作業が発生するかといえば、一度回路組んじゃえば使いまわすから不要
なんとも、FPGAのデバイス売ってる商社で回路設計も請けますよって所じゃないと仕事無いんじゃね?
38774ワット発電中さん:2011/07/02(土) 14:25:14.31 ID:3W4CT71g
原発事故の収束を図るロボットの試作を繰り返すとか需要ありそう
39774ワット発電中さん:2011/07/02(土) 14:44:41.65 ID:sy1rr87K
HDLだけってのはなぁ・・・
最低でも回路込み、出来れば基板も込みなら、30歳を少々超えてても、クチはあると思う。

とにかく若い人で回路が出来る人が全くと言っていいほど(市場に)いない。
求人しても応募してくるのはラジオ少年の成れの果てのような年代ばかり。
40774ワット発電中さん:2011/07/02(土) 14:51:13.43 ID:Idvzju4Z
>>38
本当に高線量の場所には使えないがね。
41774ワット発電中さん:2011/07/02(土) 14:51:33.29 ID:Tu/HvLjc
年喰ってると何でダメなん?
じいさんでも仕事できりゃいいやん。
42774ワット発電中さん:2011/07/02(土) 14:56:34.53 ID:n/1mxX14
このスレも、若いのが来るとゆとりだなんだ叩いて目を摘むオヤジばかりだもんな。
しかも持ってる知識は昭和な74と4000.ラッチアップラッチアップ。
年金の掛け金払ってくれる若者育てないと自爆なのに。
大きいところにいる人は情報に不自由しないし、ベンダのAFEが対応してくれるから、、こんなスレにこないでしょう。
零細自営のさみしがり屋のおっさんが、ストレス発散かねてウダウダやってるだけ。
募集しても来ないのは、大手が囲ってるから。
43774ワット発電中さん:2011/07/02(土) 15:16:17.28 ID:sy1rr87K
年喰ってると嫌がられるのは、一般的には若い人より高給が必要とか、
上司より年上は使いにくいとか、定年まで数年しかないとかじゃね。

社員として採用じゃなく仕事外注するだけなら、じいさんでも仕事できりゃいいでしょうな。
44774ワット発電中さん:2011/07/02(土) 15:16:37.44 ID:bpy+8mJa
つか、FPGA売ってるメーカー自体が回路設計請け負ってっから
底辺に来る仕事なんて無いって事じゃない?
45774ワット発電中さん:2011/07/02(土) 15:28:02.89 ID:n/1mxX14
受けられる人材もいないだろ。
かつてのメーカごとの実力値はわかってるが、今のスペックを知らない、データシートを読む自助努力もない。
そんなのばっか。沈むべくして沈んだ地盤。
46774ワット発電中さん:2011/07/02(土) 15:39:59.52 ID:SHZBEkup
>>42
ゆとり、自己紹介乙。もしかして3Ωの人?

ボランティアとか、間違った価値観を奴隷精神として植え込まれた若者が、
やれ○○は高いだの、ボッタクリだの、あげくの果ては、オープンソース
で商売の芽を摘んだり、ソフトもWinnyやShareで共有しているんだから、
そりゃあ受け皿なんてないよね。

まぁ、今の30代エンジニアの大半は、40代には介護とか未経験で別の
キャリアを選ぶしかないんじゃまいか? もっとも、生きていればの
話だけど。
47774ワット発電中さん:2011/07/02(土) 15:45:36.60 ID:SHZBEkup
ニコ動のナントカカPとか自称「FPGAで何でもできます」ってのは、たとえば
これくらいの製品を全部コミコミで原価3000円以下、試作納期3ヶ月、量産
立ち上げ6ヶ月とかでできるの?

ttp://car.watch.impress.co.jp/docs/news/20110701_457563.html
48774ワット発電中さん:2011/07/02(土) 15:50:42.18 ID:n/1mxX14
> 46
>大半の企業は、FPGAを使うメリットがない。∴需要がない。

四の五の言わずに、STBのふた開けてみ。
PC周辺機器にもたくさん使われてるぞ。
GAL使いのロートルが、ストレス発散に来ないでほしいものだな。

> 80286相当のASSPの開発

汎用CPU相当の専用ICってなんだよw。
経歴も詐称だな。
49774ワット発電中さん:2011/07/02(土) 15:53:39.13 ID:n/1mxX14
>47

こんなの最近よく見るスパイカメラをエンドレス記録するようにファームいじっただけじゃないか。
あと、FPGA使うメリットまるでないんだけど。
いいから出ていけ。
50774ワット発電中さん:2011/07/02(土) 15:55:37.30 ID:JRutqscf
プロセッサがどんどん早くなるなら全部ソフト処理でも良いんじゃない。
ハードとソフト処理をどこで分けるかはそのときの状況で決めてる。
51774ワット発電中さん:2011/07/02(土) 15:55:52.43 ID:bpy+8mJa
ドライブレコーダーって、外付けのメディアに記録しても証拠能力乏しくなるだけじゃね?
52774ワット発電中さん:2011/07/02(土) 15:56:44.47 ID:JRutqscf
妙に○○で全部できるの?とかやたら気にする人ってなんか不思議
ケースバイケースじゃん。
53774ワット発電中さん:2011/07/02(土) 15:59:11.40 ID:SHZBEkup
>>48
STBなんて使ってないし、PC周辺って具体的に何? そんなに使われている
のに、なんで仕事がないか考えたりしないの?

エネループやゴパンが売れても、サンヨーの社員は売れない(リストラ対象)
のと同じでは?判り易く言えば用済み。

> 汎用CPU相当の専用ICってなんだよw。

集積度(トランジスタ数)の話ね。

で、ニコ動のナントカPとか自称「FPGAで何でもできます」ってのは、たと
えば これくらいの製品を全部コミコミで原価3000円以下、試作納期3ヶ月、
量産 立ち上げ6ヶ月とかでできるの?

ttp://car.watch.impress.co.jp/docs/news/20110701_457563.html
54774ワット発電中さん:2011/07/02(土) 16:08:34.16 ID:n/1mxX14
> PC周辺って具体的に何? そんなに使われているのに

VideoのCODEC。規格が固まるまではASIC起こせない。

> なんで仕事がないか考えたりしないの?

仕事ありますが。

> エネループやゴパンが売れても、サンヨーの社員は売れない(リストラ対象)のと同じでは?

ほかに売れるものが無いからだろJK。アホか。

>判り易く言えば用済み。

それはあなた。

暇なじじいと違って、
”ニコ動のナントカP”ってのがさっぱりわからんのだが。

>コミコミで原価3000円以下、試作納期3ヶ月、量産 立ち上げ6ヶ月とかでできるの?

量産時期はFPGA関係ないだろて。何行ってんだかこのハゲ。

>> 汎用CPU相当の専用ICってなんだよw。
>集積度(トランジスタ数)の話ね。

だったらトランジスタ数書けよ。CPU作った風に去勢張ったな。
55774ワット発電中さん:2011/07/02(土) 16:14:21.03 ID:SDGerNqt
うわあ・・・
56774ワット発電中さん:2011/07/02(土) 16:17:33.23 ID:SHZBEkup
> VideoのCODEC。規格が固まるまではASIC起こせない。

あぁ、永遠のガラパゴス探しね。(w もしかして年中派遣募集しているKDDI
の研究所勤務とかか?

> だったらトランジスタ数書けよ。CPU作った風に去勢張ったな。

約20万トランジスタ。パケット通信用LSIだけど、一応CPUも入ってる。全部
込みでロジック部は2入力NAND換算で4Kゲート。大半はROM/RAM。DMA機能も
あるけど、レジスタファイル経由のソフト処理。これでも当時はダイサイズ
が13mm角くらい。
57774ワット発電中さん:2011/07/02(土) 16:18:15.58 ID:n/1mxX14
> ID:SHZBEkup
> PC周辺って具体的に何? そんなに使われているのに

これXilinx のFPGAな。
http://ameblo.jp/gfoutlet/entry-10504356938.html
これはパチだけど、オリジナルは1で中身書いたんだと。
58774ワット発電中さん:2011/07/02(土) 16:24:33.85 ID:SHZBEkup
>>57
それは知っているが、何か?

ちなみに、知り合いからそれのWindows用録画ソフトを書かないかと言われ
たが、納期も短くそっち方面はやってないので断った。
59774ワット発電中さん:2011/07/02(土) 16:25:00.88 ID:n/1mxX14
> あぁ、永遠のガラパゴス探しね。

意味わかんね。文系じゃねえんだから、作文で自己主張してんなよ。

> 4Kゲート

1000円しないCycloneIIIの一番小さいやついでも余裕で余るな。
DFFでRAM構成する超贅沢仕様。
60774ワット発電中さん:2011/07/02(土) 16:27:55.99 ID:n/1mxX14
> それは知っているが、何か?

知ってんなら聞くなハゲ。
つーかなんとかPって何なんだよ。
とろいハゲに、だんだんイライラしてきた。

> 派遣募集
にも乗れず、暇こいてる悲しいオヤジが何を言う。
61774ワット発電中さん:2011/07/02(土) 16:31:27.93 ID:n/1mxX14
> ちなみに、知り合いからそれのWindows用録画ソフトを書かないかと言われ
> たが、納期も短くそっち方面はやってないので断った。

やったことないし、納期関係なくできないから断ったんだろ、能なし。
つか、いちいち誤魔化しやら虚勢が入るな。
62774ワット発電中さん:2011/07/02(土) 16:32:18.39 ID:eGAIe9Q6
こんな過疎スレで暴れないでくださいよ
63774ワット発電中さん:2011/07/02(土) 16:34:09.77 ID:SHZBEkup
>>59
> 1000円しないCycloneIIIの一番小さいやついでも余裕で余るな。

30年前にそれがその値段であればよかったね。(w

部品が安くなっているんだから、それに見合うよう、技術者も安く売られる
ってことだよ。 おまえら、デフレと節約とジャンク漁りが好きなんだろ?
64774ワット発電中さん:2011/07/02(土) 16:34:38.81 ID:mx2NNpRm
どんな会社でもうまくいかない人なんだろうな
65774ワット発電中さん:2011/07/02(土) 16:36:41.15 ID:JRutqscf
部品が安い分、性能の要求が高くなるんじゃないのかな。
昔よりやること増えてる気がする。
66774ワット発電中さん:2011/07/02(土) 16:38:07.77 ID:Vt8V/2Hu
物を見せてみい。
67774ワット発電中さん:2011/07/02(土) 16:39:22.54 ID:SHZBEkup
>>61
> やったことないし、納期関係なくできないから断ったんだろ、能なし。

そうね。話しが振られた時点で納期3ヶ月、利用可能な下回りのライブラリの
状況やハードの仕様がまったく不明だったかな。記憶があやふやだが。

自称FPGA万能神 ID:n/1mxX14 なら、暇をもてあます仕事だろう。
68774ワット発電中さん:2011/07/02(土) 16:40:34.79 ID:n/1mxX14
> 30年前にそれがその値段であればよかったね。(w

ばか、いまどき一人でやれる仕事を自慢して生き恥さらしてんじゃねーよって意味だよ。
幸せななやつだが、現実見るチャンスを数々のがしてんだな。
69774ワット発電中さん:2011/07/02(土) 16:45:13.70 ID:+sh1SCRl
日本には技術者なんてほとんどいない。いるのはドカタってことだよな
70774ワット発電中さん:2011/07/02(土) 16:45:58.74 ID:n/1mxX14
> 自称FPGA万能神 ID:n/1mxX14 なら、暇をもてあます仕事だろう。

神でも何でもねーよ。Cycloneの一番下クラスのコーディングなら、新人の仕事だよ。仕様書のブレークダウンはもちろん手伝う。
決定的に今を知らない。
アナログかRF得意ですってハッタリかました方がいいぞ。
化けの皮剥がれにくいし。

> そうね。話しが振られた時点で納期3ヶ月、利用可能な下回りのライブラリの
> 状況やハードの仕様がまったく不明だったかな。記憶があやふやだが。

これくらいしか案件なくて、無理に例に出したのね。
気の毒すぎる。
71774ワット発電中さん:2011/07/02(土) 16:46:47.76 ID:SHZBEkup
逆ギレしている ID:n/1mxX14 は、もしかして、30代無職の自称モモニスト
の方だったりしませんか?

今時一人できる仕事って、大半はパソコンの処理能力向上と半導体技術の
進歩の恩恵を受けているだけでは?
72774ワット発電中さん:2011/07/02(土) 16:49:04.59 ID:SHZBEkup
> 神でも何でもねーよ。Cycloneの一番下クラスのコーディングなら、新人の仕事だよ。

CycloneってどこのWindowsアプリ開発環境でつか?(w
73774ワット発電中さん:2011/07/02(土) 16:53:46.70 ID:Idvzju4Z
4000ゲートで大威張りのバブル期組は何が言いたいんだい?
ニコ動で活動している連中にケチを付けたいのなら何故ニコ動で暴れない?
なんでID真っ赤にしてまで便所の壁を汚す?意味わからん。

それとドツキ合い漫才してるやつ、なんだよパチモン業者の仲間か。
あまり大手を振ってお天道様の下を歩けなさそうだな。

どっちも他所でやれ。
74774ワット発電中さん:2011/07/02(土) 16:55:56.60 ID:+sh1SCRl
>>72
40台後半で今どんな仕事しているんだ?
75774ワット発電中さん:2011/07/02(土) 16:56:21.04 ID:n/1mxX14
そろそろ用語解説頼む

・なんとかP
・永遠のガラパゴス
・モモニスト

話がかみ合わん。
一応学問スレって意識をもって、共通の言葉でよろしく。

> 今時一人できる仕事って、大半はパソコンの処理能力向上と半導体技術の
> 進歩の恩恵を受けているだけでは?

自動で回路ができるならな。
いちいちゲート選んで、ちまちま時間つぶして給料もらう工程がなくなっただけの話だよ。
新人でもある程度アーキ決めてICを作り上げていくんだから、ハゲよりはよっぽど大変だ。
その辺知らないから、ゆとりとか言えるんだよ。
ほんとに現場知らないor仕事ないorぬるい仕事しかないんだな。
76774ワット発電中さん:2011/07/02(土) 16:58:22.59 ID:n/1mxX14
> 40台後半で今どんな仕事しているんだ?

GALでおわってりゃ仕事無いだろ。
77774ワット発電中さん:2011/07/02(土) 16:58:36.29 ID:SDGerNqt
これが40代後半かw
78774ワット発電中さん:2011/07/02(土) 17:01:20.16 ID:mpfpyDnN
◎永遠のガラパゴス

KDDラボ/NTT通研/NHK研あたりが得意そうな
本気で国際規格にしようと思ってない独自規格の策定作業のことだろ

下手に国際規格になったら韓台中印勢にコストで負けるからな
79774ワット発電中さん:2011/07/02(土) 17:01:35.80 ID:SHZBEkup
別に事実を淡々と語っているだけで、威張っているわけでもないが、自身の
劣等感がそう感じさせるのでは?必死ダナ。

昔はハードソフトの制約が多くそうだった、ただそれだけ。

別に君たちの餌場を荒らす(FPGAの仕事を引き受ける)気はないので安心
してね。
80774ワット発電中さん:2011/07/02(土) 17:03:35.49 ID:JRutqscf
正直同じ40代としてはちょっと恥ずかしい
81774ワット発電中さん:2011/07/02(土) 17:05:18.60 ID:SHZBEkup
>>80
禿げていないからだいじょうび。
82774ワット発電中さん:2011/07/02(土) 17:06:09.91 ID:JRutqscf
まあ前半なんで白髪もなくてはげてもないけど
83774ワット発電中さん:2011/07/02(土) 17:07:23.52 ID:SHZBEkup
>>82
\         /_ /     ヽ /   } レ,'           / ̄ ̄ ̄ ̄\
  |`l`ヽ    /ヽ/ <´`ヽ u  ∨ u  i レ'          /
  └l> ̄    !i´-)     |\ `、 ヽ), />/        /  地  ほ  こ
   !´ヽ、   ヽ ( _ U   !、 ヽ。ヽ/,レ,。7´/-┬―┬―┬./  獄  ん  れ
  _|_/;:;:;7ヽ-ヽ、 '')  ""'''`` ‐'"='-'" /    !   !   /   だ.  と  か
   |  |;:;:;:{  U u ̄|| u u  ,..、_ -> /`i   !   !  \   :.  う  ら
   |  |;:;:;:;i\    iヽ、   i {++-`7, /|  i   !   !  <_      の  が
  __i ヽ;:;:;ヽ `、  i   ヽ、  ̄ ̄/ =、_i_  !   !   /
   ヽ ヽ;:;:;:\ `ヽ、i   /,ゝ_/|  i   ̄ヽヽ !  ! ,, -'\
    ヽ、\;:;:;:;:`ー、`ー'´ ̄/;:;ノ  ノ      ヽ| / ,、-''´ \/ ̄ ̄ ̄ ̄
                 ̄ ̄ ̄            Y´/;:;:;\
84774ワット発電中さん:2011/07/02(土) 17:11:55.80 ID:n/1mxX14
> 別に君たちの餌場を荒らす(FPGAの仕事を引き受ける)気はないので安心してね。

そんな人が、なんでこのスレにいて、ゆとりゆとり言ってるの?
さみしいなら自営スレ行けよ。
同じ匂いを醸してる人間たくさんいるぞ。
節電のご時世では、同じ電車や傍にいてほしくないけどな。
風呂入っても防げないものなら謝る。気の毒だな。
まあ、ぬるいいい時代を過ごしたんだ、いい人生だったじゃないか。ID:SHZBEkup
85774ワット発電中さん:2011/07/02(土) 17:21:21.51 ID:SHZBEkup
> そんな人が、なんでこのスレにいて、ゆとりゆとり言ってるの?

リサーチ。(w FPGA周りにいる人間が歪んでいるのはよくわかった。
まぁ結果的に関わらなくて正解だったな。

長らく大手ではゆとりの生息する現場しか見ていないので、できればゆとりの
言う実際の現場の具体例が欲しかったところだ。

もしかして3Ωとかそうなのか?(w
8640代老害:2011/07/02(土) 17:26:03.57 ID:mpfpyDnN
古代のフルカスタムASIC時代のケチケチ設計には
それなりのノウハウが必要とされたんだよね

ゲートもったいないからFFにはQ出力と/Q出力両方出てて、無駄なく活用するし
トランスミッションゲートとかAND-NOR複合ゲートとか使ってトランジスタ数ケチったり
クロックも上がりエッジと下がりエッジ両方使うし
下手したら120度位相をずらした2相クロックを使ったり
いま考えるロクでもないバッドノウハウで切り抜けたもんさ
いまとなってはまったく役に立たない糞ノウハウ知識だけど

まあそんな糞ったれな時代を知ってると、FPGAの回路設計実装は
ぬるすぎてぬるすぎて笑いたくなる気持ちもわかる

でもそれが時代の流れっていうもんだ
アキラメロン
87774ワット発電中さん:2011/07/02(土) 17:31:14.85 ID:n/1mxX14
> まぁ結果的に関わらなくて正解だったな。

関われないんだろ。
現実見ろよ…

> 長らく大手ではゆとりの生息する現場しか見ていないので、
大手では見てないが、零細では毎日見てるよな…鏡。

> もしかして3Ωとかそうなのか?(w
これ、生き恥晒してるのわかってないのね。
誰も反応しないでしょ…。

つくづく気の毒な人。
というか、真摯に反省すると、生きる望みなくしそうだな。
虚勢張って、訳のわからないたとえで言葉飾って…
88774ワット発電中さん:2011/07/02(土) 17:34:23.67 ID:n/1mxX14
PRESTやらESPRESSOより、俺の方が圧縮上だぜ位言って欲しかったが。
89774ワット発電中さん:2011/07/02(土) 17:35:08.57 ID:SHZBEkup
>>87
そんな人格攻撃より、ゆとり自慢のとっときの具体例を頼むよ。

自称、CODEC開発で現役バリバリなんだろ? それとも虚勢?(ハッ
90774ワット発電中さん:2011/07/02(土) 17:38:10.46 ID:SHZBEkup
>>88
それが精一杯の虚勢でしょうか?ぽ・ぽ・ぽ・ぽーん!
91774ワット発電中さん:2011/07/02(土) 17:38:38.72 ID:n/1mxX14
>89
InterBeeとか、今年は終わっちゃったけど砧の技研の公開で存分に見てくれ。
あいにくコンシューマはやってないんだ。
92774ワット発電中さん:2011/07/02(土) 17:41:33.44 ID:n/1mxX14
> ぽ・ぽ・ぽ・ぽーん!

まだこれかよ…
まずテレビ消して本屋行け。本当に心配だ。

> PRESTやらESPRESSOより、俺の方が圧縮上だぜ位言って欲しかったが。
先輩が作ったbatで通してるだけのやつはわからないよなぁ。
93774ワット発電中さん:2011/07/02(土) 17:45:02.06 ID:SHZBEkup
なんだ、やっぱりモニタ越しにプンプン臭ってたけど、予想通りガラパゴス
の住人だったのか。

道理で、便所のラクガキで「学術スレ」とか持ち出すわけだ。つくば界隈の
産総研あたりで税金食いつぶしている住人か?
94774ワット発電中さん:2011/07/02(土) 17:46:23.14 ID:+sh1SCRl
>>78
なるほどな。日本をガラパゴスにして自分たちが繁栄しようということか

>>85
ゆとりじゃない現役世代いるのか
ゆとりじゃないのが多いなら、今の日本の電子産業、韓台中相手でも
負けるなんてないだろ。負けているのは若い奴らのゆとりが原因なのか
95774ワット発電中さん:2011/07/02(土) 17:46:54.94 ID:SHZBEkup
> 先輩が作ったbatで通してるだけのやつはわからないよなぁ。

イミフ。日本語で桶?
96774ワット発電中さん:2011/07/02(土) 17:51:44.51 ID:n/1mxX14
>93
> なんだ、やっぱりモニタ越しにプンプン臭ってたけど、予想通りガラパゴス
> の住人だったのか。

InterBeeって知らない?学会じゃないよ。

>95

わからないんだ….本当にやってたの?

んでさ、ガラパゴスは他の人が教えてくれたけど、
↓ってなによ?

・なんとかP
・モモニスト
97774ワット発電中さん:2011/07/02(土) 17:55:23.57 ID:SHZBEkup
>>94
「ゆとり」を持ち出すとよく釣れる(従来当社比120%)ので、つい。(w

まぁ、負けている大半の原因はバブル期以前から経営者に戦略がなかった
から(今もか?)。他にもいろいろ規制とか、人件費以外の高コスト要因も。

ただ、学校教育や社会による多様性を殺してきた画一性にも原因の一旦は
あるが、個人の能力的な面だけでなく、メンタル含めたハングリー精神で
劣っているのも確かかなと。
98774ワット発電中さん:2011/07/02(土) 18:07:29.04 ID:n/1mxX14
>97

精神論、教育論は自営スレでやれ。
FPGAには関係ない。

ニキシ時計ならPICの方が向いてる。

168 名前:774ワット発電中さん :2011/07/02(土) 01:06:42.76 ID:SHZBEkup
>>166
試しに同条件で自動見積もりしたら、ケース1,271円(税込)、台座644円(税込)
合計1,915円(税込)だった。

プレーヤーのカバーとか、面積が大きいのはとも書く、職人で数万円とか
ボッタくられ杉。10万とか、案外自分で吊り上げてんじゃね?
99774ワット発電中さん:2011/07/02(土) 18:12:03.85 ID:SHZBEkup
恥ずかしいNHK関係者ですね。

「ゆとり」「Kawaii」は、もともとNHKの専売ぢゃないですか。(w

どうしたら、Tokyo発のFPGAはCoolになれますか? 英語でしゃべらナイト?
100774ワット発電中さん:2011/07/02(土) 18:13:24.98 ID:n/1mxX14
ん、FPGAでニキシ時計ってまさか…ゆn…フォローしてるのに…
101774ワット発電中さん:2011/07/02(土) 18:17:06.82 ID:Ij11uAwn
言葉が通じないってのはこう言う事か…
全く言ってる事が理解できない。

どこからNHKが出てきたんだ?東京発って何のこと?
支離滅裂で何のことやらサッパリだ
102774ワット発電中さん:2011/07/02(土) 18:18:22.38 ID:SHZBEkup
もしかして500円玉のご本人でしたか?

老害の書き込みが思わず秘孔を突いてしまったかの?
103774ワット発電中さん:2011/07/02(土) 18:23:06.74 ID:n/1mxX14
ID:SHZBEkupって引きこもりのヲタだったのか。
道理で勢いで使っちゃったものの、その後用語しないわけだ。
50歳間近で気の毒だな。末は孤独死か

・なんとかP
http://www5.atwiki.jp/hmiku/pages/1053.html

・モモニスト
モモイストが正しいらしいが、
http://d.hatena.ne.jp/keyword/%C5%ED%B0%E6%A4%CF%A4%EB%A4%B3
のファンてことか


調べないと、わかんねーよ!キモオタ。


> 47 名前:774ワット発電中さん[sage] 投稿日:2011/07/02(土) 15:45:36.60 ID:SHZBEkup [3/20]
> ニコ動のナントカカPとか自称「FPGAで何でもできます」ってのは、たとえば
> これくらいの製品を全部コミコミで原価3000円以下、試作納期3ヶ月、量産
> 立ち上げ6ヶ月とかでできるの?
>
> ttp://car.watch.impress.co.jp/docs/news/20110701_457563.html


> 71 名前:774ワット発電中さん[sage] 投稿日:2011/07/02(土) 16:46:47.76 ID:SHZBEkup [9/20]
> 逆ギレしている ID:n/1mxX14 は、もしかして、30代無職の自称モモニスト
> の方だったりしませんか?
104774ワット発電中さん:2011/07/02(土) 18:24:55.92 ID:n/1mxX14
誤) その後用語しないわけだ。
正) その後用語解説しないわけだ。
105774ワット発電中さん:2011/07/02(土) 18:30:24.10 ID:SHZBEkup
ID:n/1mxX14 ゆとり世代でも、やればできるじゃあないかっ!

運がよければ、死ぬ前に機械の体(ゴーストプログラミング可能なFPGA搭載
DW付録基板付き)を手に入れれるぞ。

問題は、銀河鉄道の無期限パスの入手とメーテルだ。

でも、一生搾取されて終わる世代だけどな。(w
106774ワット発電中さん:2011/07/02(土) 18:33:34.43 ID:n/1mxX14
ID:SHZBEkup

ばれちゃったな、キモオタ。
手が震えているぞ

> 手に入れれるぞ。

死ぬまでに風俗くらい行っておけ。
107774ワット発電中さん:2011/07/02(土) 18:40:54.97 ID:SHZBEkup
>>106
別に隠してもないし、隠すほどのものでもないわけだが?何か?

コンシューマやってない池上通信機とかリストラしまくりっぽいけど、今は
たまたま運良くCODEC関係の職についているFPGA屋を除いて、それ以外のFPGA
屋には明るい未来はあるの?

ttp://www.fukeiki.com/2011/06/ikegami-cut-126-job.html

希望退職募集対象外の30代だと、辞めたくても辞めるに辞められないか。(w

やっぱりオリエント工業製の素体に、JTAG経由で魂を入れる仕事か?
108774ワット発電中さん:2011/07/02(土) 18:45:08.65 ID:sD/YStql
ID:SHZBEkup
これはヒドイ。いまどきゆとりでもここまでひどいヤツは珍しい。
109774ワット発電中さん:2011/07/02(土) 18:45:29.64 ID:SHZBEkup
沸点の低い ID:n/1mxX14 が勝手にエロいスイッチが入っちゃったみたい
なんで、一応解説しておくと ...

> 50歳間近で気の毒だな。末は孤独死か

に対して

機械の体 → 不老不死 → 孤独死からの開放

なわけだ。

銀河鉄道999は哲郎がダッチワイフ探しの旅に出る話じゃない。
110774ワット発電中さん:2011/07/02(土) 19:32:59.39 ID:Fg/19La3
メーテルってお姉さんなら何度もやらしてくれたんじゃないの?
111774ワット発電中さん:2011/07/02(土) 20:02:12.91 ID:jGN7ti9S
ID:SHZBEkup の半可通、アニメキモオタっぷり、もしかして軽石こと近藤@日シ相?。
動き出したか。
112774ワット発電中さん:2011/07/02(土) 20:07:33.95 ID:jGN7ti9S
すごい妄想。軽さんは間に合わないと思いますよ

>> 50歳間近で気の毒だな。末は孤独死か

> 50歳間近で気の毒だな。末は孤独死か

に対して
機械の体 → 不老不死 → 孤独死からの開放
に対して
機械の体 → 不老不死 → 孤独死からの開放に対して
機械の体 → 不老不死 → 孤独死からの開放 50歳間近で気の毒だな。末は孤独死か
に対して
機械の体 → 不老不死 → 孤独死からの開放
なわけだ。
113774ワット発電中さん:2011/07/02(土) 20:24:55.50 ID:BiMyy8Ue
FPGAでCODECでInterBEEで技研でって。
この世界狭いんだから・・・顔見知りかもしれないね。
114774ワット発電中さん:2011/07/02(土) 21:11:04.74 ID:fXlKW6YP
フレーム(死語)ですな。

2chなので火消しはしないが。
115774ワット発電中さん:2011/07/02(土) 21:12:07.24 ID:xxqe6y09
40才後半でアニメヲタを隠さないって、ちょっとやっぱりあれだなぁ。
つか、新しいこと覚えられなくて、twitterに行く気力もない、行き場のないオサンの巣窟だな2ちゃんねるって。改めて認識した。
特に  ID:SHZBEkup なんか、リアルに居場所ないんじゃない。
言ってる事がよくわからない。


106 名前:774ワット発電中さん[sage] 投稿日:2011/07/02(土) 18:33:34.43 ID:n/1mxX14 [24/24]
ID:SHZBEkup

ばれちゃったな、キモオタ。
手が震えているぞ

> 手に入れれるぞ。

107 名前:774ワット発電中さん[sage] 投稿日:2011/07/02(土) 18:40:54.97 ID:SHZBEkup [23/24]
>>106
別に隠してもないし、隠すほどのものでもないわけだが?何か?
116774ワット発電中さん:2011/07/02(土) 21:17:42.19 ID:SDGerNqt
これで40代後半ってすごいな・・・
117774ワット発電中さん:2011/07/02(土) 21:35:03.92 ID:klW9/aKq
n/1mxX14,SHZBEkupなんか
ドカタ産業の典型的な従事者だな
ドカタ産業に従事するとこのような人間になるんだね

>>116
会社に40代後半っていないのか?
118774ワット発電中さん:2011/07/02(土) 21:41:00.57 ID:BHCdKLSx
でかるちゃ
119774ワット発電中さん:2011/07/02(土) 22:20:19.85 ID:yqNrj/Sh
ブラック企業だけど、ここまでひどい40代後半はいねぇわ
120名無しさん:2011/07/02(土) 22:35:11.23 ID:ue0nY4U1
SERDES関係もFPGA強いね
汎用マイコンで済むなら無理
にFPGA使わなくていいよ
俺もPALから使っている40半ば
のおっさんだが最近はテクノロジー
上がってASICがそうそう起こせないので
FPGA依存度上がってるよ
まぁFVとかSTAなんかがしょぼいので
色々苦労は絶えないが
121774ワット発電中さん:2011/07/02(土) 23:26:08.84 ID:XFgGLoOW
FV,STAってなんすか
122774ワット発電中さん:2011/07/03(日) 00:18:59.66 ID:YAbRtbkm
フォーマルヴェリフィケーションとスタティックタイミングなんちゃら。
あとはgoogleでどぞ。
123774ワット発電中さん:2011/07/03(日) 00:24:39.18 ID:4COfa8FI
ツールの種類だろ?
Functional Verification = 機能検証
Static Timing Analysis = 静的タイミング解析

ロジック部の検証なら机上検証ツールで十分だが
SERDES部の検証は結局実機でやらないとダメなんだよな
124774ワット発電中さん:2011/07/03(日) 01:30:07.48 ID:s3ij6upS
>>57
>>これはパチだけど、オリジナルは1で中身書いたんだと。

これ、どういう意味?
125774ワット発電中さん:2011/07/03(日) 01:31:29.22 ID:kt5WUbet
>何が言いたいんだい?
おや? その言い回し、どっかで見覚えがあるなぁ。
思い出せない。
126774ワット発電中さん:2011/07/03(日) 01:36:58.14 ID:YAbRtbkm
40後半の自演が始まった。
駆るさんはNifとMillcomだっけな
127774ワット発電中さん:2011/07/03(日) 03:41:07.53 ID:erI3V7PM
test
128774ワット発電中さん:2011/07/03(日) 03:47:58.69 ID:YAbRtbkm
ちゃんとID変わってますよ、軽石さん。
129774ワット発電中さん:2011/07/03(日) 08:54:43.54 ID:xfJ67xmM
>>123
>>SERDES部の検証は結局実機でやらないとダメなんだよな

どして?
130774ワット発電中さん:2011/07/03(日) 09:32:17.54 ID:l9v1M6w0
>>124
本職は業務用の画像CODEC系らしいので、もしかしたら実はPT1/PT2に関わって
いて、こっそり仕事の成果物を流用した自慢とか?

FPGAがたくさん使われている製品の例として、確か前にも引き合いに出されて
いた気がするけど、もしかして同一人物の書き込み?
131774ワット発電中さん:2011/07/03(日) 12:18:35.37 ID:Ngx4yo4w
ニッチの範囲かもしれないけど、BlackMagicDesignの製品はほとんどFPGA使用してるね。
132774ワット発電中さん:2011/07/03(日) 12:23:49.58 ID:5jZLoA4a
オシロスコープにもFPGA使ってるらしい。ということは他の多くの計測器にも
使われているのだろう。
133774ワット発電中さん:2011/07/03(日) 12:45:03.07 ID:YAbRtbkm
はいはい、善部町ファミリ。豊広君はPICライタの製作に戻るんだ。まりえくんは洗濯物を干そうね。
134774ワット発電中さん:2011/07/03(日) 13:20:57.56 ID:erI3V7PM
135名無しさん:2011/07/03(日) 14:33:03.09 ID:dsPrfA2n
>>129
123では無いが
机上検討も非常に重要なんだが
Simモデルや環境が100%信じれる
だけ精度が有るかがね
現状だと実測とつき合わして検証
しないと製品レベルは厳しいよ
DDR3とかもクロック上がると同様だね
ASSPだとリファレンスからガーバー
引っ張ってきて済ませられる時も
有るけどFPGA(ASIC)だと自前で検証
するしか無い
136774ワット発電中さん:2011/07/03(日) 14:46:19.37 ID:2Vqz5FFq
>>132
そいやー手元のデジタルオシロもばらしたらSpartan3Aが入ってたなー

あと職場のプリンタがALTERAの何かだった
ACEXだっけか
137774ワット発電中さん:2011/07/03(日) 14:49:41.95 ID:3IzwgzXk
動作クロック100MHz超えの回路なら、ボード上にTTLとか無理だからFPGAでやるのが正攻法かと?
138124:2011/07/04(月) 01:20:00.82 ID:ltjl/TBv
>>130

「オリジナルは1で中身書いた」って部分が、意味不明だったんだけど、
「オリジナルは1人で中身書いた」って言いたかったのかな。
あんなに大量生産するものにFPGAを使うってのは、特殊なケースと思うけどね。
139774ワット発電中さん:2011/07/04(月) 02:01:29.65 ID:CAa52XGF
IO-DATAのUSP MPEG CaptureシリーズはSpartanだよ。
140774ワット発電中さん:2011/07/04(月) 03:09:58.33 ID:bsAa0Zwy
製品自体は良く分からんけど各社のFPGAを一通り使ってる
http://proaudio.yamaha.co.jp/products/interfaces/ygdai_cards/photos.html

あとはアー■ソフトの地デジチューナとかXilinxだし
141140:2011/07/04(月) 03:16:46.05 ID:bsAa0Zwy
あぁ悪い
もうPT1・PT2は既出だったのか 失礼
142774ワット発電中さん:2011/07/04(月) 08:53:20.85 ID:W26F9JlF
PTシリーズの量産数なんてASIC作るほどじゃないだろう
しかも競合なくて言い値で売れるから、零細企業ならFPGAで作るのが正解
あとで不具合発覚してもアップデート出来るし
143774ワット発電中さん:2011/07/04(月) 11:48:12.02 ID:JOFh75j2
>>142
不具合は確かに面倒だけど、数的にはASICで行く数じゃないかな?
コンフィグROMの書き込み費用だけでも馬鹿にできないでしょ。
144774ワット発電中さん:2011/07/04(月) 13:13:44.27 ID:Sk8/6ITo
>>143
数的ってどれぐらいの数?
145774ワット発電中さん:2011/07/04(月) 13:17:37.65 ID:PxvZcpyy
逆に回路設計レベルの不具合で回収騒ぎとか考えたら、FPGAでやっとく方が損害少なくて済むと思うけどなぁ
146774ワット発電中さん:2011/07/04(月) 16:04:03.44 ID:4e6C3/tD
> コンフィグROMの書き込み費用だけでも馬鹿にできないでしょ
これは意味不明

出荷テストの手間に比較したら書込みコスト増なんて微々たるもんだろ
この手の製品はPCに挿入してOSが起動するまでかなり待ってないといけないからな

まさか極少数(1000に1つ)抜取りして試験で十分とかいわないよね?
147774ワット発電中さん:2011/07/04(月) 16:13:45.69 ID:PxvZcpyy
いまどきの日本製品は全品検査が常識。 ボルトナットだって例外じゃないからなぁ
148774ワット発電中さん:2011/07/04(月) 16:28:50.71 ID:muqg2hu8
FPGAで1秒とか60秒のタイマー分岐
を多用したいんだけどできるかな?
149774ワット発電中さん:2011/07/04(月) 16:35:39.38 ID:PxvZcpyy
>>148
分岐? ってそれどういう意味か分からんが、手続き的な話ならCPU作り込んじゃう的な話?
150774ワット発電中さん:2011/07/04(月) 16:43:25.13 ID:muqg2hu8
>>149
例えばタイマー10秒ループでウェイトとか
40秒後に結果を判定とか・・・そしたらH8とかSHでいいかって話になるかー
151774ワット発電中さん:2011/07/04(月) 16:47:38.54 ID:muqg2hu8
μsとかmsならいいのだがsecを扱うとなるとIPコアとか
使わないと論理だけでは苦しいかな

てかIPコア使ったことないからスキル無いし・・・
152774ワット発電中さん:2011/07/04(月) 17:01:16.58 ID:PxvZcpyy
>>150
自分で答えを出してるじゃん
あとは安い石を見つける事だな

PICとかいいんじゃね?
153774ワット発電中さん:2011/07/04(月) 17:05:04.80 ID:muqg2hu8
>>152
PICは必要なことができるからそれもいいね
たまにAVR信者にPICオワタ\(^o^)/とか言われてむかつくが
154774ワット発電中さん:2011/07/04(月) 17:07:05.29 ID:PxvZcpyy
いや別にAVRでもいいよ。
155774ワット発電中さん:2011/07/04(月) 17:09:15.75 ID:muqg2hu8
PICって名前がショボいのもあって業務で採用するとスキル無さそうに見られて困る
だから無理やり簡単そうに見えて実際はSpartan3Aでなきゃ間に合わないぞおい!
みたいな状況を醸し出したり・・・・
156774ワット発電中さん:2011/07/04(月) 17:12:41.83 ID:muqg2hu8
とかうだうだ言いながら結局はPICになるけどね
157774ワット発電中さん:2011/07/04(月) 17:35:18.82 ID:4e6C3/tD
>>151の言ってることがわからん

なんで1秒とか1分とかの処理にわざわざIPコアがいるの?
適切なカウンタ用意すればいいだけじゃん

たしかにPICで十分だけどね (笑
158774ワット発電中さん:2011/07/04(月) 17:47:41.03 ID:muqg2hu8
フローチャートに論理ブロックが沢山あって
一目みてPGAとかPLDあたりの守備だと思ったけど
時間可変のタイマもやたらと多くてCPUぽいっところもあったので・・・

コンペアマッチをうまく使って対処するか考えないと
だめかなー
159774ワット発電中さん:2011/07/04(月) 18:14:12.56 ID:4e6C3/tD
まずはフローチャートを状態遷移図に書き換えろ
次に FSM(Finite State Machine) ってキーワードでぐぐれ

以上
160774ワット発電中さん:2011/07/04(月) 18:35:35.42 ID:Gu7RYdpK
ASMチャートできちんと書けばそこからハードウェアに落とし込むだけ
161774ワット発電中さん:2011/07/04(月) 19:43:45.73 ID:iyf/Yq4f
秒単位のカウンタなんて普通にCPLDレベルで扱っているけどね。
50MHzでカウントしてもたかが26ビットでしょ?
162774ワット発電中さん:2011/07/04(月) 19:50:14.09 ID:K2eS01uu
26ビットじゃ26秒しかカウントできないんじゃ・・・
普通は1時間とかだろうから3600ビットは必要になる。
163774ワット発電中さん:2011/07/04(月) 19:53:21.24 ID:PxvZcpyy
分週して必要なレンジだけカウントすりゃいいじゃん。
164774ワット発電中さん:2011/07/04(月) 20:29:11.25 ID:W26F9JlF
>>143
玄人向けPCチューナーより圧倒的に多く売れてて量産コストに厳しい
家庭用TVにもFPGAが使われるくらいだからねぇ。
製品の性質上、量産コストは高くても良いから、FPGAでいいんじゃね。
というか今は初回生産200台でASIC採用できるほど安いのか?

>>151
そんなIPコアがあったとして、秒単位のカウンタを自分で組むより省リソースになるわけじゃないぞ。
秒単位でカウントするのが苦しいってCPLD時代じゃないんだからw
50MHzで60秒カウントするのなんてたった32ビットのカウンタがあればいいんだよ。
Cyclone3とかSpartan3のようなローコスト品でも何千個も入るわw

>>163
FPGAの構造的に、分周器とカウンタの必要リソースは変わらん。
165774ワット発電中さん:2011/07/04(月) 23:41:38.99 ID:Btrr9P9Q
>>146
「微々たるもん」って単価いくらで書き込んでくれるの?
数は、確か累計100Kくらい。
これ後で自動機で実装するから、荷姿も適当じゃ困るし、リフローするから
湿度管理も必要だよ。

>>PCに挿入してOSが起動するまでかなり待ってないといけない
検査は全数してるだろうけど、PCに挿入はないでしょ。JK
不良品のたびにパソコンがアポンしちゃんう。
1個1個、OSが起動するまで待つとかありえませんから。
166774ワット発電中さん:2011/07/04(月) 23:46:29.53 ID:Btrr9P9Q
>>164
いや、そのFPGAで、あってるとか間違ってるとかいう話じゃない。
どっちにしろ、アースのシャチョさんは、コレひとつで一生遊んで暮らせるほど儲かったわけで。
ただ、100Kもの量産にFPGAを使うのは少し特殊な事例と思っただけ。
100K生産するTVにFPGAは、使われてないと思う。
167774ワット発電中さん:2011/07/04(月) 23:55:49.17 ID:Pq+7Rr3R
PT2って、もとはそんなに売るつもりなかったでしょ
168774ワット発電中さん:2011/07/05(火) 00:40:24.14 ID:G+D4P4h1
ページみたことあったけど、納税額とか半端ないよな。
すげぇな。いいなぁ。
169774ワット発電中さん:2011/07/05(火) 00:59:24.27 ID:0mWtiuQJ
Xilinxは特にだけど、量産だと特価出すよ。1K〜10K/Month行けば1/8とか。
いつも万で買ってるのに、千円台かよぉって。
そうなっちゃうと、リスクつきのASICなんか。

書き込みは検査時にベンチ(針山)で書いちゃうでしょうね。ファームもあるし。
DIP,PLCCだったらギャングライターってのもあったけど。
170131:2011/07/05(火) 01:02:44.14 ID:C9HrcWAy
数はPTが比較にならん位多く出ているけど、作っている製品の殆どにFPGA載せてる。
基板見ると、書き込み用の端子ぽいのが出てる。
ASICにしないのは、フィールドでファーム書き換えできることを重視してるんだと思う。
171774ワット発電中さん:2011/07/05(火) 01:04:44.02 ID:O4EUudFG
前スレ落ちてしばらく見てなかったら変なの湧いててワロタw
172774ワット発電中さん:2011/07/05(火) 01:13:43.34 ID:5kVeTypE
>>146
PCってウェハテスタの事?
173774ワット発電中さん:2011/07/05(火) 01:28:46.27 ID:xF31iExp
>>169
実装後にromを書いたと?
100Kでそれは、ありえないように感じるが勉強不足なんだろうか。
基板にあわせて、カスタマイズして使える汎用的な書き込み治具を
実装屋が持ってるのかな?
174774ワット発電中さん:2011/07/05(火) 01:28:56.02 ID:qAdMhaZ0
>>169
>いつも万で買ってるのに、千円台かよぉって。
それAlteraもだw
175774ワット発電中さん:2011/07/05(火) 08:01:56.79 ID:kBVsKYjE
>>165
ROM書き込み費用がなぜか部品実装の段取り費用にすり替えられてて笑った!

PCIスロットに挿入するタイプの製品の出荷検査は
全数PCに挿入して動作確認しますよ JK
もちろん マザーボードむき出しの試験用PCだけどね
(いわゆるテストベンチの一部)
じゃなきゃ試験にならないじゃん。

>>173
いまどきふつーですよ > 実装後にROM書く
ispって知ってる? つーか実装前にROM書く方が面倒じゃん。
書込済ROMは別部品として管理しないといけないから管理コスト増すよ。

少量生産なら実装ラインとテストラインが別ってのもありだが
ライフ100kpcsならそれはありえない。むしろ100kだからこそ
ROM書込みとテスト工程は一緒にやることになるだろう。
100kなら試験プローブジグもカスタムメイドするわけで
そこにROM書込み機能を入れ込んでおくだけの話だ

汎用的な書込みジグを実装屋が持たなきゃいけないって発想が出てくるあたり
勉強不足以前の何かだと思う
176774ワット発電中さん:2011/07/05(火) 10:32:14.36 ID:v3ivEJL/
いまどきの電子機器は、どんなものでも実装後に書き込みだろw
FPGAへのROMなんて、CPUへのFlashROMに書くより短時間で済むし
177774ワット発電中さん:2011/07/05(火) 10:40:50.27 ID:kBVsKYjE
FPGAのconfigデータとは別の話だが
いまどきはROMに個体ごとに別のデータを書く要求とかあるんで
(個体番号 ID MACアドレス 秘密鍵 など)
事前にROMに書込みってのは最近やらない傾向にあるね
178774ワット発電中さん:2011/07/05(火) 12:47:32.94 ID:VnipsVZN
>>175
>>PCIスロットに挿入するタイプの製品の出荷検査は
>>全数PCに挿入して動作確認しますよ JK
その検査でボロボロ落ちるのか?
手付け部品のある基板なら別だけど、大量リフローした基板にそんな検査が
必要ってちょっと、想像できないんだが。

>>100kなら試験プローブジグもカスタムメイドするわけで
>>そこにROM書込み機能を入れ込んでおくだけの話だ
検査時具は、当然あると思うんだが、同時にROMも書ける?
そのROM書き込みアルゴリズムは誰が作ってくれるの?
まさか、治具にパソコンをつないでImpactで書くわけじゃないよなあ。
そういう治具、予算いくらあれば、どこで作ってもらえる?
179774ワット発電中さん:2011/07/05(火) 13:25:37.24 ID:kBVsKYjE
落ちないのが当然。しかしそれでもやるのが検査というもの。

製造ミスは発生から発見までの期間が短ければ短いほどいい。
たとえば、実装したが検証未実施の製品をトラックで試験設備まで運んで
実装実施日の1日後に試験する体制を仮定する。
この体制だと、誤実装が判明した場合時はすでに遅し!
1日分のロットすべてが誤実装で大変だーっことになる。
半年で100k製造する計画なら1日ロットで1k弱はある。
つまり不良品 1k個の山。リペアする費用と時間どんだけ?!

これが製造ラインの出口で試験ラインもあって、3個連続で不良品出たら
とにかく全ライン停止で原因究明ってことにしておけば、被害額は1ケタか
2ケタは少なくて済む。

もっと最悪なのは、エンドユーザーがPCに装着して初めて障害が発生した場合
事態のフォローアップ費用は軽く億はいくよ。下手したら倒産だ。

だから「落ちないのが当然。しかしそれでもやるのが検査というもの。」
エンドユーザーがPCに装着して初めて障害が発生するのに比べたら
全数検査した方がコストが全然安くつく。


> 検査時具は、当然あると思うんだが、同時にROMも書ける?
> そのROM書き込みアルゴリズムは誰が作ってくれるの?

テストシーケンス書ける技術者なら余裕で書ける。

> まさか、治具にパソコンをつないでImpactで書くわけじゃないよなあ。

んなわけない

> そういう治具、予算いくらあれば、どこで作ってもらえる?
製造検査系の展示会にでもいって商談してくれば?
ネブコンジャパン内のエレクトロテストとか規模でかいよ
180774ワット発電中さん:2011/07/05(火) 14:10:42.29 ID:e8/FhUHk
全数検査は否定しないが、オマエの言ってる事はメチャクチャだ

ロット不良なら全数検査しなくても抽出検査で判明する
全数検査しないといけない理由でロット不良時のコストがどうこう言うのはおかしい
181774ワット発電中さん:2011/07/05(火) 14:14:46.78 ID:kBVsKYjE
たしかにロット不良の検出に全数検査は要らない
>>180のおっしゃる通りです

でもエンドユーザに渡ってからの不良発覚を防止するのは
全数検査が最適解なんじゃないですか?

まぁ、こういう考え方が日本製品の過剰品質につながってるんだろうね
182774ワット発電中さん:2011/07/05(火) 15:12:09.90 ID:my7QAJmT
>>179
>> テストシーケンス書ける技術者なら余裕で書ける。

kwsk。
御社にHEXファイルを渡せば、普通の形状の基板の汎用的なROM
なら、何でもほとんど0コストで書いてくれる?
それとも、0コストで書ける装置を作ってくれる?
日本で実装後の書き込みだと@500-とか平気でいっちゃうよね。
100Kなら5千マソ、ASIC余裕でおこせる
183774ワット発電中さん:2011/07/05(火) 17:14:14.13 ID:kBVsKYjE
>>182
うちは基板製造や実装屋じゃないけど、
アートワーク設計からまかせるなら、初期コストだけ運用コストほぼゼロで
実装済ROMにisp書込みできる基板を作れる会社ならいくらでも知ってるよ。
あ もちろんROMデバイスはisp対応でないとダメだけどね。

量産設計するなら、当然プローブをあてるコンタクトを表面に
用意しておくものだ。そこにJTAG信号を出しておけばいいだけの話。
つーか、なんでそんなにispのコストや難易度を高く見積もるのか
まったく不思議でならない。

isp = in system programming
ttp://en.wikipedia.org/wiki/In-system_programming
184名無しさん:2011/07/05(火) 18:02:35.25 ID:8d9wjVti
うちは実装後のISPは基本的にやらんな
だってROM屋がオンボード書き込み時のトラブル
保証してくれないから
185774ワット発電中さん:2011/07/05(火) 19:43:54.37 ID:+PEOTH6l
PTシリーズの場合、コピー対策でSpartan3AのIDを使った「何か」を
仕込んでるっぽいから、Configデータと共に個別のキーデータを書く
必要があったんじゃないかな。
事実パチモンが出てきて、そいつは見事にコピー失敗こいたしw
186774ワット発電中さん:2011/07/05(火) 22:45:44.05 ID:k/D3Q5vv
>>179
>>落ちないのが当然。しかしそれでもやるのが検査というもの。
検査しないとは言ってないんだな。
パソコンに挿して、OSの起動を待つとか言ってるから、アホかと。
実際に、その工程で全く不良が見つからないなら、有効な検査方法ではないということ。
187774ワット発電中さん:2011/07/05(火) 22:53:57.56 ID:gwrgsSgo
一昔前のxとかaはコピー対策は苦労したねぇ。
そのためだけに慣れんActel使わされたり。
188arisa ◆QaHT6HayjI :2011/07/06(水) 05:59:49.97 ID:pDIUJEmg
>>71
違う。
189arisa ◆QaHT6HayjI :2011/07/06(水) 06:07:18.46 ID:pDIUJEmg
#声優というか、アーティストかな、まぁ半分地下アイドル?
#桃井はるこ→ファンのことをモモイスト それを想像してオナヌー で、モモニー という言葉が生まれた
#2chのネタ。

ってことをなんで、FPGAスレで説明しなきゃいけないのさ。まぁ俺のせいだととも言うけど。
というか、FPGAで絡んでいる人っていないはずなんだが・・・・
190arisa ◆QaHT6HayjI :2011/07/06(水) 06:22:41.11 ID:pDIUJEmg
>>71
あたりまえ。
今時、手書きでアートワーク(ASIC設計含む)とか、リレーシーケンスでくんだりする仕事なんてあるわけない。
ただ、趣味でやることは大事なので。
恩恵がどうできているのかを、ひもとくのは大事だよ。少なくとも、恩恵を受けていることに感謝したいね。
191774ワット発電中さん:2011/07/06(水) 07:44:01.87 ID:7YAJTxro
>>186
最近はPCIバスのアドインカード製品は減ったけど
むかしはどこも出荷テストには苦労したもんだよ。

BIOSがPCIデバイスを認識できるのかから検証するから
電源投入からアプリ起動、機能検証まで通してやる必要がある。
だからOSが起動するまでどころか、テストアプリ起動/実行まで
時間かかるんだよ。

PCIバスの挙動をすべてチェックするテストベンチ作るより
実際のPCで試験する方が安くて速いってだけの話だ。

アジレントあたりからPCIバスコンプライアンスチェッカ+エキサイタとか
出てたけど、それ1台でPC20台は買える値段してた。
開発には導入できても製造現場のチェッカとして使うには高すぎたね。
192191:2011/07/06(水) 07:57:44.88 ID:7YAJTxro
昔話になるけど 10年以上前のPCIrev2.1かPCIrev2.2の時代
PCIバスはちゃんと終端しない(Z整合)バスで、バス駆動してから
バス末端ではねかえった反射波が返ってくるまでバス電位が
安定しなかったのでいろいろイヤなことが起きたんだよね。
それをちゃんと検証するためにはPtoPでチェッカにつなげてもダメで
実機に近いバスレーンを持つ検証環境が必要だった。
結局、PCそのものを使うのが事実上一番安くて確実な手段だった。

たとえばハンダ不良で、PC側から離散的なターゲットアクセスはできるけど
バスマスタでバースト転送するとコケるなんて症状はたまに出た。
この手の障害はPtoPで接続するタイプのチェッカでは検知できなかったはず。
マジな話、PCに接続したチェックしないとこわくて出荷できなかったよ。
193774ワット発電中さん:2011/07/06(水) 09:07:11.38 ID:NGXZgyd/
意図的に反射を使って電圧を引き上げてたし
194774ワット発電中さん:2011/07/06(水) 11:27:51.72 ID:ia9talkr
>>191-192
売価1万そこそこなのに、PCに接続してチェックしないとこわくて出荷できない
ような基板を100Kも生産したら、儲けどころか寿命が縮む。
実装屋のもってる検査設備で、品質が安定しないなら利益が出ないんじゃない。
195774ワット発電中さん:2011/07/06(水) 11:46:38.89 ID:BfUY+KHq
まあ、当時から相性がいいとか悪いとか、おおよそ技術屋でない単語が飛び交ってたから仕方ない。
196774ワット発電中さん:2011/07/06(水) 11:53:36.06 ID:7YAJTxro
チェックしないで出荷した方が寿命が縮むよ
つーか寿命が尽きて残ってないよな そんなとこ

製造ラインの検査設備が汎用品って考えが根本的に間違ってる。
小売価1万円でライフが100k超すプロダクトなら専用の検査設備を開発するだろ JK

数百数千ある部品/工程のたった1つにミスがあっても欠陥ってのは発生するからね。
欠陥は発生するものと思って、発生した場合のコストを最小にするよう
努力するしかない。(理想はラインから欠陥品が出る前に、不良を見つけてつぶす)

ものづくりに関わる品質管理の心構えの問題だな
197774ワット発電中さん:2011/07/06(水) 12:11:09.02 ID:cHWC4t8p
made in japanで100K以上出荷してるPCIボード製品って何?
198774ワット発電中さん:2011/07/06(水) 13:17:55.33 ID:uXqn1xnt
>>194
秋葉原のショップブランドはともかく、売価5ま万円以下のミニノートでも、
DellやHPあたりは、テストプログラム実行&エージングくらいして出荷して
いるよ。1台毎に搭載するHDDやプレインストールするソフト、パーティション
のサイズさえ違ってたりするし。

何でも屋の実装屋は、単に部品を基板に載せてラインを流すだけでまともな
検査装置なんてもってないでしょうに。P板なんて丸投げ。

まぁそういうのが判らない・知らない人が、「ボッタクリ」って言ってる
のが、デフレ日本の現状だけど。
199774ワット発電中さん:2011/07/06(水) 18:22:19.04 ID:xlAeEUvW
>>196
>>198
いえてる。
いくらゆとりでも、全数パソコンに挿して、全チャン映るか確認と24Hエージングくらいはするはず。
ピークは、2K/日くらいだったから、検査用のパソコンを2000台は支給してるね。
それだけで、1億近い設備投資だから出荷10Kくらいで終了してたら、シャレにならない大赤字。
シャチョさん勇気あるわ。
200774ワット発電中さん:2011/07/06(水) 18:33:55.78 ID:BHtm/tEd
>>196
そのために品管、製造技術の部署あるんだからな

今の電子産業ってドカタ下請けの分業制で、企画開発生産販売を自社で
するところ少なくなってるんじゃないか。
開発生産はドカタで十分って感じになっているし。
201774ワット発電中さん:2011/07/06(水) 19:36:59.59 ID:cKqNaHqR
現場はドカタのくせに、求める人材は高スキルを要求するんですね。わかります。
202774ワット発電中さん:2011/07/06(水) 21:38:52.12 ID:uXqn1xnt
>>199
エージングだけなら、PCIスロットに電源だけ供給したエージング専用
のラックをあつらえればいい。

エージング後の検査だけなら、1枚の検査が正味15分掛かったとしても、
予備を入れて検査用PCは70台もあれば足りる。1台5万として、PCの
調達コストは350万で済むね。
203774ワット発電中さん:2011/07/06(水) 23:12:31.63 ID:97d6BXIe
1台のPCで1枚の検査とは限らない。

1台で4枚同時に挿したら、試験時間15分@4枚として
8台のPCパラに使って(8人体制で)、1024台/日くらいいけそう。

エージングは>>202の通り専用時具を作るとして
あとはPCIスロットの劣化防止に下駄を履かせるくらいかな。
台数からすると予備を持っておいて、壊れたら買いなおせば良いだけかもだけど。。。

まぁいずれにしても売価からすると大した投資じゃないな。
賭けでもなんでもない。
204774ワット発電中さん:2011/07/06(水) 23:13:51.31 ID:7YAJTxro
そうそう
市販PCは、下手にカスタムメイドのジグを作るよりむしろ安あがりな選択なんだよね。

もし製品のライフが1千万台ならPCに似た機能を持つカスタム検査機器を作っても
ペイするかも知れないが、たかだか10万台なら安上がりなPCを使った方がいい。
工場は広いからちょっとくらい機器がでかくなっても気にしない。
205774ワット発電中さん:2011/07/07(木) 01:38:22.67 ID:n/yqOYH7
>>199
北海道はユトリに占拠されたのかそれとも工作員が潜入しているのか
信号機配線接続したあと動作確認しないで営業運転するとかもうね
http://kabumatome.doorblog.jp/archives/65576874.html
http://www.hokkaido-np.co.jp/news/editorial/299643.html
http://www.hokkaido-np.co.jp/news/donai/299922.html
http://mainichi.jp/select/jiken/news/20110617k0000m040112000c.html
206774ワット発電中さん:2011/07/07(木) 02:13:25.27 ID:rgC8ckfY
40歳後半のFPGA触ったこもないくせにこの巣例に居座るキモオタ -> ID:n/yqOYH7
207774ワット発電中さん:2011/07/07(木) 02:16:40.70 ID:rgC8ckfY
40歳後半でアニメ大好きって公言できるまともじゃない人です。 -> ID:n/yqOYH7
”ユトリ”という言葉は釣り餌とのこと。
ちなみに嫁はマリエかもしれません(^。^)
208774ワット発電中さん:2011/07/07(木) 08:05:36.33 ID:n/yqOYH7
自己紹介乙
209774ワット発電中さん:2011/07/07(木) 08:10:31.35 ID:rgC8ckfY
ID:n/yqOYH7 FPGAに関係ないのになんでこのスレに粘着するの?
豚嫁のせいで、FPGAインフォメーション追い出されたから?
210774ワット発電中さん:2011/07/07(木) 08:11:37.12 ID:n/yqOYH7
なんか恨みがあるのかもしれないけど
人違いで攻撃されてる希ガス
211774ワット発電中さん:2011/07/07(木) 08:16:20.06 ID:0tj/gbqu
ケンカをやめて 二人を止めて
スレ違いのことで 争わないで
もうこれ以上
212774ワット発電中さん:2011/07/07(木) 12:04:18.45 ID:gWMdQ7cn
そもそも、PCIボードのチェックに、量産基板をM/Bに差し込んでチェックとか馬鹿げたこと言ってる時点で終わってる。
お前ら従業員2〜3人の零細企業?毎月の生産額100枚?

あほらしい!
213774ワット発電中さん:2011/07/07(木) 13:02:01.89 ID:Nw/ugoU/
>>211
土方の性だから止めてって言っても
214arisa ◆QaHT6HayjI :2011/07/07(木) 15:27:28.93 ID:18CWRHS6
>>209
おまえもなー
215774ワット発電中さん:2011/07/07(木) 22:27:52.90 ID:rgC8ckfY
土方だのユトリだの、ひがみ、コンプレックス、加齢臭に満ち満ちた零細自営臭しかしないんだが。このスレ。
216774ワット発電中さん:2011/07/07(木) 23:15:35.16 ID:v44E0PVg
>rgC8ckfY
基地外確定だな。
217774ワット発電中さん:2011/07/07(木) 23:20:06.59 ID:+YUGkIUn
そらま、平日の昼間からこんなところでクダまいてる奴なんて無職か自営くらいさね。
218774ワット発電中さん:2011/07/07(木) 23:37:35.36 ID:rgC8ckfY
そうだなぁ。同僚がいればリアルに話すわな。
219774ワット発電中さん:2011/07/08(金) 00:17:44.54 ID:Z4wVsETh
>>212
アースも、シャチョさんひとりの零細だよ。
ラックでエージングとかいってるやつがいるが、ラックじゃ24H動き続けたかわからん。
パソコン500台以上は、使ってるに違いない。
220774ワット発電中さん:2011/07/08(金) 04:23:06.65 ID:9uxHlK8+
ようわからんがエージングってそういう意味じゃないんじゃないの
221774ワット発電中さん:2011/07/08(金) 04:54:03.00 ID:F36wVUI4
>>219
エージングは初期不良をふるい落とすために、通電状態にするだけだよ。
だから、その後にPC上で動かして最終検査をする。

投資額以前に、デスクトップPCを500台も並べておく作業場所のスペースや
電源の確保が非現実的。

たぶん、発売直前には多少作り溜めしておいて、実際の製造ラインは月産3000〜
5000台(実稼動20日で150台/日〜250台/日)規模ないし、せいぜいその倍くらい
じゃないのかな。

発売3年間くらいでPT1/PT2合計で総出荷台数30万セットくらい?

AV機器だと国内の中堅メーカーでも、月産500台〜1000台(あくまで目標)とか
わりと普通にあるから、それに比べたらはるかに「量産」だけど。

>>199
> ピークは、2K/日くらいだったから

ソースは? これ月産の間違いでないの?
222774ワット発電中さん:2011/07/08(金) 05:13:52.32 ID:bKfYkqly
まぁ。
FPGA単体なんて一人レベルで開発できるのに、それがわからない皆さんが馬とか鹿なんだろ。

というわけで、チンコだして、堂々と、FPGA開発にいそしんでくれたまえ!!!
223774ワット発電中さん:2011/07/08(金) 08:03:33.68 ID:FFtRs0Fv
まあ、PAL,GALで時間が止まってるオサンには信じられないのはわかる。後74シリーズのライブラリでスケマOnlyの人。
224774ワット発電中さん:2011/07/08(金) 08:32:04.25 ID:0D8Gzh5R
この手の技術板でも、PWBA量産ラインの歩留りについて
特に工夫しなくても立ち上げ当初から簡単に100いって当然って
思いこんでる人がいるんだね

頭の中身が素朴でとてもハッピーな人なんだなって心底思うよ
日本の製造業はダメになるわけだ
225774ワット発電中さん:2011/07/08(金) 09:58:54.04 ID:F36wVUI4
>>224
そりゃあ、今までRTLというソフトだけで完結する世界から、一歩も足を踏み
出したことない人だからでしょ。

まさに、コピペで作ったソフトを、CD-Rに焼いて複製する感覚。しかも、そう
した作業は簡単なドカタの仕事と笑ってる。クリック1つでコピーできるのも、
ドカタの作ったツールのお蔭。

FPGA房の大半は、買ってきた惣菜を皿に並べて盛り付ける程度のグルーロジ
ックしか書いていないのが実態では?

先輩ドカタが作った無料開発ツールがなきゃ、一人じゃ何もできないのに、
ゆとりって最強だな。
226774ワット発電中さん:2011/07/08(金) 10:19:37.57 ID:rpV4HnlK
ネトウヨ最強(w)
227774ワット発電中さん:2011/07/08(金) 11:03:27.83 ID:YOWf2V8z
>>221
初期不良を落とす「エージング」って、意味が変。
エージングなんだから、動作させてなきゃ。
アースは、ファブレスだから、100K片手間で流せるような大きい実装屋に発注したはず。
日毎の出荷数量はHPに公開してる。
228774ワット発電中さん:2011/07/08(金) 19:07:23.24 ID:0D8Gzh5R
>>227
ファブレス会社が大手製造業者に製造委託するなら
部品表とネットリストと個別の試験仕様(BISTモード仕様とかPC用のdiagアプリとか)
を渡して、残りのアートワーク設計とか試験仕様設計は、業者に丸投げするのが
楽なやり方かな

テストジグも製造業者にお任せでつくってもらえば、お互いに楽で安心
229774ワット発電中さん:2011/07/08(金) 20:48:16.62 ID:FFtRs0Fv
> そりゃあ、今までRTLというソフトだけで完結する世界から、一歩も足を踏み
> 出したことない人だからでしょ。

言葉も世界も知らな過ぎ。
ずーっと外から眺めて、すっぱいブドウでいてくださいw。
この甘美な世界。
230774ワット発電中さん:2011/07/08(金) 21:06:50.88 ID:YJmR98By
ツルハシで稼いでいる真土方、ID:F36wVUI4。
建機が買えない貧乏零細自営。

経験も、ライブラリの蓄積もなく、すべて一からはじめるアホ。
日本の高コスト体質の原因害虫。

つかさ、聞きかじった言葉で攻撃するのみっともないよ。
ノスタル爺、野廃れ爺。
231774ワット発電中さん:2011/07/08(金) 21:26:57.62 ID:F36wVUI4
ITゆとり小作農、自己紹介乙。 労働力提供するだけの小作農はいいよな。

ライブラリの蓄積って、NiosやMicro Blazeみたいな頂き物のことでしょうか?

それとも、自作のVGA互換コアとか、パチもんのFM音源とか? OpenCore.org
からのパクリとか?

趣味レベルならともかく、UARTやSPIやI2Cの野良コアなんて、仕事じゃ誰も
買わないよねぇ。

まさかコミケで売ったりとか、そういうキモい方面の方ぢゃないですよね?

>>228
それ、デバッグは、いつ誰がどの段階でやるの?
232774ワット発電中さん:2011/07/08(金) 22:49:23.82 ID:HCB133dC
こんな奴らばっかだから、技術者->ドカタになったんだな
233774ワット発電中さん:2011/07/08(金) 23:34:46.65 ID:0D8Gzh5R
>>231
デバッグってのは何をさすがわからないが以下のような流れかな?
量産試作を発注元が確認してOKだったら量産開始

aは発注側 bは受注側
1a. プロトタイプ基板を開発。ここで機能検証をきっちり済ませておく
2a. 1で決めた基板の仕様 (部品表・回路図・検証仕様)を作成して製造業者に投げる
3b. 量産設計 / 量産計画作成
4b. 量産ラインを立ち上げ、量産試作基板を発注元に出す
5a. 量産試作基板をきっちり検証し OKかNGか回答する NGなら3bに戻る
6b. 量産ラインフル稼働 開始
7b. 量産品 納品
8a. 量産品を検収

もちろんここでいう量産ラインには出荷テストも含まれる
234774ワット発電中さん:2011/07/09(土) 00:05:42.42 ID:i/ZxcEOk
>231
えー、デバッグってww。
235774ワット発電中さん:2011/07/09(土) 00:20:26.30 ID:Vi6r2ms9

最新のディジタル・デザイン・テクノロジはCQ出版の雑誌の中でも、

一番読みごたえがあると思った。

236774ワット発電中さん:2011/07/09(土) 01:46:55.24 ID:KQS0jyFs
画像処理?
立ち読みだけしてみるかな。
237774ワット発電中さん:2011/07/09(土) 09:28:33.87 ID:QwVeTwV8
おまいらは、水晶を切り出して高精度の発信器を作り出すことがどんなに大変紙を持って体験しているのかと3日間ぐらい体験させたい。

おれもないけどなw
238774ワット発電中さん:2011/07/10(日) 01:31:16.15 ID:giu1SZMt
>>231
趣味の話と仕事の話がごっちゃになってる気がするけど、
大丈夫ですか?
239774ワット発電中さん:2011/07/10(日) 02:21:49.33 ID:yUedgQyc
なにか問題でも?
240774ワット発電中さん:2011/07/10(日) 10:12:34.73 ID:uaHtJlvY
>238
本人も言ってたけど、実務ではツールが買えなくて断念。ゆえに荒らしに専念。
40才後半で、恥ずかしげもなく、取り貯めたアニメの消化云々いってる段階で駄目です。
241774ワット発電中さん:2011/07/11(月) 00:06:25.12 ID:N52ROY/U
Memory Interface GeneratorってISEWebPackでも使えますか?
時間制限とかありますか?
242774ワット発電中さん:2011/07/11(月) 01:48:04.58 ID:PgPwMOuO
Xilinxの動的部分再構成って商用で使われてるの?
コンフィギュレーションとかエラー処理とか結局プロセッサで制御しなけりゃいけないからSoCで使うんだろうけど
リソースケチるためだけに都度コンフィギュレーションしまくるとかすごくデバッグめんどそうなんだが
243774ワット発電中さん:2011/07/11(月) 05:03:01.26 ID:lqU/o5G2
出荷後のソフトのバージョンアップなどに利用してるんじゃないのかな。
244774ワット発電中さん:2011/07/11(月) 10:37:39.59 ID:9nGxM/dl
それはただのPROM書き換えだろ馬鹿
「動的」「部分」再構成だよ
245774ワット発電中さん:2011/07/11(月) 11:14:23.09 ID:lqU/o5G2
FPGAに関心があってちょろちょろと調べてる段階だから知らないことが多いのは自覚してるが、
「馬鹿」とか、ほんの一部だと思うが変なやつが多いな。

リモートでのバージョンアップってシステムが動作中に中身の一部を書き換えてるからこういうのを
動的部分再構成って言うのかと思ったんだけど、違うのかな?
246774ワット発電中さん:2011/07/11(月) 11:20:16.93 ID:fcLPzAqK
再起動が許されるならだけど
動作中にPROMの中身を書き換えて再起動すれば
とくに部分再構成とか必要ないからじゃないかな。
247774ワット発電中さん:2011/07/11(月) 11:53:16.73 ID:uUTFhC58
config-romの書き換えはDynamic-Reconfigurableである必要はないよ

富士通系のipflex倒産してからもうだいぶ経つんだっけ?
248774ワット発電中さん:2011/07/11(月) 12:15:53.24 ID:PgPwMOuO
東京計器に権利は移ったよ
249774ワット発電中さん:2011/07/11(月) 14:35:47.28 ID:Mo7DEob+
一瞬でも止まっちゃいけないシステムの更新には使えそうだ。
しかしその更新しない部分だけCPLDで作ってFPGAは更新自由にするほうが手っ取り早そうではある。
250774ワット発電中さん:2011/07/11(月) 18:23:04.00 ID:gzdqAxyW
お前らが動的再構成をなんか勘違いして理解してることはわかった
251774ワット発電中さん:2011/07/11(月) 20:39:02.67 ID:Np2V/xu7
>>250
いわゆる動的再構成と同じ意味かどうかは良く分からないけど、
Dynamic Partial ReconfigureというFPGAを動かしたまま、
部分的に回路構成を切り替えることで、少ないハードウェアリソースで、
多機能化すると言うようなことの講演を聞いたことならある。
252774ワット発電中さん:2011/07/11(月) 21:02:57.29 ID:zzEFJ5Hr
>>235
このスレのみんなDDTなんて読んでんの?
253774ワット発電中さん:2011/07/11(月) 21:12:06.60 ID:uUTFhC58
DDTってCP/Mのデバッガじゃないの? (とか言う)
254名無しさん:2011/07/11(月) 21:17:21.60 ID:HjTmltBr
>>242
軍用で使われてたハズ
XC6200がミサイル制御とかで
まともな開発フローも無いし
民生ではお試し中って話は聞くが
製品はまだじゃ無いかなぁ
255名無しさん:2011/07/11(月) 21:23:09.38 ID:HjTmltBr
>>253
懐かしいCP/M-86
迂闊に232Cケーブル挿抜すると
PC落ちたりしてたような
256774ワット発電中さん:2011/07/12(火) 00:15:07.99 ID:vdYV4Gbr
ID:lqU/o5G 
> 「馬鹿」とか、ほんの一部だと思うが変なやつが多いな。
失注やさぐれ自営とか、FPGAというと1chip MSXしかない40歳アニメキモオタとかが混ざってる。
FPGAインフォメーションの掲示板のほうが有用かもね。
257774ワット発電中さん:2011/07/12(火) 03:08:48.83 ID:jBB/pLxx
ID:vdYV4Gbr が一番キモい気がする。
258774ワット発電中さん:2011/07/12(火) 04:56:08.61 ID:8zL/xanB
DWMの頃は読んでたけど、DDTになってからはなんかコレジャナイ感がして読むのやめちゃったな
259774ワット発電中さん:2011/07/12(火) 07:42:11.37 ID:vdYV4Gbr
> ID:jBB/pLxx

軽石&重石こと近藤家@横浜市旭区 乙。
260774ワット発電中さん:2011/07/12(火) 09:25:20.46 ID:h7WcvtyE
>>255
CP/M86なら DDT86.CMD だ
261774ワット発電中さん:2011/07/12(火) 11:27:25.64 ID:W8JCh+O+
>>257
同意
262774ワット発電中さん:2011/07/12(火) 13:46:56.15 ID:WJ34IHyV
FPGAのコンフィギュレーションするのにシリアルROMを使う方法もあるみたいですが
この場合、シリアルROMとFPGAの直結でいいのですか?あるいは転送のためのマイコンが
FPGA基板上に必要になるのでしょうか?
263774ワット発電中さん:2011/07/12(火) 14:14:49.49 ID:F+TJBcNv
ググレば幾らでも出てくるのになんでそんなこと質問するのだろうか…
264774ワット発電中さん:2011/07/12(火) 15:50:32.87 ID:ki4kRiAK
必ず出てくる「ググレ」としか言わないのってつまらんやつだな。


FPGAはパラレルポートがないPCでのJTAGが初心者のひとつのハードルになってて、自作
しようにもPICライターと違って情報が少ない。シリアルROMでコンフィグレーションできるなら
通信プロトコルはわかってるのでJTAGにこだわらなくてもダウンロードケーブル自作できるんじゃ
ないかなと思ったんだけど。そもそもなんでFPGAプログラマの作例ってないんだろう?
265774ワット発電中さん:2011/07/12(火) 16:02:01.10 ID:zlxDxJJD
FPGAのコンフィギュレーションをFTDI(JTAGモードがあるやつ)で行う事例はあるよ。
あとシリアルROMってSPIインタフェースのやつ?
対応しているFPGAなら直結できると思った。
266774ワット発電中さん:2011/07/12(火) 17:25:00.73 ID:h7WcvtyE
>>264
初心者なら 純正のプログラマを買えばいいのでは?

それからデバイスに対するconfig処理に関する情報は
メーカからアプリケーションノート/データシートの形式で
まるまる公開されてると思う。

ただ、純正ツールと純正プログラマの間のI/F情報はないから
自作のライタをさくっと純正ツールにつなげることは簡単ではない。
プログラマのH/Wを自作するなら、S/Wも自作しろって話になるね。
267774ワット発電中さん:2011/07/12(火) 18:03:44.60 ID:2+HI0xv/
>>264
まるで前人未到のように書いてるけど結局調べ足りないだけ
ググればUSB接続の自作プログラマはあるし
メーカーの仕様書見ればROMを模擬しなくても単にコンフィグする方法が載ってる

M25PxxみたいなSPIFlashを直結してFPGAが読みに行く(アクティブシリアル)
外部から無手順でビットストリームとクロックを流し込む(パッシブシリアル)
268774ワット発電中さん:2011/07/12(火) 18:28:59.05 ID:EqRWYcug
Alteraとかの純正シリアルフラッシュ使う利点てなんだろう
269774ワット発電中さん:2011/07/12(火) 20:00:31.79 ID:M+ecinFf
ある寺の代理店の、インテリやろうを養えて、英語がわからなくても、サポート情報を開発元にキイテもらえる。

で?それがどうしたのかと。そんなのもわからないのかと。もうね、
270774ワット発電中さん:2011/07/12(火) 20:21:22.95 ID:F+TJBcNv
つうか、FPGAのメーカーも石の型番も、どういうシリアルでROM付けたいのかも言わずに質問しても、誰も適切な答なんて出せんよ。
だからggrksとなるんだろw
271774ワット発電中さん:2011/07/12(火) 20:23:59.33 ID:TYMJTr6b
詳しい方のみお願いしますw
272774ワット発電中さん:2011/07/12(火) 20:40:02.91 ID:BYM3yZYh
もどきをPICで作ったのって高校生だと知ってびびった。
273774ワット発電中さん:2011/07/12(火) 21:07:00.56 ID:2+HI0xv/
>>272
作った当時で高専5年なんで大学2年相当ですよと。
274774ワット発電中さん:2011/07/12(火) 22:31:02.82 ID:gWA7whEf
>>268
Altera純正ツールから直接フラッシュに書き込めるじゃないか。

SPI-ROMでコンフィグするとして、オンボードでどうやってROMに書き込むかちょっと悩む。
基板から外せばROMライタで書き込めるけど。
CPLDを間にかませるのかなぁ。
275774ワット発電中さん:2011/07/12(火) 23:51:31.61 ID:ki4kRiAK
>>266
初心者でちょっと試したいけど、ちょっと試すのに1〜2万円もするダウンロードケーブル
買うのもなって人は多いと思うんだよね。というかそれが普通だと思うんだけどな。CPLDを
使ったときの感じからいって自作電子工作でマイコンほど活躍の場があるとは思えないし。

とりあえず雑誌の付録基板が寝てる状態だからちょっと動かしてみたいってことで
スレーブシリアルモードあたりがライターツールを自作するなら最も簡単そうなんだが。
xlinxの.bitファイルのフォーマットについて解説してるサイトってないのかな?
たとえばこのサイトのような感じで説明してればわかりやすいんだが。
http://www.pastelmagic.com/tips/hexform/hexform.html
276774ワット発電中さん:2011/07/12(火) 23:52:48.09 ID:v8Xbt5rD
>>274
自称Altera純正シリアルFlashは、"とある"汎用のSPI Flash ROMと同等品で、
純正ツールから書き込みできる。
ひと手間かけて、Flashから読み出せるIDを比較すると、どれが同等品か否かがわかるよ。
277774ワット発電中さん:2011/07/13(水) 00:52:12.74 ID:GpvvfClp
>276
ASモードの付いてるやつの話ね。
PSモードのは専用ROM。MCMなのでバカ高い。


>ID:M+ecinFf
>ID:F+TJBcNv
いい加減、PICか自営スレに戻れよ…。
278774ワット発電中さん:2011/07/13(水) 02:21:59.75 ID:t6Eg+Jmt
>>275
alteraでいいなら、CQの付録マイコンをもどき化すれば、いいんじゃね。
付録史上最強のsh2aをダウンロードケーブルにしちゃ、ルネさんに、申し訳ないけどね。
279774ワット発電中さん:2011/07/13(水) 08:16:55.04 ID:jvyUGd3O
>>275
> ちょっと試すのに1〜2万円もするダウンロードケーブル買うのもなって人
それは初心者じゃなくてタダの器用貧乏だろ? むしろ初心を忘れたバカに多いタイプ

XilinxのFPGAはconfig bitstreamをだらだら書き込んでやればconfigできる。
bitstreamの詳細についてはデバイス別にAPP NOTEが出てる。
たとえば XAPP452 Spartan-3 FPGA Family Advanced Configuration Architecture
ttp://www.xilinx.com/support/documentation/application_notes/xapp452.pdf

BIT formatは、生bitstream(BIN format)にヘッダがついてるだけだよ。
ファイル名 デバイス名 日付など。詳しくはbitgenのマニュアルを読め。

xilinxのwebサイトで10分も検索すればいくらでも文献見つかると思う。
280774ワット発電中さん:2011/07/13(水) 12:08:37.98 ID:vCasFip3
ダウンロードケーブルくらいバイトして買え
どこをデバッグしてるのか分からなくなるぞ
281774ワット発電中さん:2011/07/13(水) 12:43:48.04 ID:ipIK0ZxD
>>280
ケーブルだけ買って何が出来るわけでもないのに高すぎる。
けど、最近のSP6とかCY3とかは、ダウンロード機能付きの
激安ボードが出てるから、そういうのから始めればいいんじゃないかね。
282774ワット発電中さん:2011/07/13(水) 12:52:16.42 ID:txZfpP/V
Xは純正ケーブル買う意味あんまないけど、AならコンフィグだけじゃなくてSignalTapとかNiosとかでデバッグに使えるから純正(もしくは互換品)があると捗るぞ。
283774ワット発電中さん:2011/07/13(水) 17:48:54.72 ID:zRofoj25
>>279
XAPP452ドキュメントで.bitフォーマットについては解決した。PC側が何とかなりそう
なってちょっと気分が楽になった。手持ちのFPGA基板はマスターシリアルモードで
配線済みになってるのでJTAGコマンドについてもう少し調べてみることにする。
ありがと。

>>281
「ダウンロード機能付きの激安ボード」っていくらぐらいのを意味してるのだろうか?
USBインターフェースつきのFPGAボードは3万円前後くらいのならあるみたいだけど。
284774ワット発電中さん:2011/07/13(水) 18:46:54.61 ID:g/7Gn/WH
>>283
DE0とかじゃない
285774ワット発電中さん:2011/07/13(水) 18:57:28.42 ID:DU7SKtz0
質問教えてください。
ALTERAのQuartusIIを使って、FPGAの勉強をしていますが、言葉の意味がわかりません。
1. Quartus IIは、アルテラのFPGAなどの開発用に使うソフトの名前だと思いますが、
  SOPC Builderというのは、何のことでしょうか? SOPC Builderというソフトの名前でしょうか?
2. SOPC BuilderとNios IIとの違いは何でしょうか? というかNios IIはソフトの名前でしょうか?
3. エンベデットマイコンというのは組み込みマイコンのことだと思いますが、
  これはH8やPICなどのマイコンのことを指すのでしょうか?
  それとも、FPGAの中に組み込む「疑似マイコン」のことを言うのでしょうか?
4. ACDSとは何でしょうか? ソフトの名前でしょうか? ACDSとQuartus IIとは違うものでしょうか?
5. ALTERAのFPGAの中で、FPGAのソフトを格納するROMが内部に入ったものは
  あるのでしょうか? JTAGの配線をしなくても良いので、便利だと思うのです。
よろしくお願いします。
286774ワット発電中さん:2011/07/13(水) 19:12:06.19 ID:zM8HbB8i
>>285
あとすこしで答え出そうなところまできてるじゃないか
もうちょっと自分で頑張れよ
287774ワット発電中さん:2011/07/13(水) 19:53:38.34 ID:VW3eGA+2
全部ALTERAのサイトに書いてある
288774ワット発電中さん:2011/07/13(水) 20:15:16.47 ID:DU7SKtz0
>>286
ありがとうございます。もう少しですか。がんばります。

>SOPC Builderというのは、何のことでしょうか?
 SOPCは、SOC(System On Chip)と似ていますが、Pの意味がわからないです。
 Peripheral....?? Partial...?? Pseudo...?
 Builderというくらいですから、何かを生成する、SOCを生成する、
 ProductのPでしょうか。

>Nios IIはソフトの名前でしょうか?
 どんなスペルの省略だかわからない(さんざん探したけどみつからない)ので、
 これがわかりません。
 Nxxx ixxxx operating system Ver2かな。 ただ、何かを処理するもの、
 ということはわかりました。
 ALTERA総合カタログに「Embedded Processor Portfolios」と書かれていたので、
 直訳すると、組み込み、処理、{紙挟み、書類、かばん}・・・・・
 ますますわかりません。
 何をprocessするのでしょう、、、、

>エンベデットマイコン
  Embeddedと言うからには、装置に組み込まれることですので、
  H8やPICのことだと思っています。
  FPGAの中に入れる「似非マイコン」も、FPGAの中に組み込むという意味では、
  embeddedのような気もします。

>ACDSとは何でしょうか?
  これは、さんざん探しました。
  ALTERA Cxxxx Development Systemかな?と予測しています。
  Development Syatemだと、Quartusと何が違うのか。
  MAX++という言葉も出てきましたが、これはCPLDのMAXシリーズのことだと
  思います。

>FPGAのソフトを格納するROMが内部に入ったもの
  調査中ですが、どういうキーワードで探したらよいのか、わかりません。
  ALTERAのページには、省略語の一覧とか用語集(Glossary)が無いみたいで、
  省略語が出てくるとワケがわかりません。製品カタログの2ページに
  少しだけ用語集があるのですが、カタカナ表記をカタカナで説明
  しているので、ちょっとわかりにくいですね。どこかに、用語集は無いでしょうか?
  特に、ACDS ( Axxxx Cxxx Dxxx Sxxx : アルテラの○○○ソフトのことを言う)
  みたいな「省略語」(abbreviation)が知りたいです。

289774ワット発電中さん:2011/07/13(水) 20:18:22.39 ID:VW3eGA+2
Altera Complete Design Suite
290774ワット発電中さん:2011/07/13(水) 20:38:39.77 ID:DU7SKtz0
>>289
ありがとうございました。
Complete Design Suiteですか、Completeだとは思いませんでした。
ありがとうございます。
Design Suiteって、流行のようですね。
確かあちらさんも Integrated Synthesis Environment Design Suiteですよね。
以前はISEだけだったように思います。
Complete なのに Suite、Integrated なのに Suite と言ってみる、
よくわかりませんが。

疑問が晴れて嬉しいです。これから吉牛行って、飯食ってきます。
どうもありがとうございました。
291774ワット発電中さん:2011/07/13(水) 20:47:13.03 ID:/FSkjVM9
どちらもアメリカ製だから、しょうがないと思う。

確かにこの業界、言葉だけ聞いても、その実体がアプリなのか物なのかわからない事が多いな。
292774ワット発電中さん:2011/07/13(水) 20:59:57.16 ID:fdTiq0ae
>>285
俺も詳しくはないが、わかる(気がするような)ものだけ。

> 3. エンベデットマイコンというのは組み込みマイコンのことだと思いますが、
>   これはH8やPICなどのマイコンのことを指すのでしょうか?
>   それとも、FPGAの中に組み込む「疑似マイコン」のことを言うのでしょうか?
自分で答え書いてるようだけど、広い意味ではどちらも指すと思う。文脈で判断するしかないが、
FPGA 関連なら後者である確率は高いかも。また、こういった FPGA に放り込むモジュールは IP と言ったりもする。

> 5. ALTERAのFPGAの中で、FPGAのソフトを格納するROMが内部に入ったものは
>   あるのでしょうか? JTAGの配線をしなくても良いので、便利だと思うのです。
そういうものは俗に CPLD と言われる。FPGA より手軽だが、規模が小さい。構造についてはよく知らん。

まあ偉そうにしゃしゃり出てみたものの、俺もこんなもんか。
なぜ FPGA の勉強をしているのか知らんが、大事なのは理解できたところからいじくり始めてみること。
とてもじゃないが、最初から全部を理解しきれるものじゃない。これは俺の悪いところでもあるんだがな。
謎が謎を呼んだりもするが、とりあえず放っておいても何とかなる場合も多い。
293774ワット発電中さん:2011/07/13(水) 21:17:20.78 ID:ka7jraJQ
294774ワット発電中さん:2011/07/13(水) 21:44:37.11 ID:txZfpP/V
みんな親切だな。聞き方がふざけた釣りにしか見えないのにw
ROM内蔵のはSpartan3ANとかLatticeXP2とか実際に存在する。
それと、System on Programmable Chip な。
295774ワット発電中さん:2011/07/13(水) 22:14:57.82 ID:zRofoj25
>>294
それはおまいさんがひねくれた見方をするからじゃ、いや、へんな書込みが多いからそういう目で
見るようになるのか。「ググレ」とかっていうレスがつかないようにそういう書き方をしてるんじゃ
ないのかな。
SOPC Builderのアルテラの説明読んでも初心者はわからんのでは。>>292が言ってるように実際に
使ってみてはじめて実感するものかも。まあアルテラの公式サイトよりうまく説明できる人がいれば
話は別だろうけど。

>>284
けちけちな俺でもこれは結構「激安ボード」と思えるかも。アルテラのほうが高いイメージ
だったんだけど。DE0とDE0−NANOってのがあるけど載ってるFPGAのロジックエレメント、
NANOのほうが多いね。これミスタイプかな?22,320ロジックエレメントってザイリンクスの
XC3S250E(25万ゲート)と比べて回路規模でどれくらい差があるんだろう?たとえば
NiosUとかいれてもまだ余裕があるのだろうか?
296774ワット発電中さん:2011/07/13(水) 22:41:00.15 ID:Brk85SiK
NiosII/eだと700LEくらいらしいですね。
あとは周辺機能によると思うけど
297774ワット発電中さん:2011/07/13(水) 23:00:17.93 ID:txZfpP/V
>>295
無理矢理略に当ててるようでちょっとふざけてるように見えただけ。
名前の意味を長々と追求するよりはツール落として起動してみるのが一番だとは思う。

ちなみにCycloneIV に NiosII/f(一番高機能)、DDR2メモリコントローラ、
ストリーミングFFT(16bit×1024ポイント)その他周辺を組み込んで12kLEだったな。
Web Editionで使えるIPではなかなか使い切れないのではないだろうか。

>XC3S250E(25万ゲート)と比べて
それたったの5kLEしかないよ
298774ワット発電中さん:2011/07/13(水) 23:07:36.16 ID:BgXAxOef
DE0-nanoに液晶つけて、SOPC BuilderでNiosII組み込んで、フォトフレーム作ってた高校生。
それに比べて、このスレは・・・
299774ワット発電中さん:2011/07/13(水) 23:31:59.93 ID:PTykeWXQ
そういえば、JTAG接続端子とUSB-Blasterが接続できる状態の、
アルテラのCPLDでパラレルFlashにXilinxのFPGAのコンフィグデータを書き込んで、
CPLDでFPGAをコンフィグするシステムのCPLDの中を設計したときに
ザイリンクスのFPGAのプログラム方法を読んだな。
300774ワット発電中さん:2011/07/14(木) 00:04:23.97 ID:3v93Ec88
>>285
1、
SOPCビルダは、簡単に言うとIP組み合わせるだけで
FPGAの中身を作ってしまいましょうと言うツール。
既存のIPだけでは作れないことが多いので自作IPもインポートできる。
最新QuartusではQsysとか言うのに置き換わってるはず。

2、
Nios IIはAlteraのCPUコアのIP。
SOPCビルダでCPUコアIPの設定を行って周辺回路を組み立てていく。
(Qsysは使ったこと無いので知らない)
別途Nios II用のデバッガ兼コンパイラがあったはず。

3、
Nios IIのようにIPとして提供されるCPUのことを指すはず。
ARMマイコンなんかは良い例。

4、
知らん。
多分Altera純正のダウンローダ。

5、
MAX-IIは中身がFPGA構造でROM内蔵だけど、AlteraはCPLDって言い張ってる。
Alteraのデバイスで他に該当するものは知らない。
てか、ROMが中に入っててもJTAGは絶対必要。
オンボードでROMの内容を書き換える方法が他に無いので。
301774ワット発電中さん:2011/07/14(木) 01:19:48.90 ID:W10+Xevf
>>298
そりゃ、3Ωとドロップアウトとモモニストの集まりだからww
decultureさんもいらっしゃいますか?
シャチョさんが来てくれたら、少しはレベルもあがるんだけどね。
302774ワット発電中さん:2011/07/14(木) 01:35:44.27 ID:F1AaR3df
>>285 = >>290 です。
みなさん、ありがとうございます。おかげ様で、だいぶん分かってきました。

>>293 ありがとうございます。Wikipediaはスゴイですね。
こんなことまで載っているんですね。理解が深まりました。

Nios IIについて
スペリングは未だに分からないのですが、Embeddedマイコンの名前のようですね。
H8, PIC, ARMと同じ土俵の扱いで「Nios II」ですね。
「ALTERA FPGAのFPGAシリコンチップの横に、Nios IIマイコンシリコンチップを
並べて埋め込んで、両者を金線でつないだ、という訳でなくて、
FPGAの中のD-FFを使って、マイコンの動作をするようにHDLを組んだマイコン。
そのマイコンの名前をNiosと呼ぶ」と理解しました。
  (Wikipedia USAによると、Nios=National Institute of Open Schooling なので
   学校向けにALTERAが考案したものでしょうか。調査継続したい)
  Nios 1 があって、今はNios 2なのか、これも情報が得られず不明です。


そして、このNiosマイコンのHDLを生成するための「ウィザードソフト」が、
SOPC Builderですね。SOPC=System On Programmable Chipの略。
このSOPC Builderは、Quartusという開発ツールソフトをインストールすれば、
その中に自動的に入っており、何らかの方法で呼び出して使用する。(と思う)
ここは想像ですが、
そのウィザードで「今日はUARTを3つ、PIOを19bitもらおうか。メモリコントローラもね」と
まるで寿司屋のような注文を付けて、「Submit!」みたいなボタンをクリックすると、
NiosマイコンのHDLが作られる。それを通常のHDLと一緒にコンパイルすると、
FPGA用の書込ファイルができる(のかも)


>エンベデットマイコン
>>292 ありがとうございます。
おっしゃる通りで、狭義にはFPGA内部にマイコンを設置する事を言うようですね。
>FPGAに放り込むモジュールは IP と言ったりもする。
このIPは、Intellectual Propertyの略ですね。昔Z80などにあった函数ライブラリ
みたいなものだと理解しました。無料、有料いろいろあるみたい。

みなさん、本当にありがとうございます。
303774ワット発電中さん:2011/07/14(木) 01:39:49.42 ID:F1AaR3df
連投すみません。明日は休みなので、夜更かししています。

>>297
>名前の意味を長々と追求するよりは
略語を知ることは大事だと思うです。言葉の意味を知れば、本を読んだり会話できたり
できるようになります。意味を知らない略語は使いたくないですから。
(難しい言葉や横文字を並べて、分かったような気になっているとか、相手を煙に巻こうとしてる?
そんな人にはなりたくないんです)

>ちなみにCycloneIV に NiosII/f(一番高機能)、DDR2メモリコントローラ、
cyclone4というFPGAに、Nios II/fullバージョンのマイコンと、
Double Data Rate2 メモリコントローラを、SOPC Builderに依頼して作り込んで、

>ストリーミングFFT(16bit×1024ポイント)その他周辺を組み込んで12kLEだったな。
データを受信しながら同時に行う高速フーリエ変換(縦96dB解像度、横幅1024周波数)を
組み込んで、12000Logic Elomentを消費した。

>Web Editionで使えるIPではなかなか使い切れないのではないだろうか。
webの無料ソフトで使える函数モジュールでは、なかなか使い切れない....

という理解であっているでしょうか?
304774ワット発電中さん:2011/07/14(木) 01:47:33.17 ID:dhihkXPc
ひとつだけ。
SOPC Builder(Qsysに移行中)はNiosIIを含むデザインを作るため「だけ」のツールでは無い、
と認識した方が良いと思います。

NiosIIを使ったデザインを作るためにはSOPC Builderは「必須」ですが、逆は真ではありません。
SOPC BuilderはNiosIIを含まないデザインを作るのにも有用です。
自分が今まで作ったモノを見てみると、AlteraでNiosIIを使わなかったデザインはいくつもありますが、
SOPC Builderを使わなかったデザインは1つも無いですね。
305774ワット発電中さん:2011/07/14(木) 01:55:54.65 ID:F1AaR3df

>>300
ありがとうございます。
みなさんのおかげで、お話の内容が少しずつ分かるようになってきました。

>SOPCビルダは、簡単に言うとIP組み合わせるだけでFPGAの中身を作ってしまいましょうと言うツール。
ということは、SOPC Builderを使わなくても、自力でHDLを書きまくれば、
UARTやSDRAMコントローラ、ひいてはNiosIIまで作れるという理解で良いのでしょうか?

>Nios IIはAlteraのCPUコアのIP。
実は、この1行でNios IIの実体がわかりました。端的ですが十分な説明ですね。
実はIPなんですね。よくわかりました。

>ARMマイコンなんかは良い例。
FPGAの中に、ARMマイコンの「IPを入れる」のと「ずばりシリコンチップを入れる」のは、
性能的には同じと考えるのですが、どうでしょうか?

>MAX-IIは中身がFPGA構造でROM内蔵だけど、AlteraはCPLDって言い張ってる。
なんでそんな意地を張るんでしょうか。素直にFPGA+ROMと言えばいいのに。

>Alteraのデバイスで他に該当するものは知らない。
ということは、前出のSpartan3 ANしかない、ということでしょうか?
もちろん、JTAGの配線は要りますが、5本くらいで済み、FPGAとメモリ間の配線は
要らなくなるので、便利かなと思うのですが。

FPGAの評価ボードは安く出ていますが、Nios IIのソフト?は高いんでしょうか。
フリーのグレード、Nios II/e (e=evaluation? , economy? , education?) だと
小さなものしか作れないという話ですし。
買おうかな、と思っています。
306774ワット発電中さん:2011/07/14(木) 01:59:32.43 ID:bJ3W5b8N
ダウンロード機能付きで激安と言われると、MAX II Micro Kit を思い出すな。
ttp://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=215

オレはUSB Blaster持ってるから、これが使い物になるのかどうか知らないけど。
307774ワット発電中さん:2011/07/14(木) 02:04:45.60 ID:F1AaR3df
>>304
連投すみません。休みが変わってから、体が変です。

情報をありがとうございます。

>SOPC Builderを使わなかったデザインは1つも無いですね。
この1行に凝縮されていますね。ありがとうございます。
慣れてきたらやってみます。

さきほど、Quartus II web editionを落として、動かしてみました。
HDLを書くエディターがすばらしいです。
「-」ボタンでインデントがopen/close、こんなのは初めて見ました。
木を見て森も見えるエディター。いいですね、感動しました。
良くできてる。

308774ワット発電中さん:2011/07/14(木) 05:18:21.31 ID:yrVvbpNm
>「-」ボタンでインデントがopen/close、こんなのは初めて見ました。

どんだけ視野狭いんだよwww
309774ワット発電中さん:2011/07/14(木) 06:01:10.97 ID:91yoDBcR
堅苦しい人だなあ。

> (難しい言葉や横文字を並べて、分かったような気になっているとか、相手を煙に巻こうとしてる?
> そんな人にはなりたくないんです)

そんなの話し方でいくらでもフォローできると思うんだけど。詳しそうな人が相手だったらついでに聞いてみるとか。
プライド高いのかな。
310774ワット発電中さん:2011/07/14(木) 08:00:15.43 ID:+6mKLrg3
>>306
DE0シリーズより値段がちょっと安いけど、MAX IIでできることは少ない
DE0-Nanoと比べてLE数で1/10くらいだし、ブロックRAMもPLLもない
DE0シリーズは外付けRAMも付いてるし
値段と機能を比べたら選択肢に上がらないと思うけど
311774ワット発電中さん:2011/07/14(木) 08:11:11.52 ID:AMCEP5pD
>301
は録画したアニメの消化でもしてろ。
FPGAさわれないんだろて。
312774ワット発電中さん:2011/07/14(木) 09:45:50.63 ID:BVujmr1O
>>296>>297
イメージしやすい情報ありがと。XC3S250E(25万ゲート)でも俺には十分だと思ってた
けどそれよりもさらに上とは。自作電子工作には使う場が多くはなさそうと思ってたけどNiosU/e
を組み込んでマイコン+PLDって使い方なら重宝しそうだ。

>>305
>フリーのグレード、Nios II/e (e=evaluation? , economy? , education?) だと
>小さなものしか作れないという話ですし。
これだとものすごく残念な印象になるけどアルテラによれば次ように書いてる。
「Nios II /e コアは、自動車、工業用機器、および民生用機器といったコスト重視の
アプリケーションに最適です」
http://www.altera.co.jp/devices/processor/nios2/cores/economy/ni2-economy-core.html
周辺機能は上位のコア同様に選択して組み込めるんだよね。他のマイコン同様、たいていの
アプリには十分なのでは?

これまでザイリンクスばかりみてきたけど、アルテラの公式サイトのほうが情報が見やすく初心者
には取り組みやすそうな印象だな。アルテラ、いいね。
313774ワット発電中さん:2011/07/14(木) 10:14:04.45 ID:JWFv9qSu
>>298 そりゃ、3Ωとドロップアウトとモモニストの集まりだからww

それより恥ずかしい ID:W10+Xevf 40歳後半のアニメキモヲタ
314774ワット発電中さん:2011/07/14(木) 12:26:42.08 ID:JWFv9qSu
↓コイツ、初心者が来ると湧いてくるな。
新生 近藤豊広こと軽石?もしくは豚嫁Marie?


301 名前:774ワット発電中さん[sage] 投稿日:2011/07/14(木) 01:19:48.90 ID:W10+Xevf
>>298
そりゃ、3Ωとドロップアウトとモモニストの集まりだからww
decultureさんもいらっしゃいますか?
シャチョさんが来てくれたら、少しはレベルもあがるんだけどね。
315774ワット発電中さん:2011/07/14(木) 14:28:32.70 ID:aHbt06U+
おまえ、昨日から目の敵(めのかたき)だな。

もう誰も食いつかないよ。
つまらんやつ
316774ワット発電中さん:2011/07/14(木) 19:09:24.32 ID:scixAqGk
>>313
「40歳後半のアンモニアヲタ 」に見えた
317774ワット発電中さん:2011/07/14(木) 19:18:08.61 ID:JWFv9qSu
小便くさい幼女好きの40後半。地獄絵図…
318774ワット発電中さん:2011/07/14(木) 23:03:53.21 ID:zbab7C2M
Nios IIの公式ページに「評価は無償」って書いてあるけど
無償なのってeコアだけじゃないの?
319774ワット発電中さん:2011/07/14(木) 23:17:08.49 ID:3v93Ec88
評価版=opencore plusだったかな?
USBブラスタつなぎっぱなしでないと動かなかったと思う。
320774ワット発電中さん:2011/07/14(木) 23:41:23.98 ID:Q1pS7x3G
>>315
ここまで粘着できるID:JWFv9qSuは本当にうざいな。
臭い、臭いと騒いでいて、実は自分の体臭が匂っているだけの自営業は早く逝ってほしい。
321774ワット発電中さん:2011/07/14(木) 23:59:52.48 ID:+6mKLrg3
>>318
評価 "は" 無料
純正プログラマを使ってQuartus II Programmerからコンフィグしたときに限り動く。
JTAGを切断するか、Open Core Plusダイアログを閉じるまでは機能制限なく使える。
SRAMコンフィグのみでROMに書くことは出来ない。

Niosに限らず、有償のIPはこうなっているのが多い。
322774ワット発電中さん:2011/07/15(金) 00:03:18.30 ID:G+nBO0WH
粘着性のキモいのは最低2匹いるとみた。
323774ワット発電中さん:2011/07/15(金) 00:33:21.83 ID:bF+v1Hj6
夫婦で荒らしかよ、泣けるぜ。
324774ワット発電中さん:2011/07/15(金) 00:47:34.42 ID:qcLLeOH8
本日の一匹目はID:bF+v1Hj6だな。
325774ワット発電中さん:2011/07/15(金) 00:50:06.89 ID:bF+v1Hj6
何でだよ、同志。
326774ワット発電中さん:2011/07/15(金) 20:07:54.53 ID:Lu57T59e
アルテラのほうはNiosU/eプロセッサ・コアの組み込みとC言語によるプログラミングをメーカー
提供のフリーツールだけでできそうだということだけど、ザイリンクスのMicroBlazeはどうなんだろう?
フリーの開発環境で使えるのかな?
327774ワット発電中さん:2011/07/16(土) 00:09:42.73 ID:k3cQFtb1
>>326
Xilinx SDK はライセンスが要るはず。

>>328
FPGA+PCをまとめてシステムにして、
起動ごとにシステム内のPCから自動コンフィグするような仕組みにしてやれば、
評価ライセンスでずっと動作できるのかな。

ちょっと高いけど、teraasicのVEEKほしいなぁ・・・
328名無しさん:2011/07/16(土) 22:51:29.98 ID:1mAD9neL
>>305
> >ARMマイコンなんかは良い例。
> FPGAの中に、ARMマイコンの「IPを入れる」のと「ずばりシリコンチップを入れる」のは、
> 性能的には同じと考えるのですが、どうでしょうか?

ARMから提供されるRTLはFPGA向きではないよ
なのでASICのようなパフォーマンスは出ない
RTLの改版権なんて通常のASICベンダーも持って無い
Xilinxが今度ハードマクロでA9 800MHz搭載してくるが
Xilinxにソフトマクロでマッピングしたら
A9 30MHz程度で限界だよ
329774ワット発電中さん:2011/07/18(月) 21:01:26.20 ID:gqYIm/Oi
XILINXのサイトにサインインできなくて困ってる。オレだけ?
330774ワット発電中さん:2011/07/18(月) 21:03:57.79 ID:dh74Zmh8
普通に入れたけど。
331774ワット発電中さん:2011/07/18(月) 22:28:20.83 ID:gqYIm/Oi
どうやっても入れんからアカウントを作り直した。で、ISEをダウンロードしようとしたら追加でプロフィールを要求されてそこでエラーで終わり。
全くどうなってるのか。
332774ワット発電中さん:2011/07/18(月) 23:07:35.46 ID:tWmYY5kx
ブラウザとかOSとかの問題では?

いや、ブラウザとかOSに左右されるって時点でダメサイトだけどなw
333774ワット発電中さん:2011/07/18(月) 23:07:45.38 ID:4B5x7BxZ
ブラウザの種類もバージョンも書かずチラ裏ですか
334774ワット発電中さん:2011/07/19(火) 00:09:15.06 ID:stY7P8yu
クライアント側で依存してるとしたら赤米のJavaダウンローダーかな。
ブラウザーからJavaを呼び出せない・許可が無いとか。

でも、ザイリンクスのアカウント周りもトラブル多いよ。
登録済みライセンスが消えてたり、他社のライセンスが見えたりとかw
サーバーが持病持ちなんだと思ってる。

急いでるなら代理店に頼んだほうが早い。
335774ワット発電中さん:2011/07/19(火) 21:43:13.59 ID:nFyzBE80
ブラウザはIE8。OSはVista。
ISEをダウンロードしようとすると住所を入力する画面になって、次へボタンを押したらエラーって表示される。
このエラーはブラウザのエラーではなくerror.doが出力してるXILINXからのメッセージ。
しばらくして再度お試しくださいって、昨日からこの調子。
誰か助けてくれませんか。
336774ワット発電中さん:2011/07/19(火) 21:55:16.03 ID:pr5zkpBw
XILINXに連絡する以外ないと思うが…
337774ワット発電中さん:2011/07/19(火) 22:34:08.34 ID:NOHUY1xP
>>335
IE8、Windows7で13.2をダウンロードしてみたけど、問題ないみたい。
住所等を入力する部分で、日本語が許可されていない部分で日本語を入れているとか、
ActiveXを無条件で弾く設定になっているとかは?
338774ワット発電中さん:2011/07/19(火) 23:08:01.42 ID:nFyzBE80
全部英語にしてもだめでした。ActiveXはよくわからないのですが、IEのセキュリティレベルを中にしてもだめでした。
ダウンロードができるということは、アカウントのプロフィール関係がおかしいのですかね。。
339774ワット発電中さん:2011/07/20(水) 00:06:11.87 ID:y6DIdvLf
その位のこと自己解決できない奴はISE落としても一緒だから
あきらめろ。煽りではなくマジで。
340774ワット発電中さん:2011/07/20(水) 01:01:01.82 ID:AEGQdP7P
普通にWindowsXP、Opera11で落とせるけどな。
IE以外で落とせるんだからActiveX関係ないだろ。
341774ワット発電中さん:2011/07/20(水) 04:20:34.13 ID:s6KcHRQR
>>338
OSインストールからやりなおすとか、
自分も挙動がヘンで別のPCから行けるけどメインで使ってるのでは全然ダメで入れなおした事があった。
342774ワット発電中さん:2011/07/20(水) 08:37:40.36 ID:ywcghA5P
ザイリンクスの公式サイト、結構重たいよね。ちょっと回線速度が遅かったりすると表示するのに
時間がかかる。それにISE Web Packのファイル容量は馬鹿でかく(4Gオーバー)ダウンロードに
要する時間が長い。
343774ワット発電中さん:2011/07/20(水) 19:55:18.69 ID:bnwXL0Ei
数日前にやったときfirefoxだったけど、ダウンロード出来たよ
344774ワット発電中さん:2011/07/20(水) 19:58:24.06 ID:R1iAy0vK
OS変えてもブラウザ変えてもだめでしょうがなくXilinxに連絡したら
翌日「もう一回やってみ」的返事が来て
全然わかってくれない〜と泣きながらやったらなぜか通ったことはあった。

むこうで何かしたのか、何にもしてなくて何かがタイムアウトしたとかなのか
その辺はさっぱり分からないが。

ほんとに連絡してみたら?



345774ワット発電中さん:2011/07/20(水) 21:22:53.49 ID:H8GWrMQx
C:の空き容量が足りなくて詰んだことはあるな
346774ワット発電中さん:2011/07/20(水) 22:54:09.51 ID:j4yiWmD5
XILINXに連絡したら異常ないからもう一度試してみ、みたいな返事が。
別のPCで試しても同じ症状(こちらはXP)だからサイトの問題だと思うのだがなぁ。
347774ワット発電中さん:2011/07/21(木) 07:12:35.60 ID:n9QXiUdE
サイトの問題なら俺も食らうからなぁ
プロバイダのせいかも知れないね
348774ワット発電中さん:2011/07/21(木) 07:23:32.50 ID:BGzEmYpv
XILINXからアカウントの入力内容がおかしいから直せと連絡きた。最初は問題ないと言ってたくせに。
でもどこを直せばいいかわからん。英語でアカウントを取り直そうかなぁ。
349774ワット発電中さん:2011/07/21(木) 08:09:53.57 ID:0ON5hAPH
ウィルス検査ソフト…
350774ワット発電中さん:2011/07/21(木) 10:42:44.27 ID:FGcOc8iF
そうやって偽装URL付きのメール送れば、フィッシングが出来るのかぁ
351774ワット発電中さん:2011/07/22(金) 06:40:39.84 ID:uTiwa+s6
記載内容のへんな場所に全角コードでも入っちゃったのかね?
352774ワット発電中さん:2011/07/22(金) 07:20:21.98 ID:qzzwQ5Mq
直し方がわからんと返事したら調べてくれることになった。
調べてから連絡くれと。レスポンスが早いのは良いけど。
353774ワット発電中さん:2011/07/22(金) 08:17:51.98 ID:KFkFOys9
DE0-nanoのクイックスタートガイドの記述と付属のDVDはv10.1sp1となってる。
マニュアルの説明では「NIOS II IDE」と説明されてるんだけどNIOS II IDEとNIOS II EDS
は同じもの、違うもの、どっちだろう?NIOS II EDSは起動できるんだけどNIOS II IDEの起動の
仕方がわからない。
354774ワット発電中さん:2011/07/23(土) 10:05:54.41 ID:yaWdrUeN
>>353です。自己解決しました。DE0−nano上で無事にNiosUが動き出した。はじめてだから
LEDとプッシュボタンがついてればNiosUの実験には十分と思ったけど、次のステップに向けて
外部回路が必要そうだ。DE0はオンボードでいろいろついてるからDE0の方がよかったかな。
ブレッドボードに接続しやすいようにソケット側のコネクターがついてたらいいのにな。
355774ワット発電中さん:2011/07/23(土) 13:06:18.55 ID:C172k+L5
解決しました。アカウントの「市」の所に町名が入っていたためでした。市の名前をローマ字で完全チェックしてるとは。
356774ワット発電中さん:2011/07/23(土) 21:42:04.17 ID:WM67ukni
弾いた理由を表示するとか、
リストから選択させるようにすればいいのに。
そこらの個人サイトより酷い実装じゃん。
357774ワット発電中さん:2011/07/24(日) 06:47:17.09 ID:p5W7ve8F
NIOS動かして何するの
358774ワット発電中さん:2011/07/24(日) 10:13:20.41 ID:jlaD/rjO
ソフトCPUのおススメって何かあります?
359774ワット発電中さん:2011/07/24(日) 18:00:41.77 ID:IcGI1+Qn
ナイオス2
360774ワット発電中さん:2011/07/25(月) 12:23:12.35 ID:IaBjXOuB
軽石さん…、トングル再び…
361774ワット発電中さん:2011/07/25(月) 18:55:00.53 ID:bM9xfrJc
ひさしぶりに簡単なロジックをと思い、開発ツールを落とそうかなとしたら…
ダウンロードで2G, インストールで10G超え
ちょっと躊躇してしまったよ
362774ワット発電中さん:2011/07/25(月) 19:50:17.39 ID:fgZKaNj0
ツールだけで10GBってアホ杉だよな
363774ワット発電中さん:2011/07/26(火) 05:58:58.42 ID:0JE6T614
ワープロだけでFDD10枚とかアホすぎだよね
364774ワット発電中さん:2011/07/26(火) 07:32:17.34 ID:vjzhKiJd
>363
善部町から出てくるな、軽石。
365名無しさん:2011/07/26(火) 08:58:51.34 ID:aLHbKMZC
今時ツールで10GBくらい大したこと無いが
Xの版数上がると別のバグが出るのが…
どんなテストしてツールリリースしてるんだ
366774ワット発電中さん:2011/07/26(火) 09:03:48.95 ID:VMvNepGc
検証部隊が能無しなのはデフォ
367774ワット発電中さん:2011/07/26(火) 12:02:33.22 ID:m90/BzXe
この手のツールってのは、ハードの発売に合わせなきゃならんから、デバッグで不具合出てもリリースは遅らせるわけにいかねえからなぁw
368774ワット発電中さん:2011/07/26(火) 18:12:40.97 ID:rS3JebtR
>>365
しかしPLDで超小規模の回路をと思ったら10Gって納得できないw
369774ワット発電中さん:2011/07/26(火) 18:54:12.91 ID:vPqWWWF3
10ゲートなら十分小規模じゃないか!
370774ワット発電中さん:2011/07/26(火) 21:28:35.63 ID:DaS3Wyo4
発売もされていないデバイスもインストールするから。
大人しく、Spartan3とVirtex4だけにしとけばいいんだ。
そうしたら、容量は小さくなる
371774ワット発電中さん:2011/07/26(火) 21:51:22.16 ID:nmLgcuz0
NiosIIのタイマー・レジスタに、汎用マイコンと同じようにアクセスしたくて次のようなコードを書いた。
struct st_tmr1 { /* struct TMR0 */
union { /* union P2PCR */
unsigned short WORD; /* Byte Access */
struct { /* Bit Access */
unsigned short TO :1; /* Bit 7 */
unsigned short RUN :1; /* Bit 6 */
unsigned short :6; /* Bit 5 */
unsigned short :8; /* Bit 5 */
} BIT; /* */
} TSR; /* */
union { /* union P2PCR */
unsigned short WORD; /* Byte Access */
struct { /* Bit Access */
unsigned short ITO :1; /* Bit 7 */
unsigned short CONT :1; /* Bit 6 */
unsigned short START:1; /* Bit 5 */
unsigned short STOP :1; /* Bit 4 */
unsigned short :4; /* Bit 5 */
unsigned short :8; /* Bit 5 */
} BIT; /* */
} TCR; /* */
union { /* union P2PCR */
unsigned int DWORD; /* Byte Access */
struct {
unsigned short L;
unsigned short H;
} WORD;
} TMR; /* */
union { /* union P2PCR */
unsigned int DWORD; /* Byte Access */
struct {
unsigned short L;
unsigned short H;
} WORD;
} CNT; /* */
};
/* */
#define TMR1 (*(volatile struct st_tmr1 *)IOADDR_ALTERA_AVALON_TIMER_STATUS(TIMER1_BASE)) /* TMR01 Address*/


main関数内で
TMR1.TCR.BIT.ITO = 1;
TMR1.TCR.BIT.CONT = 1;
TMR1.TCR.BIT.START = 1;
のようなタイマーの初期設定をすると、動作したり、しなかったりと不安定なのだが、NiosIIでは
このようなレジスタへのアクセスは駄目なのかな?
IOWR_ALTERA_AVALON_TIMER_CONTROL(TIMER1_BASE, 0x07);
のような記述をすると今のところ完全に動作してるみたいなのだが。
372774ワット発電中さん:2011/07/26(火) 21:54:16.28 ID:nmLgcuz0
簡単なコードをスレにアップするのにスペースとかタブとか書式を整えて書き込む方法はないのかな?
書式が崩れて醜くなってしまった。
373774ワット発電中さん:2011/07/26(火) 22:02:24.38 ID:VMvNepGc
コンパイル結果見ないと分からんけど、
ワードアクセス必須の場所にバイト書き込みとかしてるんじゃないのか?
374774ワット発電中さん:2011/07/26(火) 22:16:37.60 ID:iJ8zYQV9
375774ワット発電中さん:2011/07/27(水) 00:08:39.74 ID:uIKFEJDu
>>371
Quartus 9.1sp2で標準のInterval Timerを作って、タイマーのHDLを見てみた。
バイトイネーブルがない。
共用体アクセスすると、コードの最適化具合依存でバイトアクセス(バイトイネーブル必須)だったはず。
(XilinxのMicroblazeも同じよ:共用体使うならバイトイネーブルが要る)

素直に、こう書けば?
IOWR_32DIRECT(TIMER1_BASE, offset, data);
 offsetに書くべきアドレスはsystem.hに定義があったはず。
 dataは32bit(alt_u32型)のデータ。

HDLとコンパイル結果を嫁ば全て解決。
376774ワット発電中さん:2011/07/27(水) 08:36:46.62 ID:Ob27I5fN
>>371
あとはNios2/fなら、IOアクセスはIO関数使わないとキャッシュに引っかかるからやめたほうがいい
377774ワット発電中さん:2011/07/27(水) 09:35:48.19 ID:ibTUnh3Y
>>371
使ってるCPUはNiosII/eです。

IOWR_ALTERA_AVALON_TIMER_CONTROL(...) と IOWR_32DIRECT(...)
注意すべき違いがあるのかな?

「SYSTEM_BUS_WIDTH」の定義はどのファイルにあるのかな?SOPCを使ったNiosII/eの
デフォルト生成では32なのかな?
378774ワット発電中さん:2011/07/28(木) 09:19:56.74 ID:tFUk7VB1
QuartusIIとNiosIIEDSのプロジェクトを作成し、Cコードも途中まで書きかけた状態で
ハードウェアのシステム構成をSOPCで変更しなおした後、その変更を現在のプロジェクトに
反映させるもっとも簡単な手順はどうすればいいんだろう?
GUIのメニューをマウスで数クリックで作成途中のファイルや設定を更新してくれる方法が
あればいいんだが。
379774ワット発電中さん:2011/07/28(木) 21:03:38.98 ID:iqTBe5bH
>>378
現在のプロジェクトってプログラムのほう?
SOPCでGenerateしてEDSでGenerateBSPするだけでは?
380774ワット発電中さん:2011/07/28(木) 22:27:38.89 ID:iYWemHnh
社内にXILINXを使うチームとALTERAを使うチームがあって何となく共存してたのですが統一することになりました。
XILINXに統一したいのですが何か殺し文句はありませんか?
381774ワット発電中さん:2011/07/28(木) 22:32:51.48 ID:hpwzrVpq
>>380
なんと無駄な事をしていたんだとw

で、Xilinxにしたい? 殺し文句? Xilinx派を根こそぎ一掃する気かw
382774ワット発電中さん:2011/07/28(木) 22:48:57.42 ID:3h4eNu1p
業界シェアNo1とでも言っとけよ
383774ワット発電中さん:2011/07/28(木) 23:14:03.55 ID:/WcHW0A5
ひとつになろう!ニッポン!!
384774ワット発電中さん:2011/07/28(木) 23:18:08.82 ID:DfKNnDc3
・開発環境が某社より使いやすい
・他社のデバイスよりちょっと速い
・メーカーサイトが見やすい
・純正ダウンロードケーブルが某社より気持ち安い

って、あれ・・?
385774ワット発電中さん:2011/07/29(金) 00:41:45.67 ID:B5xRpJ/i
AよりXの方がきもてぃいい
386774ワット発電中さん:2011/07/29(金) 01:55:13.37 ID:cj/PY9Ph
Xの方が、量産時のディスカウントがすごい、もう。
387774ワット発電中さん:2011/07/29(金) 08:34:02.15 ID:6XPrUiQc
>>380
使用率95%超えたあたりの挙動が、Xの方が安定している(合成metしやすい)。
DSPマクロが素直な作り
RAM周りのつくりがしっかりしている(Aはエラッタ以外でも不安定要因がある)

Xは資料の検索がしにくい
ISEは時々クリーンアップしてやらないと合成結果が信用できない
AのようにEDKくらいらいライセンス不要にしてくれよ
オプション設定する場所を一カ所にまとめてほしいなあ
388774ワット発電中さん:2011/07/29(金) 08:58:14.19 ID:p85yiDSa
>>379
ありがとう。BSPエディタでgenerateすればいいんですね。
389774ワット発電中さん:2011/07/29(金) 12:01:20.36 ID:rfvPx4R8
>>380
ツールに関するバグ、バグっぽい挙動は、全て私がフォローします、フォロー
しきれなかったら責任取ります。(キリッ)
と言えば、いいんじゃないかな。

1社だけに依存するのは、どうかとは思うけれど。
390774ワット発電中さん:2011/07/29(金) 21:07:08.05 ID:0/c2ibxi
>>389
それ言われたらXilinx使っても良いって思えるw
391774ワット発電中さん:2011/07/29(金) 23:44:25.97 ID:VTibKSfZ
Xilinxってなんであんなにバグ多いんだろね。石はいいのかもしれんが、ツールがクソ過ぎる。
どうせ統一するならAlteraに統一する方がいいんじゃね?
392774ワット発電中さん:2011/07/30(土) 00:16:09.06 ID:EnpcKhfT
AlteraはPCIeのハードIPがトランスポート層まであるのが気に入った。
393774ワット発電中さん:2011/07/30(土) 16:28:18.12 ID:SmcPv0T+
>ISEは時々クリーンアップしてやらないと合成結果が信用できない

これではまってるのは俺だけじゃなかったのか。
394774ワット発電中さん:2011/07/30(土) 17:17:56.33 ID:naqlwrSw
量産数が多くて大量に石を仕入れるならコスト的にXilinx
量産数が少ないならツールが使いやすいAltera
でいいと思う。
395774ワット発電中さん:2011/07/30(土) 17:56:35.33 ID:3o9YQ5fV
>>393
>これではまってるのは俺だけじゃなかったのか。
ないない。みんな困ってる。
そんなメニューを付けるくらいなら、
毎回コンパイルの前に自動的にやって欲しいと思う。
396774ワット発電中さん:2011/07/31(日) 00:28:39.96 ID:6jXvEmEA
>>395
>>393
クリーンアップをすると24時間、しないと2時間

だったらどうする?毎回やられたら泣く。
397774ワット発電中さん:2011/07/31(日) 03:38:04.61 ID:VaaIrDgh
クリーンアップをすると24時間、しないと2時間だったら、ね。
でも多くの場合は、
クリーンナップを忘れていて、半日以上悩んでいるが、
クリーンナップすれば、10分で結果が出て、次の段階に行ける。

398774ワット発電中さん:2011/08/02(火) 18:20:17.99 ID:1xgxxpNS
普段使ってるパソコンはPentium4,2.53GHzのxpで、NiosII CPUと周辺モジュール、合計2,500
ロジック程度の構成をコンパイルするのにかかる時間は3分30秒程度。比較的新しいパソコンで
どれくらいコンパイル時間が短縮されるか興味があってi5-750,2.66GHzのwindows7で試してみた
ところコンパイルに要した時間は45秒程度だった。思ったほど時間短縮にはならない気がするけど
どうかな?

windows7(64bit)にQuartusIIv10.1sp1をインストールしてるのだがusb blasterがprogrammer
で認識されない。ターゲットボードはDE0-nanoボード。デバイスマネージャで確認するとドライバは
正しく動作してるし、DE0-nanoのコントロールパネルでは接続も問題ない。どなたか解決方法を
知りませんか?
399774ワット発電中さん:2011/08/02(火) 19:14:53.19 ID:2HKEw8nD
五倍以上早くなっているのに、「あんまり」か?
劇的だと思うけど
400名無しさん:2011/08/02(火) 19:32:34.91 ID:YQ/CAgqt
来年予定のISE14.1は本当に合成速くなるのかなぁ
まずは速度より品質だけどねぇ
401774ワット発電中さん:2011/08/02(火) 19:33:43.41 ID:7ZpV1XuR
Pen4-2.53GHzの発売日は2002年5月7日、Core-i5 750の発売日は2009年9月8日
約7年立ってるからムーアの法則により約25倍の性能になっていてほしかったよね
402774ワット発電中さん:2011/08/02(火) 19:57:16.28 ID:zzpb1bXf
周波数が上げ止まってるからな、
横への広がりでカバーなんだろが、アプリをマルチコアに対応させるのは至難の技。
403774ワット発電中さん:2011/08/02(火) 20:09:17.77 ID:BUrjH/Wx
マルチコアの割にバスが貧弱なんだよ
あと読むサイズが細かいとレイテンシが累積する
CPU以外は昔と比べて圧倒的という程でもない
404774ワット発電中さん:2011/08/02(火) 20:23:15.72 ID:p2QlC8sz
AtomでQuartus使うとLED光らせるだけでも5分かかる
405774ワット発電中さん:2011/08/02(火) 21:27:46.38 ID:2HKEw8nD
同じのをザイリンでもやって欲しい
406774ワット発電中さん:2011/08/02(火) 21:47:47.87 ID:dG4wSzI5
>>398
> 思ったほど時間短縮にはならない気がするけどどうかな?

内部バスで繋がってるキャッシュはともかく、外部メモリバスの速度は
大して上がっていないからそんなもんじゃないの?


407774ワット発電中さん:2011/08/02(火) 22:15:14.37 ID:TU6nPhGq
>>406
メモリバス自体は順当に上がってるよ。
Pen4世代だとDDR333かな?2.67GB/sあたり。
今のDDR3-1600だと12.8GB/s、トリプルチャネルだと38GB/s超。
伸びていないのはアクセスタイム。
408774ワット発電中さん:2011/08/02(火) 22:16:44.30 ID:LsUjwick
連続アクセスだから見かけだけだね。物理的にどうしょうもないわな
409774ワット発電中さん:2011/08/02(火) 22:32:48.74 ID:Rx0MeUor
ディスクアクセスが結構な割合を占めてる。
SSDにするとかなり快適よ。
寿命縮めそうだけど。
410774ワット発電中さん:2011/08/03(水) 00:31:49.37 ID:NwgRHcia
25倍の性能ってことは、この例だと8.4秒か
3分30秒の処理が一桁台の秒数で終わるなら速攻で買い換えるレベル

>>398
「Hardware Setup...」でも認識されないってことだよな?
411774ワット発電中さん:2011/08/03(水) 12:15:31.98 ID:yycb0oZa
>>409
そんなことしなくても、RAMディスクドライバ入れて、環境変数TEMPで
テンポラリをRAMディスクに置けばええんでないの?

もしかして環境変数も参照しない糞な作りなの?
412774ワット発電中さん:2011/08/03(水) 15:33:00.67 ID:9GrAR05h
>>411
GB単位でメインメモリを消費するソフトだぞ。
RAMディスクに使うなんて勿体ない。
413774ワット発電中さん:2011/08/03(水) 16:11:08.83 ID:/FXC2dqA
常時GB単位のメモリを食うわけでもなかろう。 そもそもOSが管理する仮想
空間が4GBしかない32bit OSだと、プロセスあたり実質3GBを超えるスワップ
領域を確保するなんてできないわけだが、おまいら全員64bit環境か?

たとえGB単位でも、いまやDDR3メモリを4GB×2枚が4000円以下に下落してる
し、ケチなこと言わずに最低でも4GB×4枚(16GB)くらい積めよ。Intel系
なら、安マザーでも最低×6枚(24GB)まではいけるやろ。

糞ソフトが論理合成のたびにどっかのループでメモリリークしてるのと
ちゃうのか?

それに、Windowsに限った話ではないが、64bitにしたところで、仮想空間の
割り当てはともかく、OSに割り当てるメモリが足りなくなって止まってしまう
ほど、アプリには実メモリを割り当てない。
414774ワット発電中さん:2011/08/03(水) 16:57:23.26 ID:oxvfa5R4
#include <stdio.h>
#include <stdlib.h>
#include <unistd.h>

int main(int ac, char **av)
{
int i;
char *p;
for(i = 0; i < 65536 * 32767; i++){
printf("%d ", i);
p = (char *)malloc(65536 * 1024);
if(!p){
printf("error in malloc\n");
break;
}else{
printf("allocated: %08lX\n", p);
}
sleep(5000);
}
printf("test\n");
return 0;
}
415774ワット発電中さん:2011/08/04(木) 21:51:23.81 ID:+SzPSoqU
QuartusII のWeb Editionって64bitOS未対応だけど、
実質不都合ってある?
416774ワット発電中さん:2011/08/05(金) 01:24:54.31 ID:15ttrJ+m
メモリ要件
ttp://japan.xilinx.com/ise/products/memory.htm
ttp://www.altera.co.jp/literature/rn/rn_qts_dev_support.pdf

>>413
Virtex-6であっぷあっぷし始めたから64bitに移行したよ。

>>414
メモリを読み書きすれば確実に消費するけど、
mallocの場合は割り当てだけなのか消費するのか、
ライブラリーがどういう実装してるかによるのでは。
初回メモリプール割当て時にメモリフィルするライブラリーもあるし。

>>415
もともとWebエディションはでかい石を扱えないから問題無いんじゃね。
417415:2011/08/05(金) 07:30:48.51 ID:Owahhgyy
>>416
あーごめん。64bitOSにインストールした場合に
不都合ありますか、って意味です。

実際、Win7(64bit)で動いてる?みたいだけど、
なんか不安なんで。
418774ワット発電中さん:2011/08/05(金) 09:53:49.18 ID:w2Tgste4
>>417
仕事での使用のはなし?それとも趣味の範囲?
趣味の用途なら動いてるならそれでいいんじゃないかということなのだけど。

自分のwindows7(64bit)環境でもQuartusII、NiosII_EDS (v10.1sp1)ともに動く状態にセットアップできたけど
xp(32bit)のときのように「少しのつまづきもなく」というわけにはいかなかった。
419415:2011/08/05(金) 18:47:02.04 ID:Owahhgyy
趣味の範囲です。(仕事の勉強も兼ねて、ですが)
おっしゃる通り、動いてるならそれでいいじゃん!
と言われればそれまでなのですが。

>「少しのつまづきもなく」というわけにはいかなかった。
具体的になにか不具合があったのでしょうか?
420774ワット発電中さん:2011/08/05(金) 20:35:36.52 ID:w2Tgste4
セットアップに苦労したという印象があってかいたけど、windows7(64bit)上のQuarturIIの問題
じゃなくてNiosII_EDSの方の問題だった。新規プロジェクトを作成した時プロジェクト・エクスプローラ
・ウィンドウにBSPプロジェクトだけが表示されてアプリケーションプロジェクトが見えないとという
問題があって、ビルドができなかった。
421774ワット発電中さん:2011/08/06(土) 04:43:07.48 ID:ZPS9Giu+
参考までにどなたかご存知でしたら… m(_ _)m
PICだと書き込まれたプログラムをなんとか読み出すツールがあるものもあるそうですが
割と小規模、単純なPLDに既に書き込まれたHDLを引っ張り出すことって出来るものなのでしょうか。
422774ワット発電中さん:2011/08/06(土) 06:14:08.29 ID:oAhN+3eC
無理
423774ワット発電中さん:2011/08/06(土) 11:05:11.41 ID:lyGnZVNq
運良くプロテクトヒューズが気ってなくても、読み出せるのは、JEDECの
ヒューズマップ(バイナリ)まで。

PICにしたって同様。インデント付きで綺麗に整形&ご丁寧にコメントが
付いたソースが読み出せるわけではない。
424774ワット発電中さん:2011/08/06(土) 11:16:50.03 ID:Gl83PqY1
というか、HDLが書き込まれるわけがないだろが
425774ワット発電中さん:2011/08/06(土) 11:41:38.51 ID:ObahRul6
たぶんコピー品作る業者なんだよ。
単に無理とだけ答えとけばいいものを
変な知恵与えるなよ。
426774ワット発電中さん:2011/08/06(土) 11:53:06.68 ID:NN0budIA
>>421
中華氏ねや
427774ワット発電中さん:2011/08/06(土) 11:55:20.03 ID:e+kUFw7F
>425
コピーならbinaryですむだろ、半可通軽石ハゲ。
つか、軽石は不可通か。
428774ワット発電中さん:2011/08/06(土) 12:01:41.14 ID:A0dMNznc
FPGAやってると人間荒んでくるんですかねwww
429774ワット発電中さん:2011/08/06(土) 12:06:55.63 ID:NN0budIA
>>427
質問者がHDLいうてますやんか
>>428
睡眠時間削られてるせいでしょうかねぇ
430774ワット発電中さん:2011/08/06(土) 16:41:46.38 ID:o7kedz0x
>429

>427は、>425軽石に、コピーじゃないだろって言ってるんだと思うけど。
431774ワット発電中さん:2011/08/06(土) 18:33:58.49 ID:NN0budIA
あぁ、なる。
でも、吸い出したいってのはコピー業者だろ。
ソース欲しいってのはアップコンパチにしたいんだろうけど、業者氏ね。
432774ワット発電中さん:2011/08/06(土) 18:57:25.56 ID:4fVBEP9v
こんなとこで聞いてる時点でたかが知れてるから、教えたってできっこないよ
433774ワット発電中さん:2011/08/06(土) 22:57:00.72 ID:Ntj9WUgT
学習用ボードなどに載ってるPLDを使ったUSB−JTAG機能を自作ボードに移植したくて
コピーしたいってことはあるかもな。しかしFPGAやるやつってぎすぎすしてつまらんやつ
ばかりなのか、内容のある話に進展しないな。
434774ワット発電中さん:2011/08/07(日) 00:14:19.62 ID:ESPBOpqY
じゃあお前が発展させろや
435774ワット発電中さん:2011/08/07(日) 12:48:02.67 ID:6dhhGkXc
ハッテンはやばい
436774ワット発電中さん:2011/08/07(日) 16:59:41.23 ID:t2P1JZFD
>>433
ならそのように書いて欲しいわ、PIC吸い出すとかゴト関係の体感器でも作ってるアホかと。
437774ワット発電中さん:2011/08/07(日) 17:56:21.48 ID:rTD2npvF
>>433
ALTERAなら、どっかの同人ハードみたいに
もどきを表面実装のPICに移植してオンボにしちゃう手もある
438774ワット発電中さん:2011/08/07(日) 21:02:52.41 ID:GYvzEB7K
>>435
hattenか、今となってはなつかしいflashだな。
439774ワット発電中さん:2011/08/08(月) 21:56:01.69 ID:+GrqbO4B
CycloneIVEでNiosII/eを動かしてます。
On−chip RAMでプログラムを実行する設定にして50MHzクロックでは問題なく
動くのは確認済み。でもPLLで100MHzに設定するとELFファイルのダウンロードで
エラーが出ますスピードグレード−6でNiosII/eは最高どれくらいの周波数まで
動作するのだろうか?
440774ワット発電中さん:2011/08/08(月) 22:04:35.99 ID:g/dOrF5O
>>439
ちゃんとTimeQuestでクロック制約して合成してください。
正常動作以前の問題です。
441774ワット発電中さん:2011/08/08(月) 23:52:37.68 ID:YmkKfLF1
全角気持ち悪い
442774ワット発電中さん:2011/08/09(火) 03:18:55.59 ID:fNMo87mw
全角に拒絶反応するやつがたまにいるけど等幅フォントでも使ってるの?
443774ワット発電中さん:2011/08/09(火) 03:32:43.66 ID:1ZRUXp+D
全角キモッ
444774ワット発電中さん:2011/08/09(火) 10:45:32.12 ID:wYywaH9Z
確かに全角英数字は、気持ち悪い
445774ワット発電中さん:2011/08/09(火) 12:56:34.37 ID:wYywaH9Z
HDLも全角で書いているかも知れんな
446774ワット発電中さん:2011/08/09(火) 13:31:11.57 ID:F2occUxF
全角、全角って何?
FPGAのまともなエンジニアには申し訳ないけど、
前からFPGAにかかわるやつはなんかおかしいと思ってたけど、まさにそれを証明してるみたい。
447774ワット発電中さん:2011/08/09(火) 13:57:01.60 ID:UHKcP95u
他のスレタイ見ても判ると思うけど、技術系一般は英数字は半角なのね。
出版関係も一緒です。
あと語尾の音引き(ー)は付けないとか。メモリ、バッテリ、トランジスタ、コンデンサ…
448446:2011/08/09(火) 13:59:52.66 ID:+hkn9NAR
全角、全角って何?
FPGAのまともなエンジニアーには申し訳ないけど、
前からFPGAにかかわるやつはなんかおかしいと思ってたけど、まさにそれを証明してるみたい。
449774ワット発電中さん:2011/08/09(火) 14:00:49.70 ID:TSXXrQQ0
ああ、おまえのクズさを証明しているな
450774ワット発電中さん:2011/08/09(火) 14:04:27.35 ID:+hkn9NAR
>>446
お前がまともじゃないエンジニアーってことはよくわかった。
451774ワット発電中さん:2011/08/09(火) 14:05:21.56 ID:+hkn9NAR
PS
#全角英数記号のうちでファイルに使用可能なもののみ半角に変換
function global:Zen2HanForFile($src){
$diff = ([int[]]'!'.tochararray())[0] -([int[]]'!'.tochararray())[0]

$tgtarray = [int[]]$src.ToCharArray();
# $match = [regex] "[a-zA-Z0-9!@#$%^&()−_+=`{};,.]"
$eisu = [int[]]"azAZ09".ToCharArray();
$kigo = [int[]]"!@#$%^&()−_+=`{};,.".ToCharArray();
for($i = 0; $i -lt $tgtarray.length; $i++){
$tmp = $tgtarray[$i];
#英数チェック
if( (( $tmp -ge $eisu[0] ) -and ( $tmp -le $eisu[1] )) -or # [a-z]
(( $tmp -ge $eisu[2] ) -and ( $tmp -le $eisu[3] )) -or # [A-Z]
(( $tmp -ge $eisu[4] ) -and ( $tmp -le $eisu[5] )) ){ # [0-9]
$tgtarray[$i] -= $diff;
continue;
}
#記号チェック
for( $j =0; $j -lt $kigo.length; $j++ ){
if($tmp -eq $kigo[$j]){
$tgtarray[$i] -= $diff;
break;
}
}
}

$x = '';
for($i = 0; $i -lt $tgtarray.length; $i++){
$x += [Char]$tgtarray[$i];
}
$x = $x -replace "[  ]+", ' '; #1文字以上の全半角スペースを1半角スペースに
# $x = $x -replace "`”", "`"";
# $x = $x -replace "`“", "`"";
$x = $x -replace "`’", "`'";

return "$x"
}

452774ワット発電中さん:2011/08/09(火) 14:07:32.23 ID:L/ZlOrsO
>>448
>>450
自己レス乙
453774ワット発電中さん:2011/08/09(火) 14:16:15.94 ID:+GXs4wQw
448は446を引用してなにかしようとしていたが失敗したってやつ?
454774ワット発電中さん:2011/08/09(火) 18:36:06.45 ID:F2occUxF
>>447
>技術系一般は英数字は半角なのね
固定観念というか、柔軟性がないというか、そういうところが技術系が一般人にとって不人気な
理由のひとつなのかな。CとかHDLとか全角を使えないものは半角を使い、ただのドキュメント
ならべつに自由でいいんじゃない。
455774ワット発電中さん:2011/08/09(火) 19:07:30.44 ID:FFhEK3e4
そんなドキュメントが付いてくる製品はまず採用されない。
そんな報告書を上げてくる派遣社員は契約更新されない。

ま、ageて連投してる時点でダメダメだけどね。
こんなところでクダまいてないで、夏休みをもっと楽しんだらどうだい?
456774ワット発電中さん:2011/08/09(火) 19:39:46.76 ID:LE+agQME
仕様書はエクセルで書くのが、日本企業の古くからの習わしである。(キリッ

セルのフォントは11.5ポイント、セル配置は下寄せ、行高さはデフォルト
のままで、決して勝手に変えてはいけない。 変更のやり方を知らない
とか、見栄えに気を配らないのではない。
457774ワット発電中さん:2011/08/09(火) 20:24:22.51 ID:UHKcP95u
> そういうところが技術系が一般人にとって不人気

/(????) \わけがわからないよ
458774ワット発電中さん:2011/08/09(火) 22:18:17.05 ID:L/ZlOrsO
全角英数文字で書いたドキュメントってワードチェック通る?
459774ワット発電中さん:2011/08/09(火) 23:56:20.42 ID:J2yDaPE0
>>458
半角で書いても通らねえよw
460774ワット発電中さん:2011/08/10(水) 00:58:32.04 ID:QMsPUBh8
心を病んだへぼエンジニアのストレス発散って感じでFPGAについて気軽にトークするって
雰囲気まるでないね。せっかくの楽しいチップが台無しだな。
461774ワット発電中さん:2011/08/10(水) 01:00:52.27 ID:9SZ6jI6H
2chに何期待してるんだか。
462774ワット発電中さん:2011/08/10(水) 01:10:08.48 ID:QMsPUBh8
そりゃあれだろ、本職外で趣味としてFPGAやってるような人にとってはこういうところくらいしか
情報交換の場はないだろ。
463774ワット発電中さん:2011/08/10(水) 04:23:59.33 ID:hcdgmumE
おまえのようなゴミと情報交換するつもりは毛頭ない。
そもそもここは学問板だ。仕様書も論文も書いたことのない趣味野郎はハナからお呼びじゃねえんださっさと失せろゴミ
464774ワット発電中さん:2011/08/10(水) 05:07:43.66 ID:UAaMOFab
平然と人を罵倒するような人は学問板に相応しくないのでは
465774ワット発電中さん:2011/08/10(水) 08:11:46.91 ID:a/AIHtHo
> そういうところが技術系が一般人にとって不人気な理由のひとつなのかな。

こういうところが、全角使いが不人気な理由のひとつなのかな。
読点も使えないみたいだし。
466774ワット発電中さん:2011/08/10(水) 09:09:41.83 ID:+TMIro2Z
いや、それを言い出したら電電板が学問板にふさわしくないのであって。
467774ワット発電中さん:2011/08/10(水) 10:16:24.07 ID:QMsPUBh8
仕事の思いいれということでは立派だと思うがそういうのは職場だけにしとけよ。
468774ワット発電中さん:2011/08/10(水) 11:36:57.02 ID:XNX5LcOj
ぬるい仕事しかできないのは判ったから、他所にもそのスタイルを
持ち込むな。
469774ワット発電中さん:2011/08/10(水) 11:47:47.34 ID:hSnA0CL1
基本、ガラパゴスな自営のロートルが、通りかかった若いもんに罵声を浴びせるスレだもんな、ここ。
470774ワット発電中さん:2011/08/10(水) 11:53:13.54 ID:wbTPbVUA
事実と論理で反論できないので人格攻撃ですねわかります
471774ワット発電中さん:2011/08/10(水) 11:56:55.09 ID:nxsaTWU6
芽は若いうちに摘めって言うだろ。
472774ワット発電中さん:2011/08/10(水) 12:28:59.54 ID:WUhzmWF8
で、全角が使っちゃダメな理由を論理的に説明できる人いないの?
473774ワット発電中さん:2011/08/10(水) 12:44:26.78 ID:O5u0C0H0
理論的、な。
474774ワット発電中さん:2011/08/10(水) 13:04:22.31 ID:/p4pT8Xb
>>472
個人的には検索に不便かな
475774ワット発電中さん:2011/08/10(水) 13:08:44.66 ID:O5u0C0H0
大文字・小文字区別しないで検索できるだろww
476774ワット発電中さん:2011/08/10(水) 14:25:06.68 ID:3SXZvfsB
カタカナも半角にするとデータ量が少なくて済むってじっちゃんが・・・
477774ワット発電中さん:2011/08/10(水) 15:35:17.96 ID:nxsaTWU6
全角を禁止する法律があるわけじゃなし、別にダメなわけない。
ただその人の程度が非常に低く評価されるおそれがあるだけだから、
他人にどう見られようが構わない人や、そもそも理系じゃない人は好きに使えばよい。
478774ワット発電中さん:2011/08/10(水) 16:03:27.48 ID:1t5sYlaD
全半角で低く評価する輩自体が低レベルなので問題ない
479774ワット発電中さん:2011/08/10(水) 16:24:19.79 ID:xjZDu5vH
コミュニケーションは伝達能力や記録が重要視されるから、
相手にとって扱いにくい方法は評価が下がって当然。
480774ワット発電中さん:2011/08/10(水) 17:31:47.80 ID:j8vQ27Nc
全角半角がごっちゃになってなければ問題ないよ報告書レベルでは。
それ以外に着目すべきところがあるだろうし。
481774ワット発電中さん:2011/08/10(水) 17:54:59.92 ID:BuKBK5du
国内だけなら全角でもいいよなんでも
482774ワット発電中さん:2011/08/10(水) 18:49:15.38 ID:1t5sYlaD
そんなに全角嫌いなら自身で全角フィルターでも搭載すりゃいいのに。
483774ワット発電中さん:2011/08/10(水) 21:12:46.28 ID:+K5VDjga
全角の好き嫌いの問題じゃないから。
全角なんか使うのは常識の無いヤツと見られるだけ。
484774ワット発電中さん:2011/08/10(水) 22:25:25.12 ID:hSnA0CL1
まあ、これでも見て
助教の悩み
http://tumblrport.com/jp/permalink.php?type=quote&key=gerMUJIc
485774ワット発電中さん:2011/08/11(木) 01:42:50.37 ID:YSAqT6nG
>>484
殺伐とした流れに棹差すような、ユーモアにあふれた記事へのリンクですね。
486774ワット発電中さん:2011/08/11(木) 05:43:04.62 ID:yLIXGxsr
>>483
完全に好みの問題じゃねーかw
487774ワット発電中さん:2011/08/11(木) 08:05:38.53 ID:qHVtQcby
好みじゃなくて、習慣、慣習。
宛名の行に線引いて御中にしたり、結婚式の案内の返信で、ご出席のごに線引くのと同じ。
どっちも意思伝達という意味では実害ないけど、"知らないんだww”ってなっちゃう。
488774ワット発電中さん:2011/08/11(木) 08:31:34.56 ID:p/6RQM4E
おまえはmohtaか? とネットの古参に思われる、という利点がある。
489774ワット発電中さん:2011/08/11(木) 11:00:01.22 ID:CgBNEoxS
この異様な盛り上がりは、やはり、3Ωからdecultureまで総出演か
490774ワット発電中さん:2011/08/11(木) 11:17:53.74 ID:/eWUG33C
>489
PAL,GALで挫折したやつは他所へ行け。PICでも書いてろ。
491774ワット発電中さん:2011/08/11(木) 13:50:48.49 ID:njtCAqzA
>>485
>流れに棹差す
正しい用法で、安心しました。
492774ワット発電中さん:2011/08/11(木) 16:06:30.92 ID:3hAk2ojR
趣味でFPGA始めて約2週間、いろいろ壁にぶつかりまくりだけど自分のアイデアを自由に
形にできるなんて超楽しい。はやくavalon−MMトライステート・バスに接続できる
カスタム・モジュールを自分で作れるようになりたいな。
おまえらも全角だの半角だの言ってないでFPGA自体をもっと楽しめ。
493774ワット発電中さん:2011/08/11(木) 18:03:25.20 ID:L08PgQ9W
>>492
>おまえらも全角だの半角だの言ってないでFPGA自体をもっと楽しめ。

言われてるのお前だアホ。趣味でやってる糞野郎は出入りスンナゴミ。目障りだ。

いちいち上げんなカス
494774ワット発電中さん:2011/08/11(木) 19:23:04.83 ID:1H2PRQyx
マジで全角キメェwww
495774ワット発電中さん:2011/08/11(木) 20:07:22.00 ID:yLIXGxsr
>>493
たかが2chで何を息巻いているんだか…
初心者出入り禁止ってなら自分で掲示板立てて、代理店のFAEとおしゃべりしてやがれw
496774ワット発電中さん:2011/08/11(木) 21:00:25.38 ID:sxb8WlK0
全角だの半角だのって完全にFPGA関係ないよね
他スレでやってください

誘導
http://kamome.2ch.net/test/read.cgi/philo/1311855466/
http://hibari.2ch.net/test/read.cgi/prog/1194138339/
http://hibari.2ch.net/test/read.cgi/tech/1285918938/
497774ワット発電中さん:2011/08/11(木) 22:37:36.73 ID:56+OSlpP
>>493
プロの方でしたか、これは失礼いたしました。
仕事で2chするなよ、いや、2chが仕事?
498774ワット発電中さん:2011/08/11(木) 23:32:57.12 ID:5jzK5OBl
>avalon−MMトライステート・バス
Avalon-MMにトライステートの要素なんて無いんだが
499774ワット発電中さん:2011/08/11(木) 23:33:49.00 ID:TLMumvrN
全角がいやなやつは全角がない世界へ行けよ
500774ワット発電中さん:2011/08/12(金) 00:45:28.32 ID:GB1v+WPR
それがここだったんですが。
501774ワット発電中さん:2011/08/12(金) 01:20:44.06 ID:57jhA8Cc
>>498
あるよ。外部接続用のトライ・ステート・ブリッジ経由で。FlashとかSRAMとか繋ぐときに使う。
が、>492の言ってる「トライ・ステート・バスに接続するカスタム・モジュール」ってのは、
FPGA外部に接続するカスタムモジュールって事になるので、ちょっと意味不明なのは確か。
AvalonMMのマスタ・スレーブポートを持ったモジュールってかな。
502774ワット発電中さん:2011/08/12(金) 03:03:17.51 ID:+v0JE8Yw
>>501
それはもはやAvalon-MMじゃなくて、SRAM用バスなんじゃないかと
503774ワット発電中さん:2011/08/12(金) 09:32:56.33 ID:hHM12ob9
WindowsXP環境上にQuartusII_v10.1sp1とModelsim_altera_starter_edition_6.6dをインストールしたけど
Modelsimの動作がおかしい。新規プロジェクトを作成しようとすると
「can't read "map(デ)" : no such element in array」
てメッセージが表示されて作成に失敗するし、アプリの右上のXボタン・クリックでModelsimを閉じようと
しても終了できなくてWindowsタスクマネージャで強制終了せにゃならん。
他にもこんな経験したひといる?
504774ワット発電中さん:2011/08/12(金) 10:13:03.38 ID:goPbr42T
まぢでソースに”全角”まぎれてね?
505774ワット発電中さん:2011/08/12(金) 11:25:47.05 ID:9NTVf8Iy
>>503
割っただろ
506774ワット発電中さん:2011/08/12(金) 11:41:50.14 ID:hHM12ob9
>>504
ソースとは何を意味する?
プロジェクトの新規作成のどこで全角がまぎれる?
とりあえずサンプルプロジェクトのソースファイルだけ自分で書いたものに入れ替えて
シミュで波形表示まではできてるんだけど、なんかすっきりしない。

インストール先は次のとおりでデフォルトのインストール。
c:\altera\10.1sp1\quartus
c:\altera\10.1sp1\nios2eds
c:\altera\10.1sp1\modelsim_ase
プロジェクトを作成しようとしているフォルダは
c:\altera\application
の下。
507774ワット発電中さん:2011/08/12(金) 18:20:12.94 ID:SdTxcZnK
Mentorってなんで無駄にアプリの名称変更するんだろうな?
leonardoのときも、そうだったけど、questaなんてwin64にmodelsim.exeがまんま残ってるし。
海外のサイトでquestaってquartusのことか?とか聞いてるレスあったし。
Xilinxが離れたのはこの名称のせい?
508774ワット発電中さん:2011/08/12(金) 19:34:05.93 ID:VZy7Xcbx
>>507
questaはmodelsim+検証環境
シミュレータとしてだけ使うならquestaの意味はない
509774ワット発電中さん:2011/08/13(土) 01:00:40.57 ID:HXi6otzQ
>>508
それは全く違う。
SEは今は最新版の10.0cのダウンロードだけはできるが、
製品としては廃版だ。
PEもDEも32bitバージョンしか用意しない。
64bitとして使いたければアサーション検証如何に関わらず
questaしかもはや選択肢はないのだよ。
510774ワット発電中さん:2011/08/13(土) 16:51:58.73 ID:TfCzgSZ3
Mentorはボッタクリ会社
不買運動をしよう!
511774ワット発電中さん:2011/08/13(土) 18:35:53.65 ID:kH/TBJqR
0123456789
全角イエーイっwww
512774ワット発電中さん:2011/08/13(土) 23:51:34.39 ID:Iey6t+bS
>>439
DE0-nanoのマニュアルを見てるとこのボード上でNiosIIは最低でも100MHzでは動くみたいだね。
513774ワット発電中さん:2011/08/14(日) 00:54:10.12 ID:bpePPkwj
>>512 == >>511

すっこんでろくそったれが

本日の糞注意報 ==== >>> ID:Iey6t+bS
514774ワット発電中さん:2011/08/14(日) 08:56:24.03 ID:aCk6kvvb
>>513
日を越して注意報ってwww
どんだけカスなんだwww
515774ワット発電中さん:2011/08/16(火) 23:40:45.94 ID:Hyrzzyyq
>>509
そうだったのかー
指摘ありがd
516774ワット発電中さん:2011/08/17(水) 01:28:10.69 ID:6S2dZSyQ
尻の穴に入れるなんてもったいない。
517774ワット発電中さん:2011/08/19(金) 03:13:33.17 ID:7EMRHJyM
Xilinx ISEって、13になってから、速くなった?
久々にやってみたら、そんな気がした。
518774ワット発電中さん:2011/08/19(金) 14:49:17.49 ID:5nIk72Zo
まさかPC買い換えてないよな
519774ワット発電中さん:2011/08/19(金) 16:42:50.92 ID:7n5X6E+9
いえ、買い換えてないです。
PCは64bitなので、13.1から64に対応でしょうかね。
520774ワット発電中さん:2011/08/22(月) 03:03:46.51 ID:tR8wbIhH
>>14
>>18
正弦波作るだけならΔΣDA作っちゃえばいんじゃないの?
簡単な回路で作れる筈だよ、当然LPFが必要だが
521774ワット発電中さん:2011/08/22(月) 03:05:47.16 ID:tR8wbIhH
って他の人が答えてたか
522774ワット発電中さん:2011/08/25(木) 01:01:10.56 ID:HyHSmBMK
FPGAを始めたいのですが,アルテラとザイリンクスの二社のうちどちらかの物を使う事になりそうです。
そして手早く製作が可能なものを選びたいと思っています。
二社のうちどちらが勧められるか,またお勧めのベースボードをご存知でしたら教えてください。
汎用のIOボードを作ろうとしています。
523774ワット発電中さん:2011/08/25(木) 02:25:11.76 ID:u23qvxQv
>二社のうちどちらが勧められるか
この判断基準は?
>>394
524名無しさん:2011/08/25(木) 13:34:14.23 ID:u9Rn1l59
ISE13で早く結果が出る時も有る
ただし遅かったり異常の場合も…
64bitだけ不具合とかもね
windowsとLinuxでも違うみたい
まぁ何時ものXクオリティなので
動く環境で使いましょう
525774ワット発電中さん:2011/08/25(木) 15:01:16.00 ID:ePxLQFBA
汎用I/Oってか、手軽に使いたいならCPLDのほうがいいよ
526774ワット発電中さん:2011/08/25(木) 20:33:05.32 ID:do7laX8W
>汎用I/Oってか、手軽に使いたいならCPLD
カメレオンFX2で少しハッカーになった気分になれるよw
527774ワット発電中さん:2011/08/30(火) 03:12:08.73 ID:fq/IXY3W
ISE13って、64bit Win7 には対応しているみたいだけど、
マルチスレッドには対応しているのでしょうか。
Core i7とかです。CPUのスレッドが4つとか8つとかになったとしても、
ISEが対応していなければ「意味無いじゃん」って感じですよね。
528774ワット発電中さん:2011/08/30(火) 07:37:33.22 ID:gch1w+3N
マルチスレッドにする意味があるのかね?
529774ワット発電中さん:2011/08/30(火) 10:01:35.26 ID:oJ6IZNAi
ISE 専用機ならそうだろうけど、
ほかの事に使えるから
「別にいいじゃん」って感じですよね。
530774ワット発電中さん:2011/08/31(水) 00:13:01.62 ID:SCz1tCtp
>>528,529
ありがとうございます。
マルチスレッドには、あまり意義はないのですか。

現在のPC上でISEの処理がとても遅いのです。
マルチスレッド化が目的でなく、もっと速くならないかと思って質問しました。
今は、Core2Duo , 64BIT , 3GHz , SSD , RAM8GB でやっているのですが、
i7にしたら、もっと速く処理できないかと思うのです。
もっと速くするために、何か良い方法はないでしょうか
531774ワット発電中さん:2011/08/31(水) 01:19:05.52 ID:1ezMfy3U
DE0スレで紹介されてたヤツとか。
ttp://sine.ni.com/nips/cds/view/p/lang/ja/nid/209927
532774ワット発電中さん:2011/09/01(木) 03:09:03.71 ID:at0MtFF2
教えてください。

FPGAで、unsigned変数のみの演算と、signed変数含む演算では、
後者のほうが遅くなるのでしょうか?
マイコンでは、そのような傾向があると聞いたので、FPGAはどうかな?と思いました。
533774ワット発電中さん:2011/09/01(木) 04:48:21.58 ID:TdaN1GVi
遅いの定義は?
動作周波数?サイクル数?

前者だとしても速さは無視できるレベルでしか変わらんだろ。
534774ワット発電中さん:2011/09/01(木) 06:42:03.24 ID:2iBFEkG/
> マイコンでは、そのような傾向がある

必要な命令数がこのように増えるから、とか、このように遅い命令を使わないと
いけないから、とか、そういう論拠なしに機種もわからず「傾向がある」とか言われても、
どこの都市伝説? としか。
535774ワット発電中さん:2011/09/01(木) 10:09:28.71 ID:UyvSlqhI
プログラムが動いてるとでも思ってるんだろう
536774ワット発電中さん:2011/09/01(木) 14:28:48.18 ID:QK5pxzEj
マイコンって言ってるから
最近のPCで使われてるCPUの話じゃなくて
組み込み用のCPUのことを言ってるのかな
それなら傾向はあるかもしれないぞ
537774ワット発電中さん:2011/09/01(木) 15:13:27.51 ID:2iBFEkG/
だから具体的にどのメーカーのどのマイコンで、
どのように必要な命令数が増えるのか、あるいは遅い命令になるのか、
それを書いてくれよ。「傾向はあるかもしれない」じゃなくて。
538774ワット発電中さん:2011/09/01(木) 15:53:37.58 ID:XCWSKkfE
40代後半が沸いてきたか
539774ワット発電中さん:2011/09/01(木) 16:47:46.01 ID:ZGlgrUbn
マイコンったって、MZ-80B のことじゃないからね。
540774ワット発電中さん:2011/09/01(木) 17:29:44.68 ID:zGd8fu1p
>>537
ヒントをあげよう。
・unsigned専用の乗算器を積んでいるマイコン
・乗算器を積んでいないマイコン
は当然符号で場合分けしないといけないのでsigned乗算は遅くなる。

例としてPIC18Fで乗算器を使う場合、unsignedは1サイクル、signedは6サイクル掛かる。
乗算器を使わずにシフトと加算で計算する場合、それぞれ69サイクルと91サイクル掛かる。
541名無しさん:2011/09/01(木) 20:38:05.90 ID:d4qUk3Bz
>>530
一応対応してるってのが公式見解なハズ
でも上手く制御出来て無いね
次の14で7シリーズなら新エンジンで良くなるかも
Quartusならねぇバリバリマルチなんだが
542774ワット発電中さん:2011/09/01(木) 21:04:15.44 ID:fE7pgEXq
ISEで「アンサーDB見てね」だけのINTERNAL_ERRORが出るとイラっと来る。
543774ワット発電中さん:2011/09/01(木) 21:33:23.33 ID:bn7vBgIZ
QuartusでJava関連のエラー出て強制終了されても訳ワカメ。
544774ワット発電中さん:2011/09/02(金) 01:43:19.10 ID:OH/S2kQh
signed か unsigned かは大方の場合、処理する人がどう見るかだけのことだと思うんだが。
コンピュータなんかの「回路」はそんなことは知ったこっちゃない。
C とかの高級言語しか経験ないのかな?2の補数という概念だ。
545774ワット発電中さん:2011/09/02(金) 01:51:28.84 ID:Pkvr4ijr
>>544
加減算がそうだからといって乗除算はそうではないよ
中途半端な知識で突っ掛かるんじゃなくて、ちょっと考えればわかる話じゃん
乗除算は一般的に、絶対値の計算結果と符号ビットのxorから求める
546774ワット発電中さん:2011/09/02(金) 02:07:00.88 ID:etm5kJ56
なんで符号ビット? 浮動小数点?
547774ワット発電中さん:2011/09/02(金) 02:09:59.31 ID:etm5kJ56
あぁ、負の数でもそのまま掛けてしまうってことか。
548774ワット発電中さん:2011/09/02(金) 02:22:53.45 ID:uAtAw+Yb
結局のところ、FPGAだと速度差はないでfa?
549774ワット発電中さん:2011/09/02(金) 02:41:29.82 ID:Wci/nOBc
>>545
そりゃかなり古い文献を元にしちゃってるね。60年代かな?
・両方とも符号無し
・被乗数のみ符号あり
・両方とも符号あり
の3パターンで分けて考えた方が効率良い回路になるよ。
550774ワット発電中さん:2011/09/02(金) 02:44:12.25 ID:K/ZFI7Q7
>>548
FPGAに限らないけれど、どんな演算回路が実装されるかによる、でFA。

高速演算回路なんてそれだけで本が書けるテーマだし。
DSPブロックが利用できるFPGAで掛け算をHDLの演算子に頼るってのは
簡単に済ませたい場合じゃね?
551774ワット発電中さん:2011/09/02(金) 03:48:49.09 ID:lPr4zyxI

  c = a * b;
と書けば、DSP有り無しによって、コンパイラが見分けて、
自動的に使うようにしてくれるのでは無いのでしょうか?
それともDSPを使う時は、CoreGenで生成したものをインスタンシェート?
552774ワット発電中さん:2011/09/02(金) 09:10:43.14 ID:LdJVrlKv
>>550>>551
このあたりはマイコンで言う「Cかアセンブラか」みたいなもので演算子使って簡単にコード書くと
ある程度はコンパイラが最適化処理してくれるが、ほんとに無駄のない高速な演算回路を作りたい時は
アルゴリズムを自分でまじめにコード化しないとだめということかな。
553774ワット発電中さん:2011/09/02(金) 09:43:54.02 ID:Pkvr4ijr
>>549
「両方とも符号無し」以外のパターンが必要って時点でunsignedより演算は複雑になってるよね。
>>544の「見方の違いで回路には関係ない」という主張を基本的なアルゴリズムで否定しただけなんだけど。
554774ワット発電中さん:2011/09/02(金) 10:35:36.00 ID:WP/4D0Ge
ていうか、原理的に、2の補数だから符号を考えなくて良い、というマジックは
加減算でのみ有効だからね。
x86 だと mul と imul では imul のほうがちょっと遅いか。

いずれにしろC言語のレベルで気にしていてもしょうがない。
(他の部分でいくらでも性能は変わりうる)
気になるなら機械語で確認、だな。
555774ワット発電中さん:2011/09/02(金) 12:30:04.25 ID:oUVWQ7mS
別に符号付が2の補数と決まったわけじゃないし、
FPGAに実装するなら好きなコードを使えばいい。
オフセットバイナリが便利なときだって、
1の補数のほうが便利なときもある。
556774ワット発電中さん:2011/09/02(金) 12:33:55.26 ID:wHdyzv+u
面白い流れだなー

そして自分の中で「FPGA/CPLD」の立ち位置をふと思う
各種デバイスの中でも単純デバイスよりは上の位置だが、言語はHDL等に縛られ
マイコンのようなアセンブラ/C言語のような高級言語といわれるには至らない

もし今後、C言語のような言語のデバイスが出来たら進歩するのかな?とか夢想
557774ワット発電中さん:2011/09/02(金) 13:33:54.23 ID:OP7OX9XX
秋月にspartan-6がきてるがこのスレ的にどう?買い?
558774ワット発電中さん:2011/09/02(金) 14:56:29.52 ID:Pkvr4ijr
>>556
C言語での記述は
デバイスじゃなくて合成ツールじゃないのかね?
559774ワット発電中さん:2011/09/02(金) 15:40:43.71 ID:dE766vvh
>>557
正直微妙だが、あの値段なら何も言うまい
俺は買わんが

あれって、本来はMicroBlazeのソフト開発評価用でしょ
EDK含まれないし
IOが16本しかない

560774ワット発電中さん:2011/09/02(金) 22:17:08.87 ID:Cw1/wob8
2の補数で乗算なら符号拡張に普通Baugh-Wooleyの方法とか使うだろ?
この場合、回路規模的には符号なしと大差ないけどな。
561774ワット発電中さん:2011/09/02(金) 23:08:24.32 ID:Pkvr4ijr
>>559
EDK無いってことはハードウェアは弄れないのかな
ただのIO少ないマイコンボードじゃんw
562774ワット発電中さん:2011/09/03(土) 01:23:45.88 ID:iRHAo7Vr
弄れるだろ。マイコン部分再構築できないだけで。
563774ワット発電中さん:2011/09/03(土) 12:03:39.43 ID:a7CqX2ri
MicroBlazeのバスに接続するようなハードウェアは作れないから
IOから入力を受けてIOに出力する程度の物しか作れないよね
564774ワット発電中さん:2011/09/03(土) 12:27:18.54 ID:sCBIjDwo
値段も手頃だしおもしろそうだね。>秋月Spartan-6
PicoBlaze入れてチマチマ遊びたいわ。
565774ワット発電中さん:2011/09/03(土) 12:40:37.45 ID:datRCBJy
安い以外にメリットないし、応用も拡張もできないし、買っても使わなさそう
1000円でもいらねえ
566774ワット発電中さん:2011/09/03(土) 14:10:59.86 ID:KkfXuwy3
いや、1000円なら買う
567774ワット発電中さん:2011/09/03(土) 14:42:23.41 ID:SULoub4Q
拡張なんて、面倒クセー。
LEDがチカチカすりゃいいんだよ。w
しかし、AVNETのをわざわざ秋月で買う必要はないわな。
568774ワット発電中さん:2011/09/03(土) 17:08:00.26 ID:y3Gr9pRS
秋月で売ってるのにわざわざアヴネットで買うのか?
569774ワット発電中さん:2011/09/03(土) 17:38:51.90 ID:kPQRvVu7
トレーニング受ければさらに2000円安くなるからね。
570774ワット発電中さん:2011/09/03(土) 19:48:21.51 ID:Tzof7pOd
>>568
秋葉原の裏通りにある店なんて、どこも、どんな仕入れルートか
わからんのに、中国産の模造品だったら嫌だろ。
向こうは、商標でもパッケージでも完コピするからな。
571774ワット発電中さん:2011/09/03(土) 21:33:15.71 ID:bNCIBVoH
高いなぁ、
拡張性薄いのにLANってのもイミフ
digikeyでDE0-nano買った方が幸せになれそうじゃん
572774ワット発電中さん:2011/09/03(土) 22:16:17.07 ID:tjrXl2nZ
そーnano
573774ワット発電中さん:2011/09/03(土) 22:16:16.96 ID:eZQPdNB+
そうnanoかな?
574774ワット発電中さん:2011/09/03(土) 23:45:45.63 ID:Nsa9qARk
なんかようか
575774ワット発電中さん:2011/09/04(日) 00:08:24.62 ID:Mms+DYFQ
LANに繋がったら何となくカッコいいじゃん。
実用性は置いておいて。
576774ワット発電中さん:2011/09/04(日) 00:20:01.10 ID:k54Qrkpi
つなぐだけで使えるLAN用のIP出てないかな
577774ワット発電中さん:2011/09/04(日) 03:43:22.07 ID:J9GgFHn3
そいや千石店頭からDE0-nanoが無くなってた・・気がする
578774ワット発電中さん:2011/09/04(日) 10:36:20.59 ID:oVaccYNr
>>577
安いし160円以上の部品と一緒に頼めば送料無料なんだからdigikeyに頼めば良い
先月頼んだら2日で届いたよ
579774ワット発電中さん:2011/09/04(日) 15:15:20.27 ID:XdZpdX2L
digikey2日とか早すぎワロス、
5千円以上で送料無料じゃね? 逆に1万越えると税金が発生したような。
580774ワット発電中さん:2011/09/04(日) 15:20:44.92 ID:XdZpdX2L
あ、7500円だった、ごめん
581774ワット発電中さん:2011/09/04(日) 22:37:23.86 ID:BNxZNmVT
>>578
今、\7500 を切ってるのか。(\7481)
オレが買った時は\7891-だった。
582774ワット発電中さん:2011/09/04(日) 22:39:39.33 ID:+rTa8VQH
円安で下がったんだな
583774ワット発電中さん:2011/09/04(日) 22:51:36.72 ID:imZfDluo
逆だ逆。
584774ワット発電中さん:2011/09/04(日) 23:13:19.55 ID:YxAf3E/d
>581
リロードすれ。もしくは一覧でなくちゃんと中を開いてみ。
2日に改定があったので今は 7340円。
585774ワット発電中さん:2011/09/05(月) 13:44:44.33 ID:kkmrO/B5
オーストラリアにある店から、Spartan6のボード買いました。
商品価格 : 送料金額 = 1 : 2 になりました。トホホ
586774ワット発電中さん:2011/09/07(水) 22:15:10.65 ID:NxiraNcL
以前トラ技の付録のスパルタン搭載基板で遊んでた程度だけどまたやりたくなってきた。
今の主流ってDE0-nanoってやつなんですか?
アルテラだからいろいろ覚えなおし、
スライスの仕組みが全然違うんですか??
587774ワット発電中さん:2011/09/07(水) 22:22:51.11 ID:NxiraNcL
スライス=LEのようですね。
なんかスライスより複雑な気がします。
588774ワット発電中さん:2011/09/07(水) 23:10:44.78 ID:d83020+O
遊び目的ならスライスとか特に知らなくても動かせるんじゃないですかね。
DE0−NANOにタッチパネル付きQVGA液晶つないで実験してるけど
タッチパネルの制御は普通のマイコンのほうが使いやすね。FPGAだと
外部ADC入力端子とIOにパラレルで配線しないといけないし。あとSDカードを
SPIモードで使うときNiosIIのIPだと組み込み時に通信速度を固定
しないといけないから使い勝手が悪そうだ。あと液晶制御用に32ビットIOを確保して
ビットフィールドで使おうとしたが8ビットを超えるデータ長で1ビットを超える
フィールドを定義すると上位のバイトで出力が重複してめちゃくちゃになる。
NiosIIではビットフィールドは使えないのかな。今は素直にアルテラ提供のIOドライバ
関数を使ってるが、やっぱりビットフィールド使いたいな。

ところでトラ技にCPLDではなくFPGAの付録なんてあったかな?DWMの付録しか覚えてないな。
589774ワット発電中さん:2011/09/07(水) 23:53:08.76 ID:g4DjfTvw
590774ワット発電中さん:2011/09/08(木) 10:01:58.87 ID:aIN4pw1i
せっかく使うんだから、FPGAじゃないとできないことがしたいよねぇ。
連立方程式を高速に解くとか、画像処理とか、暗号総当たりとか。
まぁ素直にパソコンで回す方が速かったりするけど。;^^)
591774ワット発電中さん:2011/09/08(木) 14:58:18.86 ID:6ScW4C0P
おまえら、SystemVerilogを使える?
592774ワット発電中さん:2011/09/09(金) 00:23:15.34 ID:N8hPit37
593774ワット発電中さん:2011/09/09(金) 02:07:08.14 ID:e/HbzG6l
my support ウゼー
594名無しさん:2011/09/09(金) 07:55:50.08 ID:gcCGI0pf
そのうちISEにAutoESL付いてくるよ
上位Editionのみだと思うが
595774ワット発電中さん:2011/09/09(金) 09:37:05.34 ID:FQRRj8k4
>>590 せっかく使うんだから、FPGAじゃないとできないことがしたいよねぇ。

それなら、おじさん工房を参考にしたら?
http://ojisankoubou.web.fc2.com/index.html
596774ワット発電中さん:2011/09/09(金) 10:16:17.24 ID:wUbKC8y8
>>591
使える環境にはある。
本を読んだけど、良さが分からない。

TML とかも良さが分からない。
実装できるの?
597774ワット発電中さん:2011/09/09(金) 15:40:22.03 ID:CA+tTXie
>>590
>せっかく使うんだから、FPGAじゃないとできないことがしたいよねぇ。

「FPGAじゃないとできないこと」ってのは探すほうが難しいのでわ。ほとんどの処理はマイコンで
できる。それがマイコンに精通したアマチュアがなかなかFPGAに移行しない要因のひとつでもある
と思う。>>588のアプリケーション例などもFPGAじゃなくてもできるがFPGAで性能アップできる
パートも沢山あるだろう。たとえばSDカードへのアクセスでもCRC生成を含めてハードウェア化する
ことで処理速度アップが見込める。液晶表示部などのFPGA化が定番なのは周知のとおり。あとは
デザインのイメージ力とやる気の問題だな。
598名無しさん:2011/09/09(金) 15:45:29.21 ID:gcCGI0pf
>>596
実装出来るように記述する
599774ワット発電中さん:2011/09/09(金) 17:29:03.69 ID:nphKjR7A
>>597
FPGA使ったこと無いみたいだな。
600774ワット発電中さん:2011/09/09(金) 18:37:41.79 ID:I2wu7uD1
GALで脱落したオヤジだよ。
601774ワット発電中さん:2011/09/09(金) 19:31:41.61 ID:7JOvoETP
とりあえずDE0-nano買うことにしました。
digikeyじゃないと売ってないのかなあ?
店舗で扱ってないのかなあ??
602774ワット発電中さん:2011/09/09(金) 19:34:52.35 ID:7JOvoETP
DE0-nano 7,340円

「7,500円以上のご注文の運送費は無料(日本円でのご注文に限ります。)」

orz...
603774ワット発電中さん:2011/09/09(金) 19:37:51.81 ID:M9Eoexp0
2台買えばおk
604774ワット発電中さん:2011/09/09(金) 19:44:18.21 ID:CA+tTXie
>>600
誰だよ、それ。マイコンになんかコンプレックスでもあるのか?
最近FPGA始めたばっかりの初心者だけど、実際のところマイコンだけでも間に合うだろ。
FPGA面白そうだからNiosと併用でやってくつもりだけど。

DACとかADCとかみんなほぼ必ず外付けで接続するものだろうからそろそろ内蔵して
くれないかな。
605774ワット発電中さん:2011/09/09(金) 19:57:20.39 ID:I2wu7uD1
全角じゃダメだ。
606774ワット発電中さん:2011/09/09(金) 20:36:55.61 ID:/hyVDouN
DAC、ADCが必要って時点でマイコンでも処理可能な内容ってのが推測できる。

数十MHz以上でシームレスにデータが流れてくると
マイコンは全く対応できないと思うんだがなぁ。
専用回路がマイコンに内蔵されていれば別だけど。
607774ワット発電中さん:2011/09/09(金) 22:32:33.86 ID:Xx74CmKN
>>602
アメリカの会社は安いな
これじゃ日本の電子産業負けるの当たり前だな
ぼったくり価格は自国では普通に通用するが、グローバルではそれが通用するほど甘くないから
日本が勝てないのは当然だよな
608774ワット発電中さん:2011/09/09(金) 22:37:03.29 ID:M9Eoexp0
アメリカにまけ、台湾に負け、韓国に負け・・・
技術立国日本はどこへ行ったのか
609774ワット発電中さん:2011/09/09(金) 22:45:41.48 ID:ygcpb6AJ
ぼったくり価格が通用する期間で勝負、という商売の仕方は、それはそれでありなんだが、
何を思ったかそれを10年20年と通用させようという発想にとりつかれちゃってるんだよなぁ。
610774ワット発電中さん:2011/09/10(土) 01:50:24.45 ID:vshnRel7
>>605
話がループするから、ageて全角は素直にNGするがよろしい。
611774ワット発電中さん:2011/09/10(土) 09:32:08.98 ID:EYOQWBZM
>>608
じゃあ韓国を目指すか?wwwwwwwww
612774ワット発電中さん:2011/09/13(火) 12:52:39.43 ID:87afzH9I
Quartus2で使用できる「VIRTUAL_PIN」オプションの
似た様な制約ってISEには無いですかね?

613774ワット発電中さん:2011/09/13(火) 17:22:55.18 ID:iJLaFt5B
ModelSimで実遅延シミュレーションかける際に、
テストベンチ上で使用しているデザインファイルの"\インスタンス名"を指定
しますが"\"は必要なのでしょうか?
614774ワット発電中さん:2011/09/14(水) 20:55:57.69 ID:dy/pGNhY
DigikeyでDE0-nano注文したら「インボイス番号及び貨物追跡番号が記載されたお知らせを
Eメールで送信いたします。」って書いてあるのにメール届かないまま成田まで来ちゃった。変なの。
615774ワット発電中さん:2011/09/14(水) 23:05:01.39 ID:1eUM2+Kl
>>614
迷惑メール
616774ワット発電中さん:2011/09/15(木) 00:57:34.67 ID:QoYrLiKS
DE0-nanoで何をナノナノしてるんだよ?
617774ワット発電中さん:2011/09/15(木) 01:07:14.31 ID:a+qLFt0a
digikeyは使用目的を日本語で記入すると発送が1日遅れる。速く届かないかなあと待ってると
その無駄な1日が長く感じるんだよな。多少ムリしてでも英語で書いたほうがいいね。
618774ワット発電中さん:2011/09/15(木) 02:27:49.07 ID:HB1Kmjdz
多少無理しないと書けない程度の英語力なら日本語にしたほうがいいよ
何が言いたいのかわかんねーからって日本のサポートから電話来て恥かくよ
619774ワット発電中さん:2011/09/15(木) 03:34:52.80 ID:CrCwSJwl
Consumer
620774ワット発電中さん:2011/09/15(木) 19:07:14.79 ID:lRzzwfG7
>>618
そんな英語力ならデータシート、アップノートなんて読めないんじゃ
日本語で提供していないものは使えないんじゃないか
621774ワット発電中さん:2011/09/15(木) 19:18:53.24 ID:HB1Kmjdz
だから、無理をしないと英語が書けない人はって言ってるでしょうが
622774ワット発電中さん:2011/09/15(木) 19:20:18.79 ID:YajTr5jV
コンピュータ言語ならいざ知らず、人の使う言語はそんなに単純じゃないって
読むと書くは同じ言語でも理解の開きは天地だぞ?
623774ワット発電中さん:2011/09/15(木) 19:24:49.83 ID:lRzzwfG7
>>621
そんな奴が英語いっぱいのFPGAを使うのか?

>>622
digikeyで答える内容は中学英語で十分すぎるぐらい
624774ワット発電中さん:2011/09/15(木) 19:26:43.58 ID:7lhOYFJJ
お前が頭いいのはわかったから黙れよ
625774ワット発電中さん:2011/09/15(木) 19:30:48.49 ID:o9m39sk0
ah ha so much tough adhesive asperger you are !
626774ワット発電中さん:2011/09/15(木) 21:56:41.76 ID:um3naSYT
コピペできるようにおススメの使用目的の英語版書いてくれ
それで一日浮くのはありがたい。
627774ワット発電中さん:2011/09/15(木) 22:26:58.40 ID:a+qLFt0a
>>622
そうだな。
英語のデータシートは読めるけど、英文を書くのは難しいって人結構いるんじゃないか?
628774ワット発電中さん:2011/09/15(木) 22:46:12.30 ID:uvx6wPMM
>>627
いまのFPGAドカタは高校中退レベルが普通だからな
大卒なんて皆無、だから
英文を書ける=>激すごいドカタ
629774ワット発電中さん:2011/09/15(木) 22:47:30.20 ID:Hdk0lFpg
(・_・)ノ

データシートなんてまともに英語知らなくても
そこそこ読めるもんだ。
630774ワット発電中さん:2011/09/16(金) 00:19:17.69 ID:+gDytnIo
hobby
631774ワット発電中さん:2011/09/16(金) 00:57:20.28 ID:cslwOzaW
DigiKeyの使用目的なんか英単語を2〜3個並べるだけでいいだろ。
難しく考えすぎ。英文なんか必要ない。
632774ワット発電中さん:2011/09/16(金) 02:06:12.65 ID:j5VFZE62
>>626
用途: For military use nuclear plant
仕向地: North Korea

これで完璧





にモノが来ないw
633774ワット発電中さん:2011/09/16(金) 03:48:22.59 ID:H71nDV++
>>623
何を勘違いしてるかしらんが、>>617が多少無理してでも英語でって言ったから
無理しなきゃ書けないようなやつは〜って言ってるだけなのに何で俺がそんな文句言われないといけないわけ?
634774ワット発電中さん:2011/09/16(金) 09:20:56.69 ID:osGGBrBD
>>631
そこそこまとめて購入すると結構詳細を聞いてくるときあるよ。
635774ワット発電中さん:2011/09/16(金) 09:47:48.29 ID:cSqNFo1+
>>632
ありがとう、ダメ元で英語記載に朝鮮してみゆ
636774ワット発電中さん:2011/09/16(金) 10:39:46.40 ID:FWNoNZZ4
>>628
じゃあ大卒はいったい何をやってるんだ。
637774ワット発電中さん:2011/09/16(金) 10:52:58.00 ID:P3Cf+q7j
>>635
北朝鮮にはユルいかもよ
仕向け地はイラクとかパキスタンにしとけ
638774ワット発電中さん:2011/09/16(金) 11:20:23.40 ID:jHu1OCCC
リビアでも可
639774ワット発電中さん:2011/09/16(金) 15:46:00.27 ID:ytzAbHbL
>>637
そうそう。北朝鮮の脅威が大きくなると日本から金を搾り取れるからね
640774ワット発電中さん:2011/09/17(土) 07:59:58.91 ID:15MiFrSf
DE0-nano使ってる人いたら教えてほしいんだけど、I2C通信ってどうやるの?
自分で一からインプリしないといけないの?
実装されてるI2CのEEPROMで使ってるから
どこかにサンプルぐらいあるかと思ったんだけど・・
641774ワット発電中さん:2011/09/17(土) 09:16:51.68 ID:DIhVsJBq
>>640
まだ使いはじめで分からないことばかりだけど、DE0_Nano_SOPC_DEMOというデモの中に
ハードウェアのI2Cらしきコードがはいってる。EEPROMとGセンサーが共通バスで
使われてるように見えるがデモのファイル構成で見る限りGセンサーとはSPI通信を
しているみたいだ。マイコンに慣れてるとNiosIIでソフト的に楽しようかという手も
あるけどFPGA的にはHDLで自分で書くものなんだろうな。
642774ワット発電中さん:2011/09/17(土) 12:07:55.04 ID:byIqBytL
>>640
Gセンサー使いたくてNiosIIのAvalonバスに自作のI2Cホスト繋いだよ
勉強をかねて作ってみてはどうだろうか
643640:2011/09/17(土) 12:22:50.21 ID:15MiFrSf
>>641 >>642
ほう・・NiosUとはFPGAの中に作るCPUアーキテクチャなのね。
そのI2Cのところを流用するってかんじなのかな??
644642:2011/09/17(土) 13:11:19.89 ID:byIqBytL
>>643
いや、CPUからI2Cが使えるように、I2Cのモジュールを作ったという話。
I2CバスとCPUとの橋渡し部分は自作。
645640:2011/09/17(土) 16:14:12.19 ID:15MiFrSf
とりあえずNIOS2を動かしてみようとここの通りやってみた。
ttp://blog.goo.ne.jp/sim00/e/8e1fc436d1e29a6decc20f8256adb914
最後の最後でエラーが出る。
実行すると Downloading ELF Process failed.
もう何が目的だかわかんなくなってきた、もうやめよう。
646774ワット発電中さん:2011/09/17(土) 17:45:35.16 ID:DIhVsJBq
>>645
DE0−NANOを使ってるなら、マニュアルにあるはじめてのNiosIIみたいな章に
したがってハード、ソフト共にビルドしてみたらいいんじゃないかな。ただしマニュアルの
NiosIIのパートはNiosII_edsではなくNiosII_IDEのほうで書かれ
てるから注意。
ほんとうにFPGAとNiosIIが初めてって言うのならひとつづつ解決していかないとね。
647640:2011/09/17(土) 19:10:23.72 ID:15MiFrSf
!?

マニュアルにあったのかっ(ノД`)
先は長そうだ。

648774ワット発電中さん:2011/09/17(土) 19:20:20.88 ID:15MiFrSf
なるほど、DE0-nanoサンプルのI2CはNiosII上のソフトウエアとして動かされていて、
FPGA上にインプリされてるわけじゃないってことね。
やっとそこまで理解できた。
649774ワット発電中さん:2011/09/17(土) 19:46:06.69 ID:byIqBytL
>>645
>Downloading ELF Process failed.
これはなかなか厄介。原因がいろいろあるから。
Nios II EDSならばこのあたりをチェック。
Run ConfigurationのTargetConnectionsタブでFPGAとの接続が確立しているかチェック。
SystemIDペリフェラルを入れていなければ無視する設定にする。
それでも駄目なら、Reflesh ConnectionsとSystem ID Propertyを何度か押すと直る事があるw
650640:2011/09/18(日) 22:12:50.85 ID:2pd1SCyw
Verilogむずかしっ(´Д`;)
651774ワット発電中さん:2011/09/19(月) 00:08:27.59 ID:XcU3vpsM
シミュレーションができる程度ならそう難しくはないぞ。
その辺でだいたい 25% てところかな。
652arisa ◆QaHT6HayjI :2011/09/19(月) 06:44:04.84 ID:zp/+yloc
>>551
60〜80MHzとかだったらそれでいいよ。
100Mhz〜ぐらいで、64bitぐらいのかけ算とかすると、明らかにビット化けとかするので、
手動でFFとかいれるとだめになるから、素直にcoregenしたほうがいいよ。

>>398
bitfileつくるのに8時間とか体験すると劇的だよ
653640:2011/09/19(月) 11:38:05.97 ID:BwMg2tKe
Verilogで良い参考書ないですか?
コンパイルが通らなくて通らなくて困ってます。

こんなことはできないのようなことが詳しく書いてあるものがいいです。
654640:2011/09/19(月) 11:51:59.65 ID:BwMg2tKe
よろしければ誰かお助けを。(ノД`)

  always@(negedge CLOCK) begin
    DATA_R = {START,COMP};  // reg DATA_R
  end
      
  always@(negedge DA) begin
    if(CL == 1) begin
      START = 1'b1;    // reg START; 受信処理開始
      BIT_CNT = 4'b0000;
    end    
  end


  // 8ビットを受信するソース
  reg[3:0]  BIT_CNT;
  
  always@(posedge CL) begin // input CL ;CLの立ち下がりで
    if(START == 1'b1) begin     // reg START = 1 で(始まっていれば)
      BUFF[0] = DA;   // input DA 1ビット受信
    end
  end

  always@(negedge CL) begin // CLの立ち上がりでインクリメントなどの処理
    if(START == 1) begin
      BIT_CNT <= BIT_CNT + 4'b0001; // カウンタインクリ
      COMP <= BIT_CNT[3];      // reg COMP; カウンタ4bit目が1 → BIT_CNT=8を検出
      BUFF[1] <= BUFF[0];      // リング上にシフト
      BUFF[2] <= BUFF[1];
      BUFF[3] <= BUFF[2];
      BUFF[4] <= BUFF[3];
      BUFF[5] <= BUFF[4];
      BUFF[6] <= BUFF[5];
      BUFF[7] <= BUFF[6];
      BUFF[0] <= BUFF[7];
    end
  end
  
Error (10028): Can't resolve multiple constant drivers for net "BIT_CNT[3]" at I2C_MOD.v(94)
Error (10028): Can't resolve multiple constant drivers for net "BIT_CNT[2]" at I2C_MOD.v(94)
Error (10028): Can't resolve multiple constant drivers for net "BIT_CNT[1]" at I2C_MOD.v(94)
Error (10028): Can't resolve multiple constant drivers for net "BIT_CNT[0]" at I2C_MOD.v(94)
655774ワット発電中さん:2011/09/19(月) 12:35:09.25 ID:GZUUFmkk
他人の評判は知らないけど、『Verilog-HDL による論理合成の基礎』は良いと思った。
656774ワット発電中さん:2011/09/19(月) 13:17:12.91 ID:QZh6Jb8b
>>654
すばらしいコードですね
=、<=をどういう基準で使い分けているの?
always@がnegedge、posedgeのみって
我流でHDLコーディング追求します感じですね
657774ワット発電中さん:2011/09/19(月) 14:14:09.86 ID:a9F2w03d
>>654
FPGA初心者で間違ってるかもだけど、1つのモジュールの中で2つ以上のalwaysで
BIT_CNTに代入してるね。それがまずいんじゃないか。BIT_CNTの方を解決したら次は
BUFFで同じエラーが発生するかな?
658arisa ◆QaHT6HayjI :2011/09/19(月) 14:29:31.04 ID:zp/+yloc
>>654
BIT_CNT を、 DAのクロックで初期化しつつ。
CLのクロックでカウンタ回しているから、
合成ツール君が、
 「どっちのクロックでうごけばいいんだよ。わかんねーよ、氏ねよぼけ」
って言っています。
verilogは俺様が書きたくないので、VHDLで回答すると。

process(CL)
begin
if falling_edge(CL) then
if START='0' then
BIT_CNT <= (others=>'0 ');
else
BIT_CNT <= BIT_CNT + 1;
end if;
end if;
end process;

あと、これぐらいのこと回答してくれる先輩とかいないのかと、小一時間。

あと、DAとCLとSTARTのタイミングチャートぐらいは書かないと動かないと僕は動かないと思うの。
659774ワット発電中さん:2011/09/19(月) 14:37:58.16 ID:9BJvFNos
>655
著者の流儀・書法と、言語仕様の意味論・実装をごっちゃにしてる点で枝と桜井は地雷だと思ってる。
「俺は〜〜してる。おおむねうまくいく」とか、そんなこと聞いてないから。
Verilogの入門書は特にこの手の地雷が多い。

Jayaram Bhaskerの『Verilog-HDL論理合成入門』はそういう意味では良かった。
コード例にバグが多いのを許容できればだが。
その場の思い付きで板書したようなコード並べやがって。
660774ワット発電中さん:2011/09/19(月) 16:46:59.28 ID:H2Xtq+hA
>>653
>こんなことはできないのようなことが詳しく書いてあるものがいいです。

FPGAのエレメントをずっと眺めよう。
合成後の回路図を想像しよう。

くらいで足りると思うけど。
661774ワット発電中さん:2011/09/19(月) 17:05:35.91 ID:2vxC3WHZ
イイと書いてあること以外はダメと考える日本人の中で、
ダメと書いてあること以外はイイと考えられる君は貴重な存在だ。
これからもそのままで頑張って欲しい。
662774ワット発電中さん:2011/09/19(月) 18:09:37.15 ID:H2Xtq+hA
そういう限定的考え方じゃなくて失敗から学ぶ意味じゃないか?
663774ワット発電中さん:2011/09/19(月) 18:23:02.54 ID:a9F2w03d
>>654
趣味でやってるだけなのでFPGAの参考資料はネット上の情報と書籍だけになるのだが
ここのところFPGAを使うといってもNiosIIのソフトだけなので忘れないように
ちょっとだけ考えてみた。心がけていることはひとつのレジスタに同時に異なる値を代入
するという条件ができないようにコーディングするということかな。

always @ (negedge DA)
begin
if (CL == 1)
begin
START <= 1;
end
end

always @ (CL)
begin
if (START == 0)
begin
BIT_CNT <= 0;
end
else
begin
if (CL == 0)
begin
BUFF <= {BUFF, 1'b0};
if (BIT_CNT > 8)
begin
START = 0;
BIT_CNT <= 4'b0000;
end
else
begin
BIT_CNT <= BIT_CNT + 1'b1;
end
end
else
begin
BUFF[0] <= DA;
end
end
end

FPGAのコーディングは処理のタイミングが重要だからシミュレータで意図どおりに
動作してるかの確認が必須ですね。
それとverilogやってて不便なのは begin,end を使うところですね。
なぜソフトウェア記述言語と同じように {,} で記述できるように設計しなかったのかな。

逆質
アップされたHDLソース、インデント処理されてるけどどんな書き方してるの?
664774ワット発電中さん:2011/09/19(月) 18:55:26.40 ID:2K8WpFCX
>>663
全角スペース使えよ
665774ワット発電中さん:2011/09/19(月) 18:59:38.70 ID:a9F2w03d
test
 test
666640:2011/09/19(月) 19:06:21.08 ID:BwMg2tKe
>>658 >>663
ホントどうもありがとうございます。参考になります。

ちなみに作ろうとしているものはこれです。
http://ww1.microchip.com/downloads/en/DeviceDoc/39632e.pdf
の215ページ figure 19-8 - I2Cのスレーブ受信です。

PICのメインクロックとは別に、マスタ側からのクロックで
データを読む必要があったのがこのソースの意図です。

I2CのHDL設計なんてまるっきし車輪の再発明だけど、
勉強がてらに作ってます。まだ先は長そう・・
667774ワット発電中さん:2011/09/19(月) 19:41:42.28 ID:QZh6Jb8b
>>666
>メインクロックとは別に、マスタ側からのクロックで
>データを読む必要があった
これって通信ではありふれたことなんだけど
こういう場合に、データを読む常套手段とか知っているの?
これすら知らないようじゃ無謀じゃないの

車輪の再発明の前に転がっているSPIやI2CのHDLコードで
どのようにしてデータの取り込みを行っているかいろいろ調べた方が良いよ
ついでにUARTの受信のHDLコードも勉強がてら見たほうが良いかも
668774ワット発電中さん:2011/09/19(月) 19:43:48.04 ID:GZUUFmkk
>>659
きちんと言語仕様を解説してる本ってないものかね。
前ジュンク堂で端から見ていったが挫折した。
669774ワット発電中さん:2011/09/19(月) 19:48:21.96 ID:TSf5+llZ
IEEEE
670774ワット発電中さん:2011/09/19(月) 21:23:48.31 ID:e/8SEGJb
イエ〜ィ!


Eが大杉
671774ワット発電中さん:2011/09/20(火) 00:51:43.00 ID:qyXv7s4b
672774ワット発電中さん:2011/09/20(火) 01:11:15.65 ID:kOEPv46B
>668
俺がいる…
SystemVerilogまでいくとそれなりなのにVerilog本はなんでこうダメダメなんだろ。

>667
ハザード回避のためのFF叩きとか、
本物のソース見てもバカやってらとしか思わず何の勉強にならんのでは。それこそ本読まないと。

673774ワット発電中さん:2011/09/20(火) 07:50:43.57 ID:HfXIDDT0
シンタックスはともかくセマンティクスが
674774ワット発電中さん:2011/09/20(火) 10:02:09.29 ID:3tqbT4Ny
ジャンク堂は劣化コピー解説のゴミばっかり
675774ワット発電中さん:2011/09/20(火) 11:02:25.50 ID:HfXIDDT0
どんな本でもある、というのがとりえの書店なんだから、スタージョンの法則に従って90%はクズになるのは仕方あるまい。
676774ワット発電中さん:2011/09/20(火) 14:44:05.20 ID:ACHr8asE
rs232cからのシリアルデータをキャラクタLCDに表示させる回路書きたいんですが、なにから手をつければいいですか?
とりあえず、入力なしで固定でLCDに表示させようとしてます。
考え方や進めていき方お願いします。

ちなみに、PICで同じようなもの作ったのでFPGAでも作ってみようというかんじです。
677774ワット発電中さん:2011/09/20(火) 15:11:46.93 ID:E2kHyZ//
PIC を FPGA に実装
678774ワット発電中さん:2011/09/20(火) 18:39:32.60 ID:6eO1gU3v
>>676
考え方や進めていき方って
PICで入力なしで固定でLCDに表示させるのと同じじゃないか
679774ワット発電中さん:2011/09/20(火) 18:45:57.01 ID:W/ZVcwn3
まず制御信号線にどんな波形を出力したいのか絵を描いてみたら?
波形がイメージできないなら、ソフト脳こじらせ過ぎ
680774ワット発電中さん:2011/09/20(火) 23:03:57.62 ID:JtnM0eR1
NiosII入れてソフト移植すればいいと思うよ
681640:2011/09/20(火) 23:21:00.66 ID:8F90hXIo
I2Cの仕様がマジで理解出来ない。
http://www.nxp.com/documents/other/39340011_jp.pdf 10ページ目の図
8bit受信したら9bit目のSCLがHの間、ACK(応答)信号としてSDAをLにホールドするらしい。
だけど、なぜSCL 8bit目の次のLの中間でSDAをLにできる!?
スレーブはクロックを持っていないはずだし。
どのサイトを見てもこんなかんじ、こんなの絶対おかしいよ。
682774ワット発電中さん:2011/09/20(火) 23:54:19.64 ID:2c6vC+P7
>>681
別におかしくないだろ?
683774ワット発電中さん:2011/09/21(水) 00:03:02.18 ID:yhGB615t
>>681
タイミングチャートはただの仕様を満たす波形の一例で、形まで完璧に同じ波形になる必要なんてないんだよ。
実際ずらして書いてあっても時間差0が許容されるなんてケースもあるから、AC特性は必ず見るべき。
32枚目を見るとデータホールド時間は最小0だ。
遷移中の変化はアウトという注釈も付いている。

自前のクロック持って無くても、クロック入力からデータ出力までは現実の素子では遅延があるから同時には起きないし。
684774ワット発電中さん:2011/09/21(水) 00:11:01.10 ID:HJEqqcqH
おかしくないよなぁ
685774ワット発電中さん:2011/09/21(水) 01:11:19.00 ID:FgVLp9Z3
>>681
I2Cは使ったことがないけど、SPIをソフトで処理するときスレーブからの出力は
マスター・クロックの立下りを受けて(立下りのタイミングで)変化し次のクロック立ち上がり
でマスター側がデータを受け取るというシーケンスで処理してるな。
確かにそんな疑問を持っても不思議ではないと思うな。図では微妙な書き方をされてるけど
クロック立下りである遅延をもって出力をLOにしてるという解釈でいいのではと思うが。
686640:2011/09/21(水) 06:27:54.26 ID:W30VWqzM
なるほど、要求される時間を満たしていればいいのね。
9bit目のSCLがHの間は、SDAをLにすることを要求されてるから
8bitのSCL立ち下がりの時点でLにしておきます。
687774ワット発電中さん:2011/09/21(水) 07:24:26.27 ID:vsRXVdBM
PICの仕様書のI2Cページに書いてあったわ。
立ち上がりで8ビット目を読んで、立ち下がりでACK信号を作るって。
688774ワット発電中さん:2011/09/21(水) 09:44:22.46 ID:FgVLp9Z3
>>676
ある1文字をLCDに表示させるときデータと制御信号をどんな順番にどんな値でどんなタイミングで
送ればいいかを考えてステートマシンでシーケンサを作ればやれそうな気がするが。
SOPCにはNiosIIの周辺IPとしてキャラクタ液晶ドライバがあったと思うけど
いちどSOPCが生成するHDLコードを調べてみたらいいんじゃないか。
689774ワット発電中さん:2011/09/21(水) 10:11:59.58 ID:vx+bfwlz
690774ワット発電中さん:2011/09/21(水) 11:50:50.53 ID:av6Ijbps
4bit幅のSPIのソースつきIPってどっかに公開されませんか?
691774ワット発電中さん:2011/09/21(水) 12:40:41.88 ID:yhGB615t
>>688
LCDをHDLのみで制御したときは、文字表示より初期化が面倒だった。
初期化は結構ウェイトはさまないといけないコマンドが多いからね。

>>690
SPIみたいな単純なもの、IP使うまでかな?
そのIPと通信する部分を書く手間と変わらん気がする。
692640:2011/09/21(水) 23:59:59.41 ID:W30VWqzM
度々すみません、I2Cの実装で勉強中の身ですが、
それ以前にRAMの実装で1日中躓いています。

参考書の通りにやったつもりなのですが、RTL図に反映されません。
http://www1.axfc.net/uploader/Sc/so/276893
RTL図にSSPSTATが出て来ません、ついでにalway文も迷子です。

なぜでしょうか?シミュレーションのための文を作って、
ピンを絶対に使わないと駄目なんでしょうか?

ソースコード中のRegister Read/Writeは教科書の通り。
一番下のSDAのalway文がオリジナルです。
すみません、誰かお願いしますm(_ _)m
693774ワット発電中さん:2011/09/22(木) 00:07:53.84 ID:VRs08Ffz
非同期じゃRAMに推論してくれない。
メガファンクションで作成するのが吉。
694640:2011/09/22(木) 11:33:43.53 ID:ZiiLxvNf
RAMになるかどうかは構わないですが、
SSPSTATが全く生成されないのはなんででしょう?!
SLCがHのとき、SDAが立ち上がることなんてあり得ないと判断されているのでしょうか?
695774ワット発電中さん:2011/09/22(木) 13:54:22.14 ID:JCVU1Ilu
今月のInterfaceが届いたんだが、
1ページ目にAVNETがSpartan-6評価ボードの広告をデカデカと出してる
「購入は秋月のWebで」だと

このボードで何かやる気なのか?
EDKが使えるなら買いなんだが
696774ワット発電中さん:2011/09/22(木) 20:16:16.35 ID:tBzcl3Z0
>>694
非同期のラッチはたまに論理圧縮で消える。
なので、ちゃんとした設計基準を設けている会社では
使用禁止になっている回路だったりする。
697640:2011/09/22(木) 22:21:27.31 ID:uagV4085
「CLOCKやENABLE信号が異なればそれは違うモジュールだろ?」ってことか。
難しいなぁ・・
698774ワット発電中さん:2011/09/22(木) 22:34:30.05 ID:VRs08Ffz
いや〜突き詰めればFPGAの構造を理解しろってことなんだが。。。

クロックでラッチするならFFが使える。
非同期のラッチであればLUTで構成するしかないけど
うまく論理合成してくれないと思う。

そもそも非同期のラッチってLUTの出力を
同じLUTの入力にそのまま接続することになるので
不安定この上ない回路なんだよね。。。
699774ワット発電中さん:2011/09/22(木) 23:21:26.72 ID:o7EMdLo0
流れから言ってalways @ (posedge SCL or negedge RESET)を使って基本に帰ってコードを書き直し
ってことになるのか。ソフトだけやってきた人間には最初はなかなか馴染めないよね。
700774ワット発電中さん:2011/09/22(木) 23:26:01.50 ID:o7EMdLo0
always @ (posedge CLK or negedge RESET)
でSCLは他の入力信号と同じで扱わないといけないのか。
701774ワット発電中さん:2011/09/23(金) 00:22:22.63 ID:NZEf1JM7
>>695

EDKはなくて、ソフト開発+chipscope(デバイス限定版)だよ。
702初心者640:2011/09/23(金) 08:25:45.92 ID:zEpPlLdy
同じレジスタを2つのalwaysで変更できない。だからといって
always@ (SDA or SCL or ・・・) begin
などとやると、どの状態変更によって来たのか判別がつかない。
仕方ないので、if(reg_scl_old == SCL) begin
と、即座に過去の状態と比べるのは正しいやり方なのだろうか?

ものすごくif文が深くなるんだが。こんなんでええんか(汗
703774ワット発電中さん:2011/09/23(金) 08:31:43.12 ID:4bHuSxK6
非同期なんだから、2-3段FF噛ましてから、ローカルクロック毎に過去の値と比較するのが定番。
なんかコード例みて参考にしたほうがいい希ガス
704774ワット発電中さん:2011/09/23(金) 11:55:10.27 ID:+4zgwom9
>>691
SPI両エッジ使うので俺も苦労した口なんだが、
よかったら出来の悪い俺の為に指南してもらえないだろうか。
705774ワット発電中さん:2011/09/23(金) 12:12:12.16 ID:/sb+6jaS
SPIもI2Cもフルスペックで必要ない場合おおいから、いつも適当にやっている。
706774ワット発電中さん:2011/09/23(金) 16:02:49.79 ID:notjSNdB
>>705
会社なら、普通会社のエロイ奴が作ったいろいろなSPI,I2Cがあるから
使うたびに自分でシコシコ作らないよな。それをちょろっと弄って使うよな
707774ワット発電中さん:2011/09/23(金) 17:09:04.03 ID:tFlG/Eeb
>>695
デフォルトのMicroBlaze構成で、ソフトを作ってみてくれというのが、
ベンダの意向なんだと思う。

EDKを試してみたいのなら、60日(だったか?)限定のEDK評価版ライセンスで
試すか、EDKのライセンスだけ買えば良いのではないかい?
今なら、USBドングル込みで5万円ぐらいだと思う。
708688:2011/09/23(金) 18:06:49.12 ID:OtPDkJ2I
ソフト人間で、なにから手をつければいいかわからなかった。
上司の助言でRS232Cの受送信モジュールから作った。詳しくいうとシリアルデータの中身みて、それによって表示部分を買えたいので、判定処理のため、受けたものをレジスタ?メモリ?にためたいんだけど、どうすれば…
709774ワット発電中さん:2011/09/23(金) 19:59:31.56 ID:yNF1UwiA
>>708
shift register
710774ワット発電中さん:2011/09/23(金) 20:03:37.18 ID:246Zj52o
Shift Resistor、な
711774ワット発電中さん:2011/09/23(金) 20:10:33.84 ID:mvYIp7M4
なんでシフト抵抗なんだよ?
712774ワット発電中さん:2011/09/23(金) 20:15:48.34 ID:246Zj52o
いや、レジスターのスペルが間違っていたから、気になっただけさ。
渡米経験があるとこうゆう細かい間違いも気になってかなわんわ。
713774ワット発電中さん:2011/09/23(金) 20:17:48.44 ID:gTT+cNqi
??
714774ワット発電中さん:2011/09/23(金) 20:27:36.82 ID:notjSNdB
>>710のShift Resistorって
どうHDL記述するんですか?
715774ワット発電中さん:2011/09/23(金) 20:28:12.05 ID:+4zgwom9
何下らないコントやってるんだw
716774ワット発電中さん:2011/09/23(金) 20:40:46.68 ID:UMu0ODsG
>>714
キーボードで文章を打ち込んで記述する
717774ワット発電中さん:2011/09/23(金) 21:44:29.79 ID:YJB8MF3S
>>708
発言者は>>688じゃなくて>>676じゃないの?
そういうのはマイコンで簡単に処理できることじゃないのかな。趣味でFPGA使いたい
ってことならわかるけど、ソフト人間ならなおさらなぜマイコンでやらないのかな?
SPIとかI2Cなどのコードは書籍などではあまり見かけない(自分は)けどシフト
レジスタやカウンタ、デコーダなど基本回路は入門書にたくさんサンプルがでてるよ。
こっちの書籍にもRS232Cの記述例がなかったかな。
http://kamome.2ch.net/test/read.cgi/denki/1310362001/
718774ワット発電中さん:2011/09/24(土) 03:53:22.50 ID:wE432PC7
SPIは新人研修用にいいぞ。たとえ出来なくてもプロジェクトに影響しないから。
719初心者640:2011/09/24(土) 07:59:04.70 ID:LUTTfUwf
I2Cのスレーブ受信がそろそろできそうな矢先。
深くなるif文について「そういうのはステートマシンでやるんだYO!」という指摘でやり直し中。
ステートマシン組んだら回路が全く生成されなくなった・・。ふりだしに戻るorz
720774ワット発電中さん:2011/09/24(土) 08:22:50.82 ID:F78AeydB
設計の変更を余儀なくさせる情報は、デザインが
完成した後にならないと伝えられない。
これは、「今さら言われてもの法則」とも呼ばれている。

設計の終了間際に最終的な実寸法が与えられたなら、
それに合わせるより、新しく設計したほうが簡単である。
721774ワット発電中さん:2011/09/24(土) 18:51:52.67 ID:iI4hVlZn
3回くらい作り直すと割といいものができる。
722774ワット発電中さん:2011/09/24(土) 20:18:59.87 ID:LUTTfUwf
posedge,negedgeって打ちにくい・・
723初心者640:2011/09/25(日) 12:37:07.66 ID:XFnIUbQt
少しずつしか出来ていかないけど、
ソフトと違って、他人のモジュール使いまわすわけではなく
一から全て動作を理解して自分で作ってゆくところが楽しいね。
724774ワット発電中さん:2011/09/25(日) 21:44:19.19 ID:ebfrrQ3H
モジュールはかけるけど、回路の配線とか、使い方がわからないんです。
1バイトのレジスタを20個ひつようとして、どうつなげるとか、受信データを都度レジスタに格納していき、格納した順番でとりだしていきたい場合、どうかんがえて書けばいいのかとか。いみふだったらすまそ。
725774ワット発電中さん:2011/09/25(日) 22:29:56.52 ID:geAs7BXj
モジュールが書けるってのが本当なら
レジスタファイルとかFIFOという名のモジュールを書けばいいよ
726774ワット発電中さん:2011/09/26(月) 04:01:25.85 ID:VPTvo7F9
>>724
>いみふだったらすまそ。
この意味がわかりません。日本語でお願いします。
727774ワット発電中さん:2011/09/26(月) 04:32:34.05 ID:JEVZHGc+
10年ROMってろ
728774ワット発電中さん:2011/09/26(月) 09:36:55.20 ID:U/9uqcGx
おれは今で3年4ヶ月目です
729774ワット発電中さん:2011/09/26(月) 12:30:26.68 ID:nccMwwT3
>>723
ソフトと何か違うのか? 単に新鮮味を感じてるだけじゃないか?
730774ワット発電中さん:2011/09/26(月) 12:55:10.69 ID:xrtoQJpT
HDLのほうが使い回しが楽だと思うけどね。

  HDL = ソフト ←激しく同意。
731774ワット発電中さん:2011/09/26(月) 14:43:16.99 ID:il+Mst6P
思うに、ソフトは自分自身で自分自身を構造化できる。

しかし、ハードウェア記述言語はそういうわけにはいかない or なまじソフト的な記述が
できるために注意しないと合成できない記述が簡単にできてしまう。

たとえば 1 から 10 まで繰返し、というような記述をするレイヤを、ハードウェア記述言語の
「上」に作るべきじゃないか?
732774ワット発電中さん:2011/09/26(月) 17:56:45.66 ID:UkraBMZk
久しぶりにXILINXいじってみたけど、
web packが大幅に更新されているみたいだね。
ISE 内蔵のシミュレータも、テストベンチそのまま使えるし
結構使いやすい。
もう、Modelsimには戻れないかも。
一つ言わせて欲しいのが、integerで宣言した信号名も
波形表示では2進になってるのが残念。
integer信号は、10進で表示してほしい。
radix切り替えが自由にできないのが残念。
733774ワット発電中さん:2011/09/26(月) 20:44:37.58 ID:xrtoQJpT
>>732
13.2からアイコンが違うよね。
なんか、軽快になったっぽい。
最終的には、ISEを捨てて、PlanAheadでやりたいらしいね。→Xilinx
734774ワット発電中さん:2011/09/26(月) 23:04:05.40 ID:QT68mrUs
すみません、XilinxのFPGAの載ったボードをジャンクで入手しました。
JTAGっていうので接続する必要があるらしいのですが、
どこのどんなケーブルを入手すればいいのでしょうか?
検索したらパラレルインターフェースで接続するケーブルの自作は出てきたのですが、
自分のPCにはパラレルはありません。
735774ワット発電中さん:2011/09/26(月) 23:21:04.80 ID:KLgseqW6
パラレルインターフェースで接続するケーブルの自作しパラレルつきPCを入手する
736774ワット発電中さん:2011/09/26(月) 23:29:05.31 ID:ko7XPfSA
この辺りかな。
ttp://www.hdl.co.jp/ACC/XUPUSBJTAG/index.html
ttp://strawberry-linux.com/catalog/items?code=21205
ttp://csun.co.jp/SHOP/2010082501.html

ジャンク使うために周辺に投資するとはw
ちなみにSpartan2とかだったら古いISEでないと扱えない。
737774ワット発電中さん:2011/09/26(月) 23:57:53.47 ID:QT68mrUs
>>735,>>736
ありがとうございます。
>>735も含めて、けっこうかかるんですねぇ。
財布と検討してみます。
738774ワット発電中さん:2011/09/27(火) 00:18:37.98 ID:mQg8sBHg
雑誌の付録基板を引っ張り出してみたけど開発環境をそろえるのにコストがかかりすぎるので
de0-nanoボードを買った。ボード自体の出費としては付録に比べたら大きいけどFPGAを使う
上で必要なものはすべてそろうので結果としてかなり得。今思えばde0のほうがよかったかな。
739774ワット発電中さん:2011/09/27(火) 00:21:17.38 ID:TFfrxAoM
Virtex5ってかいてあります
740774ワット発電中さん:2011/09/27(火) 01:07:59.07 ID:ZWRF5Vte
>>739
無償版のISE WebPackではLX50までしか対応してないので注意です。
741774ワット発電中さん:2011/09/27(火) 12:29:02.35 ID:VHvB4mLv
そーいえば、ちょっと前にジャンク屋でカノープスのezdv ntscというのを
ゴミ値で買ってきて、acex1kに書き込みして遊んだけど、今度はdv raptor
(flex10k30a)というのをジャンク屋でみつけてきました。
これもうまくコンフィグできるようになるといいなあ。


742774ワット発電中さん:2011/09/27(火) 13:23:11.39 ID:EU1AMhpC
Win7 x64で13.2のPlanAhead使ってるんだけど、planAhead.batを実行しても32bit版が起動するよね
loader.batの中身見ると、64bitと32bitとを判別してるようにも見えるし実行ファイル自体は
64bit版も用意されてるのに何でだろう?
743初心者640:2011/09/27(火) 20:13:55.38 ID:zAwqMgvX
ついにWarning100個突破!
744774ワット発電中さん:2011/09/28(水) 05:13:55.45 ID:IhC+Je9x
FPGAみたいなのでは日本のメーカーが全く存在感ないのはなんでなの?
もう日本の半導体はダメなの?
745774ワット発電中さん:2011/09/28(水) 07:57:58.91 ID:h/wSIJ6r
特許でガチガチに固められてるから新規参入は難しいのでは?
特許ガン無視しで作れば三星みたいに伸びることができるかもしれない、ただ国策としてやる必要があるわな。
今の日本国にそんな度胸ないでしょ。
746774ワット発電中さん:2011/09/28(水) 08:14:59.24 ID:ErjD1DSO
>>733
開発チームが違うみたいだから何処まで作り込めるかだね
今のPlanAheadはPlanしてもMAPかけないと正しいか不明
先は長いんじゃね
747774ワット発電中さん:2011/09/28(水) 08:19:54.09 ID:ErjD1DSO
>>744
大手はASIC有るし、ベンチャーはツールがね
まぁSynplifyに丸投げでも良さそうだけど
748774ワット発電中さん:2011/09/28(水) 09:00:12.06 ID:qgQZUV0u
サムスンは特許をガン無視なんかしてないよ
ただ特許使用料を気前よく払ってるか、自前の特許と引き換えに
クロスライセンスしてるだけ

いまの日本の半導体メーカーには、特許料払う体力もクロスに見合う特許もない
749774ワット発電中さん:2011/09/28(水) 09:19:15.31 ID:XtrTwrZ/
>>748
三星は買いたいものを絞り込んで、資金を集中投入したのが功を奏した感じですね。
日本のメーカーの場合、選択と集中に失敗したのが痛いかな。
750774ワット発電中さん:2011/09/28(水) 10:12:50.61 ID:aHTL9JCi
>>745 特許でガチガチに固められてるから新規参入は難しいのでは?

FPGAの基本特許は、既に期限切れたみたいだけどね

http://techon.nikkeibp.co.jp/article/NEWS/20070117/126556/?ST=print
http://techon.nikkeibp.co.jp/article/NEWS/20080530/152676/?ST=print

ついでに、FPGAの特許に関する面白い資料を見つけたので紹介

http://www.jpo.go.jp/shiryou/toushin/chousa/tt1301_062.htm
http://www.jpo.go.jp/shiryou/pdf/gidou-houkoku/pld.pdf
751774ワット発電中さん:2011/09/28(水) 10:40:04.50 ID:qdBQMlci
選択と集中、あとはそれを決断するタイミング、だよなぁ
先行者利益か残存者利益、中庸はないということはよくわかったという気がする
752774ワット発電中さん:2011/09/28(水) 11:25:42.67 ID:qgQZUV0u
日本は LUTを並べるFPGAより
プロセッサコアを並べるCELLとかDAPDNAのような
リコンフィギャラブルメニーコアプロセッサが得意なのかもな

まぁ商業的には成功してるとは言い難いが…
753774ワット発電中さん:2011/09/28(水) 15:13:48.94 ID:117XU3/E
>>744
ローテクでしかもあまり金にならないのを、技術超先進国で優秀な民の国、日本が
やるわけないだろ。
754774ワット発電中さん:2011/09/28(水) 15:21:45.40 ID:a+2N3OAx
スレの流れを見ないでいきなり書き込み。
SPARTAN-3ANのSTARTER KITの評価ボードの5VDCジャックに、
間違って9Vとか15VのDCアダプタをつないでしまい、
ボード上の電源レギュレータを昇天させてしまったw
代わりのボードの日本で定価で買うのも癪なので、avnetのUSサイトで
$199で購入して転送代行業者使って個人輸入した。かなりお安く買えたんだけど、
うかつにも日本版のJ型番を指定したら5V電源アダプタが同梱されてなかった。
どうでもよい冊子が日本語になるだけなのに失敗した。
電源回路が昇天したボードは、電源周りのパーツ群をワット数のでかい半田ごてで
全撤去して、3端子レギュレータ乗っけたドータボードをこさえて、乗っけてみたら、
生き返ったw
755774ワット発電中さん:2011/09/28(水) 16:41:22.01 ID:I9B++vws
>>744
もう日本は要らない国だからな
756774ワット発電中さん:2011/09/28(水) 16:43:59.51 ID:I9B++vws
>技術超先進国で優秀な民の国、日本

一体いつの話なのよ、ゴミプロセッサしか作れてないのに
757774ワット発電中さん:2011/09/28(水) 17:08:50.04 ID:z1lYGj/k
もはや韓国にも追い越されてるしな・・・
758774ワット発電中さん:2011/09/28(水) 17:18:52.26 ID:Bvs+bXMi
それはない
759774ワット発電中さん:2011/09/28(水) 17:36:47.52 ID:yCEt77Cm
せやろか?
760774ワット発電中さん:2011/09/28(水) 20:15:29.62 ID:FuYn8hR7
ARMベース・システムLSI開発の事例研究
――CPUの選択,バス構成,グラフィックス処理やビデオ表示制御の取り扱い
2006年7月27日 山崎尊永
ttp://www.kumikomi.net/archives/2006/07/11arml.php

> ファブレス半導体メーカである筆者ら(アプローズテクノロジーズ)は,
> ARM926EJ-Sをコアにしたグラフィックス制御LSI「AP4010」を開発しました.
> この開発事例を紹介しながら,ARM9コアの使用上のポイントや内部バスの
> 構成,グラフィックス制御方式などについて説明します.

株式会社アプローズテクノロジーズの破産情報 - 平成21年(フ)第22812号
ttp://bank-db.com/hasan/96142

CQの雑誌に記事を書いていた元日立のエンジニアらしい。
ttp://www.kumikomi.net/archives/2002/02/02shpld2.php?page=14
ttp://twitter.com/#!/Mikoto_Hisashi
761774ワット発電中さん:2011/09/28(水) 20:46:17.62 ID:3sPT0BL6
個人にもサンプルの配布とかしてたな
やっぱ潰れたのか
762774ワット発電中さん:2011/09/28(水) 21:18:37.23 ID:I9B++vws
SH設計者がARM三昧かw 色々と感慨深いな
763774ワット発電中さん:2011/09/28(水) 22:19:59.23 ID:Gy6tF549
>>754
そのアホさは日本のFPGAドカタの鑑
日本ダメになるわけだよな
764774ワット発電中さん:2011/09/28(水) 22:28:13.93 ID:Gy6tF549
アメリカ、台湾ではファブレス半導体屋が活躍しているけど
(Altera,Xilinxもファブレスだし)
日本のファブレス半導体で有名どころってどこ?
765774ワット発電中さん:2011/09/29(木) 00:02:37.06 ID:ppUxyjPs
日本の半導体って韓国や台湾への技術流出にもう少し注意してれば10年、20年の単位でもうちょっと延命できたいのかな?
液晶なんかもそうだけど。

良く定年やリストラされた技術者が高給で釣られて技術を流出させた、と言われるけど、それがイメージだけで実際には大したことなかったのか、ほんとに重大なことだったのかどっかちゃんと調べてくれないかな。

766774ワット発電中さん:2011/09/29(木) 00:19:34.99 ID:e8SLm1YO
>>765
>技術流出
負けた・負けている理由には良いいい訳だよね
じゃアメリカがいま負けていない理由は技術流出がないからなの?
767774ワット発電中さん:2011/09/29(木) 00:30:28.84 ID:ppUxyjPs
延命って書いてあるだろボケ
768774ワット発電中さん:2011/09/29(木) 00:38:04.70 ID:e8SLm1YO
>>765
>調べてくれないかな
自分は何もしません、誰かにさせるだけ
こんな奴が増えればダメになるよね
769774ワット発電中さん:2011/09/29(木) 00:39:15.61 ID:ppUxyjPs
偉そうなフリだけしたいクズってのは良くわかった。

死ね。
770774ワット発電中さん:2011/09/29(木) 06:13:48.22 ID:172ueMQ2
何気に期待度が高いのか?燃料が良く燃えるなw
771774ワット発電中さん:2011/09/29(木) 11:07:09.95 ID:UFs9wzP/
>>766
アメリカは技術で勝ってるというよりは金融と政策だな
772774ワット発電中さん:2011/09/29(木) 11:11:56.50 ID:UFs9wzP/
土壌が弱い日本では種が育たない
773742:2011/09/29(木) 11:23:48.90 ID:F7kgDWh8
planAhead.bat の第一引数に-m64を指定することで、64bit版が起動しました
お騒がせしました
774774ワット発電中さん:2011/09/29(木) 12:12:37.06 ID:EVwKarZM
土壌は金魚にならなくっていい
775774ワット発電中さん:2011/09/29(木) 12:13:45.46 ID:KEWEO6jG
>>764
28nになれば大手もファブレスだが
国内てかパチ屋ならAXELLとか
776774ワット発電中さん:2011/09/29(木) 12:16:37.26 ID:UFs9wzP/
>>775
特殊用途限定の会社なんてどうでもいい
777774ワット発電中さん:2011/09/29(木) 15:22:43.25 ID:nogmGB6i
>>775
>28nになれば大手もファブレスだが
日本どこのFab使うんだろう
日の丸半導体会社が集まって日の丸Fab会社でも作り、これを使うのかな
それとも韓国、台湾、アメリカのFabを使うのかな
いずれにせよ28nはもう目の前
778774ワット発電中さん:2011/09/29(木) 15:47:00.73 ID:EVwKarZM
ぶっちゃけ、もうあんまり使い道がないんですよ。
集積度上げちゃうと発熱すごいしね。
ピンネックがあるからチップは大きいけどスカスカとかね。
779774ワット発電中さん:2011/09/29(木) 18:05:29.11 ID:UFs9wzP/
それでも微細化は止まる気配はないけどね
780774ワット発電中さん:2011/09/29(木) 20:34:40.59 ID:sZLsx/Y/
de0−nanoで32kバイトのオンチップRAMで気持ちよくニオスのプログラミング
してたけど、とうとうメモリの上限に達してしまった。まだRAM容量には余裕があるので
さらに32kバイトのRAMを追加で確保しようとしたら次のエラーでビルドできない。
これはやっかいだな。

Error: Can't place all RAM cells in design
  Info: Selected device has 66 memory locations of type M9K. The current design requires 70 memory locations of type M9K to successfully fit.
  Info: Memory usage required for the design in the current device: 106% M9K memory block locations required
781774ワット発電中さん:2011/09/29(木) 20:57:28.39 ID:KEWEO6jG
>>777
みんなTSMCでしょ
782774ワット発電中さん:2011/09/29(木) 21:10:21.09 ID:cbLKn4LK
>>768
> 自分は何もしません、誰かにさせるだけ
> こんな奴が増えればダメになるよね

まさに、日本企業のプロパーと、派遣の関係じゃん。
手を動かすのは派遣で、成果はプロパー。

なぜか、脳内経験値だけが増えた、勘違いが量産されていく。
783774ワット発電中さん:2011/09/29(木) 21:50:07.64 ID:F7kgDWh8
派遣なんてピペドと同じ
784774ワット発電中さん:2011/09/29(木) 22:10:18.20 ID:8t9+uMPa
>>780
データはSDRAMへ逃がす。
プログラムだけでも32kBで入らないか?
Onchip Memoryは48kBとか中途半端な値でも確保できるといいのにね。
785774ワット発電中さん:2011/09/29(木) 22:11:20.25 ID:UFs9wzP/
>>780
少し減らせばいい
786774ワット発電中さん:2011/09/29(木) 22:27:01.09 ID:sZLsx/Y/
まとまったデータはフォントだけで今は一時的にSDRAMにおいた状態だけどプログラムだけでも
32kバイトでいっぱいいっぱいだ。とりあえず48kバイトで妥協してるけど、FPGAのスペック
的には64kバイト以上のメモリを搭載してるみたいなんだよね。M9Kというのがよく分からない
けどビルド後の情報を見ても
Total memory bits 404,480 / 608,256 ( 66 % )
となってるので数字上は64kバイトにしてもよさそうなんだけど。
787774ワット発電中さん:2011/09/29(木) 23:36:02.11 ID:v6CNnxSM
そっかー、初心者はM4K、M9K、M20K分からないのかー。
そのFPGAが持ってるブロックRAMの名称なんだけど。
分割して使うことは出来ないので、その容量単位でしか内部RAM確保できない。
788774ワット発電中さん:2011/09/29(木) 23:41:10.52 ID:YY1BFcCY
>>786
インプリできるかどうかは、Total memory bit数じゃなくて M9Kメモリ(9bits×1024W)の残り個数で見た方が良いです。

レポートのメモリ使用率は、M9Kの無駄になってしまう部分を含めずに計算しています。
極端な話、容量1bitのSRAMを66個M9Kで実装した場合、メモリ使用率は66/608,256(0%)でも、他に一切追加できなくなる。


先のレポートだと、他を最適化して4個分のM9Kを調達できれば64KB-SRAMを実装出来ると言うことですね。
789774ワット発電中さん:2011/09/30(金) 09:44:46.69 ID:BOhGXQSz
ありがとう。
解決の糸口がまったく見えない状態でそのまま迷宮入りする可能性もあった。
初心者の独学ではわからないことだらけで、しかも個人的使用だとボード自体は正規の
販売店から買っていてもアルテラに聞くのは遠慮がちになる。

FPGA初心者には9ビットという構成が中途半端に思えるのだが、18x18の乗算器と
何か関係があるのだろうか。
790774ワット発電中さん:2011/09/30(金) 09:48:02.45 ID:AVe6+2vQ
8bit+パリティで使えるようになってる
ほとんど誰も使わないけど
791774ワット発電中さん:2011/09/30(金) 11:05:44.79 ID:pH3rx3q2
>>786
あ、48kBってできるんだ
前24kBって指定したのに32kB確保されてちょっと困った

M9Kは粒度が荒いから困る
JTAG UARTなんか初期設定でTxRx各64byteのFIFOだから、たかだか128byteのために2xM9Kも使われてしまう
こういう小容量メモリでは分散メモリのあるXilinxが有利だね
792774ワット発電中さん:2011/09/30(金) 11:09:51.34 ID:/CfP/+U7
>分散メモリのあるXilinxが有利だね

ん?
793774ワット発電中さん:2011/09/30(金) 14:07:11.61 ID:a0etyjpT
>>791
Vから出来るんじゃなかったっけ
794774ワット発電中さん:2011/09/30(金) 22:36:35.08 ID:Hhql7onm
分散メモリとかSRL32って便利だよね。
AlteraだとMLABに相当するのかな?
低価格デバイスにこそ欲しいと思うんだが、Cyclone系列には載ってこないのかなぁ
795774ワット発電中さん:2011/09/30(金) 23:03:36.78 ID:fblU1htT
SLR16でUART-FIFOが、たった8SLICEで
構成できるんだもんなぁ。(1SLICE≒2LE くらい)
やっぱ低価格帯のFPGAにこそ相応しいよね。
796774ワット発電中さん:2011/09/30(金) 23:53:56.10 ID:/CfP/+U7
おぉ分散RAMってザイリンクスの方に分があったのね
無知ですまそ
797774ワット発電中さん:2011/10/01(土) 00:11:08.31 ID:nJbuw2WV
de0-nanoのFPGA(EP4CE22)の場合、全メモリは608,256[bit]となってるのでバイト換算では
メモリ容量は実質67,584[BYTE]=66[KB]となり>>790のコメからすると67,584/8=8,448[BYTE]が
無駄になるってことかな。
798774ワット発電中さん:2011/10/01(土) 00:44:43.23 ID:B/W/7mpe
うまく繋げばパリティービットも有効利用できそうだけど、Qsys任せじゃそういう配慮は無いのかな
799774ワット発電中さん:2011/10/01(土) 02:12:10.60 ID:gDIMGTjb
256バイトのシングルポートメモリを2つ宣言したら、1xM9kにまとめてくれる?
800774ワット発電中さん:2011/10/01(土) 03:39:09.85 ID:aW7fRG59
自動でまとめてくれたりはしないんじゃないかな。

MegaWizardでTrueDualPortMemoryモードのRAMを作り、
双方のアドレス空間が被らないように結線するのが確実かな。
801774ワット発電中さん:2011/10/01(土) 09:13:47.78 ID:nJbuw2WV
Info: Selected device has 66 memory locations of type M9K. The current design requires
70 memory locations of type M9K to successfully fit.

de0-nanoで64kバイトのオンチップメモリを確保しようとしたときにでたエラーメッセージが上だった。
48kバイトに減らしてビルドした現在のメモリ使用状況を調べてみると次のようになっているようだ。

cpu:the_cpu|cpu_nios2_oci:
 2[M9Ks] 8,192[bit]
cpu:the_cpu|cpu_register_bank_a_module:
 1[M9Ks] 1,024[bit]
cpu:the_cpu|cpu_register_bank_b_module:
 1[M9Ks] 1,024[bit]
jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:
 1[M9Ks] 512[bit]
jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:
 1[M9Ks] 512[bit]
onchip_memory2:
 48[M9Ks] 393,216[bit]

オンチップメモリ以外で6ブロックのM9Kメモリを使っているので64kバイトに増やすと最初の
エラーででた70メモリブロック必要というメッセージと一致する。
オンチップメモリ以外の6ブロックというは減らせそうにないので今使用中のCPU構成では最大
60kバイトがオンチップメモリに割り当て可能ということになるようだ。
802774ワット発電中さん:2011/10/01(土) 09:56:46.02 ID:B/W/7mpe
4ブロックオーバーならFIFOとCPUレジスタを分散RAMに置き換えれば
64KB行けるんじゃないの?
LEを全く使わなければ分散RAMは594Kbit確保できるんでしょ。
3Kbit/594Kbit 全体の0.5%相当あれば足りるって事でしょ
803774ワット発電中さん:2011/10/01(土) 10:10:21.38 ID:B/W/7mpe
あ、嘘ついたゴメン。
804774ワット発電中さん:2011/10/01(土) 10:12:39.53 ID:gDIMGTjb
>>801
なんかコレ見てると、Altera謹製Niosでこんなに効率悪いんだから、
2kbitくらいのブロックRAM用意してくれればいいのにって思うね

>>802
Cyclone IV に分散RAMはない
DFF構成になるから、全LEでRAM構成しても22kbit
あと、分散RAMがあるFPGAでも、一部LEだけだった希ガス
805774ワット発電中さん:2011/10/01(土) 10:35:10.92 ID:B/W/7mpe
アルテラのFPGAはLUTがRAM代用にはならんのね、ラティスもあったからアルテラもあるもんだと思い込んでいました。 ごめんなさい。
LE辺り1ビットじゃぁ何の足しにもならんですね・・・
不勉強でした、反省の為しばらくROMに徹しますん
806774ワット発電中さん:2011/10/01(土) 11:12:07.66 ID:MY4ikT4W
>>794で知ったけど、Stratixはあるみたい。分散メモリ。
807774ワット発電中さん:2011/10/02(日) 19:41:03.95 ID:3gyGL6Sg
液晶画面表示の高速化について
NiosII/eにQVGA液晶を8ビットモードでつないでテスト中。今はIOをソフト的に
制御して液晶をドライブしてます。SDRAMにある150kバイトの1画面分のデータを転送
するのに150〜200msかかる。画面更新時は画面の上から下へ幕が降りるように見えるが
それも一瞬で写真のスライドショウ表示などでは特にストレスになることはない。が、動画の目安
である30フレーム/秒を目標にする。素人的にはSOPCでSRAMインターフェイス(*)
を組み込むことでも高速化が図れるかな、と考えているが、他に定番の方法などあるだろうか?

(*)SOPCには2種類(Cypress CY7C1380C, IDT71V416)のSRAMインターフェイスがあり
どちらかがi80バスに対応してるのではと予想している。
808774ワット発電中さん:2011/10/02(日) 20:10:10.17 ID:j6G5UnsZ
まずはSDRAMの波形から勉強かな。
バス幅何bitなのか知らないけど1us/byteもかかってるよね。
ソフト的でシングルアクセスしたのでは遅くて当然。

普通画面表示に使うならバースト的にデータ読み出すよ。
SDRAMコントローラがバーストサポートしてないのであれば自作したら?
んでFIFOに格納して表示のドットクロックで読み出して液晶に転送。
809774ワット発電中さん:2011/10/02(日) 21:45:58.50 ID:Vb7jH5E2
せっかくFPGA使ってるんだから、ソフトIOなんて使ったらマイコンでいいじゃんって話だよ。
LCD用のペリフェラルを作ろう。
単純に1ピクセルの転送をハードウェア化するだけでも10倍くらい速くなるよ。
WideVGAとかになるとそれでもキツいから、完全にDMAにしてしまう。
810774ワット発電中さん:2011/10/02(日) 22:51:58.46 ID:3gyGL6Sg
レスありがとう。

>>808
ターゲットのFPGAボードはde0−nano、SDRAMは16ビット・バス接続。SDRAM
コントローラはSOPCのIPでバースト・アクセスに対応してると思う。ただソフトのほうが単純に
  for (i=0; i<76800; i++)
  {
    ;;;
  }
という記述をしてるのでバーストになってるかはわからない。(多分なってないかな)
マイコン的なアプローチだとDMAコントローラを組み込めばバースト転送になるのか。


>>809
1)上位8ビットをレジスタにセット
2)WD信号をトグル
3)下位8ビットをレジスタにセット
4)WD信号をトグル
というステップをソフトで実行してるのでCPUクロックは100MHzにもかかわらずNiosII/eでは
かなり遅い(トグルするだけで0.5us程度かかる)。これをHDL化すれば確かに速くなりそうですね。
この場合のマイコンソフトからハードウェアモジュールへのデータの受け渡しは、アバロン・バスでという
感じになるのかな。
811774ワット発電中さん:2011/10/03(月) 00:22:19.73 ID:eB2t+SNl
昔はSDRはバースト未対応だったような気が。
バージョン上がって対応になったのかな。
812774ワット発電中さん:2011/10/03(月) 02:02:54.64 ID:wH07RqgD
>>811
覚え違いかも知れないけど。
SOPC Builder付属のSDR SDRAMコントローラーは、バーストは対応していないはず。
けどだいぶ初期のバージョンから、ROWアドレスが変化しない場合は0ウェイトでの連続アクセスが可能。
(何モードって言うんだっけ?スタティック・カラムとみたいな感じなんだけど)
以前フレームバッファーとか作ったとき、かなり効率良かったことを覚えてる。
813774ワット発電中さん:2011/10/03(月) 20:53:15.15 ID:Ig2NUALw
アルテラのFPGAだけど、
SDRAMコントローラはアバロン・バスに直接接続できるのにSRAMコントローラやフラッシュメモリ
コントローラはアバロン・トライステート・バスを間に入れて接続するようになってるね。
トライステート・バスを挿入しないといけない特別な理由があるのか、それともただ設計が古いだけなのか、
この疑問に適切な答えがある?
814774ワット発電中さん:2011/10/03(月) 22:16:07.25 ID:wH07RqgD
自分の理解ね。
SRAM/Flashを1つだけ接続するときはなんか冗長に感じるけど、
・それぞれを個別のバスとして接続する
 (2つ以上のトライステートブリッジを入れて、それぞれにSRAMやFlashなどをつなぐ)
・共有バスにまとめて接続する
 (1つのトライステートブリッジにSRAMやFlashなどをつなぐ)
どちらにも対応できるように、柔軟性を重視したため。
自分で作った外部接続の回路を、SRAM/Flashなどのバスと共有させる時も同じ。
815774ワット発電中さん:2011/10/03(月) 22:34:48.79 ID:z4WIlzWw
>>813
単にSRAMもFlashもデータ線が双方向だからでは?
AVALONは双方向じゃなかった気がする。
816774ワット発電中さん:2011/10/03(月) 23:02:48.68 ID:ZerqP9Dc
>>815
それ言ったらSDRAMも双方向だが…
817774ワット発電中さん:2011/10/03(月) 23:09:30.20 ID:OE8j6gEl
SDRAMが速いからだよ
アバロン・トライステート・バスなんてのを入れたら光速アクセスの
の障害になるだけ
818774ワット発電中さん:2011/10/04(火) 16:38:49.62 ID:vMlLv3E3
>SDRAMコントローラはアバロン・バスに直接接続できるのに
ESの時に出来なくて、外部に入れていた回路がそのまま残った
なんていうことだったりしてね。
819774ワット発電中さん:2011/10/05(水) 19:09:46.16 ID:U09lY3iP
アバロンバスのマスターとスレーブに直接接続できるモジュールがある一方でトライステート・バスなる
ものを間に入れる意味は非同期回路への接続のためにフリップフロップを挿入するということらしい。
ただトライステート・バスにSRAMを直結できるなら理解できるけどトライステート・バスとSRAM
の間にさらにSRAMコントローラを挿入するというのがSOPCの組込み手順になってるので最初の説明
だけでは足りないよな。
SDRAMが速いからトライステート・バスをいれたら遅くなるというのは上の理由から分かるような気が
するけどSRAMコントローラが必要なのであればSDRAMコントローラと同様アバロン・バスに直結できる
ようにトライステートを内蔵すればいいのでは、という考えもある。がそうしなかったことの意味があるのか?

ニオスでは共用体を使って1バイト長のレジスタ(8ビットPIOなど)のアクセスはできるみたい(アルテラ
はこのやり方をすすめていないと思う)だけど1バイトを超える場合は上位のバイトで重複アクセスが発生
したりしてうまくいかない。>>375でその理由を説明してくれてるのだが初心者にはこの説明が理解できない。
いまアバロン・スレーブについて調べててようやくこれが正しいことがわかった。参考書がアルテラの
ドキュメントだけというのはきびしいな。
820774ワット発電中さん:2011/10/06(木) 19:37:57.65 ID:KtRdjNl3
臭す
821774ワット発電中さん:2011/10/07(金) 07:03:19.81 ID:C+LCFT9J
極僅かしか金ない
どうやってJTAGするか?
良いアイデア募集
5000円未満の方法があれば即決かもしれぬ
822774ワット発電中さん:2011/10/07(金) 07:14:14.87 ID:4icF3b5P
5000円を元手にギャンブルで…
823774ワット発電中さん:2011/10/07(金) 11:18:30.17 ID:p90wFZ0u
ttp://akizukidenshi.com/catalog/g/gM-02990/
これ以外の選択肢があるのか?
824774ワット発電中さん:2011/10/07(金) 11:58:19.31 ID:wa2Zo7Ej
XilinxのFPGAに乗ってる乗算器はどの仕組みなの?
パラレルアダーとか
825774ワット発電中さん:2011/10/07(金) 12:03:16.59 ID:p90wFZ0u
Spartan-6の資料一覧
ttp://japan.xilinx.com/support/documentation/spartan-6.htm

PDF1.6MB注意
Spartan-6 FPGA DSP48A1 スライス ユーザー ガイド (英語版)
ttp://japan.xilinx.com/support/documentation/user_guides/ug389.pdf

乗算器は英語版しかない
積和用の加算器とパイプライン用レジスタがいろんな所にあって結構ややこしい
826774ワット発電中さん:2011/10/07(金) 12:43:25.15 ID:50P2aCU3
>>821

ALTERA限定だが、完全互換なので面倒がない。 >>823 結構面倒

ttp://enpon.blog.so-net.ne.jp/2009-06-18

USBブラスター互換品 \3750 安い!  がおすすめかな。
827774ワット発電中さん:2011/10/07(金) 15:50:56.11 ID:p90wFZ0u
そろそろISE13.3が出るのかな
828774ワット発電中さん:2011/10/07(金) 19:18:18.27 ID:C+LCFT9J
>>823
なる程USBでパラレルか、その後はお約束のパラレルケーブルだな。

>>826
と、思ったら結構面倒か。
しかもなんだそのページの紹介されている1000円とか
チープなればこそ金を掛けてチープにw
きっと3570円以上になりそうだけどいいな1000円。

そして部品箱を漁ったら
XC9536?なんだこりゃ?w
FT232RLが出てきた、惜しい232Cか
更にPIC18F4550?おいおいこれ>>826が紹介している1000円互換品だよ
ソケットをピンヘッダにして後で14K50乗せかえる様にすれば無駄にでかくならないかな?
なんか地味に方向性見えたがこのもどきはXのICEでは使えんのか?Aの開発ツールは使ったことが無い

>>827
まじで、ISE7か8だ
これを機にAにお引越しかな?
829774ワット発電中さん:2011/10/07(金) 22:40:29.43 ID:ZF1zR6vE
>>828
FT2232はCOMポート認識だからパラレルポートとしては使えない
LPT認識でも、IOポートは割り当てられないからやっぱり使えない

FT2232のIOを操作してJTAGアクセスするJTAGKEYってのがあるから、
それのクローンを自分で作って使えってことかと
830774ワット発電中さん:2011/10/07(金) 23:44:45.11 ID:C+LCFT9J
>>829
そういうものがあるのか、これは失敬&ありがとう
JTAGKEY、検索してみます
831774ワット発電中さん:2011/10/10(月) 23:15:58.09 ID:yeGVsMc3
QVGA液晶への表示速度向上のためはじめてFPGAらしいモジュールを作成した。これまで汎用IO
でデータ転送していたところにアバロン・スレーブのドライバIOを接続した。このドライバは16ビット
のピクセルデータを8ビットずつ2回に分けて転送するもので8ビットバスでは液晶の最大スペックの
1ピクセル200nsとなるようにデザインした。てはじめにこのIOのレジスタにソフト的にSDRAM
のデータを書き込む方法を試したが1ピクセル約500nsと期待した速度がでなかった。次にSOPCで
DMAを追加して試したところ期待値の1ピクセル200nsがでた。ひとつ気になるのは実行はできて
いるけどBSPプロジェクト(アプリケーションではなく)のビルドで警告がでたままになっていること。
警告箇所はalt_sys_init.cのdma_priv、dma_rx、dma_txで!マークが表示されている。
NIOSシステムは100MHzで動作していて自作のドライバIOとDMAのクロックを100MHzに
するとプログラム・ダウンロードの最終フェーズでエラーがでたのでDMAだけ50MHzにすると上記の
警告で実行は可能な状態となる。警告メッセージは「left shift count >= width of type」。
50MHzにしないと動かないというのもおかしいが一体なんだろうな。
832774ワット発電中さん:2011/10/11(火) 00:20:05.70 ID:ETFG1WGR
全角英数とかこの板には素人さんしかいないのね
833774ワット発電中さん:2011/10/11(火) 00:37:11.95 ID:b48GM8A7
>>831
いいこと言うね。(読んでないけど)
読みやすい文章だね。(句読点が1つもないけど)
834774ワット発電中さん:2011/10/11(火) 08:19:12.09 ID:WjgAzRui
>>833
句点はあるだろw
835774ワット発電中さん:2011/10/11(火) 09:26:58.86 ID:MXgOuI1b
デバイス名も開発環境名も出さない妄言はチラシの裏にでもどうぞ
836774ワット発電中さん:2011/10/11(火) 20:54:38.02 ID:kDlc1PT+
>>831
ROMですがこのひとが軽石さんという方ですか?
837774ワット発電中さん:2011/10/11(火) 20:58:24.89 ID:lBh1pr+S
素人でアルテラといえばde0かde0−nanoだろう。やってる内容からするとどれを使ってもたいして
かわらないだろうが。

システム全体が100MHzのクロックで動作するようになった。問題はDMA組込みのSOPCの設定に
あったようだ。液晶周りはひとまずこれで終了。自作モジュールが出力するウェイト・リクエスト信号を
NIOSがちゃんと処理してくれたのはプチ感動。
今のプロジェクト(趣味だけど)で一番速度的に遅いのはSDカードからファイルを読み出す部分。
320x240サイズの24ビットカラーのBMPファイルを読み出すのに2秒程度かかる。SPI通信を
すべてソフトウェアでやってるから当然だけど次はこれのハードウェア化の予定。といってもSPI通信を
単純にモジュール化すだけだと思うけど。このあたりはFPGAらしくて面白いところだな。
838774ワット発電中さん:2011/10/11(火) 23:55:21.27 ID:oQal0uml
なんか羨ましい
オレは、VHDLでシコシコするのが精一杯。
839774ワット発電中さん:2011/10/11(火) 23:55:42.56 ID:kDlc1PT+
なぜだろう読んでていらいらする

SDカードのアクセスモジュールはSPIモードだと面白くないので
ネイティブモードでの実装をおすすめする。
840774ワット発電中さん:2011/10/11(火) 23:59:00.55 ID:kYXyObas
DE0を100MHzで動かすには水晶発振子を交換するのですか?
841774ワット発電中さん:2011/10/12(水) 00:06:59.61 ID:Q/pFnZQP
PLLくらい使えよ。
842774ワット発電中さん:2011/10/12(水) 00:53:18.79 ID:MuIezer9
最初はSDバス・プロトコルでやるつもりでネット情報や資料を集めたけど結局は断念。
目的への近道を選んだ。SPIでも結構面倒だったけどSDバスはさらに複雑。
今はソフトで1ビット/usだが25MHzだとかなりの速度向上が見込めると期待している。
ブレッドボード上でジャンプワイヤーなどの配線でテストしてるのでうまく動作するかは
やってみないとわからないけど。

>>840
答えは出たね。100MHzで動かすには2、3行のおまじない的なタイミング制約を書いて
やる必要がある。150や200MHzでも動くのかは興味があるけどわからない。自分で
試してみた結果、いまのところ100MHzが動作する最高周波数だ。
843774ワット発電中さん:2011/10/12(水) 01:08:41.31 ID:0A29USc4
SDのネイティブモードって仕様公開されてるの?
だとしたらなんで個人のしゅみでは大概SPI使ってるの?
844774ワット発電中さん:2011/10/12(水) 01:19:00.89 ID:z6PgL7YN
NDAしないと見せてもらえないことになってるけど
某社が資料をネット上に放置してたので使えるというだけ。

達人の解説記事なんかないので普通の趣味人はSPIどまり。
845774ワット発電中さん:2011/10/12(水) 01:23:39.22 ID:nkcLcK5S
DE0のSDRAM貼り替えた人いる?
846774ワット発電中さん:2011/10/12(水) 09:46:14.02 ID:WZXUrWWP
>>845
タイムリーですね。
ちょうど近いうちに256Mbitに張り替えます。
847774ワット発電中さん:2011/10/18(火) 19:40:04.04 ID:dsaAbtXr
これで飯食ってる人教えてください。設計フローの作成物とか、書き方の参考になるサイトや書籍を。ソフト脳でタイミングチャートの書き方がわからんのです。
試用期間中で、課題をタイミングチャートなしで作ってダメ出しされた。
848774ワット発電中さん:2011/10/18(火) 19:52:05.10 ID:cYpBh/jq
会社ならそんなものゴロゴロしてるんじゃないかい、
関係のないプロジェクトのものを参考に見せてもらうのはダメなのか?
849774ワット発電中さん:2011/10/18(火) 20:25:45.83 ID:KQ3EGMMO
課題ってことは学生かもしれん。
シミュレーション波形で確認するのではあかんの?

まぁシミュレーションに慣れると
設計に頭を使わなくなるという難点もあるんですがw
850774ワット発電中さん:2011/10/19(水) 00:34:46.25 ID:dFAAza60
SDカードのSPIモードとSDバスモードの違いは通信速度の差だけでなくSDカード内部の
処理速度にも関係があるのか、SPIモードでシングルブロック(512バイト)の読出しの
コマンドを実行するとSDカード側で出力データが準備できるまでに結構時間がかかるみたいだ。
この処理時間はホスト側でコントロールできないので単純にSPI通信のレートを25MHzにしても
思ったほど読み出し速度が速くならない。320x240サイズ、24ビットカラーのBMPの読出し
にかかる時間は2〜3秒だったのが1秒になった程度だ。
851774ワット発電中さん:2011/10/19(水) 00:55:24.70 ID:H7PQDvNm
SPIって理由はよくわからないけど、好きになれないな。
852774ワット発電中さん:2011/10/19(水) 01:00:00.06 ID:cqosNkeP
>>850
カード自体を変えると結構違うよ。
パッケージには 高速・デジカメに最適 とか歌ってても、実際早いのは書き込みのみで
むしろ読み込みが異常なほど遅いカードとかあるし。
あと、大容量で遅くなる傾向がある気がするけど良く分からん。

一番良いのは買い込んで片っ端から試す事。
853774ワット発電中さん:2011/10/19(水) 02:44:00.49 ID:svqspa0L
おまいらSpartan-6+SDR SDRAMでEDK使うにはどうしたらいいのか教えて下さい。
Spartan-6使って設計してる基板にスペースが余ったので、SDRAMのパターン引いて
EDKで遊んでみようと思ったのだが、MPMCはSpartan-6との組み合わせだと
SDRのSDRAMに対応してないことに今更気が付いた。DDR付けるには電源増やさな
あかんし…。ちょっと前のEDKからplb_sdramを持ってきて使うとか可能ですの?
854774ワット発電中さん:2011/10/19(水) 06:39:04.69 ID:KEdPiGkN
>>851
いつまで使えるのかとても不安ですね
855774ワット発電中さん:2011/10/19(水) 11:21:38.03 ID:f9cbQf0g
SDカードだって、HCやらXCやら中途半端だしな。
2GB 限定とかにしとけばいいだろ。
856774ワット発電中さん:2011/10/19(水) 12:19:44.49 ID:TDfjBksK
いまどき2G限定はないわ
857774ワット発電中さん:2011/10/19(水) 22:24:07.97 ID:dFAAza60
de0−nanoのNIOSII/eにアバロン・バス接続の自作SPIモジュールを接続してるが
正しく動いてるのかどこかおかしいのか判断が難しい。はじめDMAを介さずに(正しく)動いてた
モジュールをDMAでSPIからSDRAMまたはオンチップRAMに転送するようにSOPCで構成
しなおすと動かなくなり、HDLコードを修正してDMAで動くようになったらこんどはDMAを含まない
システム構成では動かなくなったり。今はシステムにDMAを2つ組み込んでひとつはSPIからSDRAM
またはオンチップRAMへ、もうひとつはSDRAMから液晶へという構成で動いているが、ひとつのDMA
構成に変更するとまた動かなくなったりという状況だ。データシートから想定されるアバロン・バスの
インターフェイス信号をシミュレーションで与えると問題はないので原因がわからず、デバッグの方法さえ
検討がつかない。趣味だからよしとするか、独学では限界かな!
858774ワット発電中さん:2011/10/19(水) 23:52:05.96 ID:tJ6/doOJ
>>857
どうやってつなげているのかわからんが、モジュールのつなぎ方が悪いのは間違いない。
サンプルを参考にして、ドキュメントをよく見るこってすな。

サンプルでも内部RAMを中継するような構成になっているのもあったね。
859774ワット発電中さん:2011/10/20(木) 00:12:30.32 ID:vxfgmYPQ
>>858、どうやってつなげているのか?
自作のアバロン・スレーブのHDLをSOPCに読み込みコンポーネント登録して
付属のIPと同じようにNIOSシステムに組み込んでる。モジュールのつなぎ方
というのはユーザー側で書いたHDLの中の話だろうか、それともSOPCでの
グラフィカルなコネクション設定のことだろうか?

一般にシステム内で次のような2つのデータ転送が必要なとき組み込むべきDMAはひとつだろうか、
それともデータパスごとに別々のDMAをつなぐことになるのか?(アルテラのDMAの場合で)
1)SDRAMからリードしモジュールA(液晶ドライバ)にライト
2)モジュールB(SPI)からリードしSDRAMにライト
860774ワット発電中さん:2011/10/20(木) 02:22:48.48 ID:DFaSoWRZ
まーた全角素人か
861774ワット発電中さん:2011/10/20(木) 08:21:38.92 ID:kLrpzaz1
>>859
DMAなどのバスコントロールで問題になるのはSOPCでの接続かな?
バスブリッジとかちゃんと入れてる?

862774ワット発電中さん:2011/10/20(木) 22:34:25.28 ID:keAHm4xs
NiosとかSOPCって使いづらくって結局自分で全部作っちゃうんだよな
863774ワット発電中さん:2011/10/21(金) 00:24:00.94 ID:hdhDUcix
ハードは自分で作り、ソフト開発ツールのみアルを使う
って奴がほとんどだよな。
ARMコアのCPUなんかを自作しているのも多いからな
864774ワット発電中さん:2011/10/21(金) 00:25:01.26 ID:zG4PHsd8
そうアルか?
865774ワット発電中さん:2011/10/21(金) 01:34:52.87 ID:qGfezo9E
> データシートから想定されるアバロン・バスのインターフェイス信号
ちゃんとタイミング振ってる?
データシートに乗っているタイミング例だけテストしてたりしない?
データシートが間違ってるってこともあるし、疑うところはたくさんある。
まず疑うのは自分が作った所、自分が解釈したところだけどね。
866774ワット発電中さん:2011/10/21(金) 23:09:27.71 ID:ZsftdmIM
FPGA歴1ヶ月でモノを言えば、NIOSの存在意義わからない。
エレメント数消費してまでCPU実装する意味が分からない。
CPUなんて外付けすればいい。

これ間違ってる?
867774ワット発電中さん:2011/10/21(金) 23:19:34.71 ID:y+mFziYQ
適材適所じゃないかな。
接続するCPUにもよるけどシリアルバスでもサポートしていれば良いけど
パラレルバスで接続するの面倒だし、CPU外付けするぶん部品点数増えるしね。
868774ワット発電中さん:2011/10/22(土) 00:57:40.38 ID:7QymEQX0
>>861
バスブリッジにもいろいろあってこれだけではわかりづらいけど、ひょっとして
パイプラインブリッジのことを意味してるのかな。
869774ワット発電中さん:2011/10/22(土) 09:18:28.93 ID:zI9rpyyf
>>503
超亀レスだけど、俺も困ったので今後の人のために。

【原因】
作業ディレクトリが全角文字のデスクトップ指定になっているため。

インストール直後にデスクトップに作られるショートカットには
作業フォルダが設定されていないため、デフォルトでデスクトップになってしまう。

【解決策】
スタートメニュー上から起動する。
ショートカットプロパティを見れば分かるが、
作業ディレクトリが「c:\altera\11.0sp1」になっている。

もしくは、パスに全角を含まない作業用のフォルダを作成する。
その後、デスクトップに作成されたショートカットの「プロパティ」から
「ショートカット」タブの「作業フォルダ」の項目に入力する。
例えば、「c:\altera\work」など。

全角に限らず、スペースも避けた方が良いかも。
「Documents and Settings」とか「Program Files」とか。
ツールによっては、コマンドが誤認識したりする。
870774ワット発電中さん:2011/10/22(土) 09:29:09.57 ID:fumN1Gw8
今時マルチバイト未対応とか、大昔のソフトって感じ
871774ワット発電中さん:2011/10/22(土) 09:37:59.72 ID:PWi/OldM
コストダウンのためH8、SHをやめて、NiosII、MicroBlazeに変更する案件が実際増えている。
マイコンのディスコンリスクを考えてというのもあるようだ。

>>857
NiosIIのソフト込みでシミュレーションするとすぐわかると思うよ?
872774ワット発電中さん:2011/10/22(土) 11:24:57.32 ID:XSfA0AXX
SDカードの4bitモードを使う話が出ていたが、SDカードのバスのロガーを作ると開発しやすいと思う。
大容量DRAMの乗った安価な評価ボードを使って、SDカードのバスを流れるデータをDRAMに蓄え、USBでPCに転送する。
できれば、それをグラフィカルに解析、表示するソフトもつくる。
やっぱりSPIでは全くおもしろくないと思う。
873774ワット発電中さん:2011/10/22(土) 17:51:50.42 ID:QhT4oCTs
いつの間にかQuartusII11.0SP1が出てた
874774ワット発電中さん:2011/10/22(土) 21:07:50.38 ID:7QymEQX0
>>869
貴重な情報ありがとう。この問題のため使いにくいのを我慢して使ってた。

基本的にウィンドウズ上で使ってるはずだと考えるとみんな経験してると思うんだけど
なぜネット上を検索しても情報が出てこないのかね。しかもデフォルトのインストールで
この設定って。
875774ワット発電中さん:2011/10/22(土) 21:55:41.73 ID:QhT4oCTs
ModelSim内臓のエディタも日本語化けるね
876774ワット発電中さん:2011/10/22(土) 23:41:26.89 ID:Gbz9EyLq
日本製のFPGA開発環境、シム使えばOKだろ
それぐらい電子産業最強国日本の会社が提供してるだろ
なんでわざわざ外国の開発ツール使うんだよ
877774ワット発電中さん:2011/10/22(土) 23:59:40.79 ID:run0X6QI
DE0-nanoの青い常灯電源LEDが何故か不安定になっちゃったよ。
買ってからまだ大したことしてないのに、なんで?
他の機能に影響がなければいいが・・・
878774ワット発電中さん:2011/10/23(日) 00:11:26.40 ID:Lt2pG+9q
>>877
BWMのDirty比がが不安定になったのかな?
879774ワット発電中さん:2011/10/23(日) 02:27:39.29 ID:hvbF3/yk
BWMのDirty比 って何ですか?
880774ワット発電中さん:2011/10/23(日) 07:26:39.06 ID:sXgDhbLx
バルス幅変調w
881774ワット発電中さん:2011/10/23(日) 10:34:47.20 ID:YO+Bcbu0
DE1のスイッチをrunからprogに切り替えてASモードで.pofを書き込もうとしたけどダメだった。
以前は書き込みできてたのにな。
882774ワット発電中さん:2011/10/23(日) 13:50:33.38 ID:y24SDzoa
エディタ以外はアルテラの純正のツール使ってるけど>>876はただのつりだよね。?
仕事でアルテラのFPGA使ってるなら普通QuartusIIとModelSimだろ。
883774ワット発電中さん:2011/10/23(日) 17:36:47.76 ID:7AryN3vb
>>877の件、電圧測ってみたら2.0〜2.2Vでふらふらしてた。
電源LEDだし、制御しているものではないと思う。

8個付いてるLEDの電圧をふと計測してみようとテスタ当てたら、
どういうわけか過電圧かかって、それだけ少し暗くなっちゃったよorz もろすぎorz

884774ワット発電中さん:2011/10/23(日) 18:15:56.16 ID:7AryN3vb
あそっか、BWMだからテスタの中で昇圧されちゃたのかな?
885774ワット発電中さん:2011/10/23(日) 18:32:09.90 ID:y24SDzoa
>>883
nanoの回路図では青い電源LEDは3.3Vのレギュレータから、8個のIO用のLEDも
3.3VのFPGAのIO用電源が元になってるね。3.3Vのレギュレータが壊れてるんじゃ
ないのかな。周辺回路をつなぐとき電源をFPGA基板からとったりした?オンボードの電源は
基板全体の発熱状態から考えて外部に供給できるほどの余裕はそんなにないんじゃないかな。
886774ワット発電中さん:2011/10/23(日) 18:39:09.81 ID:ls0hdC8W
×BWM
○BMW
887774ワット発電中さん:2011/10/23(日) 18:39:41.81 ID:y24SDzoa
失礼、3.3Vの電源容量的には1.5Aで結構余裕があるね。
888774ワット発電中さん:2011/10/23(日) 18:39:47.40 ID:7o3VHJX4
素人がageてまで口出しすんなやks
889774ワット発電中さん:2011/10/23(日) 18:50:47.81 ID:r8PAC6oE
>>886
◎BWH
890774ワット発電中さん:2011/10/23(日) 19:04:02.92 ID:F6GD6cpi
>>883
日本製じゃないからな。
ゆとりでなければ安い非日本製に日本製の質があるって思っていないだろ
安い非日本製を扱えないレベル(自分で修理・改善が普通)
なら日本製のFPGAボード使えってことだな
891774ワット発電中さん:2011/10/23(日) 19:12:35.26 ID:XXPVsqRH
やけに日本製にこだわるやつがいるなw
892774ワット発電中さん:2011/10/23(日) 19:23:41.38 ID:BZBh5443
>>885
それが、外部機器は1度も繋げてないんだよね。
8個のLEDは全く問題なく光ってるよ。
俺が1個暗くしちゃったけどw
893774ワット発電中さん:2011/10/23(日) 20:03:45.74 ID:BZBh5443
??885
VCC_3P3の電圧計測したら3.2Vで安定しているし、他の機能に影響は見られないから
恐らく青色LEDの不良か、R40(120Ω)が悪いんだと思います。
気にせず使いたいと思います。どうも失礼しました。
894774ワット発電中さん:2011/10/24(月) 09:29:25.17 ID:+ueLMi3Y
>>891
一回痛い目にあえば分かるよ。
今まであたりまえだと思っていたことが実は日本製の品質というもの
だったのだということが。
895774ワット発電中さん:2011/10/24(月) 09:50:08.72 ID:aT7LfIQj
>>894
それは過去の話
日本製の品質は他国にはマネできないというのは幻想だった。
896774ワット発電中さん:2011/10/24(月) 11:28:06.61 ID:rhHqdQd6
そうでもないよ。
897774ワット発電中さん:2011/10/24(月) 11:58:54.90 ID:nAFCyxD/
毎日比べてばかりでうんざりだ
日本製は凄いよ、こんなにしなくていいだろ?もう比べるの秋田
898774ワット発電中さん:2011/10/24(月) 12:11:37.67 ID:0i3TkZEx
>>897
右翼系の板ででもやっててくれないかな?
899774ワット発電中さん:2011/10/24(月) 16:20:00.69 ID:osv2miDv
英語読めない素人さんばかりだから仕方ないな
900774ワット発電中さん:2011/10/24(月) 17:24:26.18 ID:CxH+a0P2
土方が開発設計している日本に性能・品質を求めるなんて
901774ワット発電中さん:2011/10/24(月) 17:32:10.34 ID:+ueLMi3Y
>>895
「可能かどうか」というならもちろん可能なんだけど、
それを維持してくれるかっていうのがなかなか難しくてね。
暗黙の了解っていうのが通じないから、出来てきて物をみてびっくりだったりする
なるほど、こういうのって品質だったんだ・・って再認識することは多いよ。
902774ワット発電中さん:2011/10/24(月) 18:35:58.24 ID:1tvKmRrV
>>暗黙の了解
むしろそれこそが日本の一番悪い点だと思う。
903774ワット発電中さん:2011/10/24(月) 19:06:44.68 ID:+ueLMi3Y
>>902
それが一番の強みだけどな。
実際、正規雇用を削り、派遣だの何だのって目先の利益を追い始めてから
ろくなことになってきてないだろ。
904774ワット発電中さん:2011/10/24(月) 20:59:17.74 ID:BVAsJMtj
de0−nanoを100MHzで動かしてたけど新機能追加のたびにダウンロードの最終フェーズで
失敗するのでクロックを50MHzに下げた。全体的に遅くなったのでFPGAにがんばってもらうしかない。
SDカードのファイル読出しから画面表示までの処理の高速化のためにいろいろテストしているが、今は
カードからファイル読出しに120ms、SDRAM上のbmpデータを液晶表示用にデータ変換するのに
170ms、液晶表示に16ms、トータルで約300msのところまできた。SPIによるSDカードの
読出しではシングル・ブロック・リードからマルチ・ブロック・リードにすることによって2倍程度の速度
向上になった。1秒当たり約2Mバイトの読出レートとなりSPIモードではMAXに近いかもしれない。
あと時間短縮できそうなのはbmpデータを画面データに変換するプロセス。いまは24ビットカラーから
16ビットカラーに変換する部分だけアバロン・スレーブでモジュール化している(ソフトで処理するのと
比べて2倍ほど速くなる)がSDRAMの読み書きはソフトで行ってるので遅い原因になってる。ここは今後
1ライン分のデータ320x3バイトをDMAで読み込み一括変換して再びDMAで画面用メモリの後ろから
順番に書き込むという処理を240ライン分実行する方法にしようかと考えているが、もっとうまい方法が
あるかな。PCやデジカメなどではSDカードから動画を再生する場合でもバッファリングで途中停止する
ことなくデータ処理できてることからするとFPGAでももっと速くできそうなんだけど。
905774ワット発電中さん:2011/10/24(月) 22:46:50.29 ID:abBFTNlP
韓国に抜かれ、中国に抜かれ・・・
906774ワット発電中さん:2011/10/25(火) 00:29:06.54 ID:fJ5DeGa6
>>903
給料高いのに能力無い奴多いから目先の利益すら出てないんだろ
給料に対する生産性ってどうなんだろな

>>905
先進国で大国日本が
先進国でもない、大国でもない国に抜かれるなんて
いかにダメかだよな。
907774ワット発電中さん:2011/10/25(火) 04:01:16.74 ID:z5MAibSv
でも日本の品質は捨てがたいよね。
爆発するよりは、しない方が良いし。
908774ワット発電中さん:2011/10/25(火) 07:10:48.63 ID:RFRwqL+0
真面目な話が埋没してて、どうでも良い話でスレが賑わう

904がんがれ
909774ワット発電中さん:2011/10/25(火) 09:12:26.64 ID:FBYukfY7
だって読み難いんだもん
まるで携帯で入力したような書込みだ
全角英数だから携帯じゃないと思うけど
910774ワット発電中さん:2011/10/25(火) 11:00:08.87 ID:pq9Co9Nk
>>905
今日はロシア女にするか・・・
911774ワット発電中さん:2011/10/25(火) 11:15:31.52 ID:bsiRubGP
素人とか全角とか、同じことばっかり何度も書いてボケ老人みたいやな。

>>909
これも同じような書込み何度も見るね。

結局、ど素人ばかにして優越感にひたりたいって感じなのかな。
912774ワット発電中さん:2011/10/25(火) 12:05:30.95 ID:Na1oYkWj
>>904
読む気がしない。その理由は、
「読点」がないし、段落分けもないので、
「今からこれだけ読まなきゃなんないの?」と思うと、飛ばしたくなる。
しかも「俺の技術はスゴイだろ」と言わんばかりの文章だし。
913774ワット発電中さん:2011/10/25(火) 12:42:51.58 ID:fIf5Br6p
読んでみたらただの日記じゃん。
読むだけ無駄だから読む気がしなくてスルーした人は正解。
914774ワット発電中さん:2011/10/25(火) 12:49:50.50 ID:b7OIOTaf
XilinxよりAlteraの方が儲かってるんだな
国内ではAltera使ってる会社が多いのかな
915774ワット発電中さん:2011/10/25(火) 13:01:12.52 ID:Na1oYkWj
全角英数文字は、抵抗があるね。 100kΩくらいかな。
916774ワット発電中さん:2011/10/25(火) 13:11:34.73 ID:J6QbFj8s
誰もやったことないような事の日記なら読む価値あるけどなー
917774ワット発電中さん:2011/10/25(火) 14:26:32.65 ID:lwUD5Aha
>>914
国内はaがトップシェアだね。

xはiseさえ改良してくれれば使いたいのだけど。
918774ワット発電中さん:2011/10/25(火) 15:43:58.68 ID:bsiRubGP
日記、か。
それを進める上で懸念される事項などもあるはずだが日記の中身について何の指摘もできないとは。
それでは素人相手に得意げになったり、全角が、とかくらいしか言えないよな。
おれのPCのブラウザでは全角英数でもプロポーショナル表示で半角とそんなにかわらないけどな。

XとA、開発ツールそんなに違うのか?
J−TAGケーブルがないと役に立たない雑誌の付録基板いらいアルテラにしか興味なくなった。
919774ワット発電中さん:2011/10/25(火) 16:10:03.22 ID:jIqrnYsH
J-TAGってなんだ?
920774ワット発電中さん:2011/10/25(火) 16:15:01.96 ID:Yw0In27U
どうでもいい内容だからどうでもいい所にしかツッコミが付かないんだな。
921774ワット発電中さん:2011/10/25(火) 16:20:28.69 ID:bsiRubGP
>>919
予測どおり
922774ワット発電中さん:2011/10/25(火) 16:42:48.39 ID:pFFZeTVX
全角とか小学生かよwwwwwwww
923774ワット発電中さん:2011/10/25(火) 18:05:32.14 ID:pq9Co9Nk
ハンカクデスカ、ザイリンクス・アルテラ、コウデスカ、ワカリマシタ。
924774ワット発電中さん:2011/10/25(火) 18:07:09.97 ID:82/yfwKe
>>917
世界的にはXの方がシェア大きいと聞いたが、国内はAのほうなのか?

同じ様なことできるのに両方を使っている会社ってあるのか
どんな基準で使い分けてるんだ?
925774ワット発電中さん:2011/10/25(火) 18:47:02.05 ID:z5VCkBMa
おれ日本人だけどFPGAはじめるまでXilinksは知ってたけどAlteraは知らんかった
926774ワット発電中さん:2011/10/25(火) 19:07:33.19 ID:xRCsE/9t
>>924
同じような事は出来そうなんだけど
同じ事は出来ないので併用
927774ワット発電中さん:2011/10/25(火) 19:41:00.32 ID:lwUD5Aha
>>924
うちは設計者の趣味だわ
928774ワット発電中さん:2011/10/25(火) 19:56:15.64 ID:z5MAibSv
ここが噂の釣堀ですか?
929774ワット発電中さん:2011/10/25(火) 19:59:09.96 ID:gu6V0IYX
>>904
あんたの言うPCやデジカメなどってのは、読み出しに1ビットの低速SPIなんか使ってないんじゃないの?
ライセンス買って4ビットの高速データ転送とか使ってるんじゃないかと。
930774ワット発電中さん:2011/10/25(火) 21:23:53.53 ID:0+RMpz/d
>>929
4bitの高速伝送てちなみになによ?
931774ワット発電中さん:2011/10/25(火) 21:31:34.74 ID:pyJaiKjd
>>930
まったくスレ読んでないの?
何でレスしたのかが理解不能。
932774ワット発電中さん:2011/10/25(火) 21:39:14.11 ID:0+RMpz/d
>>931
なんだSDのはなしか
また新たなインターフェイス規格が登場したのかと思った。
933774ワット発電中さん:2011/10/25(火) 23:09:23.38 ID:xRCsE/9t
俺はQSPIの話かと思った
934774ワット発電中さん:2011/10/26(水) 00:22:15.92 ID:2NQPbMDf
PCの.MOVファイル調べてみたら3分の映像で240Mbyteくらいみたい。1.4Mbyteくらいの
転送レートなら途切れることなく再生できるのかな。2MbyteならSPIでも結構速いな。
935774ワット発電中さん:2011/10/26(水) 07:04:44.36 ID:9EGLzZGg
  ;;/   ノ( \;
    ;/  _ノ 三ヽ、_ \;   
  ;/ノ(( 。 )三( ゚ )∪\; クワイン『集合論とその論理』
 ;.| ⌒  (__人__) ノ(  |.; を知らないようだね?
 ..;\ u. . |++++|  ⌒ /; 
  ..;ノ   ⌒⌒     \;
      ,.゙-‐- 、 `二´'/  
      ┌、. /     ヽ ー‐  ヽ.
       ヽ.X、- 、   ,ノi      ハ
    ⊂>'">┐ヽノ〃     / ヘ
     入 ´// ノ        } ,..,.._',.-ァ
    /   `ー''"´      ,'  c〈〈〈っ<
936774ワット発電中さん:2011/10/26(水) 07:46:19.66 ID:usAV7H+P
クワイン・マクラスキー法は知っていも
確かに「集合論とその論理」を読んでる人は少ないだろうな。
937774ワット発電中さん:2011/10/26(水) 12:43:26.68 ID:aF+tXn8j
抱き枕好きが集合してどうしたって?
938774ワット発電中さん:2011/10/26(水) 15:36:23.05 ID:2l8WUzPn
講習でQuartus II 9.0使って習ったので帰ってQuartus II 11.0 SP1 Web Editionを入れてみたらシミュレーターが見つからない(´・ω・`)
939774ワット発電中さん:2011/10/26(水) 15:38:30.40 ID:dRNky5Hs
ModelSim
940774ワット発電中さん:2011/10/26(水) 18:33:26.64 ID:2NQPbMDf
>>938
ネット上で情報を探してもツールのバージョンが違えば役に立たないことも多い。そのあたりも
初心者にとっては厄介なところだ。俺のPC上でも10.1sp1でシミュレーションのメニュー表示
がグレイ表示され選択できなくなってる。modelsimを別起動して使っている。
941774ワット発電中さん:2011/10/26(水) 19:48:19.65 ID:Fec67+Eb
全角とか周りから嫌われてぼっちになりながらも必死で生きてるんだろうな
942774ワット発電中さん:2011/10/26(水) 20:04:20.81 ID:yqBaUmfl
ゼンカクアレルギーウザイヨ
943774ワット発電中さん:2011/10/26(水) 20:05:58.50 ID:uEtlTgP3
XLINXが68億Tr FPGAを発表した。
944774ワット発電中さん:2011/10/26(水) 21:28:16.32 ID:7GghRU8J
全角age厨がいるときいて
945774ワット発電中さん:2011/10/26(水) 22:30:38.61 ID:6opo/p24
>>943
もう、SPARTAN3系はディスコンだな
全角ってほんとかっこ悪いよな
コードもそんな感じだろな
946774ワット発電中さん:2011/10/26(水) 23:46:00.08 ID:EJ/g6sNC
>もう、SPARTAN3系はディスコンだな
Saprtn3は無くならないでしょう。

大口お客「量産で安いFPGAは何かね?」
営業「Virtex4です」
とは言えないでしょう。
947774ワット発電中さん:2011/10/26(水) 23:57:10.75 ID:leduFvHT
Spartan3系は、いつくらいまで最新の開発ツールでサポートされるのかな
@5年くらいは使えてほしいけど
948774ワット発電中さん:2011/10/27(木) 00:06:23.00 ID:w78NOPz2
ヴィルテクス、キンテクス、ストラティクス・・・ザリンクスのは最後にクスがつくのに
スパータンはつかないな
949774ワット発電中さん:2011/10/27(木) 00:13:59.30 ID:IU8m1Z+L
読み方バーテックス、スパルタンだと思ってたけど違うの?
てか、ストラティクスはアルテラだ。
950774ワット発電中さん:2011/10/27(木) 00:15:24.75 ID:ifc4N2gn
クールランナーもクスとか関係ないしね
951774ワット発電中さん:2011/10/27(木) 00:21:43.41 ID:7W4iKiVg
iglooとproasicの違いてなによ?
Webサイト見てもよくわからん。
952774ワット発電中さん:2011/10/27(木) 00:37:50.21 ID:O0mdazJK
de0−nanoでSDカードのbmpファイルをQVGA液晶に表示するアプリケーションをテストして
いる。前の書き込みで予定していた24ビットbmpの画面の1ライン分を一括変換する処理、FPGA
に不慣れなのでいろいろと壁にぶつかりながらも動くようになった。結果は予想していたよりもかなりの
時間短縮になった。SDカードからファイルを読み出したあとの1)データ変換、2)表示用メモリへ転送、
3)メモリから液晶ドライバへの出力、の3工程で200ms近くかかっていたのが50ms以下まで短縮
され、読出しも含めたトータルで160ms程度となった。
このようなアプリケーションならDSPなどでも十分とは思うけど、ハードウェアを自由に作れるってのは
やっぱり楽しい。
953774ワット発電中さん:2011/10/27(木) 00:38:04.17 ID:Ql9ShBFd
Xilinxは
Spartan3系は新規設計非推奨で新規はSpartan6でとか言ってないのか
954774ワット発電中さん:2011/10/27(木) 00:41:02.81 ID:YzsmYn7e
@5年

この@は勘弁して欲しい
955774ワット発電中さん:2011/10/27(木) 06:40:01.25 ID:3yVg8Dse
なんで?
956774ワット発電中さん:2011/10/27(木) 07:11:29.15 ID:erF2dJd5
Xilinxはツールでのサポート終了が早いよね。
2世代前のSpartan2使おうと思ったら結構古いの入れなきゃ。
Alteraは未だに3世代前のCycloneが使えるというのに。
957774ワット発電中さん:2011/10/27(木) 11:44:06.02 ID:waCoWy6T
>>954
このスレだし、

#5年

じゃないかな、とは思うねww
958774ワット発電中さん:2011/10/27(木) 12:55:13.90 ID:O0mdazJK
FPGA関連の情報収集のためいろんなサイトを見て回るけど、だいたいどこのサイトも
質問者の気持ちはわかっても、それに対するレスってのはわざと分かりにくくしてるのか
読み手にはあまり伝わらないね。この疑問俺も持ってる、と思ってもその適切な答えはなかなか
見つからない。
959774ワット発電中さん:2011/10/27(木) 13:03:45.32 ID:t99lJpb9
>>958
僕もそう思うけど、なかなか そうはならない。
1. 丁寧な回答は、回答者に手間がかかる
2. 質問者のレベルがわからないので、中くらいで書いてしまう
3. 幼稚なレベルで回答書くと、長くなるし、読んだ質問者が「そこまで俺はバカじゃねーぞ」と思うし、
  高いレベルで書くと、>>958のように思われるでしょ。
4. 質問者の真意が汲めない回答者が多い (質問者の説明が悪い場合がほとんどだけど)

960774ワット発電中さん:2011/10/27(木) 16:49:47.34 ID:4HlYx8GN
暗に自分で解決しろっつってんのさ
961774ワット発電中さん:2011/10/27(木) 17:28:00.69 ID:K4oLGcZ/
だめだww「de0−nano…」の書き出しで吹くwww
962774ワット発電中さん:2011/10/27(木) 17:28:01.42 ID:3yVg8Dse
それって質問するなって事だよね?
963774ワット発電中さん:2011/10/27(木) 17:44:18.18 ID:ifc4N2gn
他人がどうのではなく自分がまず回答すれば良いんじゃないかな。
964774ワット発電中さん:2011/10/27(木) 18:36:07.18 ID:O0mdazJK
「質問者の説明が悪い」とかっていうのはどうなんだろう?
例えば>>963とかもそうだと思うけど、むしろレスする側が基本的に理解力に欠けてるってケースも
多い気がする。調べて回ってる、と書いてるくらいだから回答する側の立場ではないと容易に判断
できると思うんだけどね。
965774ワット発電中さん:2011/10/27(木) 19:13:07.73 ID:zN087036
おまえは何をやりたいんだ?
966774ワット発電中さん:2011/10/27(木) 19:29:02.89 ID:ifc4N2gn
>>964
>>963>>962へのレスですけど
967774ワット発電中さん:2011/10/27(木) 20:18:37.22 ID:X6onKqQs
>>961
それをNGに設定しとくとスッキリするよ。
968774ワット発電中さん:2011/10/27(木) 22:26:04.12 ID:oWDU9p8T
全角使ってるヤツって先祖まで遡っても蛆虫しかいないんだろうな
969774ワット発電中さん:2011/10/27(木) 22:28:48.93 ID:O0mdazJK
>>961
病院行ったほうがいいな。

>>965
本音を言えばFPGAについてまともな情報交換がしたいだけ。でもみんなどうでもいいこと
書き込んでるから、それならってことで。

>>966
かなり無理がある?
970774ワット発電中さん:2011/10/27(木) 23:54:56.68 ID:R8oysvua
交換じゃなく一方的に欲しいだけだろ。
それが見透かされているからマトモな回答がつかないんだよ。
971774ワット発電中さん:2011/10/28(金) 00:49:44.73 ID:FmpZsx5g
>>970
なんでそんなにえらそうなんだ?

オンチップ・メモリにDMAを接続するとプログラムが停止する問題でDMAの正しい接続の仕方について
いろいろ調べていた。このあたりを説明したドキュメントを見つけることができないので自分なりの解釈に
なるが結論はこんなかんじかな。

プロジェクトはBMPファイルから写真データを液晶に表示する内容で、NIOSシステムはde0−nano
のチュートリアルに従ってビルドしたものを基礎に機能拡張していったという経緯がある。プログラムの保存
場所は1つだけ組み込んだRAM属性のオンチップ・メモリ。これにDMAのリードまたはライト・マスター
を接続するとプログラム実行時にクラッシュする。どうもプログラム本体を含むメモリへのアクセスに問題が
あるようだ。汎用マイコン同様にROM属性とRAM属性のオンチップ・メモリをそれぞれ組み込んでRAM
属性のメモリのみDMAと接続するというのが正しいDMAの接続方法になるのではないだろうか。
972774ワット発電中さん:2011/10/28(金) 00:53:50.04 ID:IG/wypyG
日本語からやり直せ
973774ワット発電中さん:2011/10/28(金) 00:54:59.06 ID:qw9+eiQo
>>971
少しくらいは「、」を付けて書いてくれよ。

頼むよ。読めないよ。
974774ワット発電中さん:2011/10/28(金) 01:06:27.16 ID:3b12JgnE
要は読み出したデータを同じ領域に書き込む接続は無理。
やるなら読み出したデータを一旦バッファリングする領域を設けるか、デュアルポートRAMで作ったりしないと。
同じAvalonバスに対して読み出しと書き込みを同時に出来ないんだから当然だよね。
975774ワット発電中さん:2011/10/28(金) 01:31:34.93 ID:FmpZsx5g
>>974
予測どおりのレスかな。質問には答えないのに答えが出てから「そんなことも知らなかったの?」
的なこれまたえらそうな書込み。

>同じAvalonバスに対して読み出しと書き込みを同時に出来ないんだから当然だよね。
これについてはどうなのかな。「バス」だからすべてのアバロン・スレーブにインターフェイス
信号が出力されてチップセレクトだけが対象のスレーブだけアサートされるのかと思ったけど
そうはなってないみたいだ。例えばSDRAMから自作のアバロン・スレーブのbmp変換モジュール
へのDMA転送ではその処理時間から推定してSDRAMのリードと全2重的にbmp変換モジュール
への書込みをしてるんじゃないか。
976774ワット発電中さん:2011/10/28(金) 10:07:17.98 ID:MqCN+kCB
FmpZsx5gは付き合いたくないヤツNo・1ですね。
977774ワット発電中さん:2011/10/28(金) 10:18:43.64 ID:jfdvgCsJ
>>975

>同じAvalonバスに対して読み出しと書き込みを同時に出来ないんだから当然だよね。
ってのも「同時に」っていう意味をきちんと理解しないとダメだ。

>>974 とかここの住人はハード屋さんだから、
面倒なバスを使うくらいならDRAMコントローラから
作ったほうがいいと考るだろうね。
978774ワット発電中さん:2011/10/28(金) 14:23:42.18 ID:qw9+eiQo
ALTERAのCyclone2か3くらいで、
D/Aコンバータが10個くらい載ったFPGAボードってないですか?
探しても、ちっとも突き当たりません。
979774ワット発電中さん:2011/10/28(金) 14:47:47.35 ID:jfdvgCsJ
PWM じゃダメですか、そうですか。
980774ワット発電中さん:2011/10/28(金) 17:40:00.24 ID:8WYEEOJO
ΔΣDACじゃだめですか、そ(ry
981774ワット発電中さん:2011/10/28(金) 18:01:55.75 ID:qw9+eiQo
>>979-980
すみません、サンプリング周波数を書き忘れました。
16bit 150MHzサンプルです。
後出しじゃんけん、済みません。
982774ワット発電中さん:2011/10/28(金) 18:50:08.71 ID:iZo5guRQ
de0−nanowwww
983774ワット発電中さん:2011/10/28(金) 20:08:44.82 ID:jfdvgCsJ
>>981
ドーターボード作れば?
de0−nanoに乗るような
984774ワット発電中さん:2011/10/28(金) 20:37:45.26 ID:RkrUROCP
>976
IDまで全角で打ち直すのか。すごいな。
985774ワット発電中さん:2011/10/28(金) 21:31:51.84 ID:3b12JgnE
>>975
SPIモジュール作ったって言うから、てっきりAvalonバスの
信号の振る舞いを知ってると思ったのに何にも知らないのか。

ア バ ロ ン バ ス に C S な ん て な い!
てか、モジュール自作するならシミュレーションくらいしてくれよ…。
もしかして自分で作ったプロジェクトなのにデータの流れ把握してなかったのか?
100MHzで動かないとか言ってたけどTimeQuestでクロックの制約も
設けてないんじゃないのか?

何と言うかプライドだけ高くて…質問したいのではなく
単に独り言呟きたいだけみたいなので
これで終わりにして後はスルーに徹するわ。
スレ汚し失礼。

>>976
エディタによっては一発で全角変換できる。
986774ワット発電中さん:2011/10/28(金) 21:38:41.76 ID:hy5DPJXR
学生の趣味レベルでも取り返しのつかないくらいの浅知恵だな全角は
987774ワット発電中さん:2011/10/28(金) 23:46:43.54 ID:nC8V8beT
>>985
確かに。制約掛けてれば「動かなかった」じゃなくて
「タイミング制約が満たせなかった」となるはずだね。

DMAの件は気になるな。デッドロックしてるんじゃないかとは思うが…
988774ワット発電中さん:2011/10/29(土) 01:40:26.38 ID:885xBsV9
ソフト屋さんが作ったFPGAがデッドロックするのはデフォ
989774ワット発電中さん:2011/10/29(土) 02:55:48.70 ID:Amqd1ARC
デフォって何?
990774ワット発電中さん:2011/10/29(土) 04:30:29.72 ID:OzUJHvse
債務不履行
991774ワット発電中さん:2011/10/29(土) 07:59:34.84 ID:XdrcCoGb
イタリアのディフォルトは回避できたかい?
992774ワット発電中さん:2011/10/29(土) 09:31:34.83 ID:wuX9wxYa
>>984
全角は変換キーで一発でできるよ
いまだTimeQuestを使えずにClassicで設定してる俺
993774ワット発電中さん:2011/10/29(土) 09:37:36.45 ID:DvuE0cxh
クラシックかー。最新バージョンでは無いんだよな…
994774ワット発電中さん:2011/10/29(土) 10:52:11.69 ID:iUeFZLI6
次のスレはまだ〜
995774ワット発電中さん:2011/10/29(土) 12:25:01.70 ID:etqq9fgx
いまさらだけど、DESのトリップ解析ツール作ってみた。
Virtex5のLX330に2個しか入らなかった。
10M個/秒いけるけど。
996774ワット発電中さん:2011/10/29(土) 23:53:58.57 ID:DvuE0cxh
会社の機材で遊んでるんかいw
休日出勤ご苦労さん。
997774ワット発電中さん:2011/10/30(日) 01:26:41.04 ID:2IRY0fO8
>>946
Spartan6があるから3はイランのじゃないの?
998774ワット発電中さん:2011/10/30(日) 02:02:41.53 ID:t4eErzy6
別にディスコンになってもならんくてもどうでもいいから、さっさとArtix-7の評価ボードだしてよ
999774ワット発電中さん:2011/10/30(日) 02:07:24.87 ID:ZgH/J5vg
1つのDMAを自作モジュールの複数のデータ・パスで共有するとうまくいかない原因はまだつかめていない。
DMA転送を使っている経路は次の5つ。それにつながる自作モジュールはSPI、LCDドライバ、bmp
コンバータの3つ。
1)SPI      −> SDRAM (SDカードから読出しのみで書込み機能は実装していない)
2)SDRAM    −> LCDドライバ
3)SDRAM    −> bmpコンバータ
4)bmpコンバータ −> SDRAM
5)SDRAM    −> SDRAM (画面を単色で塗りつぶす時に使用)
今はDMAモジュールを5つ組み込み、それぞれのデータ・パスごとに1つのDMAを割り当てている。
この構成だと問題はでない。原因は気になるけど今のところ解決の糸口は見つかってないな。
あと転送速度的には1DMAあたりの接続数を少なくしたほうが速くなるという結果がでている。

アバロン・バス仕様がよく分からなかったので、作業中I/Fコントロール信号をオシロで調べていた。
オシロの帯域が25MHzと低かったのではっきりと確認できたわけではないが、チップセレクト信号が
本来はハイにならないはずのところで不正パルスが出ているのではという波形を示していた。アルテラの
サイトを見ていたらアバロン・バスのコントロール信号はグリッチがあるとあったので、これについては
オシロがしっかりと捉えていたということだろう。参考までにオシロの型式はPDS−5022S。
1000774ワット発電中さん:2011/10/30(日) 04:00:39.44 ID:nuRJjvCD
1000 get!
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。