【Verilog】記述言語で論理設計 Project7【VHDL】

このエントリーをはてなブックマークに追加
774774ワット発電中さん
           / ̄ ̄ ̄ ̄\
           (     哲   )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 東エレデバイスの派遣です
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇