【Verilog】記述言語で論理設計 Project7【VHDL】

このエントリーをはてなブックマークに追加
757774ワット発電中さん
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇
758774ワット発電中さん:2009/01/18(日) 02:22:23 ID:CJ3aS6CT
           / ̄ ̄ ̄ ̄\
           (         )
            |ミ/  ー◎-◎-)
          (6     (_ _) )
           |/ ∴ ノ  3 ノ 仕事中に○○した。
           \_______ノ
            ノ >-─|<`ヽ、
            く へ,   V、_,/
          (⌒ヽ\ノ,〉 >'、\/⌒j 
         { ヽ、  テ彡⌒ ⌒}  ノ | 
         | }  丶 . .,,___ノ、ノ/{ i
         |. {           } |
        ⊆ニゝ     ●   ヽニ⊇