【Verilog】記述言語で論理設計 Project7【VHDL】

このエントリーをはてなブックマークに追加
135774ワット発電中さん
           i::::::::/'" ̄ ̄ヾi
           |:::::::| ,,,,,_  ,,,,,,| 
           |r-==[ 。];[ 。]   
           ( ヽ  :::__)..:: }
        ,____/ヽ  ー== ; 「東京エレクトロンデバイス」
     r'"ヽ   t、   \___ ! 「俺が仕様だ」
    / 、、i    ヽ__,,/
    / ヽノ  j ,   j |ヽ 
    |⌒`'、__ / / TEL/r. |
    {     ̄''ー-、,,_,ヘ^ |
    ゝ-,,,_____)--、j
    /  \__       /
    |      "'ー‐‐---''