【Verilog】デジアナ混在記述でLSI設計【AMS】

このエントリーをはてなブックマークに追加
1【Verilog】デジアナ混在記述でLSI設計【AMS】
アナログ回路も言語シミュレーションできるようになってきました。

このスレが目に入ったエンジニア! AMSでシミュレーションして仕事してみませんか。

プロ設計のAMS書き、プロの方、プロの方、カキコお待ちしております。
2774ワット発電中さん:2007/07/28(土) 15:14:06 ID:P05mqL5f
このスレは電デバの管轄に入ります
3774ワット発電中さん:2007/07/28(土) 16:43:14 ID:K8aRCcjA
アナログって論理合成できるの?
4774ワット発電中さん:2007/07/28(土) 20:26:59 ID:wcCZzwIq
デプリシンが理解できません
派生ネイチャもわかりません

教えてください。
5774ワット発電中さん:2007/07/28(土) 22:12:30 ID:xPGDOhzk
>>>>3
ご安心を
そのための演算増幅器です
6774ワット発電中さん:2007/07/28(土) 23:53:38 ID:fAY/hh+Y
みんなさぁ
シミュレータは何をつかってるの?
レイアウトツールは何つかってるの?
7名無しさん@そうだ選挙に行こう:2007/07/29(日) 09:56:34 ID:eo4rCd49
>>4
デプリシン???
英語で書け
8名無しさん@そうだ選挙に行こう:2007/07/29(日) 11:20:48 ID:mYdqTcKh
だれか簡単な回路とシミュパターンつくってチョ
9名無しさん@そうだ選挙に行こう:2007/07/29(日) 17:53:14 ID:+lit/s7p
アナログのシミュレーションが分からん
10774ワット発電中さん:2007/07/31(火) 21:12:10 ID:D2v5dbZv
CBC10つかえねーよな。
11774ワット発電中さん:2007/08/01(水) 22:21:19 ID:owIHRzzj
Opencadつかえねーな
12774ワット発電中さん:2007/08/03(金) 22:16:09 ID:GtOsGUUw
回路キボン
13774ワット発電中さん:2007/08/09(木) 05:09:51 ID:CG6o5DOb
いいスレ発見!
14774ワット発電中さん:2007/08/16(木) 21:32:33 ID:7rI+8b6U
拡散抵抗にきまってるじゃねーか。
15774ワット発電中さん:2007/08/27(月) 18:46:52 ID:tRowX+Fs
analog
() ()
16774ワット発電中さん:2007/08/29(水) 15:14:59 ID:Gsn5yrrO
ここまでアゲまくるスレも珍しいな
17774ワット発電中さん:2007/08/31(金) 22:42:52 ID:h+25jfgJ
1KΩの抵抗

module circuit;
inout a,b;
electrical a,b;
parameter read val = 1e3;
analog
V(a,b) <+ val * I(a,b);
endmodule

次回はコイルを書いてやるから楽しみにまってろよ。
18774ワット発電中さん:2007/09/02(日) 14:24:14 ID:ZEXEdRig
1kFのコンデンサ

module cap(a,b);
input a,b;
electrical a,b;
branch (a ,b);
parameter real val = 1e3;
analog
I(cap) <+ val*ddt(V(cap));
endmodule

微分記述だよ、わかるかね?
19774ワット発電中さん:2007/09/11(火) 23:35:41 ID:4tJ9yTdx
verilog-amsが使えるシミュレータでフリーもしくは安価なものとかありますか?
体験版とかでもいいです
by 貧乏学生
20774ワット発電中さん:2007/09/16(日) 08:35:51 ID:o4F5Rc6A
>>19
海賊版のnc-verilog
21774ワット発電中さん:2007/09/16(日) 09:00:21 ID:yE0IN98t
コンデンサや抵抗はともかく、
オペアンプやトランジスタ・ダイオードなんかの非線形特性を持つものを
SPICEと比べたとき、現状のライブラリの充実度
(同じトランジスタでもモノによって特性が違うから、個別に必要になると思うが)
はどうなの?
SPICEも、オペアンプなんかで細かい特性(バラツキとかでなく)を見ていくと、
忠実さに欠ける面があるわけだが・・・
22774ワット発電中さん:2007/09/16(日) 09:28:17 ID:Xy4xdcSm
SPICE情報はF通のある子会社さんがたくさんもっている。
この会社だけは正確にアナログシミュレーションができるらしい。
もちろん企業秘密だから公開はしてくれないでど。
ショルダーケースに数十億円入れて交渉すれば教えてくれるかな。
いやー、社の命だから数千億でも無理かもね。
23774ワット発電中さん:2007/09/16(日) 12:04:16 ID:fVEXwXhj
Verilogで採用できるオペアンプやトランジスタなんかは、
そもそもどれくらい種類があるんだろう?

同一プロセスで造れると言うことで、オペアンプなんかもC-MOS化が
進んでるけど、記述で回路設計するとなると、伝達関数を与えると
回路が出来上がるのかな?
ちょっと心配・・・w
24774ワット発電中さん:2007/09/17(月) 13:30:37 ID:KMuYt0nr
>23
認識が間違っている気がする。
verilog-amsで特性書いたら、かってにそれが回路になる
なんてことはまだ当分(永久に?)は無理じゃないかな
veilog-amsはビヘービアシミュレーション用。
あと、spiceで回路simやるときに、テストパタン作ったりsim結果の後処理なんかを簡単に済ませたいときに使う
25774ワット発電中さん:2007/09/18(火) 07:26:06 ID:A4Lyb0AY
アナログ合成、今はむりだね。
アナログ回路をプログラムで書くなんて提案しがらバカ者かいかれ野郎扱いだよ。
今はシミュレーションだけだから。

デジタルも大昔はVerilog-HDLで設計なんてありえなかった。元々はシミュレーション言語だから。
ロジック回路をプログラム言語で書くなんて提案したらバカ者かいかれ野郎扱いだっただろう。
まだPC9081シリーズがあった頃の時代さ。

そんな時代にシノプシス社がシミュレーション用言語Verilog-HDLから回路を生成する
論理合成ツールを開発してロジック業界は大革命、とりこのこされた技術者も多数いる。
設計は楽で早くて確実なVerilog-HDLによる共通言語自動設計になったんだよ。
ふと気がつけばデジタルはVerilog-HDLによるRTL設計があたりまえ。
F通もNECもIMBもインテルもSONYのその他全てで同じ言葉でのやりとりになった。

同じ事がアナログでおきるんじゃないかな、十年後の話だけど。
またシノプシス社がアナログ合成ツールだして独占体制になるきがする。

10ヵ年計画でゲートで1000万くんだって絶対にうごかないよ。人間はミスがあるから。
RTLなら一週間で動くし。アナログもビヘイビアになるよきっと。
アナログの大規模一発で動く時代(動かさなければならない)はすぐそこ。やな時代だな。
26774ワット発電中さん:2007/09/19(水) 00:22:13 ID:EZCYAhuE
速度遅くていいなら(〜数十MHz?)
アナログ合成、今でもやろうとしてるところはある。
27774ワット発電中さん:2007/09/19(水) 02:58:12 ID:EZCYAhuE
ちなみに、フリーのverilog-amsコンパイラ
http://mot-adms.sourceforge.net/
使ったことないけど
28774ワット発電中さん:2007/09/19(水) 20:54:29 ID:U+MefmvW
analog合成
夢ひろがりまくりんぐ
29774ワット発電中さん:2007/09/21(金) 06:56:33 ID:RtFYKZT0
夢を実現するのは?
やっぱりシノプシスだろうな。アナログコンパイラ。
取り残されるアナログ技術者が多発の予感。

ac_shell>read -f analog amp.vams
30774ワット発電中さん:2007/09/24(月) 00:00:51 ID:0g/CDuEb
ところで VHDL-AMS はここで話題にしてもいいのかな?
別スレある?

31774ワット発電中さん:2007/09/27(木) 01:06:13 ID:FrScJ8qV
http://www.dolphin.fr/medal/smash/smash_overview.php
これ使ったことある人いる?
32774ワット発電中さん:2007/09/28(金) 00:29:24 ID:mBf6qRBf
>>31
DesignWave の記事のサンプルだけ動かしてみた。
小さい回路なら評価版でも動くみたい。
でも今のバージョンはVerilogAMSサポートしてるんだっけ?
33774ワット発電中さん:2007/09/29(土) 06:59:42 ID:ljmGoerg
アナログコンパイラ早くでないかな。
頑張れ世界のシノプシス!
5年以内にでるよかん。
まもなくアナログも回路図不要になるよ。
34774ワット発電中さん:2007/10/01(月) 01:43:04 ID:ciruBo0n
アナログ合成、実現するとすれば離散時間方式(スイッチドキャパシタ)かな。
すでに、FPAAとかできつつある。
というか技術的には今でも可能だと思う。問題は速度とノイズか。
クロック1GHzとして数10MHz以下くらいのアナログ回路ならなんとか使えるか。
35774ワット発電中さん:2007/10/01(月) 21:12:22 ID:IvMnmjse
アナログは速くて1MHzだから問題ない
36774ワット発電中さん:2007/10/02(火) 01:02:33 ID:YHA+x156
アナログ回路で動作するコンパイラのことかと思った
37774ワット発電中さん:2007/10/06(土) 06:10:24 ID:Iaa8shYB

>>28
その夢、崩れまくりんぐw
38774ワット発電中さん:2007/10/19(金) 23:14:41 ID:5c+YHqRn
library IEEE;
use IEEE.math real.all;
library IEEE proposed;
use IEEE proposed.electrical systems.all;
entity diode is
generic (r on : real := 1.0e-3 ;
r o : real := 1.0e5 );
port (terminal a,c :electrical);
end;

architecture behav of diode is
quantity vd across id through a to c;
quantity r : real ;
begin
if vd'above(0.00) use
r == r on;
else
r == r o;
end use;
vd == r*id;
end architecture behav;
39774ワット発電中さん:2007/10/19(金) 23:21:38 ID:4nXDLhK9
low niseな世界のアナログ屋さんにはまだまだ遠い世界ですね。
40774ワット発電中さん:2007/11/08(木) 20:55:02 ID:L7puvgK1
>>38
Verilogで書いてくれればレスつけられるのになぁぁ。
41774ワット発電中さん:2007/11/08(木) 21:40:26 ID:FDjuRuZG

http://techon.nikkeibp.co.jp/article/NEWS/20071106/141915/?ST=carele
米Ansoft,ドイツ自動車工業会作成のVHDL-AMSモデルの配布を開始

そもそもデジアナ混在検証が広く使われているか疑問なのですが、
Verilog-AMS と VHDL-AMS どちらがユーザー多いのでしょうか?

欧州では車載分野で VHDL-AMS の利用に積極的だと聞きます。
>31
これも確かフランス製だし。

どなたかデジアナ混在検証の状況を解説してくれませせんか?
42774ワット発電中さん:2007/11/20(火) 00:07:44 ID:8AEc41Tc
>>41

BMAS のアーカイブの下のほうに
ttp://www.bmas-conf.org/2007/index.html
'Worst-Case Modeling and Simulation of an Automotive Throttle in VHDL-AMS'
なんてのがあるのでぼちぼち進んでいるんでしょうか。
私は半導体系でし関わった事がないので、ようわかりませんが。

AMS 関係のポインタを二つほど。
ttp://www.eda.org/vhdl-ams/
ttp://www.eda.org/verilog-ams/
ttp://www.bmas-conf.org/
ttp://www.designers-guide.org/VerilogAMS/

私個人の印象でしかないけど(半導体周り)、
日本では回路屋さんが書かない、読まないのでなかなか進みませんね。
EDA 部署がサンプル作って渡すけど、いまいち普及が進まないという。
最近の新卒ではいる子は、Matlab 含め多少なりとも触ってきているので状況は変わっていますが、
北米に比べればいろんな意味でまだまだねすね(欧州は知らん)。
向こうじゃ50過ぎのアナログ関連エンジニアが Behavior Model 書いていたりするし。
4341:2007/11/28(水) 00:59:54 ID:+7ZT5uw0
>>42
ポインタthx

ずいぶん下の方にあって気がつかなかった。。。
これも皆関心のないせいかorz

>>31 の SMASH は制限はあるもののVerilog-AMSも使えるようなので、
シコシコいじってみます。
44774ワット発電中さん:2007/12/23(日) 12:42:30 ID:dyoiuaXm
よいスレ保守
45774ワット発電中さん:2008/02/22(金) 00:22:33 ID:R5ttcTA0
Singular matrixのエラーで困っています
これってどういうときに出るものなのですか?
46774ワット発電中さん:2008/04/19(土) 22:14:45 ID:qc7QXOuk
アナログは論理合成が出来ないからつかえない。
おまえらのモデルは本当に実機と同じ動きをするのか?
47774ワット発電中さん:2008/04/22(火) 15:11:24 ID:1yhDg30A
LSIの寄生現象ハウリングをモデルとして実装したいのだけど、
どうすれば良いでしょうか? 教えて下さい。
48774ワット発電中さん:2008/04/22(火) 15:28:53 ID:1yhDg30A
ハウリングがなんなのか分からない人は、この写真を見てから考えれば
閃きます。
http://digitallife.jp.msn.com/feature/0803photocontest/photo/046.htm
49774ワット発電中さん:2008/04/25(金) 22:04:28 ID:tpybTbd9
都合がいいモデルです。
電源供給しなくても動くヨ。
50774ワット発電中さん:2008/04/27(日) 12:21:14 ID:34lHYMYG
実機でも電源供給なしで動くといいな。
永久機関の発明でノーベル賞だね。
Hレベルの時に電流を取り出せばいい。
シミュレーションでは完璧なんだけど
問題は実機で動くかどうかなんだ。
51774ワット発電中さん:2008/05/14(水) 21:06:27 ID:coxmX/mB
アナログの論理合成ツール出した会社は独占で世界一になる

シノプシス
ケイデンス
富士通
日本電気
サムスン
マイクロソフト
この辺りが出しそうなきがするがどう?
最初に出したものが勝ち。それが業界標準になるから。

どこが最初かな?最初に出したところが急成長、世界の覇者、利益一兆円いくぞ。

デジタルは10年前にシノプシスに軍配があがったが、
後追いケイデンスは貧弱すぎだし、名前もコロコロ変えるし。

多分シノプシスだな。5年後でにはでるさ、
デジタルだって合成ツールでた時は大騒ぎ、

ついていけない、デジタルエンジニアが沢山氏んだらしい。
52774ワット発電中さん:2008/05/14(水) 21:37:06 ID:T/mr4N/r
これってデジタルのシミュレーターにSPICEがついただけでしょ。
53774ワット発電中さん:2008/05/14(水) 21:39:37 ID:T/mr4N/r
これってVerilog/VHDLのシミュレーターとSPICEが統合されたもの?
それ以上でも以下でもないよね?
まぁ統合されてメリットは山のようにあるだろうけど。
54774ワット発電中さん:2008/05/18(日) 08:56:07 ID:PHYClOPO
L C R FET ダイオード とか素子を規格化すれば可能
デジタルでいうGTECHのアナログ版をつくればいい
だから最初に出す事に意味がるんだよね。
それが標準GTECHになるから。

こんどはNECがだすんじゃねぇー。
OpenCadのアナログ版


55774ワット発電中さん:2008/05/27(火) 00:45:02 ID:8S2YjaeG
デジタルは論理合成ツールの誕生で多くのエンジニアが氏んだ。
複雑な回路は自動設計、なんだかんだで実機で動きますから。
次はアナログの番なわけだが。スパイスモデルが実体化する日はちかいぞ。
また、パニックで血をみるわけだが。富士通あきるのに期待します。

56774ワット発電中さん:2008/06/13(金) 04:36:33 ID:WTP/BFTi
アナログ(リニア回路)の自動合成は、まだまだ難しいでしょう。
僕は、オペアンプ数個で商売になった頃からアナログICを設計してましたが、追われ
るように、バイポーラ→ BiCMOS→ CMOSと変遷してきました。記述言語は10年ぐらい
前からspectreHDLを使っていました。最近は、アナログICといっても、ミクッスドシグナル
なのでデジタル部分の設計が多くて、MATLAB、verilogA、verilogHDL、spectreRFを使わ
ないと設計できなくなりました。今流行っているのは協調Simです。

そもそも、デジタルで論理合成が広まる要因になったのは、回路規模が大きくなった
ことと、同期回路設計が認知されたためです。アナログ(リニア回路)の場合は、大きな
回路規模(素子数)を作ることはありません。1990年代のSCFがピークでしょう。
現在主流の0.18uや90nになると、リニア回路のシュリンクがうまくいかないため、我々
はリニア回路をあきらめて、なるべくアナログ(連続信号)を離散処理(デジタル信号処理)
する方向へ移行しています。ちなみに、デジタルフィルタなどの回路は、半自動で回路を
生成することができます。

もし、現状レベルでリニア回路を仮に合成できるツールがあっても、使いにくいでしょう。
リニア回路の自動レイアウトですら満足に使えない。例えば、NeoCellとかの半自動レイ
アウトツールがあるが、与えるプロパティが多くて、自分でレイアウトするとき以外は、使う
気にはなれない。つまり、リニア回路合成に必要な情報を与えるには、シンプルな回路で
あっても、消費電流、面積、相対比、ばらつき、温特、ノイズなどのファクタに対して、膨大
なプロパティを与える必要がある。でも、何年かしたらすごいツールができているかも...
57774ワット発電中さん:2008/06/19(木) 21:58:57 ID:1XzbaG+7
>>56
20年前はデジタルも同じだった。
Verilog-HDLはシミュレーション言語、ま、まさか、論理合成で回路が発生するとは。
でも、そのなごりで、非同期FFを厳密に記述できずにシノプシスコメントを追加するよね。

スパイスがGTECHアナログ版になる。ノイズはデジタルでいうバラツキで扱われて、

analog_shell
ac_shell> read -f va circuit.vams

こんな感じ、じゃあないかな。

デジタルのビット数がドンドン増えるから、デジタルでアナログの振る舞いができる。
でアナログ回路は端のADとDAとパワーMOSとコイルとコンデンサだけになる可能性が大なわけだが。

そこだけアナログ合成できればいい。10年後はこうだね。

58柳 ◆hmih5cLT42 :2008/06/19(木) 22:04:32 ID:aoBzUe7I
柳専用スレッド5
http://etc7.2ch.net/test/read.cgi/bobby/1213010766/
  ∧ ∧
  (,,゚Д゚)  / ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄
  /  |< 2ちゃんねるでも五本の指に入るあの有名コテハン「柳」にリアルタイムで会える!
  (,,_/ │ 今が大チャンス寄ってらっしゃい見てらっしゃい。背骨を抜いたら立ってられへん!
/      \______________________________
よ〜しよしよし
よしよしよしよ〜し
かわいいかわいい
   ∧ ∧ ←柳
  ( ・∀・)
O ⌒ヘ⌒Oフ ))
(   (  ´ω`) ←ひろゆき
 しー し─ J 

特に馬鹿なコテハン大歓迎!例:ストライク ◆Nmg4.G.OXY
59774ワット発電中さん:2008/06/24(火) 16:20:43 ID:KZgsTldJ
SystemC のアナログ混載の拡張が発表してますよ
http://techon.nikkeibp.co.jp/article/NEWS/20080620/153594/
60774ワット発電中さん:2008/06/24(火) 16:42:57 ID:4wimDTU0
マルハンジャパン銀行   パチンコ業界と警察  パチンコ業界と警察  
池田犬作AA荒らしの解説  北朝鮮に送金  パチ屋の売り上げ1割が偽札だった    
http://money6.2ch.net/test/read.cgi/pachij/1209949043

池田犬作AA荒らしにより○○○マルハンパチンコタワーパート13○○○2008/05/04〜05/21(水)は、たった18日間で512k超えで終了
http://money6.2ch.net/test/read.cgi/pachij/1209868638/601-700
池田犬作AA荒らしにより○○○マルハンパチンコタワー渋谷パート12○○○は、たった12日で終了
http://money6.2ch.net/test/read.cgi/pachij/1208790114

内部告発文書?

マルハン王国の闇
http://jbbs.livedoor.jp/bbs/read.cgi/game/1733/1086581896/3-8
61774ワット発電中さん:2008/06/24(火) 20:30:34 ID:2BAySRMm
アナログICもSoC化を狙ってか、C-MOS化が進んでる。
でも、C-MOSは雑音が大きいなどの不可避とも思える問題がある。

あと、アナログICは、その製法自体にもノウハウがある。
高度なアナログICになればなるほど、ノウハウのオンパレードになる。
結果としてSoCにアナログを取り込もうとすると、
まず、デジタルだけを作る。アナログ箇所はマスキングしておく
デジタル回路が全部出来上がったら、デジタル回路をマスクしてアナログ回路を作りこむ。
そうして配線層を形成して出来上がり。

だが、これだとアナログICとデジタルICとに分けたほうが、低価格で出来る。
だから、不可能ではないがほとんど使われない。
また高度なアナログICを作るメーカーは、ノウハウが生命線だから門外不出にしてる。
だから、TSMCなんかで生産されることは無い。
論理合成以前に、アナログ回路の取り込みには、こうした問題が山積してる。
62774ワット発電中さん:2008/07/01(火) 23:34:44 ID:Vj4vKVsb
>>56
>ミクッスドシグナルなのでデジタル部分の設計が多くて、
MATLAB、verilogA、verilogHDL、spectreRFを使わないと
設計できなくなりました。今流行っているのは協調Simです。

協調Simは、シミュレーション時間が多くかかるのでMatlabで詳細にSimしてから
各機能ブロックの必要十分条件(仕様)を求め、機能ブロックをverilogA、verilogHDL、
spectreRFで設計しブロック間の信号を結果に出し、Matlabの結果と照らし合わす。
確実に動くようにする為には、回路規模が大きくなり過ぎる嫌いがあり、コスト的に
見合わないことが多々あるのが現場であり、コスト見合いの規模にする戦いになる。
結局は、Matlabの機能設計までやり直し、最初とは全然違った物になってしまう。
63774ワット発電中さん:2008/07/04(金) 01:15:34 ID:I5omCGts
日経マイクロデバイス2008年6月号
アナログのEDAって、まだこんなレベルです。
64774ワット発電中さん:2008/10/14(火) 05:43:54 ID:brkOOEZd
なんて素晴らしいスレなんだ
ミックスドシグナルのシミュレーションはどれが一番いいんだ?
自分はVerilog-AMS,NanoSim,SystemC-AMSぐらいしか知らんが・・・
65774ワット発電中さん:2009/04/19(日) 23:39:03 ID:vx4ijYv8
でアナログの論理合成はまだできないの、富士通あきる野がだすんだろ
66774ワット発電中さん:2009/04/20(月) 00:06:17 ID:96Jtp/TU
で、松屋の牛丼はおいしいか否かについて語ろう
67774ワット発電中さん:2009/04/20(月) 09:48:00 ID:e+3/P/a6
で、>65がアナログ論理合成したい回路ってどんなのがあるの?
68774ワット発電中さん:2009/07/22(水) 20:47:32 ID:a3x/S/LX
PLLを合成したい
69774ワット発電中さん:2009/07/22(水) 22:50:10 ID:JsBXZK8J
PLL位相同期化技術

フロイド M.ガードナー著 加沼 安喜良訳
2009年2月 産業図書 規大判 385頁
価格:5,670円(税込 
ISBN:9784782855515
70774ワット発電中さん
合成するほどのものでもw