【Verilog】記述言語で論理設計 Project3【VHDL】/

このエントリーをはてなブックマークに追加
550774ワット発電中さん
>>546
>もちろんそういう場合は外部リセット必須。ここで問題になってるのは、

それはお前が勝手に限定しているだけだ。勝手なことをほざくな。
イニシャル込みでリセットを検討するのは当然だ。
まぁ、それがわからんID:x7FD3lGo のような誰にも支持されんリセット不要を必死にホザいてるアホって、
軽石本人か?もしくは軽石並みってこったな。もう消えろ。邪魔だから。
551774ワット発電中さん:2005/11/18(金) 17:06:14 ID:9hhGo1sQ
大体FPGAのメーカリセットをユーザ回路の中でやってどうするよ。アホかホンマに。
そこからして軽石同レベル
552774ワット発電中さん:2005/11/18(金) 17:19:19 ID:GSKwdZt6
論点がだんだんずれてくるのはじじいで耄碌してるから?
新しい技術を理解できずに過去の栄光だけで生きてる
勘違い管理職に多いタイプだよな。

誰にも支持されてないのはお前だし、
軽石と同レベルのこだわり方してるのもお前だろうが。
狂人は出てくるなよ。
553774ワット発電中さん:2005/11/18(金) 17:30:13 ID:9hhGo1sQ
>>547
まぁ、アマチュア相手にそんな確認求めても仕方ないよ。プロの仕事したことのない奴に何を言ってもかみ合わん。
無駄なだけだ。お遊びやってんじゃないんだから。

>外部リセット不要と一言書いておけば、それで伝わることだしね。
話にならんね。こいつこんな仕事の仕方でよく金もらってるな。ほとんど詐欺だな。内仕と外仕の違いも分からんDQNとみた。
まぁこいつの仕事ぶりは推して知るべし。トラブル続きで周りが尻拭いに明け暮れてるんだろうな。
そもそもエンジニア云々じゃなく、社会生活できんやつだわ。ゴミ拾いでもやるのが分相応。
554774ワット発電中さん:2005/11/18(金) 17:34:55 ID:VDD7stxb
相手にするな。Qだから。
555774ワット発電中さん:2005/11/18(金) 17:35:26 ID:9hhGo1sQ
>>552
>新しい技術を理解できずに過去の栄光だけで生きてる
リセットが新しい技術とは片腹痛いわ。

>勘違い管理職に多いタイプだよな
大丈夫お前のような低レベルのアホは管理職には就けんから安心しとけ。
多分同じようなことを上司に言われてるんだろな。大したスキルもなければ、注意力にも欠く、
コミュニケーション能力も無ければ、プライドだけは山ほど高い。手に取るように分かるよ。
そしてつくづく同情するねお前の上司と、給料支払う羽目になって簡単に首切れないお前の会社。
ほんとに可愛そうだね。皆のためにさっさとやめろよ。組織の癌なんだよお前。
556774ワット発電中さん:2005/11/18(金) 18:02:07 ID:sQMLk1uG
なんか思うんだけど、絡んでる方も絡まれてる方も
同じ人間っぽいような気がしてならん。
しかもどっちも軽石の自演くさい。
557774ワット発電中さん:2005/11/18(金) 19:27:02 ID:REsv4/r6
同じ穴の狢
団栗の背比べ
類は友を呼ぶ
能ある鷹は爪を隠す
558774ワット発電中さん:2005/11/18(金) 20:38:46 ID:IqxurtB/
自演荒らしっぽいな
559774ワット発電中さん:2005/11/18(金) 21:00:20 ID:0FIpsvAq
男優の鷹さんって深爪になるほど爪詰めてるらしいよ
560774ワット発電中さん:2005/11/18(金) 23:30:56 ID:GnYqV4ca
トラ技の付録(予定)MAX-IIについてのスレはありますか
561774ワット発電中さん:2005/11/19(土) 07:32:32 ID:YT7QWJk3
このスレも

   【ARETERA】
562774ワット発電中さん:2005/11/19(土) 12:04:52 ID:BP5G4peK
馬鹿同士の喧嘩は詰まらんな
563あぼーん:あぼーん
あぼーん
564あぼーん:あぼーん
あぼーん
565あぼーん:あぼーん
あぼーん
566774ワット発電中さん:2005/11/19(土) 21:18:45 ID:vZN5mY5s
軽もさすがに息切れか。
567774ワット発電中さん:2005/11/20(日) 02:30:13 ID:E/BJ6ha+
ふー、やっとリセットに関する議論を全部読んだ。終わった話なのかもしれないけど、
今のFPGAは外部リセットいらないとおもいますよ。
FPGAメーカーの評価ボードとかでもコンフィギュレーションを開始するための
外部リセットはあっても、コンフィギュレーション直後にさらにリセットの
ための外部リセットなんて見たことない。

何が何でも外部リセットが必要というのは、ゲートICを並べてロジック回路を
組んでいたころの話では?
568774ワット発電中さん:2005/11/20(日) 08:57:19 ID:GcytJtqe
FPGAがリセットを出すと思ってました。
569774ワット発電中さん:2005/11/20(日) 12:14:07 ID:GVkhR8Eg
System Cも仲間に入れとくれ。
570774ワット発電中さん:2005/11/20(日) 18:02:30 ID:bT+BYqQl
VHDLで記述した回路を回路図の形で出力する方法を知っている人がいたら教えてください。
自分が書いたVHDLで、意図通りの回路が生成されているか確認するのが目的です。
571774ワット発電中さん:2005/11/20(日) 18:32:21 ID:iByHdibG
自動出力される回路じゃだめ?
572570:2005/11/20(日) 18:44:20 ID:bT+BYqQl
>>571
自動出力とはどういうものでしょうか?
私が無知なだけだったらすみません。
573774ワット発電中さん:2005/11/20(日) 20:02:48 ID:ikG3K7cs
合成ツールが吐き出したネットリストを嫁

というのはあんまりか。

しんぷりふぁいとかの合成ツールなら合成後の回路図普通に見れるよね。
ACTELのLiberoでも見れたような気がする

いまISE7.1 WebPack立ち上げてみたんだけど、Synthesize>View Technology Schematicてのが
それっぽいから試してみては?
そして報告してクレwww
574774ワット発電中さん:2005/11/20(日) 21:23:45 ID:GcytJtqe
>>570
自分が書いたHDLなら脳内にあるだろ。
575774ワット発電中さん:2005/11/20(日) 21:52:46 ID:iByHdibG
その脳内どおりに合成がなってることを確認したいんだろ。でふぉでSynplify使ってたけど
>>573の言うようにXSTでもちゃんとあるじゃん。ISEはSynplifyのエラーメッセージを解釈してくれないからXSTに乗り換えよかな。
>>572は未だISEのいろんなとこクリックしつくしてないだろ?まぁあっちこっちクリックし倒すことだな。
いいかげんうさくなってくるが、我慢していじってると機能が見えてくる。
576570:2005/11/20(日) 22:07:54 ID:bT+BYqQl
>>573-575
Quartus2とLiberoとispLeverの開発環境は構築出来ているので、
(全部フリーライセンスですが)もう少しいろいろとクリックして探して見ます。

Latticeのデバイスを使っていて、一応回路は動いてるので大丈夫だと思うのですが、
VHDLで設計をするのが初めてなので、本当に意図したとおりの回路が出来ているのか不安なんです。
回路規模も小さいし、見られるならどんな回路が生成されているのか見てみたいとおもいまして・・・
577774ワット発電中さん:2005/11/20(日) 22:36:27 ID:iByHdibG
>>576
いやいや、>>573の言うことちゃんと読んだ?

>ISE7.1 WebPack立ち上げてみたんだけど、Synthesize>View Technology Schematicてのが

俺は製品版で同項目があるのを確かめたけど>>573 がいうにはWebPackにもあるって書いてるじゃん。
578774ワット発電中さん:2005/11/21(月) 00:10:26 ID:MWns9bgk
うむ さすがに自宅には製品版いれてないのでなw
くおたすではみてないけど、多分ある予感
らてぃすはつかったことないので知らない

で、回路を確認したいという主張はもうみんな理解してるので再度主張する必要はないw
がんばってみんなのレスを100回ほど読んでみるべし。

環境かいてなかったからISEに賭けたんだけど外れたなぁw
579774ワット発電中さん:2005/11/21(月) 16:27:32 ID:kcnDQ2Dl
ここでソース晒してみればみんなが回路図にしてくれる
580774ワット発電中さん:2005/11/21(月) 17:25:57 ID:8P62xUZJ
>>557
類は友を選べない。
581774ワット発電中さん:2005/11/21(月) 17:52:35 ID:mTq5iUDV
>>576
Quartus II 5.1でもRTL Viewerがあるけどこれじゃあかんの?
582774ワット発電中さん:2005/11/23(水) 01:48:15 ID:T6UL3y2q
>>576
合成後のネットを図面でみたいのか?
無意味だからやめれ。
綺麗にゲートレベルの図面を出力するツールなんて
この世にはないよ。
どうしてもというならDebussyを何百万出してくれる
がっこの先生か会社の上司かわからんがだしてもらいなさい。
同期設計してる限りは波形とにらめっこしてるうちに、そんなの無意味だとわかってくると思われ。
図面を出力するツールなんて他人の書いた過去の非同期設計を検証する
地獄をみてからにしなさい。
583774ワット発電中さん:2005/11/23(水) 02:02:07 ID:kH402thw
まあ、意図したとおりの回路ができないこともあって確認することが無意味なことを
悟ることは決して無意味ではないであろう、といいほうに考えてみる。
Cで書いたコードを毎回逆汗するようなもんだな
584774ワット発電中さん:2005/11/23(水) 08:15:14 ID:SxxGZBat
考え付く限りのテストベクタを書いてチェックって方向の発想しないとダメっぽ
585774ワット発電中さん:2005/11/23(水) 08:34:15 ID:ScbLgPHC
>>584
検証済みの回路を引っ張ってくるのが正解。
586774ワット発電中さん:2005/11/23(水) 14:53:05 ID:HeryIw/m
間違った状態に遷移しても正常な状態に速やかに戻れるのが理想
587774ワット発電中さん:2005/11/24(木) 18:51:07 ID:CEwjRODn
>>586
間違った状態(書き込み)しても開き直るのが現実なのね?w
588774ワット発電中さん:2005/11/24(木) 22:17:37 ID:kp9iNlr8
正常な状態 → 遷移 → 間違った状態 → 遷移 → 正常な状態

              ↑いまこのへん
589774ワット発電中さん:2005/11/24(木) 22:26:30 ID:VsIKPIFy
ひとつ曲がり角
ひとつ間違えて
迷い道
く〜ねく〜ね〜
590774ワット発電中さん:2005/11/24(木) 22:38:57 ID:kp9iNlr8
2chのスレの状態遷移図っていつもこんな感じなんだよね

1)質問

2)回答

3)叩く香具師が出てくる

4)雑談が始まる→(2)へ

5)まともな回答が出る

6)みんな黙る
591774ワット発電中さん:2005/11/24(木) 23:05:32 ID:9niNh+ng
6)間違った回答を正当化しようと粘着する奴がでてくる

7)疲れるまで言い争い
592774ワット発電中さん:2005/11/25(金) 08:04:49 ID:k96eV1en
>>591
エロイ奴は7)疲れるまで言い争いの後に出てきて仕切る。
593774ワット発電中さん:2005/11/25(金) 10:21:34 ID:MZXYiZN2
>図面を出力するツールなんて他人の書いた過去の非同期設計を検証する
>地獄をみてからにしなさい。

何この漏れが退職した理由
オマヘもしかして漏れの分身?
594774ワット発電中さん:2005/11/25(金) 12:24:20 ID:VUApj2n/
軽石さん、いい加減にしてよう(´・ω・`)

生協の白石さんみたいに、笑える語録集ができるがな。
595774ワット発電中さん:2005/11/25(金) 15:16:50 ID:vb/oW2YH
>>594
×笑える
○嘲笑われる
596774ワット発電中さん:2005/11/25(金) 19:11:06 ID:k96eV1en
お前の回路を検証すると言われて不眠症になりました。
597774ワット発電中さん:2005/11/26(土) 00:21:33 ID:q4faJoCr
>>596
気の利いたやつなら
そこで腱鞘炎になる。
598774ワット発電中さん:2005/11/26(土) 13:08:39 ID:lZtl69Wz
質問させてください。
(VHDL勉強中の初心者です)
プロセス文のセンシティビティリストについてですが、
このセンシティビティリストを書いても書かなくても論理合成ツール(今使っているのはXST)は、
警告は出しますが、同じ回路を出力します。
センシティビティリストは何のためにあるのですか?
もし正しく書かなければ出力回路が異なる例があれば、その例を教えてください。お願いします。

(実験例)
EX1: process(A)
if (A = '1') then
B <= C;
end if;
end process EX2 ;

EX2: process()
if (A = '1') then
B <= C;
end if;
end process EX2;

上記、EX1とEX2は実際に同じ回路を出力します。
599774ワット発電中さん:2005/11/26(土) 13:12:57 ID:lZtl69Wz
訂正、
実験例の一つ目
誤) end process EX2;
正) end process EX1;
すみません。
600774ワット発電中さん:2005/11/26(土) 14:15:32 ID:KAvs/sYl
センシビティリストはその信号線に変化があったときにそのプロセスが動くという解釈で書く。
シミュレーションはそういう解釈でいいが実際の回路ではポーリングしてるわけではないので、
思ったとおりに動作しない回路が出来上がるかもしれん。
process内部でセンシビティリストに列挙した信号線をいじるような場合は注意が必要だ。
つーかそういう回路は書かないほうがいいね。
601774ワット発電中さん:2005/11/26(土) 21:55:07 ID:EoeqNRuK
>>582

うちの会社ではDebussyだなぁ・・・必須。あとはspyglassも・・・
602774ワット発電中さん:2005/11/26(土) 23:59:42 ID:jluPLFHk
うちはDebussyで出来ることはDebussyでしか出来ねぇ(他のソフト無い)から、
ちょっとした作業でも重くてかなわん。ライセンスも少ねえし。
大は小を兼ねるにも程があるっつーの。
603774ワット発電中さん:2005/11/27(日) 04:17:21 ID:JJy/DjdE
うちはChopinで出来ることはChopinでしか出来ねぇ(他のソフト無い)から、
ちょっとした作業でも重くてかなわん。ライセンスも少ねえし。
大は小を兼ねるにも程があるっつーの。
604774ワット発電中さん:2005/11/27(日) 04:20:41 ID:oVa/9WcN
うちの会社ではBachだなぁ・・・必須。あとはkgbbottleも・・・
605774ワット発電中さん:2005/11/28(月) 00:04:18 ID:TzxtnJFG
>>598
まあ、最近の合成ツールはかかなくても合成できる。
これは想像での話だけど、ユーザーの記述したセンシティビティリストは信用してないと思う。
コンパイラによってはセンシティビティリストの過不足を指摘するぐらいだからね。

まあ、合成されると想像していた回路の解釈とはちがう解釈をコンパイラがして、それを
センシティビティリストの過不足エラーから推測することはできたりすることがあるようなないような。
(そんなケースは未経験だけど)

ちなみにシミュレーション上では違いが出る。ModelSimではセンシティビティリストに書いてない信号は
変化しても無視される。つまり、EX2ではB<=Cが未来永劫実行されない。
606774ワット発電中さん:2005/11/28(月) 00:05:36 ID:TzxtnJFG
コンパイラ、と書くとあれかな。コンパイラ→合成ツール、と読んでね。
細かくてすまんが。
607774ワット発電中さん:2005/11/28(月) 00:58:52 ID:3DkgujRu
質問させてください。Verilog勉強中の初心者です。
XilinxのISEの使い方にも少し慣れてきて、
なんとかエラーも少なくなってきて、考えたように動くようになってきて、
HDLが面白くなってきたところです。

 数をカウントするとき、count <= count + 1; と記述しますが、
 Cで言う count++; のような書き方は Verilogではできないでしょうか?
 count+=2; count*=2l; とかも書きたいのですが、これもだめなんでしょうか?

 また、x <= count % 10; (countを10で割ったときの余り)とかが、
 使えるかどうかを調べるには、ISEのどこを見ればよいのでしょうか?
 関数というかコマンドリストみたいなものが、どこにあるのか....

以上、宜しくお願いします。
608774ワット発電中さん:2005/11/28(月) 01:45:38 ID:VRVVfPwt
>>607
Verilogのランゲージリファレンスはなかったかな?
たぶんヘルプはザイリンクスのサイト上かも。

一番いいのはVerilogを扱っているサイトでLanguage Referenceを探す。
609774ワット発電中さん:2005/11/28(月) 02:11:50 ID:3DkgujRu

 「ランゲージリファレンス」ですね。この言葉がわかりませんでした。

 調べてみます。ありがとうございました。

610774ワット発電中さん:2005/11/28(月) 02:24:40 ID:IQKGM3v0
ランゲージリファレンスなんか読んでもわからんだろ。HDLに限らずコンピュータ言語の規格書なんて独特な記法でそれに慣れるのに一苦労だ。
よっぽどのマニアか、シミュレータとかツールでも作る香具師でないと必要ないよ。
一般ユーザならそんなの読むよりVerilogの解説本読めば>>607が必要としてる情報はほとんど載ってる。
611774ワット発電中さん:2005/11/28(月) 02:52:58 ID:3DkgujRu
607です。ありがとうございましす。
解説本でしたら、何冊も持っています。(買っただけ?)
が、ソースの例は数多く見られますが、a++; などの表記については、
どの本にも書かれていませんでした。

Cでは、小型でちょっと厚めの「C言語辞典」というのを重宝しているんですが
「Verilog言語辞典」みたいなのがあればいいなと 思っています。
612774ワット発電中さん:2005/11/28(月) 03:24:26 ID:IQKGM3v0
書かれてないというのはそういう書式は許さないんだよ
613774ワット発電中さん:2005/11/28(月) 04:29:50 ID:cMmIEPd7
普段C++その他「高級」言語を使ってると
うっかり書いてしまうことはある。
別に無くても困らないけどね。
614774ワット発電中さん:2005/11/28(月) 04:47:11 ID:cMmIEPd7
>>611
ttp://toolbox.xilinx.com/docsan/xilinx7j/books/docs/xst/xst.pdf

多分ローカルディスクのインストールディレクトリ内にもあると思う。
615774ワット発電中さん:2005/11/28(月) 06:03:59 ID:OeT4T0+j
>>607
きみはcounter()を使いなさい。
616774ワット発電中さん:2005/11/28(月) 10:08:09 ID:dGyTwKBF
>>610

独特の記法といわれてるけど、BNF覚えるのは簡単だと思うけどなあ。


K&RのThe C Language(2nd edition)は(後半)規格書だけど、まともなCプログラマで持ってないやつは、いない本。
74ロジックにしろ、トランジスタ・ダイオードにしろ規格書は必要だぜ。

そうか。最近は無手勝流でやってるやつが多いからまともにプロジェクト進行しないのかw
617774ワット発電中さん:2005/11/28(月) 13:34:31 ID:4DkDN1Lu
>>616
K&Rを規格書として持ち出すとはあきれかえるね。あれは立派な解説本だ。著者の個人名が記載されてる規格書か?恐れ入った。
しかも特性を要求仕様とマッチするかどうか確認しながら選定せにゃならん部品のスペックを対比させて持ち出してくるとは開いた口がふさがらんね。
規格を読まないから進行しないんじゃなくてお前のような規格本と解説本の区別もつかんマヌケばっか
揃えてるからプロジェクトが収束せんのだろ?

言語の場合は規格にマッチしなければ規格チェッカでもあるコンパイラもしくはlintがWarningなりErrorなりを表示してくれる。
それ以上にHDLは合成ツールが規格以上を要求してくる、規格に合ってるかどうかはそこでチェックされるから解説本以上
のものは通常はなくても特に困らんのだ。さらに解説本なら"Cの書式に似てはいるが++や--は許しません"と注意書きしてるが
規格書には他のプログラミング言語を引き合いに出した注意書きなどしてないはずだ。

まぁ、俺自身Verilogの規格書もSystemVerilogの規格書も持ってはいるが、>>607の現状では解説本だけで十分なはずだ。
ちゃんと記載されてるのに、読んでない。
618774ワット発電中さん:2005/11/28(月) 13:43:55 ID:4DkDN1Lu
>>617
それとだK&RのSecond Editionは原著も邦訳もAppendixは規格書じゃない。
マニュアルだ。マニュアルが何を意味するかは、

"規格書ではなく、ANSIに対するひとつの解釈です"

とAppendixの冒頭に明確に記載されてる。それすら知らずに抜けぬけとよくも引き合いに出せたなお前。
何物か確認もせず間違いを正しいと思い込んでるような奴がプロジェクトメンバじゃお先真っ暗だな。
人に規格書を勧める前に解説本をしっかり読めや。
619774ワット発電中さん:2005/11/28(月) 13:50:41 ID:3lscRt2A
リセットじじい降臨?
それとも軽石か?
620774ワット発電中さん:2005/11/28(月) 14:45:43 ID:4g4OZqdI
つーかエンジニアって俺が規格だって顔してるヤシ多し
621774ワット発電中さん:2005/11/28(月) 16:50:30 ID:dGyTwKBF
>>617
必死すぎるなw

C言語の成り立ち知ってるなら、K&Rが規格書だった時代だって知ってるはずだよなあ。

2ndEditionの話が不適切だったのは認めようw。

コミュニケーション能力に難がありすぎるのは団塊の世代の特徴か?
622774ワット発電中さん:2005/11/28(月) 19:04:17 ID:4DkDN1Lu
>>621

>必死すぎるなw
>コミュニケーション能力に難がありすぎるのは団塊の世代の特徴か?

K&Rの2'nd edition の原著が出版されたのは88年だ。それから17年、その間ずーっとこの本をもって言語スペックと思い込んでて、
それを明確に否定されればわけのわからん戯言でもほざいて、まったく責任の無い俺にうっぷんをぶつけないと人格破綻するってか?
お前の人生そんなもん。

>C言語の成り立ち知ってるなら、K&Rが規格書だった時代だって知ってるはずだよなあ。
ひとから聞きかじった生半可な知識でC言語の成り立ちを語るなどと片腹痛いわ!
あと、
読む奴がどういう気持ちで読んでいるかはこの際関係ない。K&R本にしろStraustrap本にしろは自ら考案した言語を
世に紹介するための解説本であって、言語仕様書ではない
でもよかったじゃねえか?馬鹿にされてもひとつはっきり覚えられて。聞くは一時の恥。挙句にお前が誰かも分からんしな。
感謝しな。
623774ワット発電中さん:2005/11/28(月) 22:18:53 ID:BDGtxgeh
>>607
ちなみにな

[3:0] count のような 4 ビット長のレジスタ・配線があったら
count + 1 はキャリー込みで5ビットになることを
覚えとくとよい。

加算をするのでなく、加算器を生成するのだよ。
624774ワット発電中さん:2005/11/28(月) 22:25:13 ID:XmWvMoxC
count = count + 1;
で、左右でビット数が違うってwarningが出るんだけど、
警告されないようないちばんスマートな書き方ってどうすればいいの?
625774ワット発電中さん:2005/11/28(月) 22:40:20 ID:BDGtxgeh
>>607
あと、

> また、x <= count % 10; (countを10で割ったときの余り)とかが、
> 使えるかどうかを

低レイテンシで商・剰余をひねりだせる機構を組み込んだFPGAなんてあるのか?
高速なマイクロプロセッサでも、除算レイテンシは大きいでしょ?
(加減乗算は非同期回路でも組めるけど除算は困難)

除算を行わない代替手段を用いよう。

ちなみに、count % 8 とかだと、count & 7 とほぼ同義なので、
なるべく 10 などのキリの悪い定数を用いず、 2^n が使えるなら
それを用いるべき。

>>624
ヲーニング回避なら
(carry, count) <= count + 1;
とか書くといいかもしれんが、
今度は「参照されないレジスタ carry が消え失せます」類の
ヲーニングが出る悪寒。
626774ワット発電中さん:2005/11/29(火) 01:11:36 ID:bLAOScmd
困難っていうより無理だわな。
商だけならニュートン法で5〜6クロックでほぼ実用精度は出せると思う。
実質除算回路は使わないから。
ただ、この方法で剰余までやろうとすると、商を使って再び乗算して引き算して・・・になるからさらに要クロック
627774ワット発電中さん:2005/11/29(火) 01:14:12 ID:F7XpHd4o
Boothみたいにいいアルゴリズムだれか発明してよ
628774ワット発電中さん:2005/11/29(火) 01:20:09 ID:8lDJPwCB
>>600さん。
>>605さん。

>>598です。ご回答ありがとうございます。
センシティビティリストはネットリストには影響しないということですね。
このような事を書いている参考書がいまだ見つけられないのが気になりますが、
いろいろ考えてみて、多分正しいのではないかと思います。
どうもありがとうございました。
629774ワット発電中さん:2005/11/29(火) 09:37:06 ID:Chl/vw5S
>>622
ここに、社会人として恥ずかしい奴が一人いる!

おまえじゃああ。

匿名だからと言って、うそまきちらかすのと他人の罵倒はやめような。
諭すならもっと大人な書き込みしな。

621が全てを知ってて煽っている可能性もありうるんだけどねw
630774ワット発電中さん:2005/11/29(火) 11:56:29 ID:3VrDWOI5
>>629
また、ごみか?うざいだけなんだよ。この雑魚
罵倒されなくなかったらもうちょっとまともなこと書き込めボケ
631774ワット発電中さん:2005/11/29(火) 13:17:15 ID:Chl/vw5S
>>630
類は友を選べない。きみもだよw。
632774ワット発電中さん:2005/11/29(火) 19:12:56 ID:Z81yfDjb
魂が引き合うのかな
なんにせよ仲むつまじいのはよきことだ
633774ワット発電中さん:2005/11/29(火) 19:17:26 ID:PZm6vw8y
こら、おまいら30%ゲート数減らせ。出来なきゃ姉歯にたのむ。
634774ワット発電中さん:2005/11/29(火) 20:41:24 ID:PUNoHeTo
黙って頼め。
635774ワット発電中さん:2005/11/30(水) 00:45:42 ID:QsoxJ4Gl
あんた設計検証済んだ自信あんの。
636774ワット発電中さん:2005/11/30(水) 02:19:41 ID:9YqAq+5C
>>633
タイミングレポート赤点でも良い?
637774ワット発電中さん:2005/11/30(水) 02:22:19 ID:zlWMiyMf
ターゲットデバイスのマージンを信じろww
638774ワット発電中さん:2005/11/30(水) 02:26:06 ID:isWZO62o
タイミングレポート、偽造しろw
639774ワット発電中さん:2005/11/30(水) 03:57:46 ID:CdOXjC9i
最近HDLの話題なくて
喧嘩ばっかりで欝
640774ワット発電中さん:2005/11/30(水) 04:02:16 ID:zlWMiyMf
いちおマジレスもしてるぞ。
641774ワット発電中さん:2005/11/30(水) 13:54:21 ID:YiKB8k97
なにか効率の良いテストベンチの作成方法はないものかねぇ。
systemCがんばって覚えてみようかな。ツール自体はフリーでDLできるみたいだし。
642774ワット発電中さん:2005/11/30(水) 15:23:36 ID:tAsFHpLV
姉歯  藤田     >>638
 ↓   ↓      ↓
・∀・)・∀・)つ (;´Д`)
ケコーン ケコーン 
643774ワット発電中さん:2005/11/30(水) 15:30:26 ID:HAAQuA1m
SystemCからRTL呼び出すのか?
どういうテストベンチを考えてるか知らんがVerilogやVHDLでSimもかなり書けるだろ。
RTL書いてるのとはふんいきは違うけど。
フィッタに付属のテストベンチャーあたり使って文句言ってるんとちゃうか?
644774ワット発電中さん:2005/11/30(水) 15:58:58 ID:QsoxJ4Gl
>>641
テスト済むまでが設計だ。
645774ワット発電中さん:2005/12/01(木) 08:13:48 ID:eAbBG69F
>>624
"1"もcountと同じbit数に指定するのはどう?
8bitならば、8'h01 のように。
646774ワット発電中さん:2005/12/01(木) 10:02:54 ID:Iztd/gjd
>>641
テストと思うな。動作モードと思え。
647774ワット発電中さん:2005/12/01(木) 10:39:43 ID:lnEPfT0f
>>645
そういう問題ではないと思う
648774ワット発電中さん:2005/12/01(木) 12:25:13 ID:BLzB9JUY
回路はHDLで作ったけどテストベンチもHDLで作ることを知らんのじゃないのか?
HDL設計でエディタ使った作業としては、
回路作成モードとテストベンチ作成モードの2つあるんだけど。
確かに頭を切り替える必要はあるね。
649774ワット発電中さん:2005/12/01(木) 12:56:46 ID:GZX/QrBl
いや、普段はVHDLなりVerilogなりでテストベンチごりごり書いてるんですけどね。
SystemCは検証用につかうのがいいって小耳に挟んだので、書きやすいのかなーとか妄想してみた。
650774ワット発電中さん:2005/12/01(木) 18:51:19 ID:HpSZ+jk4
>>649
つSystem Verilog
651774ワット発電中さん:2005/12/02(金) 22:09:26 ID:cOrl98Ia
テストベンチそのものはC++ベースのSystemCで書いてもVerilogで書いてもあんまり大差ないんじゃないかな?
せいぜいテストデータ食わせるだけでしょ?
652774ワット発電中さん:2005/12/04(日) 14:34:40 ID:IRbI0wYh
オブジェクト指向(OO)っていうのは実在する事象を抽象的なプログラム上で
シミュレートするのに意味ある設計手法であって、
アルゴリズムという抽象世界を回路という実体上に構築するHDL設計に有益か
どうかあやしい。
OOをプログラムに持ち込んだところでハードウェア効率としてはデメリットが多いわけだし。
653774ワット発電中さん:2005/12/04(日) 16:14:45 ID:NZI2iX8k
誤爆?
654774ワット発電中さん:2005/12/06(火) 02:32:13 ID:v7fGiGrV
時代はSystemVerilogだ!
VHDLもVerilog HDLも無くなるんだ               と信じたい。

読みにくいVHDLは滅びてくれないかなぁ…
とりあえず一個に収束してくれればさらにいいのになぁ…
655774ワット発電中さん:2005/12/06(火) 05:07:42 ID:rZFFqC8N
VHDL = Pascal
Verilog = Perl

っていう感じ
656774ワット発電中さん:2005/12/06(火) 08:19:11 ID:3ozGWhIa
>>654
絵に戻るんだよ。
657774ワット発電中さん:2005/12/06(火) 11:19:06 ID:wt9GJyAP
>>655
VHDL = Ada
verilog = c
出自はこんなもんだが?
658774ワット発電中さん:2005/12/06(火) 11:50:32 ID:VK1/owFU
VHDLのほうが読みやすいと思ってしまうのは何故だろう
いっぱい書く方が安心するwww
659774ワット発電中さん:2005/12/06(火) 12:37:21 ID:9lhzsjJO
Verilog が C っぽくなく Perl っぽいのは >>655 に胴衣

…ヲーニングレベルを上げることができれば Verilog でもイケると思われ。
660774ワット発電中さん:2005/12/06(火) 13:37:00 ID:gtkAjKuw
でもいけるって?
現状Verilogが圧倒的に多いし、その後のSystemVerilogまでスムーズに移行できる
VHDLみたいなアホ言語で安心する奴はオツムのわるいバカエンジニア
661774ワット発電中さん:2005/12/06(火) 14:15:11 ID:rZFFqC8N
同意してくれる人がいて良かったです。

個人的にはどっちでも大して変わらないと思います。

どっちかがどっちかを攻撃しても目糞鼻糞ですから。
662774ワット発電中さん:2005/12/06(火) 19:19:01 ID:VZuy3hgI
両方使っている身としては、一長一短あってどっちが良いとかって
ものじゃ無いような気がするが・・・  個人的にはVerilogの方が好きだけどね。
まあ確かにどっちかに収束して欲しいとは思う。
663774ワット発電中さん:2005/12/07(水) 06:56:15 ID:2zt4w8aH
入門用には訳わからんミスを起こしにくい分VHDLでしょ。
実用性はVerilogの方が格段に上と思うけど。
664774ワット発電中さん:2005/12/07(水) 11:27:23 ID:Zuj+L+X5
>>663
教育用にpascalだけど実用はcと言っていた90年代のプログラマみたいな感じ。
665774ワット発電中さん:2005/12/07(水) 12:51:05 ID:TVp81Pl4
>>664
80年代じゃないのか?
666774ワット発電中さん:2005/12/07(水) 13:02:51 ID:i8dguDXA
80年代だとCを実用に使うにはまだ敷居が高かったな
コンパイラのバグ回避の手間がかかったから
667774ワット発電中さん:2005/12/07(水) 20:03:02 ID:E0m3pgny
 今、Xilinx を勉強しているのですが、ISEおしえてください。

 Verilog言語の取り扱い説明に、
 「XSTでは、Verilogメタコメントがサポートされています」と
 書いてあるのですが、この「メタ」ってどのように理解すれば
 良いのでしょうか? ハードウェアでも「メタステーブル」という言葉
 もありますし。以前から全くわからなかったです。
 宜しくお願いします。
668774ワット発電中さん:2005/12/08(木) 00:44:09 ID:mJVGfPuL
メタステーブルが準安定だから、
準注釈?
669774ワット発電中さん:2005/12/08(木) 01:01:13 ID:zOIoZLCI
「一段上の見地から」って感じがするが
670774ワット発電中さん:2005/12/08(木) 02:05:10 ID:lEKrjuYh
メタメタのコメントでもコンパイラは文句言いませんってことじゃあるまいか?
671774ワット発電中さん:2005/12/08(木) 12:13:02 ID:PljzJfL4
672774ワット発電中さん:2005/12/08(木) 13:33:52 ID:j3O3ku9l
667です。
>>668 - >>671
ありがとうございました。
「背後の、後ろの、より包括的な、超えた」という意味らしいですね。
>>671ご紹介のページには、オブジェクト指向を例にメタの説明がありました。
が、この言葉を使いこなせるほどの理解は得ていません。
メタコメントってどんなコメントなのか.....
メタステーブルって....うーん、わからん。
673774ワット発電中さん:2005/12/08(木) 14:50:42 ID:makwB+Bo
どっちの言語も中途半端にしか使えない俺様が来ましたよ
674774ワット発電中さん:2005/12/08(木) 15:07:56 ID:b2vmk+3X
つまりHiでもLowでも無い人間メタステーブルだと?
675774ワット発電中さん:2005/12/08(木) 16:26:29 ID:j3O3ku9l

みなさん、先ほどはありがとうこざいました。
すみませんが、またひとつ、教えてください。

Xilinx ISE7.1.04で、次のようなソースを書いたのですが、
「32行目で、知ってるFFかラッチと Qがマッチしない」というエラーが出てしまいます。
何がマッチしていないのかわからないのです。
Qは、ちゃんと4bitでreg宣言してあるし、0という表記は何bitでも共通だと
思いますし、何がいけないといっているのか、さっぱりわかりません。
0の代わりに4'b0や4'h0なども試しましたが、同じメッセージが出てしまいます。

ケツの青い若者に どうぞ宜しくご指導願います。

module main(reset, clock, Q);
  input reset;
  input clock;
  output [3:0] Q;

  wire reset;
  wire clock;
  reg [3:0] Q;

  always @( negedge reset or posedge clock ) begin
    if( ( reset == 0 ) || ( Q == 9 ) )
      Q <= 0;
    else
      Q <= Q + 1;
    end
  end
endmodule


ERROR:Xst:899 - "test.v" line 32: The logic for <Q> does not match a known FF or Latch template.
ERROR: XST failed
676774ワット発電中さん:2005/12/08(木) 16:42:35 ID:5C/r2lMP
まず end の数多くね
677774ワット発電中さん:2005/12/08(木) 17:04:12 ID:b2vmk+3X
つーかQ=9でリセットってどういう意味よ?
これじゃエラーがとれてもまともな回路にはならんだろ
678774ワット発電中さん:2005/12/08(木) 17:04:16 ID:j3O3ku9l

すみません。先ほどのものは、コピペのミスで、
endの数が多かったです。次のように修正して
  always @( negedge reset or posedge clock ) begin
    if( ( reset == 0 ) || ( Q == 9 ) )
      Q <= 0;
    else
      Q <= Q + 1;
  end
XSTかけたのですが、同じ結果になりました。

そこで、次のようにif()の条件を分けたら、通りました。
  always @( negedge reset or posedge clock ) begin
    if   ( reset== 0 )Q <= 0;
    else if( Q  == 9 )Q <= 0;
    else           Q <= Q + 1;
  end
ifに複数条件は使ってはいけないのでしょうか?
Verilogは、Cベースとはいうものの、勝手がちがうのかなぁ。

679774ワット発電中さん:2005/12/08(木) 17:05:25 ID:M6hWE6me
>>675
end の数はともかく、リセット条件は別個に書いた方がいいんでね?
FPGAのF/Fにおいてはリセットは特別扱いでしょ。

…ほとんどのFPGAアーキテクチャではF/Fリセットは非同期だよね?
680774ワット発電中さん:2005/12/08(木) 17:08:18 ID:j3O3ku9l
>>677
現在が9だったら、次は0にするということで、
10進カウンタのつもりなんですが。
....8→9→0→1→2→3→4→5→6→7→8→9→0→1→2→....という具合です。

681774ワット発電中さん:2005/12/08(木) 17:13:39 ID:b2vmk+3X
>>680
それなら同期リセットだね
>>678であってるよ
682774ワット発電中さん:2005/12/08(木) 17:27:46 ID:j3O3ku9l
>>681
678で合っているというのは、678の
エラーの出る
 if( ( reset == 0 ) || ( Q == 9 ) )  のほうでしょうか?
それともエラーの出ない
 if   ( reset== 0 )Q <= 0;
 else if( Q  == 9 )Q <= 0;
の事でしょうか? 意図としては、非同期リセット、同期カウントアップの
つもりです。どちら場合も、Qの値を0にするという意味で同じなので、
2つの条件を || でくくっても良いのではないかと思っているんですが、どうでしょう。

何か大きな勘違いをしているような気もするんですが。
683774ワット発電中さん:2005/12/08(木) 17:32:43 ID:b2vmk+3X
だから if( ( reset == 0 ) || ( Q == 9 ) )が間違いなんだって
そんな回路ありえないじゃん
684774ワット発電中さん:2005/12/08(木) 17:49:33 ID:j3O3ku9l
>>683
ありがとうございます。 ありえない....ですか?

always @( negedge reset or posedge clock ) としてあるので
このalwaysの中に入ってくる条件は、
resetが↓に変化したとき または clockが↑に変化したとき の2つなので、
resetはclockに関係なくいつでもalwaysの中に入ってこれる。
そしてresetはif()の条件の1つなので、Q <= 0; が実行される。
またclockも同じだと思うのですが、この理解は間違いでしょうか?
685774ワット発電中さん:2005/12/08(木) 18:03:04 ID:b2vmk+3X
うん、間違ってるよ
if( ( reset == 0 ) || ( Q == 9 ) )て書いたら
resetとQがデコードされた信号が作られるじゃん
だからありえない
686774ワット発電中さん:2005/12/08(木) 19:08:55 ID:j3O3ku9l
>>685
ありがとうございます。 やっぱりありえないですか

>if( ( reset == 0 ) || ( Q == 9 ) )て書いたら
>resetとQがデコードされた信号が作られるじゃん
それなんですが、
  ( reset == 0 ) で1つの条件で、真か偽かの論理
  ( Q == 9   ) で1つの条件で、真か偽かの論理
であり、||はこの2つの論理orだと思うので、
直接Qとresetの中身同士をorする(デコードする)のとは
違うと思うんですが どうでしょうか?
687774ワット発電中さん:2005/12/08(木) 19:34:07 ID:n/oEJuH/
>>j3O3ku9l
「ノイマン型」の呪いから解き放たれるまで修行しなさい。

688774ワット発電中さん:2005/12/08(木) 19:54:20 ID:Z1AEzzFF
>>684
ハード的なものを想像できてないから、そうなっちゃうんだろうけどね

実際に合成できなくはないけど、推奨されない回路だね。
それを実際の論理回路で作ると、

FFの非同期reset入力に、" reset == 0 ) || ( Q == 9 ) "が入る。

そうすると、例えば 7→8に変化する時、君の意図しないリセットが
掛かる可能性がある。なぜかというと、

7は"0111"、8は"1000"なんだけど、Q(1)〜Q(3)がすばやく変化して
先にQ(3:1)が"100"になると、一瞬"1001"になってこれは9、リセットがかかる。

物理的な遅延時間が引き起こす現象だね。

これがいやなのでなるべく非同期設計は止め、同期設計を行うのだ。

689774ワット発電中さん:2005/12/08(木) 20:05:36 ID:GWufbwfB
>> ID:b2vmk+3X
ありえないって部分を説明してくれ.。

always @( negedge reset or posedge clock ) begin
こういうふうにセンシビティリストを書いた場合、リスト信号に同期した条件処理が一番外側から
見えてないと合成できない。

>if( ( reset == 0 ) || ( Q == 9 ) )
と書くとQ==9がclockに同期して動くのか、resetに同期して動くのかわからないので合成できない
と思ってるんだけど?違うのか?
690774ワット発電中さん:2005/12/08(木) 20:17:19 ID:GWufbwfB
>>688
>実際に合成できなくはないけど、推奨されない回路だね。
>それを実際の論理回路で作ると、

いや俺の知ってる限り合成できるようなツールは見たことない。
resetに対する回路合成不能で止まるはずだ。

>そうすると、例えば 7→8に変化する時、君の意図しないリセットが
>掛かる可能性がある。なぜかというと、
>
>7は"0111"、8は"1000"なんだけど、Q(1)〜Q(3)がすばやく変化して
>先にQ(3:1)が"100"になると、一瞬"1001"になってこれは9、リセットがかかる。

だからalways @( negedge reset or posedge clock )
と書いた場合、always内部での同期回路では上で>688が書いたような心配事は杞憂だろ?
ちゃんとツールが止めてくれる。
691774ワット発電中さん:2005/12/08(木) 20:22:46 ID:GWufbwfB
>>678

>  always @( negedge reset or posedge clock ) begin
>    if   ( reset== 0 )Q <= 0;
>    else if( Q  == 9 )Q <= 0;
>    else           Q <= Q + 1;
>  end

こうでも通ったかもしれないけど、センシビティリストに対応させた書き方
としては

  always @( negedge reset or posedge clock ) begin
    if   ( reset== 0 )Q <= 0; //非同期resetに同期
    else begin //clockに同期
         if( Q  == 9 )Q <= 0;
         else      Q <= Q + 1;
    end
  end

と書くべきだと思う。
692774ワット発電中さん:2005/12/09(金) 13:24:53 ID:7A1IwpBT
>「ノイマン型」の呪いから解き放たれるまで修行しなさい。
プ!こいつノイマン型の意味わかってるのか?
少なくとも ID:j3O3ku9l の言う話はノイマン型とは一切関係ない
693774ワット発電中さん:2005/12/09(金) 18:45:52 ID:fRDG5BQi
>「ノイマン型」の呪いから解き放たれるまで修行しなさい。
わかる人にはわかるうまい表現だと思った。

ノイマン型の定義は
「プログラムをデータとして記憶装置に格納し、
これを順番に読み込んで実行するコンピュータ。」
であり順番に処理するのが基本。
パーフォーマンスをあげるために割込を使ったタイムシェアリングや
グリッドコンピューティングなどの工夫はされているが
処理は順番におこなうというのが基本概念。

一方、Verilog・VHDLが相手にする回路は基本的に並列処理なので
シーケンシャル処理を確実におこなうための同期回路などが不可欠に
なってくる。

要するに、記述はノイマン型コンピュータのプログラムっぽくても
相手にしてるのがロジック回路なんだからそれを忘れちゃだめだよ。
ってことでは?

694774ワット発電中さん:2005/12/09(金) 20:16:11 ID:2DTfgGgo
>>693
仮にわかってる人でも、日本語が読める人でないと、うまい表現とは認識できません。
往々にして見かけます。
695774ワット発電中さん:2005/12/09(金) 22:40:12 ID:+TX8C/m1
ストアドプログラムってのがノイマン型
そもそもノイマン型とは全く無関係な順序回路の記述をしてるのに
ノイマン型を持ってくるほうがどうかしてる。
それをたとえに出すこと自体わけがわかってないことを露呈してる。
自らのアホをさらしたにすぎん
696774ワット発電中さん:2005/12/09(金) 22:44:47 ID:+TX8C/m1
>>693
もっというなら

プログラム記述から解き放たれるまでHDLを修行しなさい。

っていうのが正しい表現だろ。
ノイマンとは一切関係ないし、全くもって不適切な表現だ。
697774ワット発電中さん:2005/12/10(土) 07:14:46 ID:FKvoiTuZ
>>696
ベクトルコンピュータのプログラム記述を知らないんですね?
698774ワット発電中さん:2005/12/10(土) 12:15:24 ID:jq6wqtX4
ノンマン型
699774ワット発電中さん:2005/12/10(土) 12:43:41 ID:htiFVHn0
>>697 
言うに事欠いてベクトルコンピュータか?あほ
ベクトル型にしかあてはまらないことならはじめからベクトル型と言え。
そうすりゃさらに徹底的に叩いてやったんだがな。
ベクトル型のいったいに何が関係するか言ってみろ。
700774ワット発電中さん:2005/12/10(土) 13:11:36 ID:8QNbC2tN


   /⌒ヽ
  / ´_ゝ`)すいません、ちょっと通りますよ・・・
  |    /
  | /| |
  // | |
 U  .U

701774ワット発電中さん:2005/12/10(土) 21:15:39 ID:FKvoiTuZ
>>699
軽石さん、なんでそんなことするの?
702774ワット発電中さん:2005/12/10(土) 21:26:51 ID:zps9HlHP
あんまり関係ないけど、
if (Q >= 9) Q <= 0;
としてくれ。
703774ワット発電中さん:2005/12/11(日) 00:12:52 ID:H58MMM+2
>>699は軽石じゃなくてリセットじじいでしょ。
ageてるし。無駄にえらそうだし。
704774ワット発電中さん:2005/12/11(日) 19:24:53 ID:ofsbGLFg
>あんまり関係ないけど、
>if (Q >= 9) Q <= 0;
>としてくれ。

if (Q[3] & Q[0]) Q <= 0;
とすれば使用ゲート数がケチれると思ったが4入力LUTだからカンケーねえやと気がついた23歳最後の日

P.S. 明日がバースデーなのだ
705774ワット発電中さん:2005/12/11(日) 22:10:19 ID:5oHbkPvh
その歳最後の日の次の日は、普通誕生日だろ
あえて言わなくてもいい
706774ワット発電中さん:2005/12/11(日) 22:19:02 ID:Lhd0S7xe
法律上は誕生日の前の日に年をとるから
>>704はすでに24歳です。
707774ワット発電中さん:2005/12/12(月) 01:24:14 ID:zNHH+kGW
いや、今日が命日になれば誕生日どころじゃないぞ。
708774ワット発電中さん:2005/12/12(月) 10:35:17 ID:+Xsn+ubB
>707

盆と正月が一緒に来るようなもんすかね?
709774ワット発電中さん:2005/12/12(月) 11:19:06 ID:TqSSfPxY
誕生日と葬式がいっしょ
710774ワット発電中さん:2005/12/12(月) 22:09:31 ID:oYQe0EH8
12月は誕生日で酒が飲めるそ 飲める飲める飲めるぞ 酒が飲めるぞ
711774ワット発電中さん:2005/12/13(火) 04:36:39 ID:33CFOkJE
誰か漏れの部屋の掃除手伝ってくれ
712774ワット発電中さん:2005/12/13(火) 14:58:04 ID:dEEsepzT
>696
プログラムは狭義では「コンピュータを動作させる命令を組み合わせた文書」だが、
もともとは「行事などの計画、予定」つまり プログラム=HDL なので
> プログラム記述から解き放たれるまでHDLを修行しなさい。
それこそ矛盾してて意味不明。

一方、「ストアドプログラム」の基本は「処理を順番におこなう」ということ。
HDLの記述対象である「回路」は並列処理が基本だから
それに対する順序処理の象徴としてノイマン型を持ち出すのはうまい表現だ。

ブロッキング代入とノンブロッキング代入の使い分けがちゃんと出来る人ならば
ノイマン型という比喩で思わずニヤリとしたのではないかと思った。
713774ワット発電中さん:2005/12/13(火) 17:28:25 ID:hpDLApUr
>>712
>もともとは「行事などの計画、予定」つまり プログラム=HDL なので

はぁ?
HDLはプログラムなんかじゃない。回路だ。
プログラムは手順だ。
それすらわかってない奴が糞レスつけるな。

>一方、「ストアドプログラム」の基本は「処理を順番におこなう」ということ。
がきでもわかる英語がわからんかね?
ストアドプログラムは言葉どおり、蓄積されたプログラム。もう少し詳しく言っても
"動作手順であるプログラムがメモリに蓄積されていること"だ。

>それに対する順序処理の象徴としてノイマン型を持ち出すのはうまい表現だ。

順序処理の象徴がノイマン型だと?順序回路がノイマン型か?
もっというと、非ノイマン型コンピュータが順序処理をするならそれがノイマン型か?
非ノイマン型==ノイマン型か?
あほが。もう一回勉強しなおして来い。ノイマン/非ノイマンはコンピュータの構造の話だ。

>ブロッキング代入とノンブロッキング代入の使い分けがちゃんと出来る人ならば
ブロッキング、ノンブロッキングとノイマンは何の関係もない。
見てると並列処理==非ノイマン型と思ってるようだがそれは大きな間違いだ。
非ノイマン型は、 並列処理コンピュータも包含しているが、
ノイマン型構造はないでないコンピュータの総称だ。

しかも、お前とんでもない間違いしてるだろ?
>ノイマン型という比喩で思わずニヤリとしたのではないかと思った。
上の繰り返しになるが、
ノイマン/非ノイマンはコンピュータの話だ。回路記述の話に持ち出すような形容詞じゃない。
714774ワット発電中さん:2005/12/13(火) 17:30:22 ID:hpDLApUr
×ノイマン型構造はないでないコンピュータの総称だ。
○ノイマン型構造でないコンピュータの総称だ。
715774ワット発電中さん:2005/12/13(火) 18:01:14 ID:furjI892
>>712 = >>687
ジサクジエン乙 
>それに対する順序処理の象徴としてノイマン型を持ち出すのはうまい表現だ。
自画自賛乙。あ〜ハズカシ。穴があったらはいりたいよ〜
716774ワット発電中さん:2005/12/13(火) 19:31:41 ID:7QWT+UvR
ノイマン型ってハーバード型とかと同じレイヤーの言葉だよな
717774ワット発電中さん:2005/12/13(火) 19:33:50 ID:7QWT+UvR
いまどきのマルチコアCPUは並列処理をするノイマン型だし
並列処理すなわち非ノイマン型ってするのも問題ありだな
718774ワット発電中さん:2005/12/13(火) 20:01:02 ID:shB3vrzH
ノイマン型:EDSAC
非ノイマン型:ENIAC
719774ワット発電中さん:2005/12/13(火) 20:02:20 ID:fO7YeMbj
>ノイマン型ってハーバード型とかと同じレイヤーの言葉だよな

ノイマン型⊃ハーバード型
ハーバードを非ノイマンという香具師がいるがとんでもない間違い。
ハーバードアーキテクチャが多いDSPは当然乃胃萬型
720774ワット発電中さん:2005/12/13(火) 20:07:00 ID:fO7YeMbj
のいまん型は定義できるが非のいまん型はのいまん型を定義して
Complementary(のいまん型)としか定義できない。
組み合わせロジックだけで実現したコンピュータなら当然非ノイマンだけどな。
721774ワット発電中さん:2005/12/13(火) 21:16:49 ID:33CFOkJE
>712 :774ワット発電中さん :2005/12/13(火) 14:58:04 ID:dEEsepzT
>>696
>プログラムは狭義では「コンピュータを動作させる命令を組み合わせた文書」だが、
>もともとは「行事などの計画、予定」つまり プログラム=HDL なので

ダウト
722774ワット発電中さん:2005/12/13(火) 21:32:59 ID:gODBxHlD
正直、もうどうでもいい
723774ワット発電中さん:2005/12/14(水) 02:02:27 ID:/N4Fk2pp
j3O3ku9の話(FPGAの場合では非同期リセットにヒゲがのる回路)はノイマン型と関係ないと思う。
しかし、オレとしてはHDLで書くときの基本的な心構えとして、
そこに書いてあるのがノイマン型っぽく振舞うのを期待するような気分で
いてはいけないというのには同意できる。
724774ワット発電中さん:2005/12/14(水) 02:05:28 ID:/N4Fk2pp
別件なんだけど、FPGAの人に文句あり

たとえば always @( negedge reset or ...)
・負論理なら負論理っぽい信号名にしろよ、なんでみんなresetなんだよ、
・そもそもレベルで決まる信号なのに何でedgeなんだよ、
 それともFPGAはセット/リセットもedgeなのか!?
 シミュレーション結果がHDLとgateで変わっちゃうだろ。

たとえばif(reset == 0) Q <= 0;
・なんで非同期(そもそも本当に非同期?)なのに「<=」!?

FPGAが便利なのは認めるが、
この記述でFPGAの開発環境を立ち上げることにOK出したヤツはアホだろ。
と思ってしまう。
725774ワット発電中さん:2005/12/14(水) 06:51:04 ID:VOgPb3SD
↑こいつもアホ
726774ワット発電中さん:2005/12/14(水) 06:56:14 ID:yNOr+xi2
>>724
んでは、アホじゃない記述を提示してもらえますか
727774ワット発電中さん:2005/12/14(水) 09:46:07 ID:nHkyszQJ
>>720
アナログコンピューターはノイマン型でしょうかw

>>724

うちの会社では
always @( negedge nreset or posedge hogehoge)
みたいな記述してますよん。

あと、xxxedge表記されているのはedgeで見てると説明書にありますけど、違うのですか?

728774ワット発電中さん:2005/12/14(水) 12:31:10 ID:+AO8mBTv
>>724

>なんでみんなresetなんだよ、
俺は「nreset」だよ。あなたが見たソースの設計者がそういう信号名つけただけなのでは?

>そもそもレベルで決まる信号なのに何でedgeなんだよ
非同期リセットだから、次のクロックエッジまで待ってられないの。
同期リセットを使う場合は、エッジは使わない。

>なんで非同期(そもそも本当に非同期?)なのに「<=」!?
「<=」の意味をわかってないとおもわれ
729774ワット発電中さん:2005/12/14(水) 12:36:23 ID:oTKQhSEd
>>724
そんなに気に入らないならABELとかPALASMとかでも使え
730774ワット発電中さん:2005/12/15(木) 04:54:16 ID:mbshYXnx
「更に気に入らず文句を言う」
に100スライス
731774ワット発電中さん:2005/12/15(木) 10:18:07 ID:O/4X0Wgb
>>730
結構食うな…


信号名は、不論理ならxやらnやらつけて論理をわかるようにするのがいい
ってのはあるだろうね。ほかには入出力にi/o/bつけたりレジスタ(FF出力)
にrつけたり。ほかになにか知ってる人下記子よろ

>>724
いや、それは違うと思う
http://toolbox.xilinx.com/docsan/xilinx7j/books/docs/lib/lib.pdf
のP440参照

always @ (posedge C or posedge CLR) begin
 if (CLR)
  Q <= 0;
 else
  Q <= D;
end

と、リセット付きDフリップフロップの推奨記述は財リンクスでは↑なわけで。
確認はしないけど他メーカもそんなものでしょう
simと差分がでない理由は、エッジでイベント発生と、if文の優先順位かな
リセット中にクロックのエッジがきてもif文でリセット状態が優先されるよね
<=については主張がよくわからないのでなんとも。とりあえず:=は使わないと
思うのだが・・・。
732774ワット発電中さん:2005/12/15(木) 10:35:16 ID:O/4X0Wgb
ライブラリガイド見てて思ったのだが、
always @ ( CLR or posedge C ) begin
 if (CLR)
  Q <= 0;
 else
  Q <= D;
end

と書いてもいいのかな?こっちのほうがより物理デバイスに近い記述だとは
思うけど。なぜ推奨記述じゃないのか知ってる人おせえて

VHDLだと、
if ( CLR='1' ) then
 Q <= 0;
elsif ( C'event and C='1') then
 Q <= D;
end if;

とか書くのが推奨になってるし、たしかにこう書くなぁ。
733774ワット発電中さん:2005/12/15(木) 17:29:48 ID:3+aL3Gxf
俺も知りたいな。
always @ ( CLR or posedge C ) begin
と書くと、文法的にはCLRに変化があったときにHi->Lo,Lo->Hiで必ず2回チェックされるって意味だよな。
シミュレーションでは必ず遅くなるようには思う。
734774ワット発電中さん:2005/12/15(木) 18:12:40 ID:kdO5K2GR
>>731
> 信号名は、不論理ならxやらnやらつけて論理をわかるようにするのがいい
Reset や Clock はともかく、他の信号は入出力ピンの部分で全部正論理に
変換してから書いてるから気にした事無い。
ABELやPALASMの頃は、いろいろ考えたなー。
735774ワット発電中さん:2005/12/15(木) 19:36:50 ID:fAZhMzL5
非同期リセットが好きだぁ〜。
736774ワット発電中さん:2005/12/15(木) 20:04:14 ID:ohjQic3K
ごめんなさい。おともだちからなら
737774ワット発電中さん:2005/12/16(金) 09:26:19 ID:Nc5aRPqw
>>732
非同期でDをQにいれるのか・・・
多分ネットリストではSRにつなぐ構成になると思う。
738774ワット発電中さん:2005/12/16(金) 11:20:12 ID:0PUGfd5n
つまり VHDL と Verilog は等価な回路を吐かないということですね
739774ワット発電中さん :2005/12/16(金) 13:36:18 ID:Nc5aRPqw
>>732のどこが等価なんだよ。

posedgeで入るときは値が1でnegedgeで入るときは0
それを抜くと値が1になったときも0になったときもalwaysくぐらなきゃならんから
CLRが1->0の変化でalways入ってif(CLR)で偽になってQ<=Dが実行されることになる。
VHDLのほうはCLRが1->0のときでもelsif(C'event and C='1')ではじかれてQ<=Dは実行されない。

つまり記述された動作が等価じゃない。
740774ワット発電中さん:2005/12/16(金) 13:47:45 ID:MS1wPxSL
>>730
ザイ使いさんですね。
今までアルばかり使ってたもんで、
きのう初めてザイの営業さんと話をしたときに
「スライス?それって何の単位ですか?」
と聞き返してしまった。
741724 (アホかも):2005/12/17(土) 02:42:08 ID:kpCnHQtg
みんなの反響があってオレ様もうれしいよ、

ちなみにオレ様の片edgeの場合の
標準記述は↓コレ(本当は1つ1つもっと厳密にbeginとendを書くけど)
always @(resetz or posedge clk)
begin
 if (resetz == 1b'0)
  Q = 1'b0;
else
  if (en == 1'b1) Q <= ???;
end

zを後付けで、他人のはn _ bなんかが付いてたら負論理との理解。
もしCMOS系(負論理のプロセス)で何も付いてない場合は差し戻し。
(ここのスレにも蝶! FPGA系の世界がすべてのヤツが住んでる気がすると思う。)

個人的にSET/RESETとかのレベルラッチは絶対領域の不可侵回路。
ちょっとでもそのレベルに触ったらラッチの出力が変化すると認識してる。

クロックとリセットのタイミングによっては不定を出すライブラリもあるけど、
リセット非同期優先で考えてる。
そんなカンジ。
みんな仕事は問題無いの?
742774ワット発電中さん:2005/12/17(土) 17:18:23 ID:BQhE6jyA
どうして技術屋って言語能力が不自由な人が多いのか。
日本語も不自由なら、プログラム系言語も不自由だ。
俺ルールやら職場方言やらを振りかざして、
標準語を喋ってるつもりなんだろうか。
743774ワット発電中さん:2005/12/17(土) 17:25:16 ID:+z6h2oP3
>>741
その標準記述とやらは、規格的に間違いだが。
そんなアホな記述が標準で罷り通ってるようなとこに
仕事出したくねえ。
744774ワット発電中さん:2005/12/18(日) 00:39:19 ID:lSS4PWBd
>>741
D type Flip-Flop with asynchrounus reset を生成しているつもりなら、
その記述は普通に間違いですが何か?
ってか、724 は Verilog 知らんやろ。もっぺん入門書で勉強しなおせや。
本当に初心者レベルの間違いだ。
745774ワット発電中さん:2005/12/19(月) 10:18:58 ID:hQBghTSX
ここは奇妙なHDL講座のスレでつね
746774ワット発電中さん:2005/12/19(月) 23:42:55 ID:zQmJkyst
>>741氏の記述をDesignCompilerに喰わしてみました。
下記の通り2点エラーが出て合成できませんですた。
ライブラリによっては合成できるかもしれないけど、
これが標準記述とおっしゃる方と一緒に仕事はちょっとできません。
sim専用の方なのでしょうか。

The event depends on both edge and nonedge expressions, which synthesis
does not support.
Register 'Q' is the target of both blocking and non-blocking assignments
in the same process.
747774ワット発電中さん:2005/12/20(火) 00:43:28 ID:nxFBC/gm
742の釣り宣言が来るのを待ってるんだが、
一向に音沙汰がないところをみると、もしやマジだったのだろうか。
748安井某:2005/12/20(火) 00:55:21 ID:P7zS+tCZ
何だかVerilog HDLに不満の人多いのかな.そんならVHDLにすれば?
少なくても非同期resetとCLKの関係についてはずっと書きやすいけど!?
749774ワット発電中さん:2005/12/20(火) 18:53:33 ID:VogncZom
負論理だから名前がどうとかそんなこたぁ問題じゃない。
第一、いまドキのコンパイラはちゃぁんとインバータ挟んでくれてますがな。
モジュールのインターフェースは全部正論理で扱ってだいじょぶだいじょぶ。
Switch Levelまで考えてたじぃさんの時代はともかく
Gate Levelはおろか下手すると全部ツール任せのおぢちゃんの時代にそんな議論は無意味だ。
それとも数十年の歴史を誇る門外不出、秘伝のコンパイラとか自社開発してたりするの?
750774ワット発電中さん:2005/12/20(火) 21:00:27 ID:ePESxSzk
マスターリセット発生、解除の回路はどう作ればいいですか?
751774ワット発電中さん:2005/12/20(火) 21:38:36 ID:TI6kQomY
リセットの話題禁止
752774ワット発電中さん:2005/12/20(火) 21:41:23 ID:6CQmOHP7
人生のリセットボタンがみつかりません(つД`)
753774ワット発電中さん:2005/12/20(火) 21:45:01 ID:oJjQycNJ
つ【輪廻転生】
754774ワット発電中さん:2005/12/20(火) 22:52:24 ID:LhUuOhQA
FPGAのRESET端子を何も操作しない場合、
電源ON時の内部FFって、確定しているんでしょうか?
マイコンみたいに、count=0; みたいなことをしなくても良いのでしょうか?
デバイスの機種依存とかで、OKの場合もNGの場合もあるとか.....
ですか?
755774ワット発電中さん:2005/12/20(火) 22:58:59 ID:xVvXMz79
電源投入後の初期化シーケンスで、ROMからデータをロードして
configurationが完了した時点で、すべてのFFの状態が決まる
756774ワット発電中さん:2005/12/21(水) 11:02:44 ID:+a5UAjgV
>>749
一子相伝のRTLがあるんでしょう。
757774ワット発電中さん:2005/12/21(水) 18:18:45 ID:DoerUSJU
どなたか教えて下さい。

Q1.Verilogで、ユーザ定義文字(parameterやdefineで
定義した文字)を、複数のモジュールそれぞれに書くの
ではなく、別のファイルに格納して共有したいのです
が、可能ですか?

Q2.Verilogで、taskを使って順序回路を記述し、「論理
合成」したいと思います。その場合、例えばD-FFはどの
ように記述できますか?

Q3.Verilogで、「論理合成」したい場合、taskはalways文の
中でしか呼び出せないと理解していますが、正しいでしょうか?
またそうであるとすればtaskの出力は全てreg型にしなくていけ
なくなりますが、taskではwire型の出力は使えないのでしょうか?

Q4.Verilogで、組み合わせ回路をwire型で統一表現したいので、
function文を使うとします。function文は出力を1つしか持て
ません。ところが実際の組み合わせ回路では、case文の分岐先で
複数の変数を同時に操作したい事が良くあります。各変数毎に
function文を書けば良いのですが、それでは膨大なステップ数に
なってしまいます。何か手はありますか?
758774ワット発電中さん:2005/12/21(水) 22:12:06 ID:0AGZFGDt
学校の宿題みたいだな。
759774ワット発電中さん:2005/12/21(水) 22:49:40 ID:nDx4PfcV
>>757

Q1.includeで呼び出せばいいのでは?

Q2.回答ではないですが、taskって、テストベンチの世界の物であって
  回路記述では使わない物、と思っていますが・・・
760774ワット発電中さん:2005/12/22(木) 01:37:57 ID:4gWFsZ74
Q4. assign {hoge,huga} = func(hage,hego); ってできなかったっけ?
761774ワット発電中さん:2005/12/22(木) 04:35:16 ID:YMVzHbyE
>>760
頭いーーーーー。なるほど、それはいいですね。ちょっと感激しました。
757ではないですが。
特定bitの抽出ってマイコンでもやるけど、HDLのほうが圧倒的に楽ですよね。
reg [7:0] merry;
reg [2:0] christmas;
christmas <= merry [5:3];

Cで書くと、
unsigned char merry, christmas;
christmas = ( (merry>>3) & 0x10);

....... 変わらんか。
762774ワット発電中さん:2005/12/22(木) 13:01:31 ID:0PDvtMb4
VHDLのsignalで23bit定義しています。
計算後その内の下位10bitだけ出力ピンに渡しています。
これをコンパイルするとコンパイラが勝手にsignalを10bitにしてしまっているようで間違った計算結果になってしまいます。
これはどのように回避するのでしょうか?
QuartusII使用です。
763774ワット発電中さん:2005/12/22(木) 14:06:57 ID:1TypyvYm
>>762間違っているのは藻前の回路だ。
上位13bitがそもそもまったく動かん回路になっとるはずだ。
764774ワット発電中さん:2005/12/22(木) 14:44:59 ID:0PDvtMb4
>>763
なんかそんな感じです。
間違いがありました。
もう少し調べてみます。
765774ワット発電中さん:2005/12/22(木) 21:01:16 ID:VqOvX+AX
> 間違った計算結果になってしまいます。
具体例を挙げてくれると、叩くネタになったり、躓いてる人への蜘蛛の糸になったり
いろいろ膨らむと思うんだが・・・・
766火魔人:2005/12/24(土) 02:30:02 ID:WIMAA1cu
>739
自分はFPGAは使わないので、ASIC or ゲートアレイ用の記述でDesignCompilerを使う場合で言うと・・・

A1. parameterの定義はincludeで共有できるが、defineは二重定義になるので共有不可。
と言う前に、defineの仕様を考えれば共有する必要は無い事は解る筈。

A2. ラッチになるかF/Fになるかゲートになるかは、taskの呼び出し元の記述で決まる。
task文の中で足掻いても無駄。

A3. そもそも、普通initial文は論理合成には使わない。
それと、taskの出力をregやwireで定義する必要は無い。

A4. function文の使い方を間違っているので、そんな考えは捨てる。
functionを使う場合は、"異なる信号に対して同じ処理を行う場合"に使う。
"同じ信号に対して異なる処理を行う場合"は三項演算子("?")を使う。

ステートマシンを記述する場合にtaskを使っているけど、自分で意図して使った事は無いねー。
Visual Eliteでステートマシンを書くと、taskを使った記述になる。(そう指定してるから)

functionは、条件分岐の必要な演算回路を書く時に使ってるけど、wireで定義する物には
使わない。 論理+F/Fの論理部分にfunctionを使うので、代入相手はregだけ。
767774ワット発電中さん:2005/12/24(土) 04:08:38 ID:F1KXJnqO
>>766
A4 だか、組み合わせ回路でも条件が複雑で case を使いたいときは、
function で書いてもいいジャマイカ。
768774ワット発電中さん:2005/12/24(土) 16:36:38 ID:68NKpkLy
>>766
>ステートマシンを記述する場合にtaskを使っているけど
マジ?taskってシミュレーション用のサブルーチンじゃないの?
回路記述なんかで使えるの?もっぱらテストベンチだけで使ってた。
769774ワット発電中さん:2005/12/24(土) 17:10:38 ID:42CaEXkI
>>766>>757を火星に飛ばすためのネタと見た。>>739にアンカーつけてるし。

>functionを使う場合は、"異なる信号に対して同じ処理を行う場合"に使う。
どーいう意味?

>"同じ信号に対して異なる処理を行う場合"は三項演算子("?")を使う
三項演算子で記述できる組み合わせ回路はfunctionでまったく問題なく記述出来るはずだが?

組み合わせ→function
順序→always

組み合わせもalwaysで記述できるが上のように書くとぱっと見てすぐわかるから。
組み合わせ回路を明示できるところが、個人的にVerilogが好きな理由なんだが。
taskを回路記述で使う件も初耳だ。

>functionは、条件分岐の必要な演算回路を書く時に使ってるけど、wireで定義する物には
>使わない。 論理+F/Fの論理部分にfunctionを使うので、代入相手はregだけ。

これって hoge を functionで定義してるとして、
assign pappara = hoge( sig );
のpappara がregってこと言ってるのかな?
そんな文法見たことない。

少なくとも>>757は真面目に聞いてきてるみたいだし、ネタで火星に打ち上げちゃかわいそうじゃないの?
770774ワット発電中さん:2005/12/24(土) 19:10:37 ID:e7FJGAPQ
>>766じゃないけど、

>>functionを使う場合は、"異なる信号に対して同じ処理を行う場合"に使う。
>どーいう意味?

同じような処理はfunctionでまとめて、信号をインスタンスするだけってことだろ。

俺的には「組み合わせ回路をwire型で統一表現したいので、 function文を使う」ってのは
どうかと思う。別に組み合わせはfunction、順序回路はalwaysなんて書き分けをしなくても
Verilog HDLをある程度身につけたエンジニアならばソースコード見るだけで
どっちになるかぐらいわかると思うけどなあ。
771774ワット発電中さん:2005/12/24(土) 19:36:57 ID:dX++YV5B
>>770==>>766
自演乙
772774ワット発電中さん:2005/12/24(土) 19:50:57 ID:8+3UscHO
人間ならalwaysを使って組み合わせ回路を記述するより
functionで記述した方がはるかに見やすい。
773774ワット発電中さん:2005/12/24(土) 23:16:49 ID:AuD/VTrD
組み合わせ回路の記述の基本はassign文なんだが・・・
function文はあのとってつけたような構文が示すとおり、継続的代入文の補助的な意味合いしかない。
always文では変則的に組み合わせ回路を部分的に記述できるといっても、シミュではクロックが入ってから演算するが、
実際の回路でクロックが入ったときにregに代入されるのは、その時点で既に根元まで来ている信号だ。
regの値を使った演算の結果をそのままregに代入するのでなければalways文中に書くべきではない。
774774ワット発電中さん:2005/12/25(日) 08:43:56 ID:hAKnLW/D
>実際の回路でクロックが入ったときにregに代入されるのは、その時点で既に根元まで来ている信号だ。

当たり前なんだけど、さらに「セットアップ・ホールド時間を満たしたもの」が代入される。
775774ワット発電中さん:2005/12/25(日) 10:21:53 ID:y0mRzHpt
>always文では変則的に組み合わせ回路を部分的に記述できるといっても、シミュではクロックが入ってから演算するが、

組み合わせ回路の話なのになんでクロックが??
もしかしてセンシティビティ・リストの中は(posedge clock)しか書いたことないんじゃないの。

always文で組み合わせ回路を記述しちゃイケナイなんて聞いたことないよ。
SystemVerilogじゃalways_combやalways_latchが用意されているくらいだぜ?
776774ワット発電中さん:2005/12/25(日) 11:42:55 ID:Zcoi4cUn
それは現状のVerilogではalwaysで組み合わせ回路を書くと
色々ややこしいからではないか?

VHDL感覚ならalwaysで組み合わせになりそうだが、
順序回路か組み合わせ回路か解読する手間を考えると、
組み合わせはfunctionのほうがVerilog流でしょ。
777774ワット発電中さん:2005/12/25(日) 11:59:26 ID:Wd4ErwU4
>>775
>もしかしてセンシティビティ・リストの中は(posedge clock)しか書いたことないんじゃないの。
まったく。自分の無知を棚に上げて大暴れか?

>always文で組み合わせ回路を記述しちゃイケナイなんて聞いたことないよ。
ちゃんと調べてから言え。調べもせず。聞いたことないだと?笑わせるな。
古めのVerilog解説本には組み合わせにfunctionを使うように推薦してる。
最近になって出版された書籍はどちらでもいいと書いてるものもあるがな。

>>SystemVerilogじゃalways_combやalways_latchが用意されているくらいだぜ?
だれがSystemVerilogの話をしとんねん。
778774ワット発電中さん:2005/12/25(日) 17:15:04 ID:t/fUwrn8
そして議論は永遠に収束しないと…
779774ワット発電中さん:2005/12/25(日) 18:23:49 ID:Zcoi4cUn
まあ宗教戦争みたいなもんだからな。
いつまでもかみ合わんでしょ。

こういう時こそリセットじじいに登場してもらって
経験を生かしたコメントを出して欲しいもんだが。

まあ、暇な様だけど建設的な事は嫌いらしいから無理かな。
780774ワット発電中さん:2005/12/25(日) 18:31:12 ID:EhyAJipe
自分は775擁護だな。

alway の組み合わせ回路が sim でクロックが入って演算ていうのは意味が
分からないな。実際にシミュレーションして確かめた?

イケナイ==禁止 で、推薦 != 禁止 じゃない。
ちゃんと調べても、推薦しかしてないのならイケナイという
結果はでてこないだろうな。

推薦ていうのは、"したほうがよい"という意味ですよね?
781774ワット発電中さん:2005/12/25(日) 19:15:15 ID:FpKMi6AM
>>780
>自分は775擁護だな。
ヲイヲイ>>780== >>775の癖に
自分で書き込んどいて擁護も何も無いだろ。笑止千万。しかも必死

>推薦ていうのは、"したほうがよい"という意味ですよね?
そーだなーお前が生きてるのも生きてたほうがいいよって一般論としての推薦だ。
なんなら、死んでもいいぞ。お前が死ぬのは勝手だしな。死ぬことを禁止なんかしてない。
782774ワット発電中さん:2005/12/25(日) 19:50:36 ID:EhyAJipe
>>781
775じゃないよ。
なんだかな、こういう汚いことしか言えない奴ってのはどうしようもないな。
自分が正しいと思うんなら、きちんと反論してみたら。

781の書き込みみたいのを、必死っていうんじゃない。
783774ワット発電中さん:2005/12/25(日) 20:11:03 ID:dKsOz8V8
>>782
>なんだかな、こういう汚いことしか言えない奴ってのはどうしようもないな。

そーだなー、お前のような反省もせずにくっだらん理屈こねくり回すようなアホじゃどうしようのないよな。
まともなVerilogの本だったらたいてい書いてるお勧め事項を知らん事自体何も読んでない証拠。

>781の書き込みみたいのを、必死っていうんじゃない。
まー、なんとでもほざけ。
functionは組み合わせ回路を記述するために用意された経緯を今ここで初めて
知って目から鱗か?
せいぜいがんばれや。
784774ワット発電中さん:2005/12/25(日) 20:14:29 ID:dKsOz8V8
>>782
>きちんと反論してみたら。
そいうやお前立派なこと書いてるよな。
>>780
>>782
で、これまで知らずにやってきて自己弁護に必死ってか?
大笑い!!!
785774ワット発電中さん:2005/12/25(日) 21:38:27 ID:F6Z37Vts
          -─=三=- 、
       /イイイ   ヽ三\ヽ\
      ///イ   ___    ヽ トトト
      i:|:/ミ  -_-__--_−_-_ トト|:i
      |:|:|イ   へ、 ̄ /ヽ   |:|:|
      |:|:||   _、   ,.-_、 |:|:|  <functionわかってない奴が自己弁護の
      ト:!:|  ヽ´´´ノ ヽ´´´ノ |:|リ <偽装工作に必死
      ヽ_|    ̄ ノ  丶 ̄  |_ノ
         | \/ (_i  i_)\/ |
        |  | /  ̄  \ | |
         \ -=^^=- /
           ヽ   ̄  ノ
786774ワット発電中さん:2005/12/26(月) 06:18:26 ID:EwEgmKKz
>functionは組み合わせ回路を記述するために用意された経緯

どこのことを言っているのだろうか。
まさか>>773じゃないよね?
787774ワット発電中さん:2005/12/26(月) 16:23:21 ID:4cswt5Ou
VHDLでよく推奨になってる

if ( CLR='1' ) then
 Q <= 0;
elsif ( C'event and C='1') then
 Q <= D;
end if;

こんな感じの記述を

if CLR='1' then
 Q <= 0;
elsif rising_edge(C) then
 Q <= D;
end if;

って記述される方っています?私はあんまり見慣れないんですが・・・
788774ワット発電中さん:2005/12/26(月) 16:51:32 ID:KoZmlO6C
>>787
人によってはある。

先日納品されたVHDLソースには両方混在していた。
(複数人のプロジェクトだったので)

設計ガイドラインの無い会社には次たのまんことにした。
789774ワット発電中さん:2005/12/26(月) 23:55:12 ID:y4eDxGsk
設計ガイドラインなんて俺の会社にゃ無えーよ。
HDL記述してるの俺だけだし。(FPGAだけどな。) ある意味好き勝手自由にできる。
その日の気分で変えてもだれも文句言わない。(上司はだれも理解していないから。)
でもどういう記述するのが一番スマートなのかさっぱりワカラン。
文法とかって話じゃなく、セオリー的なガイドラインみたいな事を解説してるような
書籍や資料ってないもんだろうか? 詳しい人、教えて!
790774ワット発電中さん:2005/12/27(火) 00:41:22 ID:Bj1RdzOI
これなんかどう?
http://www.starc.jp/bookstore/index-j.html

FPGA専門だと、細かすぎて鬱陶しいかも知らんけどね。

内容見本はこっち(旧版だけど)。
http://www.hdlab.co.jp/htdocs/service/styleguide/styleguide.html

昔は3万位したけど、ずいぶん安くなったみたい。
791789:2005/12/27(火) 12:36:22 ID:LToaNK80
>>790
おぉー! 情報サンクス。
プレビュー見た感じ良さげだね。 ありがとう。
792774ワット発電中さん:2005/12/28(水) 08:56:43 ID:ijtoURMd
非同期リセットは本当に必要なのか。
793774ワット発電中さん:2005/12/28(水) 08:59:59 ID:G1sXrOgk
リセットの話題は危険だぞ・・・
過去の遺物が出てくるぞ・・・・

・・・個人的にはリセットは同期で使う物と思う。
非同期はタイミングで誤動作する可能性が高いので
使わないことにしている。
794774ワット発電中さん:2005/12/31(土) 02:44:16 ID:ivuw4a3s
言語記述というか、論理回路自体初心社な者です。
VHDL記述に関して質問です。

例えば3ビットの信号(cnt)をカウントしていき”111”になったら”000”に戻したいのですが、
この場合、ただ単に
cnt <= cnt + 1;
と記述してオーバーフローを無視して勝手に0に戻る記述をしていいのでしょうか?
それとも、
cnt <= cnt + 1;

if (cnt = "111") then
cnt <= "000";
とういように、最大値までいったら0に戻す記述をするべきなのでしょうか?
795774ワット発電中さん:2005/12/31(土) 07:30:40 ID:HilpXGcr
>>794
わざわざ0に戻す記述はいらないでしょ。
3bitしか宣言してないんでしょ?
796774ワット発電中さん:2005/12/31(土) 17:11:07 ID:wtHxwa1p
HDLの話とはちょっと違うかもしれませんが、バイトオーダをBig Endianから
Little Endianに論理修正したいのですが、ひとまずバイトレーンの変更修正は
済ましたけど、修正前の論理がメモリのアドレス線をバイトレーン信号を使って
チップセレクトする仕様なんだけど、このチップセレクト論理もイジる必要あり?
基本的なことをわかってなくてスマソ


↓現状、こんな感じ。
┌─────┐┌─────┐
│上位 16bit││下位 16bit│
└─────┘└─────┘
  ↑ ↑    ↑ ↑
  │ │    │ └─── bytelane #0 CS
  │ │    └───── bytelane #1 CS
  │ └────────── bytelane #2 CS
  └──────────── bytelane #3 CS


↓こんな感じにCS信号を修正する必要があるんすかね?
┌─────┐┌─────┐
│上位 16bit││下位 16bit│
└─────┘└─────┘
  ↑ ↑    ↑ ↑
  │ │    │ └─── bytelane #3 CS
  │ │    └───── bytelane #2 CS
  │ └────────── bytelane #1 CS
  └──────────── bytelane #0 CS
797774ワット発電中さん:2005/12/31(土) 17:33:38 ID:1nCnB4cx
>>794
それはバス仕様依存だからどのバスを使うのか言わないとなんとも返事できん

たとえばPCIバスなら、データバスに乗るデータのエンディアンに関わらず
C/BE3 → AD31-24
C/BE2 → AD23-16
C/BE1 → AD15-8
C/BE0 → AD7-0
の対応は変わらない

独自に設計したバスならバスを設計したヤシに聞くしかないな
798794:2005/12/31(土) 22:27:10 ID:ivuw4a3s
>>795
3bitしか宣言しないです。
実機で確認した所どちらの記述でも結果は同じになったのですが、
どっちの記述が適しているのかと思いまして・・・

>>797
すみません。勉強不足で仰ってる意味がわかりません。
799774ワット発電中さん:2005/12/31(土) 22:40:26 ID:2vYYFwrT
勉強してから、ここにこい(#゚Д゚)ゴルァ!
800794:2005/12/31(土) 23:02:58 ID:ivuw4a3s
>>799
すみませんでした。
801774ワット発電中さん:2006/01/01(日) 00:22:48 ID:J+MVkjRk
あけましておめでとうございます

>>800
>>797>>796へのレスだからわかんなくてもおk

>>796
アクセス先が電源ONでまっさらになるDRAMみたいなやつで
アクセス元がひとつ かつ 
図のように常に同じ単位(この場合16ビット)でアクセスするなら
何もいじらなくていい

なぜなら
書くときバイトがねじれても
読むときもねじれて結局元にもどるから

しかし 例えば
上・下16ビット=2バイト単位で書いたところを
1バイトだけとか 4バイトまとめて読むこともあるのなら
エンディアンの違いが響いてくる
(説明は面倒なので省略 絵を描いて考えてみて)

アクセス元がCPUで ソフト屋がそんな使い方してたら
ハード屋がバイトのセレクト信号をつなぎ変えるだけじゃ
対処しきれない ソフト屋も要変更
802774ワット発電中さん:2006/01/01(日) 09:16:34 ID:ge7nqIYp
> しかし 例えば
> 上・下16ビット=2バイト単位で書いたところを
> 1バイトだけとか 4バイトまとめて読むこともあるのなら
> エンディアンの違いが響いてくる
> (説明は面倒なので省略 絵を描いて考えてみて)

まっさらから始めるって条件なら、説明が面倒なんじゃなくてただの大嘘だろ
バイトイネーブル信号がデータバスと対応した然るべきイネーブル入力に
接続されてたら違いなんて見えない
要は信号の名前(正確には添数字)をどうつけるかだけの問題

実行中に動的にエンディアンを切り替えたいから悩ましいんじゃないのか?
803774ワット発電中さん:2006/01/01(日) 22:26:11 ID:J+MVkjRk
バイト単位でアクセスするデバイスだけなら
そもそもエンディアンの違いで悩む必要はない

だがビット位置が関係するデバイスがからむと
一気に厄介になる

16ビット幅でabcdと下位2バイトに書き込むと

ビッグエンディアン (bit15)abcd(bit0)
リトルエンディアン (bit15)cdab(bit0)

これだとバスにビット位置を意識しているデバイスが
ぶら下がっていると不具合を起こすのは自明

だからといって16ビット幅でのアクセスなら
リトルエンディアンでもそのままabcdと書くと
突っ込まれた点が問題になりえる
804774ワット発電中さん:2006/01/01(日) 23:50:11 ID:ge7nqIYp
一般的な記憶デバイスなら、アドレスバスはまだしも
データバスのビット位置は意識しないよね

たとえデータバスのビット位置を意識するデバイスを使う場合にしても
動的にエンディアンが変化しないなら、PWBAの配線でよしなに接続すれば
いいだけの話だよな
805774ワット発電中さん:2006/01/02(月) 16:08:37 ID:T6GG7zDA
>>798
> 3bitしか宣言しないです。
溢れようが桁上がろうが、その下位3bitしか見ないなら同じことじゃん。
806796:2006/01/03(火) 20:53:09 ID:RDnvM8Wg
>>797
>>801-804

ご丁寧にどうもです。
>>796では、メモリ構成をハーフワードアクセスのイメージで書いてますが、
実際のハード仕様は、8bit*4でバイト/ハーフワード/フルワードのアクセスに
対応しています。(バイトレーンセレクタを実装)

このメモリにアクセスするのはMCUなんだけど、バイトアクセスってあるのかね?
一応、Big Endianの頃からハードではバイトアクセスにも対応する仕様なんだけど、
基本はハーフワードアクセスだと思うんですけどねぇ。。。

>>802さんの指摘で・・・
>実行中に動的にエンディアンを切り替えたいから悩ましいんじゃないのか?

・・・ってあるけど、Bi Endianの仕様はないっす。
MCUのFirmwareはIntel系Chipからの流用らしいんで。
807774ワット発電中さん:2006/01/20(金) 22:05:41 ID:p+ETqWSm
10桁くらいの乱数を発生させたいのですが、うまい方法はありませんか?
808774ワット発電中さん:2006/01/21(土) 01:21:32 ID:czPR0UFY
10ビット?10進10桁?あと乱雑さの程度は?
ある程度適当でよければ、M系列とか、LFSRでググれ
809774ワット発電中さん:2006/01/21(土) 08:04:01 ID:93n/ubbG
10進10桁です。10桁の数字を何十個取って乱数っぽく見えればいいんですが、LFSRでやったら数字の流れの規則性が読み取れてしまいました・・・
810774ワット発電中さん:2006/01/21(土) 09:57:01 ID:jWmNoNZm
>>809
じゃ「Mersenne Twister」をハードで実装せよ。
811774ワット発電中さん:2006/01/21(土) 10:59:03 ID:2vSfEzjq
>>809
出力ビットを混ぜたのか?
812774ワット発電中さん:2006/01/21(土) 11:23:01 ID:czPR0UFY
何十個レベルで乱数っぽくするだけなら、ちょっと長いLFSRで十分だろ
どんなフィードバックで何ビットのLFSR作ったんだ?
813774ワット発電中さん:2006/01/21(土) 20:34:40 ID:Hk6hlCE3
Verilogで設計するときのための入門〜中級者向けの参考書でお勧めのものを教えてください。
多少内容に問題がある本でも、問題点が分かっていれば構わないので……。
814774ワット発電中さん:2006/01/21(土) 22:49:25 ID:TOCL2Y4w
Verilogにしても、VHDLにしても入門書と呼べるのは
1冊ずつしかないな
815774ワット発電中さん:2006/01/22(日) 12:35:38 ID:nCCwO4AC
はじめてのVerilog



独習Verilog-HDL

がいいよ
あと中級は

HDL言語Verilog

がお勧めだ
816774ワット発電中さん:2006/01/22(日) 12:37:07 ID:nCCwO4AC
書き忘れ

定本Verilog-HDL設計



続・定本Verilog-HDL設計

817774ワット発電中さん:2006/01/22(日) 12:42:03 ID:orFkmaMQ
>>813
もしプログラミング経験が無いのなら、先にプログラミング経験を積んでからの方が良いよ。
818774ワット発電中さん:2006/01/22(日) 12:59:28 ID:nCCwO4AC
>>813
もし回路設計経験が無いのなら、先に回路設計経験を積んでからの方が良いよ。
819774ワット発電中さん:2006/01/22(日) 13:02:28 ID:nCCwO4AC
>>813
もし基板設計経験が無いのなら、先に基板設計経験を積んでからの方が良いよ。
820774ワット発電中さん:2006/01/22(日) 13:03:01 ID:nCCwO4AC
>>813
もし筐体設計経験が無いのなら、先に筐体設計経験を積んでからの方が良いよ。
821774ワット発電中さん:2006/01/22(日) 13:04:17 ID:nCCwO4AC
>>813
もしLSI設計経験が無いのなら、先にLSI設計経験を積んでからの方が良いよ。
822774ワット発電中さん:2006/01/22(日) 13:05:55 ID:+Rkm6cL8
何だ、もうネタ切れかよw
823774ワット発電中さん:2006/01/22(日) 13:10:24 ID:mMqJbPI6
>>813
もしレンズ設計経験が無いのなら、先にレンズ設計経験を積んでからの方が良いよ。
824774ワット発電中さん:2006/01/22(日) 13:57:29 ID:di3K7nOY
>>815-816
ありがとうございます。ググっても見つからなかったので、書店に問い合わせてみます。

>>817
プログラム経験は C++ Pascal Fortran Lisp Occam Postscript 等ありますが、
不足でしょうか。

>>818
昔、74を組み合わせて数千ゲート相当規模の回路を設計したことがありますが、
74 時代の経験は無意味でしょうか。

>>819
基板設計はあまりやったことがありません。別の業者がやったVME基板数枚の設計が悪く、
手作業で直しをいれたぐらいです。高周波を扱う予定はないので、
それぐらいでなんとかならないでしょうか。

>>820
筐体は詳しい人間が側にいるので、その人の経験を借ります。

>>821
LSI 設計経験は、もちろんあります。昔、スケマティックで数万ゲート規模のものを作っていました。
たしかプロセスはES2 社のものでした。デバッグが大変で、もう二度とやりたくありません。

>>823
HOYA が LSI の設計製造業務を展開していたころに、
その繋がりで半出向のような形でレンジの設計も聞きかじったことがあります。
シミュレーションツール的な部分で経験が役に立つのでしょうか。
825774ワット発電中さん:2006/01/22(日) 14:47:52 ID:9GZJlXNt
>>824
作った物がちゃんと売れるかどうか考えた方が良いよ。
826774ワット発電中さん:2006/01/22(日) 15:03:13 ID:1D+pqSpK
>>824
ネタにマジレス?カコイイ

てか、それだけ経験あるなら、HDLとか書いてる場合じゃないだろ。
そんなもん参考書籍一つ挙げられない土方連中 >>815-823 に任せりゃオケ
土方連中を監督したり >>825 が言ってるような経営判断とかヤットケ
827774ワット発電中さん:2006/01/22(日) 15:38:00 ID:odxsd6Ix
>>826
>そんなもん参考書籍一つ挙げられない土方連中 >>815-823 に任せりゃオケ

お 前 も 全 く の 同 類 だ 。 糞 ガ キ が
828774ワット発電中さん:2006/01/22(日) 15:47:44 ID:1D+pqSpK
>>827
同類ですが何か?

てか、土方に決まってるじゃん、HDLコーダーなんて。
いまさら図星さされたぐらいで逆上する >>827 ハゲワロス
829774ワット発電中さん:2006/01/22(日) 16:10:39 ID:odxsd6Ix
HDLコードなんて書いてませんが何か?

や ー い、 や ー い H D L 土 方 や ー い 
830774ワット発電中さん:2006/01/22(日) 17:16:39 ID:yDTy3rFm
┐(´ー`)┌
831774ワット発電中さん:2006/01/22(日) 17:27:54 ID:Uic7tjTD
vhdlもverilogもちゃんと本を読んで勉強した覚えはないな。人の持ってる
奴をちょっと読んだくらい。基本的にはインターネットで基本を覚えて
あとは人のソースを見て独学で勉強って感じか。
832774ワット発電中さん:2006/01/22(日) 18:29:48 ID:9GZJlXNt
LSI設計やるならテスト戦略は初めに必須。
833774ワット発電中さん:2006/01/22(日) 21:00:19 ID:orFkmaMQ
>>824
返答が学生さんっぽい。
834774ワット発電中さん:2006/01/23(月) 15:51:20 ID:2jctE1Rl
Perlilog: Perl コードからVerilog ソース
使い物になるのか?
835774ワット発電中さん:2006/01/23(月) 22:08:08 ID:aMytFYU7
ペログリ?
836774ワット発電中さん:2006/01/23(月) 23:25:08 ID:/wLdqy14
>> 834
使い物になる。

ただ、再起呼び出しとかはやめてくれよ。

問題はPerlの構文をどうやってHDLに落とすかだ。
それがなかなか出来なくて大変なんだ。
837774ワット発電中さん:2006/01/25(水) 23:05:42 ID:Z3PlWcLQ
他にもPerlプログラムでVHDL、Verilogを生成してる実例はあるけど
AlteraのEuropa
仕様のドキュメント公開してくれないかな
838774ワット発電中さん:2006/01/26(木) 09:41:15 ID:+2QHFddg
なぜスケマ設計は廃れたのですか?
839774ワット発電中さん:2006/01/26(木) 13:37:16 ID:107G5opH
2chで書けないから
840774ワット発電中さん:2006/01/26(木) 13:49:36 ID:c59O3icN
>>838
生産性がよくないからと言われてる。

個人的には順序回路のような手順がスケマだと見えにくいな。
841774ワット発電中さん:2006/01/26(木) 23:18:47 ID:O5JjvM0e
すみません
複数ファイルで使用するパラメータを`include "common.h"とかやって共有したいのですがcommon.hの中身はどのように書けばよいのでしょうか?
なにか、文法とかありますでしょうか
parameter A=1;
parameter B=2;
とかだけ書いていてはダメなのでしょうか。

Cの#includeのようにただ単純に文字列が挿入されるだけだと考えていたのですが、間違いでしょうか

よろしくお願いいたします。
842774ワット発電中さん:2006/01/28(土) 04:50:19 ID:PI++kj2b
それで構わない筈だけど?
まさか、moduleの外に`includeを書いてるとか・・・

843774ワット発電中さん:2006/01/28(土) 12:17:53 ID:8PBwPOeA
>>842
レスありがとうございます
Cの#includeと同様だと解釈していましたので`includeの位置はmoduleの内部に書いてあります
文法チェックは通るのですが、modelsimに突っ込むと怒られてしまうのです

common.hがうんたらと言われてしまいます
844774ワット発電中さん:2006/01/28(土) 14:12:27 ID:D50uJeq1
うんたらじゃあわからんなぁ
845774ワット発電中さん:2006/01/28(土) 16:47:39 ID:SKJIOp95
おおかた、common.hの場所がincludeするファイルのパスに
入っていないとかだろう
846774ワット発電中さん:2006/01/28(土) 18:00:12 ID:0uT87kli
Modelsimの吐くメッセージ: common.hがうんたら〜
のうんたらの部分が英語だから読めないだけってオチか
847774ワット発電中さん:2006/01/31(火) 08:16:01 ID:eYudQNei
>>844-846
レスありがとうございます。
英語が読めないわけではなくて(かなり苦手ですが)、ライセンスの関係でしばらく使えなくなってしまったのでメッセージ内容を忘れてしまいました。
>>845
common.hは他のファイルと同じフォルダに入れてあるのですが、パス指定は必要なんですかね?
文法チェックはことなく終わったのですが
848774ワット発電中さん:2006/02/01(水) 00:45:15 ID:bDaG56i5
common.hを複数のソースから呼んでいるに3000点。
849774ワット発電中さん:2006/02/01(水) 22:43:24 ID:watlhckv
Verilogを学び始めたばかりなんですが、RSA暗号器の作成をすることになりました。
それで質問があります。

1)記述の方法で、P=(C*e)%m のように演算子を用いて記述した場合と、
  乗算回路と除算回路を作って行う場合で何か違いがありますか?(論理合成など)

2) RSAでは大きな数を扱うのですが、論理合成ではどのくらいのbit数まで合成できるのでしょうか?

お願いします。
850774ワット発電中さん:2006/02/02(木) 01:20:07 ID:8c7SNM3v
>>848
その通りです
複数のソースで共通のパラメータなのでそうしたいのですが…
てか、最近仕事変わってmodelsim使えなくなってしまった…
でも、解決方法は知っておきたいです。
851774ワット発電中さん:2006/02/02(木) 01:43:55 ID:LUEaj8CA
>>849
1)は演算子を使うほうが良いらしいよ。
勝手にHDLが乗算回路とか作ってくれるから。

昔うちの大学の先生が二つの方法で加算回路を作って比べてみたらしいけど、
演算子を使ったほうが、自分達でセコセコ回路組むより断然速かったらしいよ。

2)はよくわからないけど、
SRAMを作ったときに65536ビットとかしてもシミュレーションできたよ。
ただFPGAとかに落とした経験はないので、実際に動くかどうかは知りません。
852774ワット発電中さん:2006/02/02(木) 01:55:39 ID:mFL25QoI
誰か、「ユネイト」について分かりやすく説明できる人いますか?
もしくはサイトなどあれば助かります><
宜しくお願いします!!
853774ワット発電中さん:2006/02/02(木) 02:30:04 ID:zqqYTFjW
>>851
>昔うちの大学の先生が二つの方法で加算回路を作って比べてみたらしいけど、

あほなせんせいなんだろ?
しかも乗算器程度は勝手に合成してくれるかもしれんが
mod回路を勝手に合成してくれる合成ツールあったら教えてくれや。
854774ワット発電中さん:2006/02/02(木) 02:33:45 ID:g6E9JXe6
>>849
1)回路規模と速度。
演算子を用いた場合、使用するライブラリによって回路が異なる。
FPGAなら専用の乗算器を用いることもある。
2)分割して処理してやれば、リソースの許す限り何ビットでも。
855774ワット発電中さん:2006/02/02(木) 11:16:37 ID:iTKJqpXj
ヒント:長篠の戦い
856774ワット発電中さん:2006/02/02(木) 12:06:16 ID:HV0M8G5T
>>850
VHDLの話だったら、自前のライブラリ作って使えば同じもの呼び出しても文句言われない。
俺はVHDLオンリーだから知らないけど、Verilogにはそういうの無いの?
857774ワット発電中さん:2006/02/02(木) 17:51:11 ID:9Sv8GbEU
>>855
戦国自衛隊が勝頼に加担するそうです。

映画の江口洋介版戦国自衛隊1549よりテレビの戦国自衛隊の方がはるかに出演者が豪華だったな。
江口のほうはVシネマみたいだった。
しかし。。。
反町の滑舌の悪さはなんとかならんか?
858774ワット発電中さん:2006/02/02(木) 18:31:48 ID:iTKJqpXj
>855ヒント:パイプライン
859849:2006/02/02(木) 18:51:54 ID:kAcFmaQi
>>851
>>853-854
ありがとうございました。
ライブラリによって回路違うようなら、自分で作ったほうがよさそうですね。
分割すれば制限ないんですね。
どれくらいまで平気かいろいろと試して行ってみたいと思います
860774ワット発電中さん:2006/02/03(金) 18:36:30 ID:K1VY5tnN
たとえば加算器のライブラリを新規に作るとする。その記述の正しさを証明できるだろうか。
861774ワット発電中さん:2006/02/04(土) 13:01:42 ID:0EM4CWni
「正しい」とは何かがちゃんと定義できればな
862774ワット発電中さん:2006/02/04(土) 15:09:57 ID:flpiF6xD
>>861
お前は2段降格。
863774ワット発電中さん:2006/02/04(土) 19:12:46 ID:i1HFIn8M
FPGAによっては、あらかじめ乗算器などがセルであることがある。
もしあるなら、それを利用したほうが早いしもったいない。
(ぶっちゃけそのセルは乗算にしか使えないから)

ビット数は限界は基本的にないとおもっていいけど、速度との兼ね合いがあるだろうし。
128ビットとかなら別に平気じゃないかな FPGAにもよるけど

まあ、ASICならともかくFPGAなら作ってみるのが手っ取り早い
仕事でそれをやられると困るときもあるが、そんなカンジじゃ無さそうだし
864774ワット発電中さん:2006/02/13(月) 02:19:52 ID:CRxGv4yS
Quartus Uの使い方で質問です。
WindowのTabの所を右クリックで設定できるHide Tabsを押したらWindow選択Tabが
消えてしまいました。この設定を元に戻すにはどうすればいいのでしょうか?
865774ワット発電中さん:2006/02/13(月) 11:15:11 ID:WezWHKec
漏れもそれ一見分かる場所になくて困惑した記憶がある。
何とか直ったけど直し方はもう忘れた。スマソ。
866864:2006/02/13(月) 22:47:00 ID:CRxGv4yS
>>865
レスどうもです。
今、いろいろいじってて元に戻す場所発見出来ました。
867774ワット発電中さん:2006/02/14(火) 02:28:57 ID:TWYUOxop
【デスノ】デスノート映画化 人権団体批判【不謹慎】
http://news18.2ch.net/test/read.cgi/news7/1139724920/
868774ワット発電中さん:2006/02/14(火) 18:39:13 ID:OHYJUSaP
良い論理回路の評価項目を教えて下さい。
869774ワット発電中さん:2006/02/14(火) 18:43:05 ID:W4DSNiN0
シンプル
870774ワット発電中さん:2006/02/14(火) 21:47:53 ID:rQ0EIgAa
やすい・はやい・
871774ワット発電中さん:2006/02/14(火) 23:21:15 ID:uVYqI8df
ひげがない
872774ワット発電中さん:2006/02/15(水) 01:01:25 ID:qP+y7l3V
中身が何であれ、売れるのが良いにきまってる
どんな論理回路なら売れるか、買いたいか、考えろ
873774ワット発電中さん:2006/02/15(水) 14:47:03 ID:qxd4Zyzh
すごい・かんたん・きもちいい
874774ワット発電中さん:2006/02/16(木) 08:58:45 ID:k2xxS/uw
ハードの人はブローカーが多いね。
875774ワット発電中さん:2006/02/17(金) 02:42:08 ID:UOrZD8sU
バグが無いのがいいよね


怖い思いしなくてすむから…
876774ワット発電中さん:2006/02/18(土) 10:58:36 ID:Ll8bfdz5
Verilogの記述方法で教えてください。
1BITの信号Aを4BITの信号Bに信号Aをパラレル4BITで接続したいのですが、
連接以外のすっきりとした記述方法はありませんか?
今は以下の様に記述しています。

b <= { a, a, a, a};

4BIT程度なら良いのですが16BITになったり信号Aの名称が長いと面倒で。
宜しくお願いします。
877774ワット発電中さん:2006/02/18(土) 12:04:21 ID:vGegdffE
if分か関数定義じゃダメなのか?
878774ワット発電中さん:2006/02/18(土) 12:17:24 ID:o5ssLL8g
b <= {4{a}};
879774ワット発電中さん:2006/02/18(土) 12:25:09 ID:alorCjjo
b <= -a;
880774ワット発電中さん:2006/02/18(土) 13:40:59 ID:1O7hHkOo
>>879
これ使う技術者はまわりにいて欲しくない
881774ワット発電中さん:2006/02/18(土) 20:58:57 ID:9TOydYJ4
>>879
頭の体操みたいです
882774ワット発電中さん:2006/02/18(土) 23:08:30 ID:h1RnCCKA
>>879
おもしろいけど、まあ可読性悪いから業務では使って欲しくないところだね
コメントの無いソースよりタチが悪いw
883774ワット発電中さん:2006/02/18(土) 23:44:32 ID:4cdBpQiw
ぱっと考えて>>878がすぐに思いつくよね
>>879は一瞬意味がわからなかった
884774ワット発電中さん:2006/02/19(日) 13:05:26 ID:4vacZSuR
VHDLしかわからんから話についていけないなあ。
Verilog勉強しようかなあ
885774ワット発電中さん:2006/02/19(日) 20:13:31 ID:x3VNn4ii
VHDLしか知らないけど、>>879は分かりましたよ。

なんかMSXの「1画面プログラム」を読んでいたころを思い出した。
886774ワット発電中さん:2006/02/19(日) 22:35:47 ID:IuJpJUDZ
ちょっとわかるその気持ちw>885
まあ、みんながぱっとみて(もし構文をしらなかったとしても)分かりやすい
b <= { a, a, a, a}; や b <= {4{a}}; がいい気がする
887774ワット発電中さん:2006/02/20(月) 13:07:26 ID:EQ03KbZZ
wireだったら組み合わせロジックで

for( i=3; i>=0; i=i-1) begin
 b[i] = a;
end

ってのはど?
888774ワット発電中さん:2006/02/20(月) 22:18:57 ID:KICo7waK
VHDLだと
b <= (others => a); (だったっけ?)
とかいうわけのわからん構文を書かねばならんのよね。
889774ワット発電中さん:2006/02/20(月) 22:42:10 ID:STmNY86v
>>888
やっぱわけわからんのか。
VHDL勉強中だが
else ifとかcase文とかif x = 0とか不可解な構文ばかりで手間取ってるへたらCプログラマー
馬鹿でごめんな・・・
890774ワット発電中さん:2006/02/20(月) 22:59:13 ID:Q/Yhfq+j
>>887
わざわざ読みにくくしてどうする
891774ワット発電中さん:2006/02/20(月) 23:57:50 ID:STmNY86v
>>890
俺的には読みやすいが、>>887も漏れと一緒で元ソフト系じゃないの?
へたれっぽさをかんじる
892774ワット発電中さん:2006/02/21(火) 01:01:17 ID:bfMHo5AP
>>888
あたり
はじめ見たときは何かと思った。しかもぐぐってもなかなかひっかからないのね。
case others => ばっかりひっかかってw

for文も嫌いじゃないけどね 純ハード屋さんが嫌がる傾向あるけど・・。
893774ワット発電中さん:2006/02/21(火) 12:02:19 ID:IFoSl6qo
本質的に並列処理のものをforで書くのは違和感あるね
894774ワット発電中さん:2006/02/21(火) 17:35:39 ID:vP4IhssG
>>891
組み合わせ回路のfor文の使い方も知らん小僧はすっこんでな。
895774ワット発電中さん:2006/02/21(火) 22:07:01 ID:9b/wQRZj
>>893
ある。
すごくある。
でもそういうもんだと思うことにした。
896774ワット発電中さん:2006/02/21(火) 23:26:30 ID:v6VreLXk
>>893
本質的にハードのものをソフトで書くのは違和感あるね
897774ワット発電中さん:2006/02/22(水) 00:00:00 ID:obaoVxn2
真に並列処理などあるわけない。
898774ワット発電中さん:2006/02/22(水) 00:14:17 ID:JFCvTYGM
0時ちょうどに釣乙
899774ワット発電中さん:2006/02/22(水) 02:10:26 ID:JEufW982
>>896
いや、VerilogにしろVHDLにしろ、
ハードウェア記述言語であって、
ソフトではないんだよ
900774ワット発電中さん:2006/02/22(水) 02:17:30 ID:DKn7Ur+i
でもまあ、無いよりあったほうが便利だなぁ >for
メモリの初期化とか。
901774ワット発電中さん:2006/02/22(水) 11:16:59 ID:Qs0eaByl
forとかはどうせ中で展開してるんだろうから
Cとかのプリプロセッサ命令みたいに
はっきり分かるようになってればいいのにな
と思うことはよくある
902774ワット発電中さん:2006/02/22(水) 12:27:04 ID:obaoVxn2
>>897
おれすごい。
903774ワット発電中さん:2006/02/22(水) 18:37:12 ID:WyfdIfRb
>>897
なんかシングルプロセッサのMPUと間違ってないか?
ハードウェアでは真の並列処理を実際やってるし、
だいいち、組み合わせのfor文とは何の関係も無い話だ。
>>901の言うように単に手でごちゃごちゃ書く代わりに、
自動で回路展開してくれてるだけだから。
904774ワット発電中さん:2006/02/22(水) 19:12:11 ID:62migtMq
フォー
905774ワット発電中さん:2006/02/22(水) 21:37:38 ID:TNldAMdU
>>903
あからさまな釣られはウザイですよ。
906774ワット発電中さん:2006/02/22(水) 22:01:26 ID:WyfdIfRb
お前のようなアホがうぜえんだよ
907774ワット発電中さん:2006/02/23(木) 21:16:12 ID:gAtsRrz3
悔しがりすぎ(w
908774ワット発電中さん:2006/02/24(金) 20:52:47 ID:Y6KerP+P
るせーばか
909774ワット発電中さん:2006/02/24(金) 23:26:24 ID:725w4kzQ
HDLをいくら眺めても何も見出せない。
910774ワット発電中さん:2006/02/25(土) 00:32:32 ID:giqAYVBU
>>909
とりあえずコンパイルしてみるというのはどうか。
911774ワット発電中さん:2006/02/25(土) 21:01:18 ID:z0FkuZsW
チョト質問。

HDLをツールに食わせるのって、コンパイルって言う?
シンセシスじゃないの? 普通に使われてるのかな?
912774ワット発電中さん:2006/02/25(土) 21:05:27 ID:kz2fpPUQ
QuartusIIの場合はCompileって書いてあるからな。
あなるしす&シンセシス〜タイミング解析まで全部やるのはコンパイルと呼んでるわ。
913774ワット発電中さん:2006/02/26(日) 00:03:18 ID:fs9P/8VA
デザインコンパイラとか有名なツールあるからなぁ。
正しいかどうかは別として市民権ぐらいはありそう。 > コンパイル
914774ワット発電中さん:2006/02/26(日) 14:27:47 ID:5PInroT3
ISEはSynthesisといっているし、
ModelSimはCompileといっている。

やってることは違うはずなんだが。
915774ワット発電中さん:2006/02/26(日) 14:50:40 ID:b6O8vNKG
シンセシスsynthesisを訳すと、「合成」だし
ツールに食わせるのはやっぱりコンパイルって感じ。
916774ワット発電中さん:2006/02/26(日) 21:26:30 ID:iFEpML+5
>>911
自分で書いてからちょっとそれは思ったw
コンパイルっていうとちょっとへんな顔をする人はいるよね 気持ちはわからないでもない
ソフトウェア言語でいうマシン語がHDLでいうプリミティブセルに当たると考えると
コンパイルでもいいのかなって気がする

DCとかは抽象記述を回路図に落とすから(回路)合成、なんとなくコンパイル
というとすこしどこかに違和感があるような無いような。
ModelSimなんかはプリミティブまでは落とさない、中間言語まで?
これはむしろコンパイルと呼ぶべきなのかもしれない。

とりあえず市民権は得てるとは思う。
917774ワット発電中さん:2006/02/26(日) 22:16:21 ID:DW5CQg1U
> DCとかは抽象記述を回路図に落とすから(回路)合成、なんとなくコンパイル
> というとすこしどこかに違和感があるような無いような。
DCって何かワカランけど、「コンパイル」は違和感あるね。
前に出たforも違和感ある。
でも時代がそうだと思うことにした。
918774ワット発電中さん:2006/02/26(日) 23:36:09 ID:4eGGLHAq
ところで、ふつう「論理合成」っていうときは配置配線も含むの?
919774ワット発電中さん:2006/02/26(日) 23:59:35 ID:emYxR332
含みません
920774ワット発電中さん:2006/02/27(月) 00:43:50 ID:oQEIz3XV
論理合成は論理合成ツールのやること。
配線はフィッタのやること。
FPGAの統合ツール使ってると気づかんかもしれんが。
統合ツールっていうのはフィッタがメイン。これの代わりのできる他社ツールはない。
合成部分だけならさらに高性能な他社ツール(synplify,precision)を使える
921774ワット発電中さん:2006/02/27(月) 01:22:41 ID:YiYhxiFm
PLLって何?
クロック発生器?
922774ワット発電中さん:2006/02/27(月) 01:31:30 ID:xI/0LhEc
synplifyやprecisionて値段分の価値有ります?
1社のデバイス使い続けるとして、なんですけど。
昔テストしたとき、FPGAメーカのツールと比較して
大して差がない(ものによっては悪い)結果にしかならなかったもんで・・・
今は改善されてるのかな?
923774ワット発電中さん:2006/02/27(月) 02:59:39 ID:YVWfsRsi
んじゃFPGAで論理合成からコンフィグファイル生成まで全部を表すのは
やっぱ「コンパイル」?
924774ワット発電中さん:2006/02/27(月) 08:10:57 ID:29AsXWH0
>>918
現在は含めるべき。
925774ワット発電中さん:2006/02/27(月) 12:43:58 ID:I7AovCbA
physical synthesisって概念もあるから
合成と配置配線の境目はあいまいになりつつあるのかな
926774ワット発電中さん:2006/02/27(月) 22:12:10 ID:f2NMNZVj
クロックのHI幅とLOW幅の割合を1:1のまま3分周するRTLは書けますか?
PLLを使わないで実現するのは無理ですかね?(PLLで6テイ倍して2分周)
927926:2006/02/27(月) 22:16:02 ID:f2NMNZVj
 ↑
Verilog-HDLでお願いします
928774ワット発電中さん:2006/02/27(月) 22:27:18 ID:BOn/amdN

それって宿題!?
929926:2006/02/27(月) 22:29:15 ID:f2NMNZVj
>928
いえ違います。可能かどうか考察しているだけです。
930774ワット発電中さん:2006/02/27(月) 22:30:24 ID:DajNYgAf
3分周 = 6テイ倍して2分周 ?
931774ワット発電中さん:2006/02/27(月) 22:41:01 ID:IATIZpzb
クロックのHI幅とLOW幅の割合を1:1のまま3逓倍するRTLは書けますか?
PLLを使わないで実現するのは無理ですかね?(PLLで6テイ倍して2分周)
932931:2006/02/27(月) 22:41:42 ID:IATIZpzb
 ↑
VHDLでお願いします
933926:2006/02/27(月) 22:42:51 ID:f2NMNZVj
>930
あ、間違ってました。未熟者ですみません。
934774ワット発電中さん:2006/02/27(月) 22:47:42 ID:gFuv8j4d
_| ̄|_| ̄|_| ̄|_| ̄

_| ̄ ̄~ ̄|_____| ̄

タイミングチャートが書けるから書けるだろ。
考察の結果は「可能」。Verilog化するのは自分でやれ。
935926:2006/02/27(月) 22:55:25 ID:f2NMNZVj
>934
ありがとうございます。チャートはその通りです。
クロックがfallのときもカウントするようにすればいいんですかね?
この記述で大丈夫でしょうか?

always@( negedge reseet or ck )begin
if( !reseet )
q <= 3'h0;
else if( q == 3'h6 )
q <= 3'h0;
else
q <= q + 3'h1;
end
936926:2006/02/27(月) 23:04:50 ID:f2NMNZVj
すみません、これならどうでしょうか? outの波形です。


always@( negedge reseet or ck )begin
if( !reseet )
q <= 3'h0;
else if( q == 3'h6 )
q <= 3'h0;
else
q <= q + 3'h1;
end


always@( negedge reseet or q )begin
if( !reseet )
out <= 3'h0;
else if( q == 3'h3 )
out <= 3'h1;
else if( q == 3'h6 )
out <= 3'h0
else
out <= out;
end
937774ワット発電中さん:2006/02/27(月) 23:35:02 ID:BOn/amdN
ソース準備できたんなら、自分で検証してみろ(#゚Д゚)ゴルァ!
938774ワット発電中さん:2006/02/27(月) 23:58:48 ID:Rs8etemT
逓倍は?
939774ワット発電中さん:2006/02/28(火) 00:05:53 ID:TVJPPNwq
┏┓┏┓┏┓┏┓┏┓┏┓┏┓┏┓
┛┝┛┝┛┗┥┗┛┝┛┗┥┗┥┗
 │ │  │  │  ↓ ↓
┏┿┓│  ┝━┓│  ┏━┓
┛│┗┿━━┥ ┗┿━━┛ ┗━
 ↓ ↓  │  │
 ┏━┓  │┏━┥   ┏━┓
━┛ ┗━━┿┛ ┝━━━┛ ┗
      ↓  ↓
┏━━┓  ┏━━┓  ┏━━┓
┛  ┗━━┛  ┗━━┛  ┗

自分ならこう作るかな
940774ワット発電中さん:2006/02/28(火) 00:08:20 ID:TVJPPNwq
うーんずれまくりだね メモ帳にコピペよろ

H:L=1:2の波形をRise,Fallそれぞれで作ってORね
リセット時にどうやって同期取るかは適当に考えてね
941774ワット発電中さん:2006/02/28(火) 00:12:04 ID:5sZpDME6
1段目をクロックの立下りでサンプリングすれば
2段目になるから特に何も考えなくてもOK
942774ワット発電中さん:2006/02/28(火) 00:14:22 ID:nG+kgT3y
ちなみに何ゆえデューティー50%にしたいのかな?
原発振って周期は正確だけど、デューティーは結構ばらつ
くから目的によってはいずれの方法もNGかもね。
943774ワット発電中さん:2006/02/28(火) 00:20:14 ID:5sZpDME6
可能かどうか考察しているだけ、って言ってるんだから
実際に使う目的なんか無いんでしょ。嘘じゃなければ。
944774ワット発電中さん:2006/02/28(火) 01:18:37 ID:TVJPPNwq
>>942
それは気になってた。このクロックをどっかRiseだけで使うなら
別に1:2でも問題ないしね。

なので実製品でFallエッジを意識せず使うと、このジッタでSetup/Hold
を予期せず満たせなくなったりしてハマッたりね。なので、どうしても
両エッジ使いたいときは2逓倍して2分周とかやる・・・

ってのは普通なのかな?
945774ワット発電中さん:2006/02/28(火) 01:25:16 ID:CQngyPAd
PLLついてる奴なら、
周波数同じでデューティ補正だけってのが出来るのがあるからそれ使うなぁ。
内部的にはn逓倍→n分周だろうから>>944と同じ事だけど。
周波数が高い時は補正しないとfallエッジは怖くて使えないよね。
946926:2006/02/28(火) 11:49:39 ID:ot85kyAM
::::::::::::::
bunsyu_3.rtl
::::::::::::::
module bunsyu_3( reset, ck, out );

input reset, ck;
output out;
reg [2:0] q_pos;
reg [2:0] q_neg;


always@( negedge reset or posedge ck )begin
if( !reset )
q_pos <= 3'h0;
else if( q_pos >= 3'h2 )
q_pos <= 3'h0;
else
q_pos <= q_pos + 3'h1;
end


always@( negedge reset or negedge ck )begin
if( !reset )
q_neg <= 3'h0;
else if( q_neg >= 3'h2 )
q_neg <= 3'h0;
else
q_neg <= q_neg + 3'h1;
end


assign out = q_pos | q_neg;


endmodule
947926:2006/02/28(火) 11:57:03 ID:ot85kyAM
みなさんありがとうございました。↑の記述で3分周の波形を確認できました。
しかし実機で使うにはデューティを考えないといけないのですね。
大変勉強になりました。またよろしくお願いします。
948926:2006/02/28(火) 13:26:21 ID:ot85kyAM
>946
これに修正したほうがわかりやすいですね

assign out = q_pos[0] | q_neg[0];
949774ワット発電中さん:2006/02/28(火) 14:52:52 ID:Z3YAfckd
んで逓倍の方はどうやるの?
950926:2006/02/28(火) 16:46:29 ID:ot85kyAM
>949
よく考えたら単純には行きませんね。
2テイ倍で3進カウンタを作りT-FFを駆動するのはどうでしょう?
951774ワット発電中さん:2006/02/28(火) 21:37:34 ID:I7LB0UCb
ヒゲで死ぬだろうな。
952774ワット発電中さん:2006/02/28(火) 23:23:59 ID:XV6Yi2nE
逆にゲートの遅延を利用してヒゲを出して逓倍する方法もあり
953774ワット発電中さん:2006/03/01(水) 08:57:03 ID:7p3bmPee
プライオリティーエンコーダの普通でない回路を知っている方は何パーセントぐらい居ると思いますか?
954774ワット発電中さん:2006/03/01(水) 21:21:40 ID:0QuVU6Tu
プライオリティーエンコーダの普通でない回路を知っている方を母集合に採れば
プライオリティーエンコーダの普通でない回路を知っている方は100%ですね。
955774ワット発電中さん:2006/03/01(水) 22:56:31 ID:H3wHdZSW
プライオリティーエンコーダの出力をデコードしたものが
d & -d
っていうのなら知ってる。
956774ワット発電中さん:2006/03/02(木) 03:00:27 ID:cypbWxOu

そもそもプライオリティ援交ーだーって 何?
957774ワット発電中さん:2006/03/02(木) 08:45:25 ID:0eajmaei
そりゃま、金持ち優先ってことで
958774ワット発電中さん:2006/03/02(木) 19:44:04 ID:gDtzV18x
ISEの設定で質問です。
HDL記述ウインドウの文字、バックグラウンドの色は変えれないのでしょうか?
959774ワット発電中さん:2006/03/03(金) 11:07:37 ID:ViDZ+KTF
IcarusとかCverで、
シミュレーションを無限ループさせある条件に引っかかったときだけ中止&中止した付近の結果だけ(波形でみたい)を
出力する方法ってありますか?
960774ワット発電中さん:2006/03/03(金) 12:59:03 ID:IyiU4B7L
初期値0,
ある条件になったときだけ1になる信号を用意すればしまいじゃん。
その信号が立ち上がったときを検索すればいいだろ。
modelsimでも使え。
961774ワット発電中さん:2006/03/05(日) 00:36:02 ID:TItb0Ikw
条件の付近”だけ”出力したいんじゃないのか?
条件後なら好きな所で止める記述すれればいいだけだが、手前のほうは…。
962774ワット発電中さん:2006/03/05(日) 03:12:15 ID:VL7nLnsZ
時間指定してダンプは?

initial begin
#xxxx; $dumpvars;
#xxxx; $finish;
end
963774ワット発電中さん:2006/03/09(木) 21:31:35 ID:w9maybtZ
チョッパー回路が合成されないためには、RTL記述時から何か気をつけるべきでしょうか?
それとも合成条件でなんとかするべきでしょうか?
964774ワット発電中さん:2006/03/09(木) 22:52:45 ID:ALIW5UY0
何も考えずに合成条件を厳しくしている。

…本当は記述時に考えたほうがいいと思うんだけどね。
965774ワット発電中さん:2006/03/13(月) 14:42:54 ID:xtwhuFPI
hosyu
966774ワット発電中さん:2006/03/14(火) 01:59:54 ID:bLTnuwHQ
どなたか教えてください。

FPGAにD/Aコンバータつないで遊んでいます。
運良くちゃんと動いているようなので、サイン波形でも出すべと
考えていたのですが、うまい方法が思いつきません。
HDLは、Vrilogです。

マイコンなんかだと、配列に0-90度分の数値を置いて(ROMに配置される)
それを垂れ流しで出来ているんです。同じ方法でやろうと、
Verilogで配列の書き方はわかったんですが、
数値を定義する記述方法がわかりません。
Cでいうところの、
  sin [90] = { 127, 138, 148..... };
みたいな書き方ができるのでしょうか?

宜しくお願いします。
967774ワット発電中さん:2006/03/14(火) 02:27:45 ID:CU5vB0Fa

>>966

reg [7:0] sin [90] = 127, 138, 148....; でいいんじゃないのかな。

968774ワット発電中さん:2006/03/14(火) 13:32:14 ID:bLTnuwHQ
969774ワット発電中さん:2006/03/14(火) 13:34:38 ID:bLTnuwHQ

>>967
ありがとうございました。
やってみましたが、ISE7.1がエラーを表示してダメっぽいです。
なぜでしょうか?

970774ワット発電中さん:2006/03/14(火) 14:12:51 ID:F/tpg4VL
どんなエラーかも書かずに何を期待してる
971774ワット発電中さん:2006/03/14(火) 20:43:05 ID:a+qh9fpM
>>966
制約ガイドのINIT_xxのところに書いてある。
972774ワット発電中さん:2006/03/14(火) 22:04:43 ID:3nrvmR0n
>>970
ひと月前に何かもらったろ。そのお返しだよ。
973774ワット発電中さん:2006/03/15(水) 00:17:40 ID:s2pYgplK
俺は何も貰ってないぞ?
974774ワット発電中さん:2006/03/15(水) 01:16:25 ID:KY+jJnaf
そういや義理のお返ししてないやマズー
975774ワット発電中さん:2006/03/16(木) 20:12:29 ID:SCnBT+Ub
>>974
うわさされてるだろなー。礼儀に欠けるとかな。
そういう評判って結構大事なんだよなー。

ご 愁 傷 様
976774ワット発電中さん:2006/03/17(金) 01:40:01 ID:D+OReN7h
では埋めますね
977774ワット発電中さん:2006/03/17(金) 09:09:59 ID:3UFEqcYm
>>975
ざまぁみやがれwwww
って感じなんだが
オレは一個ももらってないし…
978774ワット発電中さん:2006/03/17(金) 20:22:23 ID:3qRt0BsZ
>>977
いちいちageんな、同志。
979774ワット発電中さん:2006/03/18(土) 21:41:53 ID:ew34LvlM
では埋めますね
980774ワット発電中さん:2006/03/19(日) 20:02:32 ID:2ki6lLX4
どうせならコードで埋めるぐらいの気合が見たい。
981774ワット発電中さん:2006/03/19(日) 20:12:15 ID:5JqDpooe
outp <= '0' when inp="1" else 'z';
982774ワット発電中さん:2006/03/19(日) 20:13:50 ID:6GTObCD7
/* UME */
983774ワット発電中さん:2006/03/19(日) 20:56:37 ID:2ki6lLX4
if CLK'event and CLK='1' then
984774ワット発電中さん:2006/03/19(日) 22:05:06 ID:2U6FGvEl
CLK <= '0';
end if;

end process;
985774ワット発電中さん:2006/03/19(日) 22:28:19 ID:6GTObCD7
// UME
986774ワット発電中さん:2006/03/19(日) 22:45:34 ID:IR82dKly
endmodule
987774ワット発電中さん:2006/03/19(日) 23:06:51 ID:JFrTvySG
FD1S3AX
988774ワット発電中さん:2006/03/19(日) 23:35:11 ID:g+32DgiO
// synthesis attribute UME of "記述言語で論理設計" is TRUE;
989774ワット発電中さん:2006/03/20(月) 00:34:03 ID:2M4bdP6w

process(ck, addr)
begin
if ck'event and ck = '1' then
case addr is
when "0000" => dat <= "01000010";
when "0001" => dat <= "01000010";
when "0010" => dat <= "01000010";
when "0011" => dat <= "00111100";
when "0100" => dat <= "00000000";
when "0101" => dat <= "01000010";
when "0110" => dat <= "01100110";
when "0111" => dat <= "01011010";
when "1000" => dat <= "01000010";
when "1001" => dat <= "00000000";
when "1010" => dat <= "01111110";
when "1011" => dat <= "01000000";
when "1100" => dat <= "01111100";
when "1101" => dat <= "01000000";
when "1110" => dat <= "01111110";
when "1111" => dat <= "00000000";
when others => null;
end case;
end if;
end process;

990774ワット発電中さん:2006/03/20(月) 11:14:53 ID:h/YZ0ksM
>>989

LEDくっつけて動かすと秘密のメッセージが!
991774ワット発電中さん:2006/03/20(月) 22:22:12 ID:UZwNcUGI
-- 秘密でもなんでもいいからコード書けやボケが!!!!!!!!
-- !マーク付けてるヤツってくだらんレスが多い!!!!!!!!
992774ワット発電中さん:2006/03/20(月) 22:39:09 ID:kLeQpk6J
when "0100" => dat <= "00000000";
when "1101" => dat <= "01000000";
when "0011" => dat <= "00111100";
when "0101" => dat <= "01000010";
when "1100" => dat <= "01111100";
when "0010" => dat <= "01000010";
when "1111" => dat <= "00000000";
when "0110" => dat <= "01100110";
when "1110" => dat <= "01111110";
when "0111" => dat <= "01011010";
when "1000" => dat <= "01000010";
when "0000" => dat <= "01000010";
when "1011" => dat <= "01000000";
when "1001" => dat <= "00000000";
when "0001" => dat <= "01000010";
when "1010" => dat <= "01111110";

一応ソースだけスクランブルにしてみた
993774ワット発電中さん:2006/03/21(火) 11:13:40 ID:fG+Gh6Sz
>>991

while(1) {
 fprintf(stderr, "おまえモナー\n");
}
994774ワット発電中さん:2006/03/21(火) 18:13:07 ID:B2s0ifL0
-- いいかげん次スレ立てろや
dat_ochi := true after 2 day;
995774ワット発電中さん:2006/03/21(火) 20:18:48 ID:HgvQV9tJ
signal Project4 : thread range 1 to 1000;
996774ワット発電中さん:2006/03/22(水) 02:20:08 ID:gJ2IBAvH
>>989

>>992
は同じコードを吐きますか?
997774ワット発電中さん:2006/03/22(水) 17:27:58 ID:6RSVJoDy
998774ワット発電中さん:2006/03/22(水) 21:22:26 ID:7PG8Epob
-- 密かに乙
-- >>2以降は確認中ですか?
999774ワット発電中さん:2006/03/22(水) 21:56:02 ID:6RSVJoDy
-- UME
1000774ワット発電中さん:2006/03/22(水) 21:56:51 ID:6RSVJoDy
/* 1000 */
10011001
このスレッドは1000を超えました。
もう書けないので、新しいスレッドを立ててくださいです。。。