SystemC、SpecCについてのスレ

このエントリーをはてなブックマークに追加
940774ワット発電中さん:04/12/28 19:22:55 ID:AhgAgUc9
>>938
初めて見てカルチャーショックか?
本質的に並列処理・マルチスレッドで記述可能なデータフローモデル
に基づいたsimukinkやLabviewからDSPのコード生成するなんて話は昔からあって、
そのC生成版では並列をどう扱ってるのかという質問だろうが。
941774ワット発電中さん:04/12/28 19:29:26 ID:X1LfI0vW
研究自体は、さかのぼる事1980年代ですね。U.C.BerkeleyのEdward Lee教授
がSDFの提唱者ですしね。

ハード設計に関してそれほど需要はないと思って今まで無視していたのが
正直な所ですね。

で、差し支えない範囲で、C生成版では並列をどう扱ってるのかお答え頂け
ないでしょうか? 宜しくお願い致します。
942774ワット発電中さん:04/12/28 19:35:40 ID:04/nGq3o
>>940 で?答えは?煽るだけか?
943774ワット発電中さん:04/12/28 19:50:56 ID:xc2S39Yq
>>942
>>940が必死に調べてるからちょっと待ってやれよ。
944774ワット発電中さん:04/12/28 19:52:23 ID:eRvkXBIr
一行煽りは何故か二度と同じIDで現れない。
945774ワット発電中さん:04/12/28 20:13:37 ID:xc2S39Yq
>>940
>C生成版では並列をどう扱ってるのかという質問だろうが。

知ってるんなら答えてあげれば?
946774ワット発電中さん:04/12/28 20:30:18 ID:ns1bI6ux
>>934 = >>940  ID:AhgAgUc9
947774ワット発電中さん:04/12/28 20:30:52 ID:DZQ7BIM8
>>945
答えられるわけないよな。一万歩譲って研究室で持ってるだけで、使いこなすどころか使い方すらよくわかっていない
にちがいない。
948774ワット発電中さん:04/12/28 20:38:56 ID:ns1bI6ux
カタログ研究室のこと? 

この研究室ではカタログを研究するだけだそうです。
949774ワット発電中さん:04/12/28 20:42:44 ID:/sUGKjWx
Matlab/SimulinkにLabview使いこなしてもあんまり自慢にならんわな。苦笑
ANSI-CしかもMatlabがいろんなOSで動くとすると、生成されるCコードは
シーケンシャルなもの以外だと困るように思うけど?
OS固有のスレッドの書き方されても・・・
つーかシミュレーションの実現方法なんてどうでもいいやん。
実用に耐えるHDL生成してくれるなら言うことないけどさ。
そうなったらSystemCなんてさらさら必要なくなるが。
950774ワット発電中さん:04/12/28 21:00:15 ID:sy8cGgDV
>>940
>並列処理・マルチスレッドで記述可能なデータフローモデル
>に基づいたsimukinkやLabviewからDSPのコード生成するなんて話
生成はできるだろうな。
製品に搭載できるレベルにあるかどうかが問題だと思うDSP屋の俺
SystemCの合成も同じ話じゃないの?
そういやPCB CADのオートルータどうなったっけ?
951774ワット発電中さん:04/12/28 21:10:08 ID:JUIUhDNE
オートルータはought to route ・・・「配線できた筈」で終わりマスタ
952774ワット発電中さん:04/12/28 21:35:06 ID:P+eyxGyh
PCB CADのオートルータは、とっくの昔に出来てバンバン使われている。
953774ワット発電中さん:04/12/28 21:49:54 ID:hKEjag+m
>>934 = >>940 = >>950

話しそらしたね。ここは、Routerを語るスレじゃないきに。
954774ワット発電中さん:04/12/28 21:58:40 ID:sy8cGgDV
>>953
俺は>>940じゃない。 >>940間違われたじゃないか出てきてなんか言え!!
電気屋の癖にMatlabに長けてる奴なんて(ry

・オートルータ
・自然言語の自動翻訳
・SystemCの合成
なんか同じ匂いがするんだが
955774ワット発電中さん:04/12/28 22:23:08 ID:7QwLXuTl
第五世代コンピュータ
とか
956774ワット発電中さん:04/12/28 22:36:15 ID:hKEjag+m
また話しがそれとろうが。意図的としか思えんきに……。
957774ワット発電中さん:04/12/28 22:40:13 ID:sy8cGgDV
だから俺は>>940じゃないってば!
それどころか
>>940 == >>953 == >>956
じゃないのか?人に擦り付けるとは見事なやり口だな。
958774ワット発電中さん:04/12/28 22:45:26 ID:hKEjag+m
> 人に擦り付けるとは見事なやり口だな。
その言葉そのまま返してやるき。大体からして、おまんが話題変えたんは
事実じゃろがあ! おまんが、一番怪しいき。

959774ワット発電中さん:04/12/29 00:20:30 ID:vhMfmOBq
設計ゴッコツールとしては優秀なんじゃない?SystemCって。
960774ワット発電中さん:04/12/29 05:02:58 ID:WuBITndV
だからと言って、SystemVerilogも「抽象度を上げた設計効率化」 の解には
成り得ないんだよね。だから、辛いんよね。現状、実装へのパスがあって
Cベースなのは、BDL(Cyber)とHY−C(eXCite eXpert)
だけだけど、未だ海のものとも山のものとも判んないだよね。ここいらの
情報きぼんぬ!
961774ワット発電中さん:04/12/29 10:50:50 ID:gESDmB48
systemC community(http://www.systemc.org)
が文字化けしまくりなので英語表示したいのですが、
どうすれば英語表示できますか?


962774ワット発電中さん:04/12/29 11:09:43 ID:yx6ofRoh
SiftJISでみられる筈
PCを英語環境にすれば、自動で英語版読めます。
963774ワット発電中さん:04/12/29 11:44:03 ID:oZsKlgyl
>>960
もう知ってるかと思われるが、Cyberはある意味完璧
ここに詳しくある 登録は必要だけど読め
ttp://ne.nikkeibp.co.jp/members/01db/200301/1010509/
964774ワット発電中さん:04/12/29 12:52:37 ID:qHNMN3rU
http://tmp4.2ch.net/test/read.cgi/company/1100361078/194-200
[奢れる]NECエレクトロニクス[カンパニー]

194 : :04/12/27 12:26:46 ID:n65FUxJP
Cyberより、こちのほうがいいんじゃねぇのか?
http://www.coware.co.jp/news/2004/2004.11.16.html

198 : :04/12/28 04:58:21 ID:xQgpghdQ
>>194
心配するな、それだけは有り得ないから。


199 : :04/12/28 05:04:02 ID:88zfsf3I
Cyberは鶏冠タンが大好きだし。
鶏冠タンがいるかぎりやめないだろ。


200 :  :04/12/28 09:10:00 ID:5OrobazQ
好きなのはいいが誰もつかってないだろ。
株価下落の責任とれ!
965774ワット発電中さん:04/12/29 13:10:49 ID:CgndsGOJ
966774ワット発電中さん:04/12/29 13:23:11 ID:CgndsGOJ
>>941
正しい解になっていないが、
>SimulinkはSDF(Synchronous Data Flow)をベースにしてる

だから、これができる
  http://ne.nikkeibp.co.jp/members/01db/200204/1010022/

因みに、>>934 = >>940  ID:AhgAgUc9 ではないのであしからず
967774ワット発電中さん:04/12/29 13:24:11 ID:qHNMN3rU
続き
http://tmp4.2ch.net/test/read.cgi/company/1093497747/305
でも、設計者のノウハウをそのままインプリして、

  こういう回路が欲しけりゃ、こう記述しな
  でないと、実用的な回路は出ないのね

とか

  最適化のコストを指定しても、結果はそれに従わないから
  取り合えず何通りも合成して、好きなの選んで

とかいうのは、ねえ……。結局、RTL設計できないと使えないじゃん

http://tmp4.2ch.net/test/read.cgi/company/1093497747/305
NECのC言語合成ってCyberのことかな。
ありゃダメダメ。
回路のことを知らないヤツらが使ってるから、
論理的には合ってても回路的にはメチャクチャなものを作りやがる。
それをさらにCyberでコンパイルすると、
理解不可能なnetlistが出来上がる。
Verilog RTLの方がはるかにマシ。
968774ワット発電中さん:04/12/29 13:46:27 ID:L1fO0wyv
この際比較対象はシミュレーション以外に能の無い
ナンチャラCだろうから、回路の事を知らない香具師ら
が作ったソースから、(中身はともかくとして)動く物ができるなら
遙かに良いという結論でいいんじゃないかい?
969774ワット発電中さん:04/12/29 15:08:26 ID:dvBbiuwj
そういう意味だと、HY−CかBDLのどっちかになるね。片方はベンダだけ
ど、片方はNだよね。一般に入手可能なのは、前者の方のみって事なのかな?
970774ワット発電中さん:04/12/29 18:53:02 ID:c9LwaSlz
>>969 結局かね使える会社の勝ちってことで>>767の解答は分かった。
すごい金使ったことだけのことはある、
駄目っていってる967は嫉妬しているだけ。

現実に気がつかないし、目の前の成功事例を否定するだけのノータリン
LSIメーカが使えたって言ってるんだから敗北認めろそろそろ。
馬鹿には使えない道具だけどのー 
お馬鹿が使うと>回路的にはメチャクチャなものを作りやがる。
Verilogと比較して「まし」などというのがお馬鹿の証拠

971774ワット発電中さん:04/12/29 19:04:03 ID:L1fO0wyv
金使える会社が金をドブに捨てているだけだったりして
972774ワット発電中さん:04/12/29 19:06:22 ID:S6D+SLr6
メーカー系は一旦はじめてしまうと簡単には辞められないから糞でも
万歳して延々続けてユーザーがある程度減った時点で終息させる事が
多い。
散々メーカーに振り回された経験は無いんだろうか?
現実社会を知らない?
973774ワット発電中さん:04/12/29 19:34:32 ID:5aZNctzg
>>767 の回答は(実は朝鮮系である)創始者の未亡人が未だに人事権を掌握する
しかも粉を飾ざっている国内大手の(情報)家電メーカじゃないの?

コンサル事業は失敗、銀行は累積赤字、ってね。

さて、そんな端金で完成度の高い合成を含めた上流設計ツールは常識的に
考えて構築出来ないよ。80年代前半から取り組んで来たそうだしね。でも、
エL では使われていないって事だから、まあ、>>972 の言う通りなのかも
知れないし、RTLのプロ以外はきちっと恩恵を受けているのかも知れないし、
それは判らんけどね。
974774ワット発電中さん:04/12/29 20:50:48 ID:RtBrWnkX
>>973
決め付けないほうが恥じかかないよ。
どうせ、2chの発言程度と思っていても、
つい上司とかに訳知り顔で話したりせぬように。
子会社、関連会社にもいきわたり始めてるという話もあるし。
教育も相当の数のエンジニアが受けてるから品質悪いエンジニアも中にはいるようだけど、
ま、基本常識としてロジックを知っていればそこそこ使える <という話だ
確認はしてないし出来ないけどね。
EL関係者の発言は無いかね??
技術丸ごと米国系EDAに売ればいいのになー そんなの独り占めしてないで

975774ワット発電中さん:04/12/29 21:19:35 ID:0zA8JvUn
>>972
高卒工員の現実はその他の人の現実とは違うよ。
勝手におまえの悲惨な現状を周囲に敷衍するな。
976774ワット発電中さん:04/12/29 21:23:23 ID:IMWrnuae
ID:0zA8JvUnは荒らし。
無視の徹底をお願いします。

2chブラウザで透明あぼーんに設定すると消し去れるので精神衛生上よろしい。
977774ワット発電中さん:04/12/29 21:25:01 ID:0zA8JvUn
反論できなくなるとあぼーんして精神の安定を図る高卒って哀れだね。
まぁそんなんで精神の安定を図れるくらいでなければ
悲惨な現実に耐えられずに自殺しちゃうのかもねww
978774ワット発電中さん:04/12/29 21:27:28 ID:L1fO0wyv
>977
自虐趣味なんだね。
979774ワット発電中さん:04/12/29 21:30:45 ID:/ZctxjTz
クソスレに急落したな、ここ。
200台までの味はどこえやら・・・
980774ワット発電中さん:04/12/29 22:02:33 ID:5aZNctzg
>>974
上にある >>964 が関係者の発言と取れなくはないかも。取り合えず、社長が
オキニのようで、関係する会社しか使用する事が出来無そうな感じだね。
つう事は、恐らくASICのお得意とかでもない限り、競合メーカでは利用でき
ないのかも知れんね。となると、ベンダがやってるHY−Cになるのかな。もう
売ってるんだっけ? 評価した人とか居ないの?
981774ワット発電中さん:04/12/29 22:51:53 ID:kBypYkE5
>>980
ソリトンの関係者ですね。
◎立はSystemCに逝っちゃたし、◎立ITは教育まで始めたし
○ネサスだけが頼りだけどSHはSuperHの関係でSystemCに軸足移したしね。
あせるね
HY-Cすでにアボーン
たとえばだけどね、BDLは普通にもう使ってるんだって...ちょっろっと検索してみろ

ttp://www.adte.co.jp/job/job_lsi.html
別に、EL向け設計やってる会社ならどこでも使えるよ

昔、うちの会社にもいたけど自動車教習所で仮免もまだなのに、
ポルシェは立ちあがり悪いしBMWはエンジン音がいまいち好きになれない、
やっぱり、アルファかな?でも雨漏りするから日本では使い物にならないなー
なんていうやつ
お前だよ!

先ずは自分で運転できるようになってから意見いいたまえ!!
頼めば貸してくれるけど、馬鹿には貸さないから気をつけろ
982774ワット発電中さん:04/12/29 22:55:45 ID:/ZctxjTz
俺は知っている
お前は知らない
だから黙れ

・・・はいはい黙りますよ
983774ワット発電中さん:04/12/29 22:55:54 ID:L1fO0wyv
SystemCは実在しない車だもんな・・・流星号か?
あんなもの誰も運転できないって。
984774ワット発電中さん:04/12/29 22:58:08 ID:icRnP+wn
>>973
実は朝鮮系だったらどうだというのだろうか…底が見えたな。
985774ワット発電中さん:04/12/29 22:58:52 ID:L1fO0wyv
SystemCはプラモデルである
986774ワット発電中さん:04/12/29 23:52:19 ID:KPpVBIlR
>>982
黙ってみてろ
ちゃりんこヤローに車の運転とやかく言われたくない
知らない世界に来るんじゃない!
実在しないんだよ。当分ね。
SystemLSIを設計する為のものだからね。
想像つかない世界にくびつっこむんじゃね!!
987774ワット発電中さん:04/12/30 00:07:28 ID:M/1ljra4
SystemLSIを設計するものじゃなくて、仕様検討する道具だろ?
あくまでもシミュレーションしかできないんだからなぁ。
988774ワット発電中さん:04/12/30 00:10:31 ID:M/1ljra4
>986はATしか運転できないのであった。
989774ワット発電中さん
>>988
うまいこと言うね(笑
よく分かった。そのとおり楽だからねAT
マニュアルで俺に勝てるならいいけど?
技術あっても、6リッターAT車にいくらマニュアルでも軽自動車じゃ
勝てないっしょ
趣味の世界ではいいかもね。 細かなテクニック議論するのも
考えただけで穴が痛くなってきたー
目的地に快適に着けばいいんだよ 仕事だからねー