SystemC、SpecCについてのスレ

このエントリーをはてなブックマークに追加
104774ワット発電中さん
SystemC は C++ 上に実装されているというより C++ の STL 上に実装されて
いると言うべきです。

現在、広く使われている言語のうちで、C++/STL の記述能力がダントツに優れ
ています。Template による抽象データ構造を使えるからです。C++ 自体の糞
仕様を STL は十二分に補っています。(Java や C# でも template を導入す
るとの話がありますが、実用段階にはありません。)

記述能力に優る SystemC および STL の問題点は、その抽象性にあります。ユ
ーザーに平均以上の抽象的思考能力を要求します。C++/STL を使いこなしてい
るユーザーは C++ ユーザーの一割にも満たないでしょう。STL を使って実装
されている SystemC の普及が阻害されるとしたら、その抽象性ゆえの難しさ
だと思います。数学でいえば、N 次元空間での直行・回転などをイメージして
ベクトルや行列を使いこなせる程度の抽象的思考能力が必要だと思います。

さて LSI の設計者は、一般の設計者より抽象的思考の面で優れていると思い
ます。設計ミスが発生したときのロスが大きいので、意識的に頭の良い連中を
投入しているはずです。

以上のことを前提にすると LSI 設計分野で SystemC が主流からはずれつつあ
るとしたら、LSI 設計者の能力が低いことが原因だとも言えます。STL を使い
こなせるユーザーにとって、現在のところ SystemC が最も記述力のある回路・
モデル・仕様記述言語だからです。

本当に LSI の設計者の知的レベルは低いのでしょうか?行列・ベクタも適切
に理解できていないような設計者が多数派なのでしょうか? そうは思いたく
ないのですが。