【半導体】NECがツール開発 「C言語」でLSI回路設計 「サイバーワークベンチ(CWB)」 [06/07/22]

このエントリーをはてなブックマークに追加
1明鏡止水φ ★
 NECは21日、ソフトウエアを記述するプログラム言語「C言語」でLSI回路の設計を行える
ツール「サイバーワークベンチ(CWB)」を発売した。NEC中央研究所が長年取り組んできた
もので、米国企業も参入したばかりの新興市場。同社はデファクトスタンダード(事実上の
業界標準)の獲得を目指す。

 CAD(コンピューター支援設計)と呼ばれるLSI回路設計ツールは米国の3大メーカーが
市場を牛耳っており、世界中の回路設計ノウハウが米国に集まる構造となっている。

 C言語によるCADは「2010年には世界市場規模で1000億円に成長する」(NEC)とみられ、
「日の丸CAD」を育てて日本の半導体産業復活の足がかりにしたい考え。NECは10年に
世界市場で10〜20%、国内市場(約200億円)で40〜50%のシェアを目指す。

 NECのツールは、従来の論理回路記述方式に比べ、記述量を7分の1に、動作検証時間を
100分の1に短縮できるほか、LSI設計においてハード・ソフト全般をC言語で行えるため、
製品開発期間の短縮を図れる。

 年間使用ライセンス料は3500万円から。


▽News Source FujiSankei Business i.on the Web 2006年07月22日
http://www.business-i.jp/news/ind-page/news/200607220014a.nwc
▽Press Release
http://www.nec.co.jp/press/ja/0607/2102.html
▽日本電気 株価 [適時開示速報]
http://company.nikkei.co.jp/index.cfm?scode=6701
http://smartchart.nikkei.co.jp/smartchart.cfr?scode=6701.1
2名刺は切らしておりまして:2006/07/23(日) 23:46:26 ID:0QrAryya
              ,:/:::::::::::::;;;;;: -――‐- :;;;;;;`ヽ、
         ,:'/:::::::::::::::::/u      ,  ,  ``-;ヽ
         ,:':/:::::::::::::::::/  '⌒`ー‐'| | |`ー-‐、u|::|
        ,:'/:::::::::::::::::/u /⌒ヽ     /⌒ヽ !::! ;
       ,' l--、:::::::/   l  O | lj  | O | '、l ,:
       /´,―、ヽ:/     ヽ、,r‐'-、:::::::::ゝ--く  l ;
     .;'/ /ニ_ノ |   ..::::::::{  r,、 ヽ / ,r-, ノ::.. '、;
     ; | l  '-,        / ヽ !},. ---'し'_,ヘ   :..ヽ':, 
       ':,!  `‐'   u ヽ  ,. -'"´_,. ----,、 ヽ、u  l ;
        ':,ヽ_ノ u   ノ'" ,. -''" ̄ ̄ ̄ ヽ. ヽ  |
        .,: | lj      /  /_,. ---、,. -----、|  |  / ;.,
    --―'ヽ     /  '-------―――‐''" ノ /``ー- 、_
3名刺は切らしておりまして:2006/07/23(日) 23:46:41 ID:z1l1FCTg
記述量を7分の1→これはすごい
動作検証時間を100分の1→これはすごいのか?
4名刺は切らしておりまして:2006/07/23(日) 23:47:31 ID:Lr5S+fEa
Verilogで書いてFEでレイアウトしてる。
5名刺は切らしておりまして:2006/07/23(日) 23:48:29 ID:5BptDvlg
年間使用ライセンス・・・3500万・・・これ安いのか・・・?
6名刺は切らしておりまして:2006/07/23(日) 23:50:41 ID:P+2p8+oy
やっと出来たか!
この技術は凄く重要だぞ。
プロセッサ設計の裾野が広がる。
7名刺は切らしておりまして:2006/07/23(日) 23:51:41 ID:L5xqqLee
#include<stdio.h>

void main(void)
{
printf("m9(^Д^)プギャー\n");
}
8名刺は切らしておりまして:2006/07/23(日) 23:53:43 ID:8KDwgFCF
これはうれし
9名刺は切らしておりまして:2006/07/23(日) 23:54:11 ID:4ZF5rKMO
これはすごい。
10名刺は切らしておりまして:2006/07/23(日) 23:55:26 ID:QdpznZbx
へーすごいな
11名刺は切らしておりまして:2006/07/23(日) 23:55:31 ID:x23MW+IR
#include <iostream>

int main( void ) {
std::cout << "m9(^Д^)プギャー" << std::endl;
return 0;
}
12名刺は切らしておりまして:2006/07/23(日) 23:56:28 ID:VrOJ9fzY
すごいな
13名刺は切らしておりまして:2006/07/23(日) 23:57:19 ID:I/qZZsIt BE:614275676-2BP
>>11
それってC++ ?
14名刺は切らしておりまして:2006/07/23(日) 23:58:00 ID:DOLS56pu
NECの人から23時50分とかにメールが来てるのを見ると悲しくなる
その頃には俺は電車の中なのに。
15名刺は切らしておりまして:2006/07/23(日) 23:59:43 ID:rZeSPJS8
System-CとかSpec-Cとかと何が違うの?
16名刺は切らしておりまして:2006/07/24(月) 00:00:19 ID:x23MW+IR
>>13 YE----------------------------------S!!!
17名刺は切らしておりまして:2006/07/24(月) 00:01:58 ID:6V2eEw5X
>記述量を7分の1に、動作検証時間を
>100分の1に短縮できるほか

どんな魔法を使ったんだ
18名刺は切らしておりまして:2006/07/24(月) 00:02:44 ID:w1IhVrnM
MXで流れて いきなりフリーの予感
19名刺は切らしておりまして:2006/07/24(月) 00:03:05 ID:IwsSzSLa
>>1
>デファクトスタンダード(事実上の業界標準)の獲得を目指す
マジでがんがれ!
20名刺は切らしておりまして:2006/07/24(月) 00:03:44 ID:B8FhZdb0
verilog覚えたばかりなのに

つかverilogがCっぽくてこれで良いじゃんってきもするけどな
21名刺は切らしておりまして:2006/07/24(月) 00:05:38 ID:uViJnUiD
VHDLやってたけどコンパイル・シミュレーションが時間かかりすぎだからな。
これが実用化されるのは嬉しいけれども。

しかしお小遣いで買えるぐらいにしてほしい。
22名刺は切らしておりまして:2006/07/24(月) 00:06:07 ID:D09w/424
>>7,11
ジェネレーションギャップか?
俺は>>7だな・・・
stdio.h懐かしい。
23名刺は切らしておりまして:2006/07/24(月) 00:09:08 ID:k1OURm0L
動作検証時間100分の1ってすごいな
24名刺は切らしておりまして:2006/07/24(月) 00:09:23 ID:e4GbDBkg
10 PRINT m9(^Д^)プギャー
20 END
25名刺は切らしておりまして:2006/07/24(月) 00:10:56 ID:HdxetYQj
>>14
・・・がんがってくれ
26名刺は切らしておりまして:2006/07/24(月) 00:11:01 ID:QFw+VCZ0
>>24
30代乙^^
27名刺は切らしておりまして:2006/07/24(月) 00:13:35 ID:dX416Zz2
NECソフトちゅう会社に投資したんだけど
業績が上がんなくて本体のNECに吸収されちまったよ
推し量るにマユツバだな
28名刺は切らしておりまして:2006/07/24(月) 00:14:33 ID:TLSym9Ae
えっと、これってCADなの?

単にCで論理回路設計してるのと何が違うの??
29名刺は切らしておりまして:2006/07/24(月) 00:15:43 ID:E4VY1RS1
>27
子会社に投資とかど素人ですか
いい会社があったらそこの親会社を見ないと
30名刺は切らしておりまして:2006/07/24(月) 00:17:44 ID:5tZqnyGD
>>28
CADは別にグラフィカルな入力のものだけじゃない。
スケマでなくたって、CADはCAD。
字義どおり。
31名刺は切らしておりまして:2006/07/24(月) 00:18:05 ID:bBnqyt9G
>>1
かなり強気だからそれなりに画期的なんだろうね。
アメリカに邪魔されなければ・・・
32名刺は切らしておりまして:2006/07/24(月) 00:18:29 ID:GJfUXLGk
>>27
おまえ才能ないから株やめたほうがいいよ。マジレス。
33名刺は切らしておりまして:2006/07/24(月) 00:23:25 ID:WsYn9f96
#!/usr/bin/ruby
p 'm9(^Д^)プギャー'
34名刺は切らしておりまして:2006/07/24(月) 00:24:24 ID:WsYn9f96
#!/usr/bin/perl
print "m9(^Д^)プギャー\n"
35名刺は切らしておりまして:2006/07/24(月) 00:25:23 ID:WsYn9f96
#!/bin/sh
echo m9(^Д^)プギャー
36名刺は切らしておりまして:2006/07/24(月) 00:25:29 ID:Rr9fOp+h
>>24
10 PRINT "m9(^Д^)プギャー"

ダブルクォーテーションがぬけてすぞい。
37名刺は切らしておりまして:2006/07/24(月) 00:31:26 ID:WsYn9f96
#include <qapplication.h>
#include <qpushbutton.h>

int main(int argc, char* argv[])
{
    QApplication app(argc, argv);
    QPushButton btn(QString::fromUtf8("m9(^Д^)プギャー"), 0);
    app.setMainWindow(&btn);
    btn.resize(100, 30);
    btn.show();
    return app.exec();
}
38名刺は切らしておりまして:2006/07/24(月) 00:32:19 ID:RgwZ1P5e
だれか>>36 をJAVAで書いてよ
Eclipsだっけなんでもいいやw
39名刺は切らしておりまして:2006/07/24(月) 00:32:51 ID:TLSym9Ae
>>30
いや、まあ、そうなんだけどさぁ
「C言語によるCAD」とか言われてもいまいちピンと来ないのよ。
しかもそれが「2010年には世界市場規模で1000億円に成長する」とか言われても。
40名刺は切らしておりまして:2006/07/24(月) 00:34:32 ID:WsYn9f96
public class pgr {
public static void main(String[] args) {
System.out.println("m9(^Д^)プギャー");
}
}
41名刺は切らしておりまして:2006/07/24(月) 00:35:49 ID:plpXMFBJ
10 PRINT "m9(^Д^)プギャー"
20 GOTO 10

42名刺は切らしておりまして:2006/07/24(月) 00:36:09 ID:RgwZ1P5e
ドラフターに戻ろうぜw
43名刺は切らしておりまして:2006/07/24(月) 00:37:43 ID:7X5sin49
10 PRINT "m9(^Д^)プギャー"
20 RUN
44名刺は切らしておりまして:2006/07/24(月) 00:38:46 ID:O4lCHpxB
p "m9(^Д^)プギャー"
45名刺は切らしておりまして:2006/07/24(月) 00:39:54 ID:qdMZtvCw
これってSystemCのこと?
46名刺は切らしておりまして:2006/07/24(月) 00:39:59 ID:WsYn9f96
#!/usr/bin/python
print "m9(^Д^)プギャー"
47名刺は切らしておりまして:2006/07/24(月) 00:40:28 ID:Mos9X5XN
ウリナラ起源ニダ
48名刺は切らしておりまして:2006/07/24(月) 00:41:41 ID:WsYn9f96
#include <gtk/gtk.h>

void quit (GtkWidget *widget, gpointer data)
{
    gtk_main_quit ();
}

int main(int argc, char *argv[])
{
    GtkWidget *window;
    GtkWidget *button;

    gtk_set_locale();
    gtk_init(&argc, &argv);
    window = gtk_window_new(GTK_WINDOW_TOPLEVEL);
    gtk_signal_connect(GTK_OBJECT(window), "destroy",
    GTK_SIGNAL_FUNC(gtk_main_quit), NULL);
    button = gtk_button_new_with_label("m9(^Д^)プギャー");
    gtk_signal_connect(GTK_OBJECT(button), "clicked",
    GTK_SIGNAL_FUNC(quit), NULL);
    gtk_container_add(GTK_CONTAINER(window), button);
    gtk_widget_show(button);
    gtk_widget_show(window);
    gtk_main();
    return 0;
}
49名刺は切らしておりまして:2006/07/24(月) 00:42:00 ID:fgGtY/Cd
write(*,*)'m9(^Д^)プギャー\n'
end 
50名刺は切らしておりまして:2006/07/24(月) 00:42:16 ID:E74b/WI9
第一四半期発注;
(有)関東印刷 "m9(^Д^)プギャー"
検収;
51名刺は切らしておりまして:2006/07/24(月) 00:42:21 ID:plpXMFBJ
ECHO m9(^Д^)プギャー
FORMAT C: /Y
52名刺は切らしておりまして:2006/07/24(月) 00:42:46 ID:roNy6Ob4
これを売るのと、
これを独占使用してLSI事業を強化するのと、
どっちが儲かるのかな。
まぁ他社も取り組んでるんだし前者なのか。
53名刺は切らしておりまして:2006/07/24(月) 00:45:00 ID:kiLiDH1d
10年は無料にするか日米企業にばら撒くかしなければ
1年で消える
54名刺は切らしておりまして:2006/07/24(月) 00:46:44 ID:gs39WJF8
echo "m9(^Д^)プギャー"
55名刺は切らしておりまして:2006/07/24(月) 00:48:29 ID:NlgPT/4w
すげ
Verilogはまじでヘコむからなぁ。
56 :2006/07/24(月) 00:48:30 ID:7QnmRa7R
常々感じてたんだが日本の企業は
ITコミュニティに貢献するっていう概念が全くないな。 
57名刺は切らしておりまして:2006/07/24(月) 00:48:55 ID:+o7u27vs
これはすごいな!

ヨクワカランケド、トリアエズイッテオク
58名刺は切らしておりまして:2006/07/24(月) 01:07:32 ID:d/OOxKtv
>>1
NECの仕事が欲しかったら、このCADを買って、かつ、このCADで
設計しないとダメって落ちだったりw
59名刺は切らしておりまして:2006/07/24(月) 01:09:21 ID:QqfeN28y
最近のC処理系はprintfで半角カナでんのか?
60名刺は切らしておりまして:2006/07/24(月) 01:11:43 ID:Rr9fOp+h
response.write "m9(^Д^)プギャー";
61名刺は切らしておりまして:2006/07/24(月) 01:16:05 ID:xpcethei
シール開発に見えた
62名刺は切らしておりまして:2006/07/24(月) 01:19:54 ID:D7H4ET3w
学生の頃、VHDLとかやってたけど、ソフトがめちゃ高かった。
ほとんど、本も出てなかったし。馬鹿学生には、敷居が高すぎた。
あれから、6年。この分野ってどうなってるの?
ソフトは、高いまま?
63名刺は切らしておりまして:2006/07/24(月) 01:23:41 ID:ewcbycRT
IMAX=1000000
DO 10 I=1,IMAX
WRITE (6,100)
10 CONTINUE
STOP
100 FORMAT(17H"m9(^Д^)プギャー".//)
END


64名刺は切らしておりまして:2006/07/24(月) 01:33:30 ID:1glv4A7C
>>5
半導体関連のツールは、軒並み、ええ値段しまっせ。
>>62
エンジニア不足で大変だ〜 ってこのまえ人材派遣汁って
問い合わせが有ったが、うちだって居ねーよ!
65名刺は切らしておりまして:2006/07/24(月) 01:34:08 ID:Yi9eS3fO
>>62

まともな大学の研究室なら、金儲けに使わない限り、
HDLシミュレータやら論理合成系やら多くのの
ツールがほぼ無料で使えるようになってるよ。

講習会に出るには金がかかるけどね。
66名刺は切らしておりまして:2006/07/24(月) 01:38:18 ID:iA5C34fG
一瞬CWBがCMBに見えてNECも案外粋な
命名するじゃないとか思ってた俺が恥ずかしい。
67名刺は切らしておりまして:2006/07/24(月) 01:40:41 ID:5VtDmNuu
「m9(^Д^)プギャー」と、言う。
おわり。
682chは便所の落書き:2006/07/24(月) 01:54:00 ID:dCMsIG2z
Program m9(^Д^)(Input,Output);
 uses
  Crt;
 begin
  repeat
   writeln('      ∧∧ ');
   writeln('    (* ゚ー゚)<逝ってよし!');
   writeln('  〜(  _uu ')
  until KeyPressed
 end.
692chは便所の落書き:2006/07/24(月) 01:55:42 ID:dCMsIG2z
Program m9(^Д^)(Input,Output);
 uses
  Crt;
 begin
  ClrScr;
  repeat
   writeln('      ∧∧ ');
   writeln('    (* ゚ー゚)<逝ってよし!');
   writeln('  〜(  _uu ')
  until KeyPressed
 end.
70名刺は切らしておりまして:2006/07/24(月) 01:58:07 ID:qQsdHqCh
しかしなぁ、今のNECにシリコンバレー勢と
やりあう力はないぞ。技術も人材も。
そんな訳でバーク○ンの二の舞になるに95ルピー。
71名刺は切らしておりまして:2006/07/24(月) 02:00:06 ID:23qC58S2
m9(^Д^)プギャー.exe
shutdown -r now
72明るく楽しい大阪城 ◆V9NFYlHDBE :2006/07/24(月) 02:02:50 ID:MVmg7LpO
☆大阪に叩きに代表される東京マスゴミの醜い地方バッシング体質が日本を滅ぼす

まるで地方の独自性や文化を押さえつけ叩き潰し、
根拠無く東京を自画自賛して賛美するような
怪しい電波や記事が今も公然と東京発で発信され続けています。
大阪に対する印象操作(プロパガンダ?)などは
露骨で悪質すぎるとしか言えません。

もはや中国・朝鮮に支配されたとしか思えない
東京キー局マスゴミの偏向報道の数々
その東京マスゴミがやっているのは皆さんもよくご存知の
左思想偏向報道だけではありません。
全国紙、東京キー局、その他の東京マスゴミによる
地域的な偏向報道・放送にもメディアリテラシーで武装して
洗脳されないように気おつけようではありませんか。

http://blogs.yahoo.co.jp/d107324
↑あなたの洗脳を解くためにも是非このブログをご覧ください

関連スレ:【印象】東京マスコミの偏向報道を叩く【操作】
【マスコミ板】
http://society3.2ch.net/test/read.cgi/mass/1151515174/l50
【経済板】
http://money4.2ch.net/test/read.cgi/eco/1151851268/l50
73名刺は切らしておりまして:2006/07/24(月) 02:09:11 ID:ydb/pkqk
Holon Holon Holon
74名刺は切らしておりまして:2006/07/24(月) 02:14:04 ID:o8L3dt4S
>>6

裾野が広がれば、上下格差もまた拡がるわけだが。
果たして、Intel や AMD の旗艦プロセサ設計にこんなものが使用されるかな?

75名刺は切らしておりまして:2006/07/24(月) 02:23:19 ID:/I1z9KGz
Cベースで書いて、満足な合成ができんだろ。
そもそも、普及させたいなら、3500万なんてありえない。
76名刺は切らしておりまして:2006/07/24(月) 02:26:42 ID:lfLa6WuF
Cで適当に作って、後から微調整する感じになるのかね?
77名刺は切らしておりまして:2006/07/24(月) 02:48:36 ID:JADRIaa/
>>52
>これを売るのと、
>これを独占使用してLSI事業を強化するのと、
>どっちが儲かるのかな。
売る、というか使用権を何年、何ユーザ分で売る、
すると教育、メンテ、サポート、アップデート、パッチと
あの手この手でお金を取れる。
#ソフトはこうして儲ける、この事に最初に気付いたB.Gは
#やっぱえらいよなー
78名刺は切らしておりまして:2006/07/24(月) 02:55:52 ID:Pzs79eep
>>76
>Cで適当に作って、後から微調整する感じになるのかね?
画像処理のホットスポットとかを、専用のライブラリ or
 言語拡張を使って並列処理させるという感じちゃう。
79名刺は切らしておりまして:2006/07/24(月) 02:57:14 ID:ZVLpp4fe
やはりな
C言語こそ孤高
80名刺は切らしておりまして:2006/07/24(月) 03:07:59 ID:336xGStN
コボルで書いてくれ
81名刺は切らしておりまして:2006/07/24(月) 03:13:33 ID:dUIy6MLE
ろくでもないものを作るな
82名刺は切らしておりまして:2006/07/24(月) 03:20:29 ID:QUhepmJP
むかーしからC言語で設計する時代がくると言われているが
結局うまくいかない。CADENCEもSYNOPSYSもMENTORも諦め気味。
そもそも回路設計にC言語を使う理由が無いからだ。
c言語派は記述量が少ないだの、C言語を使える設計者が多いと宣伝するんだが
これがまた眉唾。 記述量が少ないのは曖昧な書き方ができるからで最終的に
どんな回路になるのか見当もつかない。
またCを使える人は多いがソフト設計者ばかりで、ソフト屋にハードを設計させると
全然使い物にならない事が多い。
インテルとかAMDがC言語設計を進めているなんて聞いたことが無いだろ? そういうこと。
83名刺は切らしておりまして:2006/07/24(月) 03:20:56 ID:QdeMqedH
問題はその質か(・ω・)
84名刺は切らしておりまして:2006/07/24(月) 03:21:18 ID:0732VN8m
無駄に凄いなw 流石NECだ。しかし、こけるだろ。
85名刺は切らしておりまして:2006/07/24(月) 03:30:40 ID:6jXpRZFg
>同社はデファクトスタンダード(事実上の業界標準)の獲得を目指す。

で、NECがこれまでに世界の業界標準にした規格って何?
86名刺は切らしておりまして:2006/07/24(月) 03:33:35 ID:jPTQ9K7s
>>7
mainはint型だからvoidを書かなかったような。。。
87名刺は切らしておりまして:2006/07/24(月) 03:55:14 ID:ck8TGF6v
↓ハード記述言語しかできない椰子の悲鳴
88名刺は切らしておりまして:2006/07/24(月) 04:10:37 ID:/4whcPWC
>>85
折り畳み式携帯電話かな。
ただね、ここは自社で統べてやらせると結構良いものを作る、例えばDoCoMoの携帯なんかは
ここだけオリジナル手法が認められているし評価は高い、あと地球シミュレーターはここのスパコンがベース、
ベクトルプロセッサーのスパコンなら現状世界規模のデファクトスタンダード、海底ケーブルのシェアも高かったと思う。
基本的にはここはNTTの別動隊だと思えば間違いはない。
89名刺は切らしておりまして:2006/07/24(月) 04:25:58 ID:Xq6crAtA
記述量7分の1、検証時間100分の1って、よくそこまで改良余地があったもんだな。
90名刺は切らしておりまして:2006/07/24(月) 04:56:36 ID:91Wf+ZlU
【メモ発見?】天皇発言は実は藤尾文相発言だった【やっぱ捏造w】
http://live14.2ch.net/test/read.cgi/liveplus/1153666461/
画像
http://2ch-news.net/up/up12085.jpg
この国は少し変だ!よ〜めんのブログ
http://youmenipip.exblog.jp/2881000
91名刺は切らしておりまして:2006/07/24(月) 05:45:45 ID:jfAH3l1c
まあVerilogとかめんどくさいからな。
俺みたいなうっかり者だとテストベンチに更にバグがはいって
わけわかんなくなる。
92名刺は切らしておりまして:2006/07/24(月) 06:14:49 ID:ZPPwaKGs
検証時間100分の1が気になる・・・
相当すげエ
93名刺は切らしておりまして:2006/07/24(月) 06:46:46 ID:45qB8mbh
NECはソフトもハードもまだまだ技術力は捨てたものではない。
でも売れない。
94名刺は切らしておりまして:2006/07/24(月) 07:43:42 ID:WSH3Yw/+

頭が悪いので、ニュースの意味が分かりません。

A=B+C ;

って書いておけば、それを計算できる回路を勝手に書いてくれるっていうわけ?

95名刺は切らしておりまして:2006/07/24(月) 08:05:03 ID:bCaKzkTN
>>94
うん
それでその計算式をC言語で書いてねって事だよ。
96名刺は切らしておりまして:2006/07/24(月) 08:15:39 ID:TWrVR2AH
近い将来、CWBが
チョー ワラッタ バッカジャネー
の略として使われるに9801ウォン。
97名刺は切らしておりまして:2006/07/24(月) 09:24:40 ID:mVPf13vN
>>94
なんとかアクセラレータとか、何かの機能を実現するLSIって
今はHDLとかいう独特の言語で書いて、LSIの中で電子ブロック
みたいに内部回路の並び方を教え込むだけで実現できるんだよね。
でも、それはC言語などのコンピュータ言語では書けない。
なぜかというと。
コンピュータの中は頭のいいCPUがひとりいて、そいつが命令を
頭から順番に実行しているというのはわかるよね。
LSIはそうじゃない。トランジスタ数個〜数十個の単純で小さな
部品が何万と集まり、そいつらが一斉にうごめいている。
A=B+C;など、ひとつひとつの命令を全て一斉に実行しているわけ。
それをC言語で書けたら凄いなぁ、というお話。
98名刺は切らしておりまして:2006/07/24(月) 10:11:12 ID:bCS1K02a
>>89
まあ結構そういうのはあるよ。
地球シミュレーターえやる計算がパソコンで出来ちゃうとか。
優秀なソフトとはぞういうもので。
99名刺は切らしておりまして:2006/07/24(月) 10:14:40 ID:x7w6VtMD
あんまりHDLはメジャーじゃないんだな。('A`)
HDLってのはハードウェア記述言語全般を指すのであって、
VerilogもVHDLもその前のABELとかもそう。
で、今のところ主流のVerilogやVHDLは文法的にはC言語と雰囲気的にはかなり似てる。
(ちなみにA=B+Cなんて書き方は別にABELの頃から出来たことだ)
詳しくはwikiで「ハードウェア記述言語」を見てもらえば解るけど、
C言語のHDLも以前からある。
なので>>1ではC言語だから画期的であるかのように書かれているけど、
本当のポイントはそこではないはず。
恐らくソース記事を書いた記者はその辺を良く知らなかったんじゃなかろうか。
NEC版C言語には何か独自のセールスポイントがあるはずだろうが、
この記事からでは何も解らない。
100名刺は切らしておりまして:2006/07/24(月) 10:31:25 ID:KzhJ5p6Q
とりあえずサイトと製品情報。Verilogなどの今までのものも使えるね。
ttp://www.cyberworkbench.com/
ttp://www.necst.co.jp/product/det_cwb.htm
101名刺は切らしておりまして:2006/07/24(月) 11:10:03 ID:NOmdgP1s

mv a , FF00
Loop:
move (a), outbuff
suba -1
bneq Loop

rom:
FF00 "m9(^Д^)プギャー"
102名刺は切らしておりまして:2006/07/24(月) 14:32:30 ID:wojgpIF2
ほんとにできるのか?
クロックやレジスタ等人工の仕掛けにしか縛られないソフトと違って、
ハードにはいろいろと物理的な制約があるものなんじゃあ…?
103名刺は切らしておりまして:2006/07/24(月) 14:56:41 ID:bHBMEszv
要するにCで書かれたMC68000エミュレーターがそのままチップにできてしまうって話か。
104元FPG屋:2006/07/24(月) 15:09:10 ID:dt25ebHM
>>102
それを超えたところで論理合成してる。

>>103
それぐらいなら出来る。
MSXなら一番安いハードの製品1チップで元々出せてた。

NECが出す事が凄いんだ、
>記述量を7分の1に、動作検証時間を 100分の1に短縮できるほか
これが現行の製品比較だったらマジでありがたい。
検証ベンチ組むのが設計時間と同等以上だったから。
105名刺は切らしておりまして:2006/07/24(月) 16:41:20 ID:6p7YIjvK
誰が3千万も出して買うんだ?
106名刺は切らしておりまして:2006/07/24(月) 16:51:26 ID:EVbo0ItR
>>1
ハードウェア/ソフトウェア協調シミュレータ自動モデル生成、Cソースレベルデバッガを備えたサイクル精度の高速協調検証ツール12月末
C-RTL等価性プルーバ合成したRTLの正当性を保証2006年度末
テストベンチジェネレータ動作レベルシミュレーションの結果からRTL検証用テストベンチを自動生成2006年度末
RTフロアプランナRTL記述から概略配置を決定来年度上期

おい!(w
107名刺は切らしておりまして:2006/07/24(月) 18:12:22 ID:/I1z9KGz
何やっても駄目なヤツの末期症状かもな。
Cベース開発環境より、他にやること無いのか?
108名刺は切らしておりまして:2006/07/24(月) 18:25:01 ID:lzfsGXQT
Cでロジックを記述したら設計図ができるの?
109名刺は切らしておりまして:2006/07/24(月) 18:27:44 ID:nphKIlKq
>記述量を7分の1に、動作検証時間を 100分の1に短縮できるほか

NECに設計頼む時の価格は10分の1くらいでいいのかな。
このツールのスペックが本当ならね。
110名刺は切らしておりまして:2006/07/24(月) 18:29:46 ID:dAEqoaAT
Cで書くこと自体は新しくもなんともない
この商品の売りは関連ツール群が統合されていること
111名刺は切らしておりまして:2006/07/24(月) 18:30:09 ID:+/w/Thh2
じつは今から作りますとかじゃ無いよね
112名刺は切らしておりまして:2006/07/24(月) 19:05:23 ID:+JuUJT5C
>>111
 発売したと書いてるから大丈夫だろw
113名刺は切らしておりまして:2006/07/24(月) 19:10:59 ID:5tZqnyGD
NECは5年くらい前、Cベース設計が話題になり始めた頃から、
ずっとやってるからね。
やっと出したかという感もある。
114名刺は切らしておりまして:2006/07/24(月) 19:12:29 ID:tgnO5ugC
>>105
個人感覚で逝ってねーか?
プロジェクト単位で考えろ。
3500万は普通か、この手のツールとしては安い方。
115名刺は切らしておりまして:2006/07/24(月) 19:16:27 ID:HuILv9L0
うちのお兄ちゃんはC言語話せるんだぜ?
116名刺は切らしておりまして:2006/07/24(月) 19:20:31 ID:u+fIET3e
実際は使い物にならないんだろ?
117名刺は切らしておりまして:2006/07/24(月) 19:22:26 ID:xua5Usnw
じゃ、C言語が理解できる人工知能を開発して簡単なパラメーターいれるだけで
自動的ににLSI回路設計できたらなお効率いいんじゃねの
118名刺は切らしておりまして:2006/07/24(月) 19:30:36 ID:4L2XfCEn
C言語で書けるレベルところ(Cプログラマに書かせたほうがいいところ)
だけCで書いてあとはHDLプログラマに任せたほうが効率がイイダロ。
119名刺は切らしておりまして:2006/07/24(月) 19:36:21 ID:7imLzmKz
組合わせ回路の合成はできても、順序回路の合成はどうするんだ。
ハードのアーキテクチャーを考慮したプログラミング手法が必要じゃないの?
こんなのでパイプライン構造や並列処理を考慮した最適化アーキテクチャが合成できるのか?


回路的に動作しても冗長すぎて使い物にならない回路になっちゃわないか?
120名刺は切らしておりまして:2006/07/24(月) 19:36:38 ID:5gF8Egyb
なんでJavaじゃないんだ <丶`д´>
121名刺は切らしておりまして:2006/07/24(月) 20:13:44 ID:bHBMEszv
double 〜宣言があったら倍精度浮動小数点のIPコアを、static char col[1024*1024*16]って
あったら16メガバイトのメモリセルを組み込むのか。
122名刺は切らしておりまして:2006/07/24(月) 20:17:28 ID:UlBe+13k
>>121
それ専用のクラスライブラリが山ほどくっ付いてくるんじゃね?
しかもターゲットごとに別々の買わされたりして(w
123名刺は切らしておりまして:2006/07/24(月) 20:19:21 ID:5tZqnyGD
>>122
正解。
124名刺は切らしておりまして:2006/07/24(月) 20:24:14 ID:ykKJSh9X
screen 0,1024,768,0
repeat
mes "m9(^Д^)プギャー"
await 1
loop
125名刺は切らしておりまして:2006/07/24(月) 20:24:38 ID:dAEqoaAT
>>119
きっとUnixがCで記述されたときも同じようなことを言われたんだろうね
126名刺は切らしておりまして:2006/07/24(月) 20:29:15 ID:eqegWMzU
#include <stdio.h>
{
int a;
a=0;
print("盗用多,糞ニー,ave糞,ジャニは%d回潰れろ!",a);
a = a + 1;
if a=1000 then{print("石皮産手糸売に入りますた!!!");}
return 0;

}
127名刺は切らしておりまして:2006/07/24(月) 20:41:13 ID:BuPnrRM/
CyberはSpecCとかSystemCではなく、BDLというNEC独自仕様だったはず。
基本的にはC拡張だから大差ないけど、文法は気になるかもね。

クロックやレジスタといったハード仕様に近いものはその拡張部分で指定可能。
また、パイプラインは逆に適当なところにレジスタを挟んでくれるのでタイミング調整が容易になるという利点がある。
とはいえ、この辺も使いこなさないと成果は出ない。

回路検証だけなら100倍ってのはない。
それでもネイティブコード実行になるから数倍は早い。

一番の違いは、CPU込みのSoC検証の時。
この時は比較対象が遅い分、マジで早さを実感できる。

で、価格だけどDesignCompilerが大体2500万、PhisicalCompilerが4500万くらいと考えるとまあ妥当というくらいかな。
ハードベンダーのNECが作ったツールが、他社ASIC相手でどこまで性能出るのかは興味あるところではあるけど。
128名刺は切らしておりまして:2006/07/24(月) 20:44:55 ID:FW0IsRtl
ぱるてのん
129名刺は切らしておりまして:2006/07/24(月) 20:46:14 ID:dAEqoaAT
俺が気になるのは↓のツール
「数理的技法」について知ってる人いる?


C-RTL等価性プルーバ
C言語で記述された動作レベル仕様とRTL回路が、機能的に
等価であることを証明するツール。
数理的技法により、
「あるケースではC言語の動作とRTL回路の動作が違っていた」
という見逃しをすることなく、あらゆるケースでC言語の動作と
RTL回路の動作が一致することを保証する。
これにより、C言語レベルで正しく動作することを確認すれば、
動作合成で生成されたRTL回路の動作も正しいことが保証される。
http://www.nec.co.jp/press/ja/0607/2102-01.html
130名刺は切らしておりまして:2006/07/24(月) 20:54:19 ID:nD9W2YE3
>129
プロセス代数に落として等価性判定してるんじゃない?
131名刺は切らしておりまして:2006/07/24(月) 21:03:30 ID:gvAm1vMO
>>128
まだ生きてるのか?
>>石の神殿
132名刺は切らしておりまして:2006/07/24(月) 21:16:45 ID:b1OGYXEb
はやく日本語でなんとなく作れるようにしろよ
133名刺は切らしておりまして:2006/07/24(月) 22:29:27 ID:EVpHCb+v
>>120
あべしに仕事持ってかれるからw
134名刺は切らしておりまして:2006/07/24(月) 23:23:27 ID://VsletY
>>126
間違いすぎ。

#include <cstdio>
template<int a>
class Printer {
void print() const {
printf("盗用多,糞ニー,ave糞,ジャニは%d回潰れろ!\n", a);
if(a < 1001)
Printer<a+1>().print();
}

template<>
class Printer<1000> {
void print() const {
printf("石皮産手糸売に入りますた!!!\n");
}

int main()
{
Printer<1>::print();
return 0;
}
135名刺は切らしておりまして:2006/07/24(月) 23:25:50 ID:0/P2ikDZ
>>129
数理的技法って具体的な手段じゃなく、>>130のような方法の総称と思われる。
ただ、フォーマル検証/フォーマルベリフィケーションの方が通じる気がするが、
世の中そうでもないのか?
136名刺は切らしておりまして:2006/07/24(月) 23:34:49 ID:5tZqnyGD
prinft("ぷりんとえふくらいがおにあいのちゅうしかいないんだからふぉーまるべりふぃけーしょんなんてせんもんようごつかわれてもわからないよ");
137名刺は切らしておりまして:2006/07/24(月) 23:40:31 ID:hRwAMTBF
C言語で記述できて、記述量7分の1、検証時間100分の1まで減らせるって

今までかなり、手を抜いてたんだな。
138名刺は切らしておりまして:2006/07/24(月) 23:46:09 ID:EDqifelj
C言語で書かれたソースをコンパイルするコンパイラは何言語で書かれてんだろ
なんか鶏と卵みたいな話だな
139名刺は切らしておりまして:2006/07/24(月) 23:47:59 ID:azwk0dUC
>>120
>なんでJavaじゃないんだ <丶`д´>
 やたら処理時間がかかるホットスポットだけをモジュールに
切り出して回路化するので、Javaで書く利点なんてないと思うが。
140名刺は切らしておりまして:2006/07/24(月) 23:48:46 ID:bSsOnT+/
そこそこの速さとそこそこ小さい回路規模が実現できるなら、
少々高くても少々電力食いでもいいかも試練。
141名刺は切らしておりまして:2006/07/24(月) 23:50:00 ID:dAEqoaAT
CでもJavaでもVisual BasicでもLispでも…、Cコンパイラは書ける。
142名刺は切らしておりまして:2006/07/24(月) 23:51:05 ID:0/P2ikDZ
今どきyacc&lexってのは古い?
143名刺は切らしておりまして:2006/07/24(月) 23:59:06 ID:5tZqnyGD
>>138
クロス開発だ。
でも大元の大元はどうやって開発したんだろう?
144名刺は切らしておりまして:2006/07/25(火) 00:03:11 ID:wDuPD+53

Cまで行ってないから、まだB。
145名刺は切らしておりまして:2006/07/25(火) 00:03:25 ID:bv+BPWXe
>>139
HDLとしてのCが、HDLとしてのJavaに比べて速度的な利点があるわけではない。
近い将来Java-HDLか、同等の高レベルHDLが普及するでしょう。
146名刺は切らしておりまして:2006/07/25(火) 00:03:37 ID:JJQjJare
Biz板って住民のSE率が結構高いんだね・・・


147名刺は切らしておりまして:2006/07/25(火) 04:22:15 ID:eCL710Uu
>記述量を7分の1に

日経エレキかマイクロデバイスに例が出てたけど、
この記述量って行数なんだね。
で、比較元のverilogを見ると、module文,in,outやwire宣言が
全部1変数ごとに1行使っているという行を水増ししているありえないコード
だったのには笑った。誇大広告の一種だね
148名刺は切らしておりまして:2006/07/25(火) 09:49:32 ID:ZZcAgQfw
>>126の結果は↓だけ

盗用多,糞ニー,ave糞,ジャニは0回潰れろ!

>>147
そういうのを見やすいコードという
見やすいコードのまま記述量を減らすって結構大変なはずだが
149名刺は切らしておりまして:2006/07/25(火) 10:23:17 ID:S0Je+eAa
>>147
比較対象をVHDLにすればもっと少なくなったように見せ掛け(ry
150名刺は切らしておりまして:2006/07/27(木) 16:13:37 ID:rXpImjMy
動作レベルで記述、合成するから行も減るってことだろ。
構文の問題じゃないし。
ほんとだったら開発効率大幅アップなんだけどな。
レジスタ割り付けを自動化でき、
そもそもタイミング設計なんてしなくてよくなるわけだ。
アーキ探索もやりやすくなるし。
151名刺は切らしておりまして:2006/07/27(木) 16:40:36 ID:APwygnkV
>>150
しかし、抽象度をあげると直行性が落ちると言う諸刃の剣。
高速化にはオススメ出来無い。
152名刺は切らしておりまして:2006/07/29(土) 02:34:25 ID:0DPtz+yN
>>151
直行性って何?
153名刺は切らしておりまして:2006/07/29(土) 03:11:25 ID:dhnN16Rd
.NET2.0の時代にNECはナにやってんだ。VB.NET版つくれ
154名刺は切らしておりまして:2006/07/29(土) 09:27:58 ID:5IeUSZVq
これってリリース後
週1で バージョンアップを繰り返していたヤツか?

155名刺は切らしておりまして:2006/08/02(水) 00:24:22 ID:oycBcRK+
CAD屋の誇大広告ってどうにかならんか
宣伝どおりに使えた試しがない
156名刺は切らしておりまして:2006/08/02(水) 00:27:07 ID:08kNK82q
>>155
話半分の半分くらいにして聞いておいた方がいいよね
157情報系だが:2006/08/02(水) 00:33:30 ID:YABErQNf
parthenonで授業受けたよ

この手の「C言語」は「C言語のようなもの」のことが多いからなあ
158名刺は切らしておりまして:2006/08/02(水) 00:55:46 ID:oycBcRK+
>>157
NECのは知らんが、この手のは、ぱっと見の文法がCなだけ
Cのとおりにプログラミングできるわけじゃない
mallocすらないんだぜ
159名刺は切らしておりまして:2006/08/04(金) 01:30:08 ID:CaGXfcZt
記述対象がハードなんだからmallocなんてでてくるわけないだろ
160名刺は切らしておりまして:2006/08/05(土) 01:31:34 ID:Nr+y0QRM
>>157
SystemCだが、一般のC++コンパイラでもコンパイルできる。
ってか、それ(だけ?)が売り。
161名刺は切らしておりまして
>>158
そりゃmallocはないだろうが、この方向性の究極系としては
C++みたいなものになって、各チップは独立スレッドで動く
オブジェクト、配線はリッスナ登録、スレッドの駆動方法は
同期式ならグローバルクロックで、みたいなのを夢想。

これでコンパイルしたコードを入力イベントと出力イベント、
後は所々に入れたプローブオブジェクトの状態で比較して、
OKならリッスナの結合状態からピン配線に落とす、みたいなの。